-- dump date 20170509_025518 -- class Genbank::CDS -- table cds_translation -- id translation TSTA_000010 MSRRQRDERGRFISTTPEPEGRIPGSFEQGNETALGQRDEATEN EIVERERDNTVHSGWQNTVVRVEVPIREFTPDRQLVDKLARMPDDETIPTFEEVNGRK FMTFEIAKLDRTNVRSWKNKYELFLKSQGCWKVLDYTYKWRKQSEKIDELLKDDKWSA ADSLSKLYILQNLTESDESAVQHMRSSGEIWAYLMEKYERRTEVDVAYAIRDVITWKM SSTTTVEAGLQQLEQRHTELVDVSGGEVKLPEKTIMVIFLEGLPSEYDSMKFSILGAG DLSRGLVLSRLQQQERMQGGSTNKTIGANESANRASDIKCFNCNEMGHFARNCPKPDK RKKSKEESRDDSQESSKTRSSRKKAHEKRSKKVRFKGKARNASKESDTEDESVSEESS EESAYKVWIGVHYADRATSIDPDQSEQKVKKWTIDGGATSHCTGDIQCLEKLDTRYRG VLKTAGGNLKIMGKGIARVPLMDGGVARLNNVLYVPDMEENLLSTQVLYRDGIYNAHE KDGYRFYRKDRKTLATGYNIGRTSYLGSVESQDTLMTRSRRMNKDEEARIVSREPDWD LLHKRFGHPGKPRMKRLVKRMGLKLPESYDFTCETCIQAKSVKRQNRGEVPKEKEPLK RVYIDFWGPYQGQYYLAIVDDATRFSWLYITDNRRTETVIEILEKWMAKEERILGKAL INIRLDNAKEFAALGSWAEKKGIDLEFTEPYTPPQNGPAERLNRFILEIARAMMQQMN VPKKYWRYAVRMANFLRNRTMFSPREGEKRKSAYEMIYKKKYNLAKLKVPFCKVWFHI ETKDKLDPRAQEGVFVGYTKSSSQYLVLDRQGRVRKVTNPIFLEDQRGFISDEAGDRE FTNDEAYNSLIENPSVFNHTVNPVINSASTTAMSPTVLNHKDDVDATTAVDESHSQES TTSTTPDLADPNPSTTPSLPKTSQQDASPKRRSERIRQPTQALIESQQTEQIYGRKSR QERRREEREASKVSTTDNDEFALRVDKRLEGEQIPIPKTYEEAVNHPIYGPRWREAIG LEIRNLIRFGTWKFVKRPIGRSVISCKWVFDLKYGADGRLERFKARLVARGFSQQEGL DFEDTFAPVIRLESLRVLFAIAASYGMVAHLLDATNAFVGSRIDKEMFMEIPQGLEDH GVGPTEPDQVCEILQSLYGLRQSANLWNQKVKSFVNTIGFKPSTADSSVFINERGVII ALYVDDILVFGKATKDIDWTKRKLKKFHPMKDPGLAKKILGIRITWLPNGGIRLDQEF YATQMLKEFGMNHSKDRILPLGPSTNLSADSQRLPKDLHSKFRRIIGRLTYLAGGTRP DIQFPVNRLSQHLAEPTKVHLEAVKRILRYVRGTIKYAIIYRALDEKGSGKILVGYTD ASYANATKGRSTSGYIFILAGGPVSWSSRKQPITATSSSEAEYIAASDGAKQAVWLRH FLHSIQKGSKGPTPFYMDNQSAMKLSDNPVLHSRSKHILIRYHAIRDFVNHREIKPIY IPTTDMLADSLTKASSSEILGKFTESLNMKW TSTA_000110 MLDFSIPLREERSRRILSSLSRILRVALEGQKSTWDDVITTAKN LSHQQELEQNNDEALHQLQQEMDKQTLAFCLEISNSQSLCEPLTLSWSPLQHFXXQLM IEQVDSCPDDSSARKVVDDEPVGPVGRGAREPVDS TSTA_000120 MEIGMSFSTPKHIPSGEPNGEQSNKEEVFSGDEQTKDTPPENGA IMSKNSISTWPFRTLFDLLKIAKGRVNQSMLNFIKQHLNGTRLIFVVGETGSGKTTLL GEITGQDLKIGHSANSGTLGYQVLPAIIHGKQYLFVDTPGFGAADLQNREVYEDIMSC VCTLGQWVTVAGIMFVHDARQQRLTQSEMTTIRWLECFCGPQFFQNVTIVQTQWDRIT EDDVDQARDIAEELQTSAFKDILFPKHVRGGCVYNHGVRVGNGSDWDIFSRKRNPAER SQMAADFVQYQYERCDGVGRLQVLEELAQGWGPYETEAAKTLFNASSTPMICVLRYKA FVVDLDEEVMPKVKEEDEATAAEPSAKQQAAESSTWKWWEIAKEVAWTFWGFERTGTT KFTEYMESVTTDVWERLKGWWSGKTPPQ TSTA_000130 MARLETRKSEDYYVACLTVIPEEFAAFREVYDKRHSRPLGIDPR DPNQYEFGEIAGHNVVLCSATAADLLRSFEGIKYALLVGIGGGVPSRACDVRLGDIVV GTPGYLSSGITHHDQGKQLSDRFMHLNFPVPPPRAIQAAVSQMRVRELDKSSKIPNII SSVVGKLPSFNRPDNRSDHLFQADYEHAGEGSDCDECDKKRLVQRSARDNLAPQIHYG VIASGGLLVRSAAKRDSLRDLYNACCIDMEAAGIMGTLPSLVIRGISDYADSHKNDHW QKYAAVAAAAFAKELLQCLPSLLAGSTSCSDGTSDESTVTTQPISHTLENLLEREART IKAYNWRESIVDLSKILGLKWDVGSRPQLANALQVNKGPNGSATQNNALRRALMKELR VENGSIVIPGHLQALRN TSTA_000140 MAKASLDALAQAKHTAVGFAEDHPVYATLIALGILAILMPWALE ILGFGDLGPIEGSFAALWQSRYAGYVPKKSLFGYFQRLGLKWHWIRYTKTPRRSYREP TVKGSMVIIEDDVKPQPFSCSAAEKLMLLDNYA TSTA_000150 MMADYNSSQNVQRLRGYYERGLSTSPSTMPNAYDETEHQIITNG LGLRVKEVEQKSRSKKGVTAMDPCRSTSSMEKQVCEESAVVEEKENRSGASRMEDKQS LHAFIFDGTDDIHELEWKKEEADRETEELVDQIEQCQLTIDDLRKQISGLQAREIKLI QCNEKINEDLADQIKDLRERLRESKLRERELQAQLERSRQVKEDLRMELEETKVRAIQ LRQSKARERESDSIQHTRREQGQLSHELLQQLVEVTVENISQRNAPAANTQQVIYQRH PHDVDIIAEQNQAISYLKQENSHAERKARRANLEAEYFRNEFMKSSKKKQPRGEKVIA LSRQGGITSLLAYTQT TSTA_000160 MTLKQLTEISRKDLQSRVDEASVTDVRHLSSYNWLEAPEPTIAV PGCPPLWSPPKAARHVRKDSGLIYIAQNAARHPEYPLEPLFRALYMEHPSFDLESVDL ITDRNNIRKLLSFVNPSISRNGLEPFTIHVEVFKNTVIFCRAETETKKFVQPHEFIGY GHEFEKAFTTDKIGGSTGHHRLVSYRFSNMKILVRYETDGYIDKPKMGISGAESDALA SMIQSLSIESASTASTSSKLRIKRAGEVVGSDSTLEIKTRVSHKPIDLEEVLPQLWVS QTPNLVRAYHKHGRFEPPEVENISSEIKKWEENHHLDLKCLAALIRKIILIVTENQGN AMIKYDPQVDKLILWQVDGPKMLPNDLYSKMCNDETKSQKDSSSAAASYRTKIRIGEI LYDIDVSKIPYLSSFLSSIPLFDTALEGLESGYRACFRLLPADLSQFHTLCDTYDFLG IDVLGGQSLDDIIVRLKAGKTDYELEYKHYRAIKGDKSTARDAAFQLLFLILLCDFKN PAKDSNQVFNAVLFVVSHSGTFKWRIRTVVRAAYEERFVLSSKQKVTLDRWSKPKPAE DVSDDYVTTEDDFSEYYLSDES TSTA_000170 MHRVTGVFHIKNLYCDGYSILDMCMAPGGFLAIALSCNPEAQAL AFSLPRIDGGHRVLLPKRPSVTLKFLDVTMLAEDMGLSCIPNEHPDAGNFLPSQFDPR QSFDLIICDGQVLRNHGRADYRVRTEASRLCLTQLTIALNHLTPGGTMIVLLHKVEAL ATVQLLHTFDKFASIQLFKHTRFHAKRSSFYMLATNIRADSEDAKTAIERWKFQWKIA TFGTDKDYCGASQLDMSDVEVILKEFGPRLISLGRRIWEI TSTA_000180 MDIISIYAITAGGVFVALFLISILPYLYQLAKSLELYISRYLIF PFFLRRHRFVGPWTRGAVLIHLLYTGVNLFCLCFGVSSPTEFADRSGTLAVVNMVASY TAGHVSFYSDILSISRHTCLQIHRGTAWMVSGLLVLHVVLISTIQSSFSLDRTSNLFA LIGAGCVVGLTLFTLPYIRRHIFEVFLRTHQRHIPSGNRLSKICIITTFVLLSIALLL QLGFLIYRNRIFSLRGWPRARVSCNRPRIEGENDSNVIIQVRVALTRPVRIKAGQYIN LWIPSVTWWSWTQMHPFMVTSWSHSCQETLDILIQPRRGFSRELLKQARSAQEGSASL RAFIIGPHGRSENVDRYESVMFVASGFGITAAIPYLKKLVYSYNTSASRTRRVHLVWE VKTLDIAIAVQGTLNSLLEDDVLKKRYILTISIHVKSGQIIGDVMKFGNHDRAVVYNG HANYDQILHAEMSGELIKRLPNAKEEKGESLVMVAASSRVRDQIRLVLQDYVHQKAKM VILEYQP TSTA_000190 MDLLRATLSIEMTIEKQHQKIWQEIEDERVRIKIDFKNLRLFKH VLKKVSSHALKIIHKSAPDKKPIKPCTGVTRRTLGIPCIHKIKEYYEADISIELFEFC PHWRLHTDEDLPPVDPRELVLELEVIRPRGRPPGTINWPTTSEQSQSAEDRSTRRDPS AFEHLLTQESSRGQGSGHIRSSCGGGQARSGRGARQRGRGSHGGGQAGRGRGGRQQGG ECGSGSAGTSEVSTQSHENNDNEISENRDNKTNENQIRRSKRRGRGQPAPWLGDENE TSTA_000200 MASNQLLASLLQGYESSGDDAEPQNDLHNRIPLPPRLEEEVFDS PDTIIEFINNFAQNHGYAVSKRRSKRAKNGHIKTVFVKCSLGGEYHDRVVERTRQEST ILTDYPFSIVLRLQQDDTWLVNIKEKHHNHDLSPISTHSVHCYRQILEHATQIEAQLE NGIDTRHIIASLRKKRGDNVGFSFMKNEKEESYSFILKSLEQALMGAIEAIFLYTRNI LCIWHIQKNLMVKCRPALRQEVIRIDYEGKGMKSTLVDEFKEKVEAHWVAFWAKYSHN VWDTVFEYIKKEWLQEDTAKHFLKYYTNEYLHLNKQASSQVEGAH TSTA_000210 MTPKLYKEEEELIAKALSACQHEKKPNFSKLSREYGVSRKKLSR RWHGLPSRSTRPPTRRLLSLDQEKALILWIEYLDNIGAPPTNQQIEESANYLLGKDFS GPGEAPRAGKNWVHDFIKRLPKQYVRIVQKPQEKERTVAEHYGEIERWFIDLELAIQQ YKIRPQNLWNFNETGFIVGQEKDEAVVTAYPKTSKRVSSLSSRESITVIEGINAEGKI IPPLLIPKGKVHLEEWYRHIKNDDWLVAPALNGFITDEIAFEWLQHFNHFSRPGAFPD WQLLLMDNHTTHLTIQFVQYCEIWHIRPFRFPPHSTHFLQPLDGVPFQQYKHVHGRVV NKIARLEEAFEALVAEGDALKIYSEADDTIPSSPTTKSISLPSTAVKLRRYVNKIEKS IDSIKDILDKVSPGLSRRIKVVNQGSLTLAELGDLHRESFAKVRDIATRKNQKTTKRQ VKASGALYVKDANRLIKRRHDGDLLKIYKSHVVGVPQPMEEVASTEPQNSRFFFDTQG DR TSTA_000220 MEEERANDIQRKATFHSIGPLGKLHNIMAHIRGSAGRTKEFKDL AGRLIPLDNRTRWNSWYYMLSVALQFDAALDSYTKRHLDTLEAEYLSPTDWEKLCTTS KFLSLFNRATLKTQGDQATIDNVLFVMDIIIKHFENALQVEYVSDKEFCARIQNGWDA FNKYYSKSDDSPLYAAALILHPARRISWQKPALQKVKKLWEEYRNNAPYPMIMRSYEN DQPRDLDDFDRIAEDLDGFSRPASQDEFEDYNTESPRKICTSALTWWCQDEQQKRWPR LSYIAIDILSIPAMSDEPERVFSGARHTISWERAQLSAENIERIECLKHWAKTGIAEE KLKG TSTA_000230 MASMELALAALRSADPGEKPNISLVARTYGVSQSGLYKRFHGVT GSKEEQYDKQRILTTTQSRALIKWINQLTERGLPPTNSMLANFAREISGKEPGKNWAS RWLKAHSDKQYNLGPEQIYNMDEKGFMLGVSTKRKRIFTRRKYEQGGYKQHLQDGNRE WITTIGCICANGTALAPSLIYMAKSGFIQDSWLQDYDPQTQRCFFAASESGWTNNDIG YRWLVDVFDKETKSQASRGWRLLILDGHGSHVTMKFIEYCDSNRILLAIFPAHATHTL QPLDVALFSPLSNAYTKQLDDFIRDSQGFTRLTKRDFFRLFWASWNEVFISKNINSAF RTTGLYPFDPEIVINKFNKKITSRPFSSESGASIIPPEDWRRLEKLVKTVVNNIYDEK AVQLRETVSHLSTQLILLQNENQGLKKALINAKKPKNKKQPLLLGLPSEQDGGALFMS PTKVQQARDIISQKNDEAAQKQAHKDDKKLQQQLKKQAREAEKVKRAQIRQEKREQRE QEAAEKQRLKDEQELAKLADLQLQNDVISTPKASKRPTKQISRQAKPRVQPEAHVEDN EVVVTTNRRGRAIRPPARFRD TSTA_000240 MASNDLDVQITESHDVSPTPETKEVDPKNNTFTSDNDVEHGLKF EPEEEGVTTVVETANDLVTKVIHVQDDPNMSVVTFRVIFLGLGLSIFGSVLQEIFYFK PQTIFVSLVFLTVWAYILGDLMAVLIPTKIDWRIGGLHITDGGLLRYLNPGPFNSKEH AAITIMASAAAQSALATEALAAQYLFYGGYPSKGAGIFIVLTSQLLGFGITGLLRDVL VFPTKMIWPMTIPVSSLLETIHRDRKETKRKMRIWYAVFLAVFIWELFPEYIFTTLIG ISVFCLADQHNLFFTNFFGGATGNEGLGVLNISFDWNYIAPFFNPLWYPLQSTVNTLI GIIGCYALLIGLYYGNIWEAKSLPFMSQDLFNLTNANSTTYNIYNQSLILNDNFEIDN AKLEAEGIPYLTATYLAYLLTTNMGMTATIVYMFLWNRDDLKAAWSWASPSQILKDFS LQRLQFWRNQETPEERLQRKQDDSTLDPHYKLMMRNKYAEVPLWWWALVLVVCWAVGL GCLYSMKSTLPWWGFLVSTIFTFIFVLFFGAQMGMTGFQFNQQPICQMLAGYMFPGRP LANFYFTCFTFNATQQAQLLAKDLRLAQYSHLPPRITFAVQIAGCIVGGLMNWVIMDT VVAAQEPLLVSIQGSSIWSGQNIQQFNSLAIAWSIAPKLFSIGARYEWVTIVFLLGFL VPVPAYIMYKITGNRLWGYLNPSIILWFMGNLFVGINSGFTTFFIVAFVFQWYIRKYH PRFFVEWNYLISAALDGGTQIMVFILTFAVAGGSGTAHPFPTWAGNPDLSLHNTDYCM VNPANQ TSTA_000250 MTPKLYKEEEELIAKALSACQHEKKPNFSKLSREYGVSRKKLSR APPTNQQIEESANYLLGKDFSGPGEAPRAGKNWVYDFIKRLPKQYVRIVQKPQEKERT VAEHYGEIERWFIDLELAIQQYKIRPQNLWNFNETGFIVGQEKDEAVVTAYPKTSKRV SSLSSRESITVIEGINAEGKIIPPLLIPKGKVHLEEWYRHIKNDDWLVAPALNGFITD EIAFEWLQHFNHFSRPGAFPDWQLLLMDNHTTHLTIQFVQYCEIWHIRPFRFPPHSTH FLQPLDGVPFQQYKHVHGRVVNKIARLGGFDFDKNDFFEELRDIRIKTFTT TSTA_000260 MLQPEEAFEALVAEGDALKIYSEADDTIPSSPTTKSISLPSTAV KLRRYVNKIEKSIDSIKDILDKVSPGLSRRIKVVNQGSLTLAELGDLHRESFAKVRDI ATRKNQKTTKRQVKASGALYVKDANRLIKRRHDGDLLKIYKSHVVGVPQPMEELTPQQ LQYLNEMIDHGMEVLACQDQMPQPLPIMIHGSTLAKAQALLDRACLRLSIALLDHLLK GDLYESGLVGFLAVLGINSKKQTFQDADTYTPLLSGLIKIAQMMVVQEAVTQAEEEDK VEYSADALNQMRERFLIQNTTTSLKYIGWSEDQEQLTYKGLDMTMASFRHFIQIEIQQ AQQSLKRLFLLHDEEAWEDIIPTIPLSQLKDNPTEICCGWNFL TSTA_000270 MATKSSIKYQPGHQIQWKIPAIQAYLRDQDQFLELLLLLCHITG GQPARGTEILSLRHRNTIHGRHRSIFIEQGLISMVTSYNKGYHVTGSTKIIHRYLPRE LILPFCEKLEILAFKKTEPPSPFLWPKAHRREREEDSSYLTIAISRAHLPSGGFKQDY RVNKKTAGTIYARGLKKAPGHVEECRTQY TSTA_000280 MAGQQYAHEDYTVGWICALPKTELVAAAAMLDEEYLILPATDPQ DANTYLLGRIGDHNVVIACLPAEMTGKVSAATVATDMIRSFPSIRFGLMVGIGGGAPR RAYPEQGTGGGLVEDDFDESEDEMEEIPDIRLGDVVVCLHTKSAEAVVQYDFGKSLQE KEFVHTGGKLNKPPRIVLNAVGRLQANHIRGHRKIPELLSKMLTENSDMIHFQHPGLE KDRLFRPDVVHVEGRKSCRDCCGLNNANIVKRDSRSDTVPKIHYGTIGSADQVMKDAI LRDQWALKENILCFEMEAAGLMDSFPCLVIRGICDYADSHKNKVWQPYAAATAAAYAK ELLLVIPGQGLMKLSPIKQLLHLSEQIEAVNSTLEKTFEQRENHHHDQIMRYATEDQR RCHQVFKTSTYEKFKNINPNRVEGTCEWVLKSPEYLRWWNATHNDLLWISADPGCGKS VLAKSLIDEVFALSDLNVLIVYFFFKDNDEQNNLATALCAVLHQLFSLQPQLLRHALP FWERSKEKIQYEVDDMWRIFMATMSDGTFGNTICVFDALDECRDHDQKQLIERLHDFH NRRPASQENWLKFLVTSRPYDDIQDRFRPLTECFPQIHLRGEEENDQIHEEINLVVKV KLTELGKDLGLRANTQERLERELYEMKHRTYLWLYLAIDDIKRTLKNSLRPDRETIPP LPKNVSKAYERILDRVPSDQKTKVETILRIIIGARRPLTVQEMAMALGTAREFLISKH GRSPNVHWHLEQRKTEIQMTEICVKYLVMNDLVSNDGESIRSLLDYSAENWADHFRDV LSPEAELVDWVWKLYDVMTKRFRLWFPKFWTVAMRHRQDPKMKALHLAAFNGHPDILC RVDVNKTDAVDQFDRSGTTALQWACERGHLEIAQLLLEKGADVNAQGGYYGNALNAAA EGGYLEIVQLLLEKEADVNTQRVEHSNALQAAARGGYLEIVQLLLEKGADVNAQGGYY GNALNAAARGGYLEIFQLLLEKGADVNTHGIEHGDALQAAARGGYLEIVQLLLEKGAD VNAQGGYHGNALNAAAKGGYLKIVQLLLAKGADVNIQGGNYGNALYAAAQKGHLEIIQ LLLEGADVNAQGGYYGNALNAAAGRGYLETFQLLLEKGADINAQGGYYGNALNAAARG GYLEIVQLLLEKGADAKTHGGEYGNALQAAARGG TSTA_000290 MNRLSPGNVSRLQAQFRGVQYLIIDEKSMMGLSQLALIDSRCRE IFPDQRDVNFGGSNIVICGDFFQLPPVLAKPLFYNKFVSLINNEFAIKGYVLYREFKI IIELNVVKRQSGDSHFLDLLSSLRRNEISREDWQLLCSRIQAVNLQQIDEFKDVIRLY NKKDDIVAYNHAKLRDLKHPVISLSATNEPKEAEKVDSKDAGNLHNTLDISIGSRVML GENIWTERGLVNGAFGTVHDIVWPEDCVDPRKEPPLAILVHFDQSRREFIVDNKNCTH TQFPLVVAYAITIHKSQDITLWRAVLNIKEKDFAPGLTYVAISRVKSLDGILFEEPFD YERFSHKKSDTEIMREEDLQLRAAQHYNPHSSPSLPSMHSLPRVSLSQYLSSQELGST NELPTSMGFHMSSEM TSTA_000300 MEGERRCIQCHGLQPLDQFIPKRKSECREYSAIGYRAKRLRNHT EERIQFHYRGIHSSDNTQHAQARQEHARISREHRSKRRHGEETSLTPSITQIMNAQVH QTPAQSIASQRYPTSSHSSTPTPRPLIICYFRCFQCEALRSNNDWFDDNEDICFYCAD NTSFEEQNKYCIYGSHRVPRPAFCDVAGVESDTCHFCFSRDSTSIPSRHHTPIQLCPA VRRGPISQLDLSPHVDTVSNPHPLLEQQPRQGSQNTSSNEAPFVEDPPLDMSYENILS KPALHLDNWELVENFYNTLYSFAQEECGRCQEKWFKMKLRDGVWDRCRCVDRDQMIFL YSPGNNMDPSDVPTFLPELTQTEEMLIARVHVHMQIRQIRGQQYRYQGHIVNFLRDTQ RVCNRLPLLPQNPNMVLLRPQNAETHERLNRQFRRDFRVKRGYIYAWLSYLIADHPGY RDIQINHANLTQLPEDGSVIDQILTEDVANESVDDDTDILAEADNPETVAVPDMTVSE SEVQQLRQQLVTAQNPLHLTLPDPRSTPISEYKTTLPLLSLALPTLFPQGKADYSIPR ERTVEFQQYAQHLMKYKDGRFARHPRFRFIMFNTMMRQRANAQAGFMVKRRSNLPNCT VDQLRAAFEEDSEESNSIVNSITRMSNTIQGTRSYWASRRSDLIAYNQNLGASHLFFT TTPADYQWDDLQRQFPNYKQWKNGDAIERRVIARENVVNNPHICAYWFWLRLKTFFKE ILERSFNLKDYYTRYEWQKRGSTHSHILLWVKEAPNSEIEGLVSAQRAQYLDFWSRRV SAMNPHLRQQDEVIEEISTIQMPLERRVIDYIAKYATKAEKKTTSYRDLIKQVLPNVN SRNPMISMATKMINKLIGERDWSAQEICHMLLGLDLTEGSRTVINVNLYPENERQTLY IQDGQEQGSDDINFLTFLKQVDFRNAKNMRQLQDDNPDRVLKYFPQYKPGQQPEDYAR VKLMLHHPFKNISDLLIDPDMCEVFKSYTEAYEYCCQNHMDDHKPDYYEEAPRPEADP FDPLQSQSDGTQDSWLDLALQLPGNNNIEQIEDPDRLGHRDLDRAYDWLPHIETMDGY RTDQLLVNLDGKAGTGKSYIINLLSTTLEAAARTRGAPPPIIRAAPTGVAAFNISGYT LHSLF TSTA_000310 MKEATPQVEDAKGLNGKLGPDSQDTMGLISPGNHATDPEIDGND LDPIVICGFSIKFPQDATSPNSFWDIIINRRCAMTEFPADRLNPDGFYRKTNRLNALH LKGGHFIKEDLSVFDAEFFSISPTEAASMDPIQRWLLETAYRALENAGIPMDSISDSL TAVYVGSFTMDYMLQLVRDPETPPAYAAIGFGLSMLANRLSWFFNLRGPSIGLDSACS SSAVAVDIACQSLQNRSCNMAIVAGCNLANCPESYIWMSNLNFLSADSRCYSFDHRAN GYARGEGVGVLILKRLSDAIRDGNIIRAVIRSTASNEDGRTSGITQPNRRAQEQLISL TYQKAALSMAHTRFFEAHGTGTPVGDVCEAQAIGSVFQTYRSSRDPLYVGSVKSNIGH LEGASGLAGIIKTVLALEKGVIPPNANFENPNPKIDVEFLRLCFPEKSYAWPSTGLRR ASINSFGYGGSNAHIVLDNAYNYLRLRCLHGKHCTRPFPPRDNSFINRVDETLKSENQ TIFRKDKPPKLLVWSAADRHGINRIIEVYRNWYQEEISSQTSNCNDLLEDLAFTLGVH RSHLQWRSFAVLKSCLELNDLQSSISAPFRVRPEAPRVGFVFNGQGGQWPGMGRELIC YASFKEDIIRAEKCLTTLGCTWSLMDELLKSEETSNIDHAEFSQTLCTVLQIALVNLL RRFHVEPCAVVGHSSGEIAASYAAGYLSYESALKIAYFRGLCSAELAKSSNPRTRGAM MSIGLSEDDARDMIAVSNQAASNFGVSIASINSPCNVTISGEEKLIDELKTKLDKERI FARKLRVPLAYHSRQMNAMSFKFTSLIGSLTLSSNAINVIPMLSTVTGERLTASHLKE PSYWALNMVSPVRFSQAVEKMCFQSGSNISKKIDMSHKCVSAVNHIIEIGPHGTLQGA IRQIIQAFPREKRIDYNSVLTMGRPAMDTMLETMGKLHTMGVCLSLREINEPQEEQKM ARSILVNLPEYPFDHSQHYWHESRLSRNYRLRPHAPSELLGVRCTDWNASEARWRHFI RIAEMPWIGHHVVNGTALYPGAGILIMAIEAAKELAGDNHLIDGYTLRDTQILAPINV DTDTEVQISMHRMHHSGQKELIFEFFIRTPTTDDDWLLNCHGFISVALSDLWDNWEKE KTASQRRSVAEKYAALVPSCSNPVNSQNMYNFLSQHGYEYGFLFQAACRQFCTEETYK ATAEVKLYDSSKESHVVHPISLDAILHLSFTALTSGGKRTMATSIPSRIGHLWISNEG LSRPDNDTVTAFSSVINATKRGFSFRGAAIDSHGRRDLRLWCEDIQMTNVTPNPITTL LPNPKQFCMGIECKPALSKLSSKEIGDLLHDMHPVSPDMSGFFRDLELLVKTSIKRLI TCTTPRTSGSEETWKTPYWNWAKHHSVQVEYLAGTDDDFEHLCDHMRTTNSIGRLYET VASNLVAFFNEEVNPLELLLQSGILKEYYQELANYRCTKQVASYIDLLAHQNPGLMIL ELGGGTGSATRNIIGALCSRSNNHTASLRCSRYDFTDISPAFLDKAREEFHPYHSQMT FGTLDIEQNFAAQGFKEGSYDVVIADNVLHITRNLVYTLQNVRKALKPGGKLIIHELL KPSGWTTGFIFGFFPGWWLGTQDNRILSPNVSANTWDTILRESGFSGADIVLRDFEDE VAHQLGQIISTAIEATPSPTPRLPRRVQVTIIVDQTCTEQLLLARDLCSPLQKLTEYM PRVLHIEEALSKYRKRNANELVILLADYKNSFLSALDSRNWIYLKTLIQGSYHLLWVS SGGGHFPNPEYGMLDGFARTMRSEYYELHLVVLALDATRVYDPTTSRTLVMQVVSEML DTQSGHYEEEYIVIDARLYTRRLVAAHDLKSTMDAKLQTYEVLSIPLRERRWIQTSTE SNSDFDNTLFYRELFPSNEGDPMSDFVEILVKAVSLQDYERDSARNPEPDSTFGSYCS GVVLSACPGSKFHPGDRVFAFSIGCFQSHVMVSSTAVVKIPPDLSFVDVCRAAPSRLI VYNALVEVGHIKNTDVTLVQNGAGSMGQAALRLLCNRGVKDVWTTAADQKGRMQIIQT FGIPEERILPMSWFHTETIIGSQWMQRFDVVFLPELNFSTSALMRLGRSGGRCIMLNT TSGSSNSLHSIQSVPPNTMLSIIHLGEITKRASLINSASLQYAVMFSHLESTICPALC FPASDLMRAFNALRNVNNGENVVMDLDDSIRIDVRVKSLPKKLFGSQATYLIAGGLGG LGREMARWLVSRGAVYLILPSRSGPRTAKAQQFLTEMGNKKVHIETPRCDLTDRTALR SMLINYSKRMPPIKGCIQSTMVMTELVFQKMEFDNWKATIDSKVKVSWNLHLELPRGL DFFILISSMMGIMGSASLAAYNAGNTYEDALAHYRVSQGERAVSLNLGAVPDGGYLVE HGNYIPGMTRTEKYALTYVKELCVMLEIFCDPDTLRRNSFGCQAIVGIRPPAHWKHIE EVPSTMARPFWGHMHHVPVTHPDELQSPNAATHQDRHNIVEKIATADSLLEAAEIAAE ALADRVAAILGTVKDRLDFQRPLHSYGMDSLSAIDLRNWIGKTFDIDLPISDIFGGIT LASAAMTIVQRTSSRNVTTPRGLGH TSTA_000320 MEWARKPLEIVIIGGSLTGLLCGVALKHAGHTVTIIEKDDNERQ SHMAGVCLGLDAAVFLTHHDRHETVFCHSCTSIQAVTRDNGIKPFLKARREITNWDTF YFRLRSLFDGYSNSYYPALPKSINTDGCVAYKCRSEVSDISRATNGEGKMVLTILNHE IQQLSTKEADFVIGADGPNSVIRAKYAPSVHRQYAGYIAWRGLVPESEVSASTRKIFD HSVTVHMMDRHHCLMYIIPGQNGSLKPGNRFLNFLWYTNESTEALDEIMVDDLNGHRH QYIVPAGHVRKDIWDARLDRAKNLPLAAPFLEVILKIQQPFIQVITDFCSPRATFEDG QVLLAGDALSLCRPHTAFSCTQAAFHASLINNYINQQMSLTELEERMVRYSFLHWRQS IWWGEFYQRNKAVALLSGLCFWGYCGIDIIKSWWRGEERLFRGSSYIIKKHD TSTA_000330 MAMEPRFTIRDAPSVSTHQIIEESLMAMHNGPPPFQWIKNDGSS LMGCYAPLSYSPSVVRGFFEVARAVYGSVKPRNRELAILGLLSILDAPYVVYCHRSVA SKVGITDEQYEHGLGGNVPNGLNEEEAMAYSLGRILTTLTGLLNESDWRKVISKLDKD QVVGIIHTIGGYRWVALLEQVNGEDRRWS TSTA_000340 MRVGSSTAIPFVKEIQCWITSADAPTLIILKLIYTIYFVYDAAI CIARASALLFYNRVFTRRANTAAFNAILWALHALNITWFIGIVFGTIFLCKPINKNWD PALPGTCGPTRALWIGSAVPSVSIDLIILILPLPKIWHLRETSFKRKVGLIVVFILGY CVVIVSLGRLISILISGDALNIDITYEGMPVVYWITAEAPILLLGICVPAMLPLSRHL TAHYFVPLMSKSSSVLKTFSSSGSSKIRSTNGSFSQGTSASHKLYLQAGNTGTLASSA EDIEMSSDHSMSSQREIFRTARKQEEYSAACEAGNSRPEKG TSTA_000350 MTEGAPFELSSVAEIDGLIANGTFKIVHRDDVNLRDLHIFNSRL VNEIKGKNEIPYKKSHLVIQGYNDAGKAGILTQAPIIQWASQRLLISLIPTLLSMDMV VEIRDITQVYTQAKTKLQRIIVTNLSKEIRGKYPPGSLLLVEGALYGIPEASVHWFGT YHEHYKVKIDMETSTYDPCLLVTKPGAESFGLVGMQTDDILIIATEKFARGEERALQE VGFKAKPKTQLSQDTPLEFNGARIILESRAEQAENPERGLRFIPLDLIKAKIIIFTDG SFVNNRDLTSQIGFLIAMVNEDFSEEGRFIATGNIIHWALSKCKRVTRSVLASEIYGL TTGFDHGITLASIIKMIMDHLNLPTILVVVCTNSYSLYECLVKLGTTKEKRLIIDLIA LHQSYKKREIDEIR TSTA_000360 MNQQAPRRFKFTLTDDQEFNFKIVVDVMYLDGEPVLHVVDSATS FQAAKFLKSLTVSKDVVLQMAVKAINNMAGPDRIMPTILVFGAYPCLTLDSLPSALTI RRAQAMKKAITELRKAVAERKVNDALNTRNGPIITETLNLPLGANIKVWREGKGWTGL HKLISVNGHDITVNLSNSAVAFRATSVQQYLQDQRETDNRIHVPEPPVTPPPPRRQGR PRESKNKQKADVNVYLSKKEKGDLKLALKLR TSTA_000370 MVPSIEEEDKGIHKETPSKVPNQVIQDHVQLLLRLVQDISRFTS ETGANTIPVMTPSHSQVKISVIPTSSVPLQARSLDLYIKVLPNEYGRQPVDSQLAMKF TKAWDKTKNYSGELYNILDDKVRIFLQLCWLTEIQLSQCWAVFPEMLSGRAETYYMHH VNPDASFAQMYWAIKSYFDTESNHTLYYQDWTSITLVDVRCENTGKTLPEAVEILVEK LHLCQHALRPHYMSPKHLISAIIRACQGSLEISEVLSEPTTKFETLVSRLCTRAAIIQ KKEAANCQSYNHSEKEQSEAREQFDRYRYAEGKANALDKTYRVFLMDFEAGYNIILDS EDEEEANNNDKDKAIAYFIVGQLQD TSTA_000380 MHSRIGSPESTGFLGVKNAHGRPKLECELIARKCDQESLQNIDS EVSDDRRVTGISWAVVVLAVLSSTFLYALDNTIMANVRPNIIATFDRIDMLPWLSISY PMGEVGFNPLWGNLNKSFNSKFLYLAAILIFEIGSVVVGSARSIQSIIVGRAIAGLGG SGIYVGTINIISAMTVLAERNQYLNHVGIAWSLGTVLGPVIGGALADSKATWRWAFYI NIFIAALAAPACIFLIPTTTSPIRRTVLERIKCIDFAGALLFLGGVVSLVIILGSGGV LYDYQSGPMIALYVITAVIWASFCIQQRFGFLTTDGIFPIKFVGDWEMVILFIWTSIA IGNVVVTIYSLPLFFQFTFGDSSLRAAVYTIPFIVAAVASAAIFGPVFAKFPVYKFWF FASSALMLVGNGLLLTLNYKTSRGAICGCTVIQGIGCGPVMQLGYTVGYDKADRASAS EVTGFMSCAQMAGLALSLGVSSSIFLNLATDEIATLLPGVSRRMIQDTIDGAKTNFFK SFSSDIRLHILETIARTVGKIFYLNVSGAALGLVTSIFLERRKLTFESR TSTA_000380 MANVRPNIIATFDRIDMLPWLSISYPMGEVGFNPLWGNLNKSFN SKFLYLAAILIFEIGSVVVGSARSIQSIIVGRAIAGLGGSGIYVGTINIISAMTVLAE RNQYLNHVGIAWSLGTVLGPVIGGALADSKATWRWAFYINIFIAALAAPACIFLIPTT TSPIRRTVLERIKCIDFAGALLFLGGVVSLVIILGSGGVLYDYQSGPMIALYVITAVI WASFCIQQRFGFLTTDGIFPIKFVGDWEMVILFIWTSIAIGNVVVTIYSLPLFFQFTF GDSSLRAAVYTIPFIVAAVASAAIFGPVFAKFPVYKFWFFASSALMLVGNGLLLTLNY KTSRGAICGCTVIQGIGCGPVMQLGYTVGYDKADRASASEVTGFMSCAQMAGLALSLG VSSSIFLNLATDEIATLLPGVSRRMIQDTIDGAKTNFFKSFSSDIRLHILETIARTVG KIFYLNVSGAALGLVTSIFLERRKLTFESR TSTA_000390 MKFLCLHGNGTNSNIMRMQTASLRYELEDGHEYEFVEAAIPATM SQGIETFSTPDQSFYAFYNPEELSTLQVTIAQLDEYITAEGPFDVVMGFSAGAVLAAS YILQKQQQQGHDTPPFKCGIFLSSALSAAEMNYLGWLHSDDNDEGGHLTIRLPTVHIW GANDQTAPTGGADLSKLCDPAQRLIVIHDGTHELPRGEHMTQAVHAIRRALYAASQYE K TSTA_000400 MTPSEIKIFLAILIYMGVHISPRDEDYWQTTEPLHIPRRFMGLQ RFQQIKRFFHVADPRPEAAIQEAIRLIKPNQMSWYKLELFASRLRAACLQYWKPSNAV SIDETMANQAGHHKSLVKVKKHENLTLTRSTVVQLAQEGLSSQCNNYTIYMDNYFSAI PLFDHLRQLGIGACGTTRPNASKKLFPKALRVLKEPKKLQYDWVPRLRKRPAKSFTSA KNAREPFGDQLLRSFQYHGLLMTITIIWVMWIEQINYERAMKLMRDLCAHGGLYSFGP LMWLLSMPIALQN TSTA_000410 MAIYTALPDDLNEVDVIIAGGGTTGCVVAARLAEADPALSILVI EGGANNDIPLVSVPALFLANLMPTSTTNILYKTNKEKQLGDRELIVPSGGVLGGGSST NLMMYSRAQRSDFDSWQMPGWSAEEMLPYLRKLETYHGPGAKDTHGSNGPIHVSGGTY RALKSENDFISAAKKVGWTEIEDLQDLDSNNGVQRAMRYISPDGKRQDAATKYLLPRL QNGKHPNLHVLIESKVVRVLFDNGNKKAVGVEYLPKTDSPENDESNKSGPPVQRVKAR KLVIVSCGACGTPSVLERSGIGSPEILQRAGIPLVAEVPGVGYEYEDHQLLTYPYKSS LDVEETIDAVVSGRRSVEELVRNNDKILGWNTMDIACKLRPSETDIARLGPEFEKTWN RDFKKNPNKPLVLMSLINGFPGDPSTVPAGQYLGISVFTVYPYSRGHLHITGPSPSDP LDFSTGFFSDPGDIDLKKHMWAYKKQREIARRMHTYRGEVVSGHPPFPSSSKAACFER VNDYDHATIQGDIEYTADDDDILAQWLRENVGTTWHSVGTCKIAPLANNGVVDGKLRV YGVEALRIADLSILPRNVAANTNNTALAVGERAADIFIEELGLNRR TSTA_000420 MAPCPPEYAKKRLSVIRFPVNIQNYNLQSQRKVYDVFAKEMSET PGFRNSYIMFEGYSVHGVKLVPSESSAFPHRDDNIIIAPVVTYDNKANNPELDAKANA FGEKLRQTIIAARGQKELHTYVNYASGGETRENMYGFGPWRLQKLAALKKKYDLEQKL NYYASVF TSTA_000430 MASKFGINAMNNVKTPISGNIEASTEQATNEEIHVYQKLVGSAL YVTVMTRVDVAKAVNKLAKHTKNPSKAHFQQIRRVIQYLYNTRSLVIEYSPLENVNMD AFICALDTSSGDNPDRTSSKGYLVQLYGGPINWRATKQRLVTISTTEAELRAATEAAK RLQFHTNLKHVDIYHHWLQQEVSKKRLRIEWVDTKRMVADGLTKVLHGQQFLDWRKHQ GLVDIADLVQE TSTA_000440 MIPIPDNLEYAVDPDFWGLSLNLEDEEQHVPKTINTYIAWLQEC YDLHDREGEDLWNTFREDFEGFTLDLFKVATRPAVRGLRDYLVRHGVWVKPSAGALSY AHVLYECLHEETQADWTEEALENKAKVIKKWEDLRAKIQSKTNTMPISNTSPTIKLLD IPSKDTQPASQQTNYTQTRTPMAVTPTLQSQYVQQPQGQAQPQWQTTQPSLLEHPVQH QIQHPHLATTYLPPAFPSPPAPPRPPYERIPIPADGATESNPKLLIDLMKIYSNDDKK YGGEKYDILSTKLQVFYDCCAKIGLGHDQFANAFSTMLKGRASQYYYNSLSNKGFTFQ QLINHTRTHFETEENHQEYLTEWREITLERTIEANPTKSKLKCFQTMVDQLEKVQRGL SNEYQFEHSLRDQVLNGCRGVVECDLALYKPSATFEGVCAEIRSSISTKMRSSRALTP SSFNNQFNDEYDHNWTDRTYGGHGRGRGSYNGQRNRVGESNRGPYEPSSRGSFRNNQH GVHQNRGFQQKKCFVCRKPYCWSTKHSKEERQRAYNEFRQQTAYVSEVEPTLKEYSSF LAQYKGMEGITETAEPNHEALFQMTLNDPPFETNFTEFRKINGIEMISMLNDYSTYHA FTRDDKYQPLISCADEATDQDNEAFTLDRYSSNEFHGIMPDSGAAGISSAGELQVLAL QKTDPSIRIDTSAGRENHIKFGKGTAIVKGVVRVPTPIGTITFHVVPTNTPFLLCLKD MDDLGVRFDNLNNTLVQGSNIVLIVRKWGHPWMLLNRLESVACHLTELELRQLHRRFG HPSVQRLATVLERANHDFNADILKKLTKFCHQYQMHEKSPGRFKFTLKDDHEFNYSVI IDIMYIEGKPVLHVVDSGTAFNAACFLKDMTASTAWNTLRLCWIDCYLGPPDQIVHDA GTNFASDEFRQYAKSMAIHIREVLVEAHNAVGKVERYHAPLRRAYEIIQEELKGENVL KEAILQMAVKTLNDTAGPDGLVPTLLVFGAYPRLTEWDAPSPSVAKRAKAIECATKEV QKLKAARQVQDALSMRNGPNTKATLDLPLQSDVRVWREAKGWTGPFKLLAIIGETYTV AMPRGPANFRTTVVKPYLSEPVPEASETPEGDHECSQTPREEIEAPIRRGPGRPRGSR NYKRPNDQPQRRSARHMTCNPQYNDLEHLIVDMEEKDGEWMTHMTRKEQSDFELAIQL RKDGVITTPGDPFHESQQQEIDGLIARGVFEFVLFDPKQHANIRIFNSRFVNEVKGKT TIPFEKSRLVIQAYNDEGKQEILTQSPTIQRASQRELRHLYPEGTIMVVRKPLYGIPE ARTHWWATYYKHYKEKLSMVTSTYDPYLLITTNKDAFGVVGMQTDDTLFLASEHFAIL EDEELKKAKLMAKPRDKLSLASNLIFNGCSLTLESDSTIALLQKDQGKKLRLVTEGEN SHQEYLEQHARGAYIASISQHQSPTADDIRNLNKRIMWQIENQSRGIKYTPLDLSNVK IFVFVDGSFANNKDFSSQIGYVIILANESSTGDEFEITGNLTHYSSNKSKRVTRSVLA SEIYGMVGGVDMAISIGTTINMITRQLDLPNVPIVVCTDSYSLYECLVKLGTTKEKRL MIDIMALRQSYERRELTEVRWINGKDNLADSMTKSTPNKALEQFLNENRLKVRVEGWV ERK TSTA_000450 MEKLEEPFSVQLLPEPKNWKGVLRHKFKHQFTQAAKEEFKALKR KGTFNKLATFKARICVRGDLQQPNDLEKQAATLAARNFRMMMAIAAIFDLEIIQYVGI AYS TSTA_000460 MVNEADILKAISDLESQKTPQYAKTARKYNLEPSTLRRRYKGQT VSNQEATSIHRKLLTDAQEEVLLHHISKLSSRGLPPTPQILRNLVVELLQHDVGECWI RRFCHRYHDRIDSVYLKAIDHSRKVADNSVHFEHFYNTLTEKVKKYRISPSNTYNFDE KGFNIGLCRTEKRIVSKSQLRSKKLLGAIQDGSIEFITLIACICANGIAIPPALIYQG ESGDLQDTWLKDFDGSREKAYFATSEKGWTNEELRFLWLTKIFDPYTKTKAGNLKRLL LTDGHSGHVNLRFIEYCDQNNIILGILPLHSIHQLQPLDIGIFPPLAGAYSHEIDRLT QLSSGFSRITKASFWRLFYAVWKLTLTLQNIRSAFAALGIHPFNPPKVLNILKKKIPS PISSDIENKRKTPGSEKSDLSEATQLALKALQKYAVQNEILEHQQQGLVDALIGEKKR QKRGRPLGLIDKDNPGEAQFFSPGRIEAARQQIQNIELQKEQGKIEAANRRTQKAFAR QQKAQEIQERRETRIREREEKRRQEELEKEQLRVAREAQKEVKRAKERPAKQVNTKKR RYSKVIESNEEVSSKRPKTGISRSGRAINLPIRFRD TSTA_000470 MVLYTTASGAPKTAPGHPFLCRRPPPADSGNETTRPGLAAPARA SLERFHLVLSFLSPFFFSISLSVTPAAERHSVAWTSRQPRQRFSAPPESLPPSLASAV DWTPVGSYLASLDFEAVIDRHIPAPGGARTRAMWSLLYLNNAAA TSTA_000480 MANSRFHVDNFRPYGRHNRKAPSLHHQKTASRYPTPTSITEFPL SPTSACPPSPNAQTSFTRTRSHTRAQALVHPLPVRPPVEVCAHPRLPQPPSRLSHTRY VQAPEEHSSSSAGSHVMTVPDPTTMTLEPQEQSPSPPQSPPDSNLNDTDPSTSWLSVV DDYPITEITPHCVTDYGPISYPTRSETILPIDPAILADETPCALTFGRQDSGVSGFIS PDEFSQESDSVRDHFASTPNPQPEQQSTSPGAAPLYDPFDQQTHLSHAHTAELETGTA STLQPTCGDGSQDLSSNKRRTVPEYNSGQPSKRVCARTTPNENVNTPLIPTLCSYFLT APVDDRLEFLSWLFDGALSQCMSGFPTTPTSSLNKARIKGTGRRGRQTRQLVPTASES VPSSAKGRKGMSWEPEEIDLLVQLRRVEKLPWSDVVKRLTERFPGRTAGSIQTPHMTP PGKRRTSPYYN TSTA_000490 MAQKPGGQDILALDLPRFQTEAVLPVFRTTPKPVLYRESGFSPP EIELDRIALLATVRLRRLDPYHLLRRRAEQIASNSQQISQFARRTLALPNSEQINPLQ YAPWHPCEPLSPLLTLIWTWERGLQHRSRSSSSWRSSSNSICLDNLEVAIHLLSPSTG SFQEIFESFRTLVAVWPLRKRLPHTKSGSIQIRWVPGHAKIPENEAADLTTKEGAASI PPAPHKSSYASLKRYAKTQSLSAAQSQWEKVAPQSYQDLEITTSPKRPGELQLNRLDL GHVIAARTGHGDFADYHKHFNHNDAYLLCQCGARKAPLHFFFCHIAKRRAPWPPGPPS EVISFLLGTAKGAQKLATWLAETYFFEDICPRQPLLSI TSTA_000500 MTHKGPGIDSPLQTALLESTSAATTRASEGQKIFSPIAVFLDKH RSQTTGLASHLLRALTALSDDLASVAQQHFNAYISALKEVQAIKTGFALCPSSPEALL ALEA TSTA_000510 LISDIDCPTHERGNMLDLSFALSPLALTGAKASIVSHLDATSDH QPLITTVPWDQRYKETAQKLRFDTLDHTSFLSLLASNLADIESSAAIEEDLDALAEKL TSAIQGVYRGSAKRTIIQGIGQPWWNEDCKKALHNYRLGLCSKTDFRRITRWSQRQFW REKLSTVTQMKDVFDIITVHEKRDVLVRNLLQNSAEAGDIPLDSPVPSTSLYFPDISM LQVEESVLQAGNTAPGADEIPTCILKVAWPLIKDKQSSRNQKRLISLHLDHTDQLLSY QYLAKDWSAWWHGIWHGSLYTI TSTA_000520 MTASLLTLDVKGAFDSVLPGRLIRRLREQGWPTNLVLWIASFAT GRSVQIRLDGEIGPSTDIACGNPRNRFGYADNTANLAISTSLTTNCEALSDLLQEALN WGAAESITFAPDKYELLHFSQHKADQDPTCTPLVKARSITISENTKRLYLRWLGILFD KKLTFKWHVRETASKALTVANTLRSLGNTVRGAVSACVLYKAYYGAETWWPGRTQPGP SQISNRVGEHLEKLTKVILTGARAVLPVFRTTPKPVLYRESGFSPPEIELDRIALLAT VRLRRLDPYHLLRRRAEQIASNSRQTSRFARRTLALPNSEQINPLQYAPWHPREPRGN AQAQIGAPMGRTKEQAAANFMAFQRTIPSSDIVIFSDGSRLADGRAGGGYIRLQAHHQ FLHSSLLYGHGKEVFNAEAEAALAGAQAAIAYPTAQFATNLWICLDNLEVVIRLLSPS TGSSQEIFESFRTLAAAWPLRKRLPHIKSGSIQIRWVPGHAKIPENEAADLAAKEGAA SIPPAPHKSLYASLKRYAKTQSLSAAQSQWQKVAPQSYQDLEITTSPKRPGKLQLNRL DLGRIIAARTGHGDFADYHERFNHDDAYLLCRCKAQKASLHFFFCYIAKRRAPRLPGP PSEVISFLLGTAKGTRKLASWLAETRFFEGICPRQPLLST TSTA_000530 MGKYKAMKKTKAIEQPNGATAFEGFFQNIKYSQFPPQPPFSSTI RLHDVHEDAGHSLVHFLYTGGYQTIKSPLNKGISDVAREYRKSVFVYEASRKYGIIDL ENLAHQYTQRFRDQVSLSEILLETRDIFSRHPEDETWFQTFIRGELQRFLGPSGIARS LDELSKGLGRDDRFDNIVLKIVVEILSVRLRSMFKDGETPSTEMAYKDAMHAEETVYE DEPPVEEVVHEDEPPVEEVVHKEEPPVEEVVHEEQPPVEAVQASILKASPADLAFYQD WGSLNPSQKRKKVKKLRARGLPTPNEDGSIPVFVT TSTA_000540 MKRIAAALILLVGFGRRAECTMVYDFDAINPSVNLTWTPCYDNF TCSKLQVPLDYSNPSLGAASIAFMKLAGKNVTSDSQSLVIIPGGPGGSSIDQLLSYQD IVGQMFGDTYNFVAFDPRGVERSSPSLDCFRGNTTARTAFNRAHYTGATNTSSTSFEE QYYSAAIYGELCNEVVETDSPYGYYVTTPAVARDLLSFVEAEAKLAGRSPSEAKLWGF GQSYGTVIGTTFASMFPDRVGRLVLESVMDVDQYYTNDWRSNFVNSDMAFDQLPILCH AAGPDRCSLWGPTPDNITARIDAIIQNIISHPIPVSGITNQTLPGLVTYSDVKSFIVS GIYEPLIYFPIMADVFAQLERGNASALVGMSEKLYLDASDGDGGVIIRCADSYRENKL TTIEDWKNYIDFTFSSSKYIGDIFPIWARTILCRSVRPQLPDNLMIQGPVTGFNKSLS FPILFTSNTLDPIAPEANARKMSSRFPGSVVLLQEAVGVSPQSIPTQISQETLQANPS ALSLGYSTP TSTA_000550 MNWIKDEAKTASQAWRKRPRRFAPKSRLGCRTCKIRRVKCDEAR PSCLKCRSTGRTCDGYDMVPRCVEAGVTTSQHHHTGAIAAASCGLFDDCATANVQRAP SPSCQNPHSLTLRNLRPFMMLPVIAPTQIEAMSFFELISVKHLNEYYPSESWRKTLMF FSQTVPSVRHAAIALALVHRNYHNGCSTLPEQEPLLHYNKAIQLVLAERSGDSIEQMA ITLLVCYLFTSFDSLAGNYLRALTHLQGGVELSRNIPSAILSESNASNVNVRSGSRMF LAHVTRQIRRLDMQAVAFMPRWIPADVQETAASRLLSSNGAFQSLNQAADSLQVLIAR SMRLQWIAQEASFADGVPANASKQLVIGQLETWSRHFEEMLNHSNHYATDTESYRLIL LLRLQNTVLWILVSSLGLGREMEYDKFLPEFQQCIAMADDVTEAHQLYAGSSKPAFTP EVGILPVLFIIGAKCRDPAVRRRVLRVLRRQPLREAVWDNVFAARAVERIAEIEEGKI GGVRAKSMDEIAVWQRIECVSWEQVVSSQSVARMELEYTFCKEEGKHTESIVI TSTA_000560 MATLLGRTSAFQGQLSRTYLRVGTKTSIQTVLLVHNNVKMPSLW TGITSSLKPFSNLSLGKTSTSNAVEEPLALPTSGFTTINVDQLVEEEELPDYRADRFY PVQLGDIFQNRYQVVTKLGFGTSSTTWLARK TSTA_000570 MSTNTGIQCHGYGPRPEWMDNGALQKEKALEFKQIVSQTKWKKG KQSLLHSRRSSQDRNLHCNQALASRPNTNETPTTSAPYSTNSQLGQSYCLMSEDKSSN ESPEPERTTYLERAWNAPSHTSIIPPDSVCLRNPGNFAPVTLYSKDVSPRYGPGMSAP ELAAAQMSDIDWVDVAPQEILELAINGSNNSPQFDAMMWSMPQYTTSTVPAVTAPEYC MGSSNLSPLGHTPVSVDITRKSTSRATSPKTATPDHQKEDALFMHYLDDVFYTQNPFY NPADKYKRAWLFSIIKQVKPAYYATLALSERDLLISSMLQDVDIQDLTERLRAKNSYY DLAVQGLKPYLDDAQNLQVHEDLVRCVEGLTSILQVLYWEFFAGGSRNWQSLLRSASP LITPLINARLPDQTSIQSETQQTRKNSLSPEQDGATCVLLGSFISLDILACASTRSTP FLEIDHLQALNHLGITMERMMGCQNSVMSLIFEVTVLDKWKAESQATHKLSIVELAKR GLQIEQRLQRELENLSSTQLSTQPLNGPSVIHFIPSHIIATKAYTFAAIIYLHVVISG PYPELPEIAGPVSDAIALFRSTQDNPKLLLNIIWPLCVAGCMAVESQQSFFRHLAALF AQKTSGESLPPTGTFFEAMEIIEQCWHTRKSLPFDCDWASIMDQSACYVLLR TSTA_000580 MDSEIDPSLLSVPLTQDSTTSRPRSIRKLSAHETWSHTRTPREG EPERQGKNRLFYCKYCESYSAQASNNFRDHLKKKHGIDIQPTLGPVQSATLDQLQQLY LRAISSGQTQEIDTQVLQKVLDKDMINEALVLLIVTRNLPFNVVEWPEFHVFCRLLNP EADNFITTAHSEIAKKIEQSYESQKDTIRKILQSAESSIHLSLDIWTSPNKLLFLGIC AHFVGRDQGKLSKALIGLRTVTNHSGVEQFATLLPVLQDYGIVQNIGSIIADNASSND TLCRAIGEYLNKEEGLQWNALFKRIRCIGHVINLAVQAFLFHNCIEIDQLGLYEIQEE TGELASNISIQQTFRVMGPLGKCHNIVIHIRGSAARTAYFKTLAGRMIPLDNRTRWNS WSYMCEVALDYSSAIDTYTKEYLDDLQDDYLTPSDWSRLRTINQFLKLFRQATLVTQG HQATIDRVLFTMDVLIKYLEKTVVQYREDKEFCSRVQKCWDTFDIYYSKTDDSPLYAA ALILHPNRRTRYIHANWRTTWQKPAINKVKKLWRTYRERAPPLAIIGPYSKALEDPDK ELSEFDQIVRDLNSFTRPASQDEFDDYCSGEPYDPGDESALWWWSQEKQRKRWPRLSL MAIDILSIPAMSDEPERVFSGARRTISWDRSRLGAEIIEQVECLKSWHQGELLKEDFE K TSTA_000590 MGPKRGKKGTPWPEPRFADDPDIRAYVAAAIKDLEALKKHQETL EIGMPPPRECRITRGKDAERGISGLSRDYYDQKQHQPLGGGDMPPNTPRDIFSYVTAS SESYVQAWGRKVPSNPPTVPSVGFSSGGSSPLTPYPSQEDLEVYLEHTDPDILNPIR TSTA_000600 MPPIRNKNKKDLAEQEGRILLAISDLQNGRILRVARAARIYSIP RATLQDRLNGTQQRSQVRANSHKLTQCEEESLVEWILDLDKRGLPPRHSLVREMADYL LSQRGNRRVGENWVYNLVKRRPEIESKFSRKYNYERAKCEDPKIIQEYFDRVREVILE YGILPEDIYNFDETGFAMGLCATAKVITGSDRYARPKLLQPGNQEWPLDVSCFAVLKR EYGQLIEQQMRLGFNHIDKIDFLTAFPKARTMAYKAQTVRNSFMATGLVLFNPD TSTA_000610 MEPYSNQSLLQSRVSSLLQKCVDGCSNFRRWGSLSCSVYDTAWV SMIFRDVVNGQPKWLFPASFGYLLLNQSTGGGWCSETTSEIDAILNTSAALLALKTHQ QYEDGGEGEIMVGDLHQRIQNATAFLQAKLETWDVEATDHVGSEILVSAHLRLLSEKG ITFDFPGSESLKRLNKEKLKKFSPELLYGSEPITLIHSLEAFAGQIDFDKLSHRLNFG SMLASPSSTSAYLIYANSWNDSAEAYICSVVEHTQGGDSGAVPSVFPSTIFEITWVIS TLLENGPPLDKIHMEQFGIITGFLKDQLHTHGEVIGFASGVIADADDTARIILTLNLA GINISPNQLIKTFKHIDHFQTYVGERNPSISTNCNVLDALLHTADPDVHIVEIALIIR FLYKLYSKGELNDKWNTSAMYVRMLLANPLSKLWRLRAMGSLPSLPEDCQQIAPLVLN DILFKTIHEQGHDGSWHNKCPEVTAYTLLALASISNTPLGLIPKEELQSSITKGRMYL EANKSLWDQGEAIWKEKVSYKSSLLSEAYCTAAVRALTFTWDTAGKEDCLDAINISST RVKKFSKFYSCLPLFSEEPLWRLNTALFESYLWLPQLIASKLNIFPNVENPENDKYLE YIPQTWAICNAKNGNSLEPGFMWEMMMVSMLNFQVDRFIEAVIGQEHMINHVNAVKSI VHCLFDEEGVAEHDTDGQTVFQKSSFSTCERHNGTKLPTEKRATQDCAISTETQYDCD QIKLVFRRFITYILTRPAVLCSPKSLKCSLRRELKAFLLAQLTQAEESRMFCLQTDRT KAHPVCFSDAPTSYFQWVHSTASENTSCPYSYHFFNCLISYYKGYGKRGCHPDKVSGM KAAGAVLYGVRQRYLSEALCQHLATMCRQYNDYGSVLRDQVEGNLSSVNFSEFWEGLP DHKDGCESNEMTIKANLMWVAEYERKGCMRALERLDELGLDEQTKRMIKTFVDVTDLY GQIYVARDIGTRIKTD TSTA_000620 MDAPKKLVRFDTYVKRHPSLTVEEFHRQWTEVHAQLLKHWLECH GVHRYTIFHPLRSEQGHHIGPAAAPSTLDFDGHAEILVDSLDIIKALQEDPYYKAVIE PDESNLIDFQSVRHIIGYEEVWVLDGKAV TSTA_000630 MASLPSTEVEYERDHIHESQRAACYGVTIVFFILAIISGCLRLL SRRRQRASYGLDDYLAAGGIVRSLSLSCGDLAHYIQIAVIPFIAATLGGEPIIFCFLL GKPLFVHHLHYPRQVVRTCSYIPDIWQYQSRNKGLHHFSCYLGMLMGYCIVLCLWIPM PTVLAKLESDGPMRSQHTVGILSLHLECRP TSTA_000640 MAEPEDPSVDQPNRLSHEGAGLNDANSSTNPIMSNLRQTISILA LLLSMLLVGLRHRYNCFKTILSTAIPRISHDFHSLDDVGWYASAFFLTMAVFQGPWGK LYKYSSVKYTFLVAILTFELGTLGCAVSSNSAGFIAGRAVAGIGGAGVIGGTYNILAL IVPPERIPIFYGLNGVIFAVASVSGPLVGGAFTSDVTWRWCFYINLPIGGFVMVLLFF VLQIPTIAKPVKVPFRELLLQLDIPGCILITGGLVCYLLALEVGGLTKSWNSSQSIGL LVGWILLTIAFVVVKWLQGDGGLVSSHHLRSRGVLTCCTFAFFLNAANYIRLYCLPLF FQAIQGTSPERSGVLLLAYIVLTSVFTLIAGALLGKVGYYQPFLVTGAAIVLIGSGLL WTLDIDTSGARVAGYQVLAGSGDGICVQIPVTAVGAFVEPKDLPTATAMVLFFQLLSG VIGVAAGQSIFSNRLVQTLPRYTTGVNSSQILHIGASELNQNFTDDTLQGVREAYLVG IKGAWIMTMVLCSAAIVIGLAAPRISISKGTESKQRNENADLGEKETA TSTA_000640 MAEPEDPSVDQPNRLSHEGAGLNDANSSTNPIMSNLRQTISILA LLLSMLLTSLDLTILSTAIPRISHDFHSLDDVGWYASAFFLTMAVFQGPWGKLYKYSS VKYTFLVAILTFELGTLGCAVSSNSAGFIAGRAVAGIGGAGVIGGTYNILALIVPPER IPIFYGLNGVIFAVASVSGPLVGGAFTSDVTWRWCFYINLPIGGFVMVLLFFVLQIPT IAKPVKVPFRELLLQLDIPGCILITGGLVCYLLALEVGGLTKSWNSSQSIGLLVGWIL LTIAFVVVKWLQGDGGLVSSHHLRSRGVLTCCTFAFFLNAANYIRLYCLPLFFQAIQG TSPERSGVLLLAYIVLTSVFTLIAGALLGKVGYYQPFLVTGAAIVLIGSGLLWTLDID TSGARVAGYQVLAGSGDGICVQIPVTAVGAFVEPKDLPTATAMVLFFQLLSGVIGVAA GQSIFSNRLVQTLPRYTTGVNSSQILHIGASELNQNFTDDTLQGVREAYLVGIKGAWI MTMVLCSAAIVIGLAAPRISISKGTESKQRNENADLGEKETA TSTA_000640 MAEPEDPSVDQPNRLSHEGAGLNDANSSTNPIMSNLRQTISILA LLLSMLLTSLDLTILSTAIPRISHDFHSLDDVGWYASAFFLTMAVFQGPWGKLYKYSS VKYTFLVAILTFELGTLGCAVSSNSAGFIAGRAVAGIGGAGVIGGTYNILALIVPPER IPIFYGLNGVIFAVASVSGPLVGGAFTSDVTWRWCFYINLPIGGFVMVLLFFVLQIPT IAKPVKVPFRELLLQLDIPGCILITGGLVCYLLALEVGGLTKSWNSSQSIGLLVGWIL LTIAFVVVKWLQGDGGLVSSHHLRSRGVLTCCTFAFFLNAANYIRLYCLPLFFQAIQG TSPERSGVLLLAYIVLTSVFTLIAGALLGKVGYYQPFLVTGAAIVLIGSGLLWTLDID TSGARVAGYQVLAGSGDGICVQIPVTAVGAFVEPKDLPTATAMVLLTILPKNSLSTSK WRNWCCCWPIYLFKPSRPNSTSLHYRRQFFSNFAYRG TSTA_000640 MWYACPITLCFFFFFFNAGPEYIVIPAVSSNSAGFIAGRAVAGI GGAGVIGGTYNILALIVPPERIPIFYGLNGVIFAVASVSGPLVGGAFTSDVTWRWCFY INLPIGGFVMVLLFFVLQIPTIAKPVKVPFRELLLQLDIPGCILITGGLVCYLLALEV GGLTKSWNSSQSIGLLVGWILLTIAFVVVKWLQGDGGLVSSHHLRSRGVLTCCTFAFF LNAANYIRLYCLPLFFQAIQGTSPERSGVLLLAYIVLTSVFTLIAGALLGKVGYYQPF LVTGAAIVLIGSGLLWTLDIDTSGARVAGYQVLAGSGDGICVQIPVTAVGAFVEPKDL PTATAMVLFFQLLSGVIGVAAGQSIFSNRLVQTLPRYTTGVNSSQILHIGASELNQNF TDDTLQGVREAYLVGIKGAWIMTMVLCSAAIVIGLAAPRISISKGTESKQRNENADLG EKETA TSTA_000650 MISFLIFSVLMAGLLFAIKRLYFHPLSRFNGPRFWALTLLPYML AFRSGQLAHKVKGFHEIYGDTVRVGPNEISFINPHSVKDIYNKRPNAQFKTLPKDPVR QPPTRPGHPCSILEAGDEDHSRIRKAYATLFSTQALRAQEPLIVSYVLKMTSQLKTRG SQNDGIVDLQKWFTYCVFDVICSLSFGEDFGCLENDRYHEWVGALVFSLKAKVQLASS RYYPWLFNLLVKLMSQSAQAKLIEHKRITREKVQKRLGQHTVRPDFLSYLQASKHDLT EGEIVTNAETLIIAGSHTLQTAITGIVFQLLHNPEALGRVTNEIRDAFASETDMDTKS LMQLPMLGAAIKEGMRLTSPVPLGLTRRVPDGGAIICGSYFPSGTVVSYMQWAANLSG SNYTDPNRFDLERWLDSDSGAGSRYKNDRKETTQPYLQGPRDCLGQNLAQSEIVLILG HLLYNFDISLPEGLGSQAVNNWEDQETYAVWVGNPLPVRLSPRS TSTA_000660 MVEYRPSLGSTTQLWLPAAAAAVLVLVSCIFCTVSIQRTGNAKF IAPFVGSKHAWLARWSFFYAAETVIHEGHTKYEGKPWKLTGNDVIVLPHRYLNEIRKL PFHQANAMQANLDNMQSKFTHLDILNTTRLFVQVLKTKVNPQLALMIPTVRRELDAAF ANEVPPPSINEGDWTSVPAFQTIHRIVGRVSARIFGGQELRDDSNWLNTAEGYLHNIF VTAITIRLVPYGFKTVASWFLPCSWKISWNFWKAKRILYPYIRYRKSIVEEKAAEFAR KRRDEFPDVLQYLIEQATGRDAELMSLASMVLSLSLASNHTTAMALTEALYDLCTYPE YQTELREEVRSVIEADGGWRKTSLVKMRKLDSFIKESQRMRPPSLMGYKRKITENITL SDGLQLPAGAHVEFAIVPIQQDNTINSTEFDGLRYYRLRQAPSQAHRHQFATTSESVL HFGHGQNSCPGRFMASNVIKMTLGKMMLEYDFKLDQFKRPEGIHAFEYNFPNPEVHLL LRKRNQMPSV TSTA_000670 MPPPQRRTARRELDPCMRARICELHTEAHWGYKRIHRAHPEIPI STIRNTIKKEQERINQRSMPRTGPPEKLTDENKQKLIELTIQYPHIKYMELRNAIDNK VTIRTIQGMFQKIHKRKWKCRNINLMIRRFCTPVQKQARTLVGLALLPSMLRDTDLLR CCEIGAMNLDYRGSVVPGETVVLTTVASAVYNMTVQASSIIGTNIYWSNDAPLYLRGS KVLIGIASLSLFLFLFAKVYYDPKNYYRARKWNAMSSEERDAYLAANKDSDYLHGSKG HTIFDVIGRSVDIRAEFPSTYRIWITSGRDSTSIDDSFNIEYITILETILMAYM TSTA_000680 MSLPGILRLPTEILVSIFEFQDLQAKWKDGGSIPNIRLTCRRFC ALSSHLLIRSVYVDITKTETVDRLQSIAADAGLSKGVREVYLRVHFYHPWVAASFENF AAIWEFGRIAWGFIRRLDQTVADDASHHGLEEQSADSDVDALSPDRIRPNLVLQRAYK LYKAGYESQDRIMRNGGFKSVLSKTLSEFKDLRTVTIYDRELGNNYGPERLIRVPQED HAGQETALVSVFTRPVVWEDAQWIQPTEEIWQGVPVELLVEIPIAIGKAKGIVVDHLA IEVTAAPDYTRLPTNAETLSSLSAAMESMDIFQLGFQPCCNGAWGPWTVDVDDDAVEG RRAESHAKFAAELQALDKYLGAMLDCKSIEYANISLGEFWIGAGVDSIRHAPTSLGTS WFHKPARIRCLRLFQVPLTTRDLERFVTNAMVDDEYEAEIHLHEVYLCNGTWKQFLDT LRTARRDNIKIGFSSLLGGGLDEMDQEVYSLVWGRFDGDESMVQQYIRGRIERNPLD TSTA_000690 MPPIRKKDPLKSAQTEGKIQLAISDLKNGRISNIREATRIYDIP CTTLRDRLKGIEYKGEKRANHDKLTQSEEDSLVKWVPDLDRRGLPPRHSLVREMVNYL LLQHGKPQVGKNWVTNLIKRRPEIDSKFARKYNYERAKCEDPKIIQEHFDRVRDAISK YGILPEDIYNFDETGFAMGLCATAKVITGSDRYARPKLLQPGNREWVTAIEATNSTGW AIPSYIIFKVKKNVRLGWIDDLPSDWMINISENGWTTDQIGLEWLRTHFIPYCGRSMG SYRMLILDGHGSHLTAEFDRTCTENNIIPICMPPHSSHLLQPLDVGCIAVLKRHYGQV VEQRMRDGFNHIDKLDFLMAFPEARTVAYKARTIQNSFAATGLVPFNPDRVI TSTA_000700 MPPIRKKDPSKSAQIEGRIQLAVSDLKNGRISNIREVTRIYDIP RTTLRDRLKGIEYKAEKRANNHKFWSKAQHKLTQFEEESLVKWVLDLDRRGLPPRHSL VREMANYLLSQSGNQQVGEKWVYNLVKRRPEIDSKFSRRYNYERAKCEDPKIIQEHFD RVRDAISQYGILPEDIYNFDETGFAMGLCATAKPGNREWVTAIEATNSTGWALPSYVI FKAKKNVRLGWFDELPSDWRINISDNGWTTDQIGLEWLKTHFTPYINDRTMGRYRMLI LDGHGSHLTAEFDRTCTENNIILICMPPHSSHLLQSLDVGCFAVLKQQYGQLVEQRMR LGFNHINKIDFLTAFPQARTMAYKAQTIRNSFTATGLVPFNPDRVIQQLDIQLKTPTP PPSRSSNTQSSCLQTPQNTRQFVRQFVRQSTTIKKRINKHTENPFEGLDQILSRMSKA YETARNDYLLARKEVGDLRAANEKEKQKRQRSNKQISIEQGITREEAQALVQGQVEAS QAVVTAPAEPELPASQPIVRRQFRCSGCGAEGHKINRCPSRTAS TSTA_000710 MATKADRVIVAFNGAIETHIFNESIDPPRAFAFEVIFYPHLWPA TLLTKKPPMHFHPFQKEYIQVLAGRLCVEQSGQVRTLTPTDGEVCIKPWVNHRLYPSD DDLLRAAEDGRGDPVRFLIAGQETNKVFRLDTIFFENWYGYQDEILTEKQLDLVQVLT MFDAGGSYLSLPWWIPFGRTLSMSIGIVVGRWIGPLLGYQPYYPKWTSDWELACEKMR QSFFQRRFAERKDT TSTA_000720 MTRDKIMTSSVLLTGANGSLAIPAIQHLLSTYSDITAILTVRNP SASDQDTRRLLEITAPFKDRVSVRSLDLADLSAVHSFAISLAAEIASGTVPPLSSIIC NAFYWNLTGPPELSNDGFEKTFQVNHLAHAALILRLLGSCSSNARIVVFASDAHYPGK NSLEKYPPALPEVKDDDGFDSLVHPENVSKNTKAKNDPLGYGFQRYANSKLAIVAWMY ALNRRLHGDFTTDAGPQNSSSQLKNGITAIAINPGNLSDSRALRSNTPTSLRIMSRVV IKPLGPLLRMMVDPTMRSAKDAGVDVIELAVGKDHLHAEGYYTLRKKDESSVDSKDER KQEALWVKTLQWTGLGPNDTVVAI TSTA_000730 MANSRGSIQARLAWLLQFFCLIFISTTAQAVSLDLHVLSACQQF KSLYGNLTFLANQTQYTALADENWSQTAWADPSCILQPANTPQVQNILRLLTAQQIPF AIRSGGHLPSPLGANINRGVLIDLSLLKTLDYDAANEVVSIGSGLRWQAVYEGLAPYE RTAVGGRLLDVGVGGLLLGSGLSYLSDLYGLACDNVVNFEVVLASGEMVNANATSNSD LFWALKGGANNFGIVTTFTVRTYPIGNVWGGIKAYDLEYLPDVLAALNTYQSVENKDP YANLMVQAATTNSSIGVLLNLVYLKPMANPAAFDPFYGIPTLEDTTVIQSFVDFMSEA VMPDIPRWDWHATSFKPTASLYSQIADIVTTAPEINELISVNTATLVVGIQPISTSLI AAGHAAGSNALGLEAVNQTWLVLDIGWEKSTDDTKAHNLTRSLKNRIEKASVDAGQYV EYIFMNDASWDQEVIAHYGDESVDRLKAVRDKYDSTEIFQRLVKGGFKLG TSTA_000740 MATTSSTAATTAVSLIPPPITIDDSHKVYSIAITCIVLGIVASL VVISRLAQRIHARTFGADDYVIIPGLLFYIGWTAMAAYVNLHAGVGKPLWEITLGEFS VWYQGIVGSSWIYPAMTASIRTSILLLYRRTFAAQTPYMKFAIWILLILQGIYLVVFS IMPGFICHPINKAWTAVLKRHEYCNDWYYYYMSVALYSCSMAFDGILLFIPIYPIMKL HLPLRQRLGIVVIFMLGAGASAVAGYKLAIFVLQMKRIDEINPKWLQYEMSRITPPQF DRYGETFWIPSQVEPCVALIGTSIPALRHLFVERAQQLTAYYAQRSKQVSSSARSVSF RGRQSSKGLLKGSGDEEVFLQSDYVELQDNGRK TSTA_000740 MATTSSTAATTAVSLIPPPITIDDSHKVYSIAITCIVLGIVASL VVISRLAQRIHARTFGADDYVIIPGLLFYIGWTAMAAYVNLHAGVGKPLWEITLGEFS VWYQGIVGSSWIYPAMTASIRTSILLLYRRTFAAQTPYMKFAIWILLILQGIYLVVFS IMPGFICHPINKAWTAVLKRHEYCNDWYYYYMSVALYSCSMAFDGILLFIPIYPIMKL HLPLRQRLGIVVIFMLGAGASAVAGYKLAIFVLQMKRIDEINPKCRLAAIRNEPDHTS AIRPLRRNVLDPFPGRTVCSFDWNFNTCTASFVRGAGTTVDCLLRPA TSTA_000740 MATTSSTAATTAVSLIPPPITIDDSHKVYSIAITCIVLGIVASL VVISRLAQRIHARTFGADDYVIIPGLLFYIGWTAMAAYVNLHAGVGKPLWEITLGEFS VWYQGIVGSSWIYPAMTASIRTSILLLYRRTFAAQTPYMKFAIWILLILQGIYLVVFS IMPGFICHPINKAWTAVLKRHEYCNDWYYYYMSVALYSCSMAFDGILLFIPIYPIMKL HLPLRQRLGIVVIFMLGAGASAVAGYKLAIFVLQMKRIDEINPKCRL TSTA_000750 MAATTDFHASSLMETTNTGSQTSRVARSMTANGSGVDQYGGNHK PTALSNLPDSWIPYIQLARLFPPAGLFLIYFPHAFGILHAAIRTGAPSATLLYASTIM FVGSFFFSNAAHTWNDLIDAELDAKVERTYKRPIPRGAISPRAAFLFTVTQAMGATWF LSYLPGGFQQGFLYALPNILATIYYPWAKRHTHFSQFVLGLCLAWVPAGFWSLDWAQE RLSFPFFYITLEPSVMALFLAGVVWTVIYDTIYAHQDLQADLRVGIKSLAVLFQTRTK FVLWPLLALMASLLVSCGQRSHFGAVFYLVGVGGAIGALGAMIALVELRDSQSCWWWF SKAFWLAGGAIFAGLLGEYLM TSTA_000760 MNNLAVVYYLFFTSVIAVAAAVNTSQTITHFVNGLSLSSADSRA IQGRLLGNVESLEVVFSGASIASSIVVQLACYTAQIVLGTSAVASAGNQTEVEGTWSE ACWEAPTCTILPNSDQDVSLAVKIVNFFQTKFAVRSGGHSPNPGWSSIGQPGILIDLK QLNEITVSSDAAVVSLGPGNRWGSVYSALAPYEVTVIGGRINDVGVGGLILGGGLFHL SGEFGLAADNVQNFHVVLANGTIIDANSDQNTDLFWALKGGGPNFGIVTRYDLYTIPV YNLWFEVLYYTPDQVPDVLDAFAEWQTNGASVDNKSTVAMVISLDSVVVGLLYSQPTA TQPAAFAPFYDLNPAEVALAGSNGTITELMELFGETGSTAAERHDYRSASSKVDAELY KEIYAFWVEQATSVYNQTGANQTFVLQPIPGSLIDAGNARGGNPLGLPYENMQWWTTL IDWVDAADDDLVRGVSIATTEKWQSLATARGSASDFIYMNDASRDQNPLGTYGSSNLA QLKAIAEKYDPSKVFQRLQNGGFLLSTA TSTA_000770 MSSESISLQVTVWISPGNVPKFFEALRPVYEKVIAEPECTFFEY YEDPEEPGRISWVENWSQSKEWLLQNQMPKEYYHEYFAITEPMFIKPREFKVLRRVGA PFTMVKEKNGGLRE TSTA_000780 MALPDQGLSLRCMPEIATSAPVLEDSTLSDIATLSSASGSPTVS PGQTQQTLSTSIPQPLDPRESSSPIESIESQDILEDFILATLYLSEEFSNSLQNEAVC ISSPPPQPVVTPALSHCLDPLQGSPDWSASHDQSHCASQVAEDRDVSVFNNENDAKHV FNIDRDESSEYSRPAKRLKRGHILDTISSTSQETVPRLSPESPLTRQPETPQQEANPE SESIPVQGFLKLRYIGSEVVYCLELSQSHVSSLFAGGQTKGTRPSRQEGFSTFRSRKF SPEEDAFIVELKSKNYSWGMIEDRFAQQFPYRSKVSLQVHYCTKLKKLKEKTQISSTT AVLL TSTA_000790 MTTRTNASVAGNLSKIIVVGAGPSGLLLSILLAKHGINVELLEA TERLDEQPRAAHYASPAVYELRRAGVIDDVIESGFKPTSACWRKANGEIIAGMRFDVV PDDPERMVVLPLDRLGNGDVTQPVLRKVQERH TSTA_000800 MARKGPGTDGPLQTALLESTSAATTRASEGQKIFSPIAAFLDKH RSQTTGLAPHLLRALTTLSDDLASVAQRHFSAYISARKMEAYAIYSSLRSQLNSNSSA LKEVQATKTGFTLCPSSPEALLTLKAQKEIISTFSVNYQIERSS TSTA_000810 MNYTHTHTHTVTESLKDKVHLRTLGTVQRTALIRILSAFKTVST AALEVESCILPTHLRLKQSAQIVAARLSTLPDDHPGCVVVRTPAFLEIDIEPDHDKAK HKASALQDTAGIAVFSDASGQHNHLGAAAVALDRNNNVIQQRKVSIGSMEYWSVYAAK LMAIYYAISLVLKIAMETRQAMTDRQEPATILSDSMSVLQALSNARNKLGQRIIQAVQ QSVQELQTQGIPLRLQWVPGHCGDLGNEAADRLAKEAMGPEKEHPFQHLLSREKGFIR NRIQKE TSTA_000820 MAICKFLDDAMRFIRKNILLIDQAPLQLYASALIFAPKGSFFAV AFSPDGKLVASGSVDYTIKLWDLATGTLRQTLEGHSGPVLAVAFSPDGKLTASGSYDK TVKLWDPATGTLRQTLEGHSDLIQTVAFSPNSKLVASGSYDKMVKLWDLATGTLRQTL EDHSGLVRVVAFSPDGKFLETNQGRLNTESHHVRSLSQTPSSLHKNILVTNEWLTRND FNAIWLPVEYRATSSAVYESILVMGHASGRVTFLKLI TSTA_000830 MSNPNDYTVGWICALSTEYVAAQEFLDDEHALLDFVSPNDTNDY TLGRLGKHNVVIAVLPDGEYGTASAATVATNMLNSFLNARIGLMVGIGGGALSRKHDI RLGDIVVSAPRDGEGGVLEYNFAQHKRKGHQGEDAIGFVLEKNPRLCQEYARPQPNTD KLFHPHAIHNSRACGFCVHNPSYVVERRERAEHEDNPAIHYGLVASANQLMKDAVIRD RLAAEKGVLCFEMEAAGLMNHFLCLVIRGICDYSDSHKNKEWQGYAAMTAAAYAKDLL SRISPNRVEAEKRISDVLSLVRKDIKEVHTTAQNTKTAIEILDNDRRREKVIAKLPYA KGSTFDSFDGVLDPRCHPETRIALRRQIREWAENGQGKSIFWLKGIAGTGKSTISRTV AESLHMEGKLGASFFFK TSTA_000840 AKTWWPGRTCSGSSQTSNRVGEHLEKLTKVILTGARAFLPAFRI TPIPVLYRESGFSPLDIELDRMALLATVRLRRLDPYHPLRRRAEQIASNGRQTSHFAR HILALPNSEQINPLQYTPWHPRESRENAQA TSTA_000850 MGHTKEQAAANFADFQRTIPSLDIVPGHAKIPENEAADLAAKEG AASIPPALHKFSYASLKRYAKTQSLSAAQL TSTA_000860 MIRNYAQKVLQNMHPGVDDLPQLGDRWVHRFLKRLPTAYKKQKQ KTIDPKRHLAEDLNIIQAWFDRLEIAIRERAINEQAHLGGFFYDKIDFLANIARVRAE AFTPRIIRKGFSDWGLWPLNLDIVVDPLMEKWDIQMGQDLQIFDGDEEQDIPSSPTNA SFSPLTTAYKL TSTA_000870 IPGIWRNLKKIFDGSLTQAHIKDQQQEQIDRLQTLNERKSAKKT KRQVQVGGILSVADANRAIKKRATAEEKKAERKRLKELRNAPLGSMPPPLTASDEAAI DRNIILNLVEQAYPRRSDPNLIRWVEDRI TSTA_000880 MNLEALDRSASQFGFSEWGSVIQTFITCAFNSPGGVINVNLTQT YDYIPPTVSWARLYTYLGTNFLDRNKKTRASLWWGESALSNYYVALTRVMQDIRENTT ASGNAAIRKGTVYFAPNNNSTINIKNLEFFNIDFRFIIDYGLGRFDVITPGNGNESTI TELDQAKKYPDVWTIVDSLAKSAYSVVLTDLGQIQTKSNFLSDVDDLEYFTSSFASIG QHWANAHPGPEAKVDYLTAKNETGPLGTTPSIIAKAQDYGRAMTWYSRIVVYCS TSTA_000890 MEWQSPTPLPTVSSQNIPPSSQDSSTNSPVGSNLRISARGLRPS DEEKLWLEGSNKEKFWQSVAQRFEASTGRQYRWESCRRTVNNEVKRRREYLKSYETGK ASEPKSDLHEAIDMWTEILDQQDMIVLASQASAEQRRLDAAVDDDFRRQFTERLGQSR VRNESTISSTTSATEDTEDSEHPKRRRRTRLNDEEDAMTTALVDLTKVMSESLLTTSQ ESKDMSTVVENIERRLEHLEANYIRTNELLERLISKLN TSTA_000900 MASSTIDKSKPYFPLAGGADDGWSTEDEATATCFCGAVQLSFPT HGPGYVGSFICNCSDCHKLTASVHATNFTVYDTHLKHLRGRDNLKTYSQSRTVFVKTP GKDNTMTNYFCSTCGSLMYRVGAAFPGMSILRVGSVDDFSLHETKLRPTMEQFTKDRV AWKAPTEGAKQFVEDGLHVQ TSTA_000910 MPIRLQLDQLTKAAAIRIRTGPAFAIPDGLVNRRTSDELKLGGY TPMEAHAWKKSRCLLAPPRTLAGKWESRSAYVQAPWHEPPKVVIDEREGAVSVRNITC KNEHIAIYTDGSGYQGYISTSMVIPTFRKQRTECIGTEGTSTVYAAEVCGIKFALETA LQIADQGIRTKKLVIFSDSQAALKTLMNPYYYYYYCYYLFHLMMKRTKSEAYKA TSTA_000920 MDKKKTTRKWIEQLRTKATKERVWEYIYEHNKAQYEKHIEQMTN VKNYILDTIELGHQSEIQQMEDIKEIIRTLKRRFALTEQRENDLLLNQERSLLNLKRT QRPKEWAEKWRTLVLDMKLANFYELLDTRLARDFIQSTVEIAPKFHDIWSTRILKYDM GLDTSGLTEIPDINEIIGTFDKRDIAMATLNGKSDQPEDNKKSQMRSKLKDKTCLCGQ KHQFEDCPYVNPAKRPKDWESDISIEEKFKNLEKKDTPYANALKQVKKGLEKKKKERD SDTSKKTDKDLERSNFMYDSDKITCAVRLDTVLLASNDNLTNKVIMDNVYPTQPISEK VKRKGIIVRDACQELCHIRREGNLYLIEWDENKPARSSLSVDFAFNSMEKNILKDLMN VWHKRFGHVSSRAIEKLQEAIEGAIVMSVPSRNNEGFKIKCETCELTTAKRQISRVAM PLPTRPF TSTA_000930 MKSVNFDLERLIEDIEHTFKCVIKTIHVDGESSINGNDFKDYCK RKRKILVTTVPDTPEQNGLSEKAGDIIATRARSMIIEANLSEGLWLEAARAAVHIMNQ TLTKSLNYKTPYESVYRKKSYVGNLFLFGSKTYVRIDIKKSHKVAPRAQIRYLVGYKA HNIWLIWTTGPRGTKVIRARDVVFDETKRYDLEHPFAREIIRDGVTTITESLEISNLE DIDEDNQVFDSVDDDIRLQRWQPASIRFSPARGSNEFQIEAPITGIGNIEAQNDEHIY NPDMQMEDAQEIFQDICTENRGDSNIVESGGVKEDEVVSAPNTPLRNVTGSEKEITLP STPLNNNNAPKAAEISADLLERNIVTGPRVRIASKRARSLATDNSESSKNVRKKQRAA FARMKLFQESSLAKSFMAAMEKTDNLHESELPPEPKNWTGVLRHKYKKEFIEAAKTKF DTLKKKGTFKFVQKLYNK TSTA_000940 MDKFEWFLNMRILRDREQQKIWICQDSYITKIAKKFGLTYGLVK TPISVDIKPFDGKATNQDIYHYQEMVGSVMYAAVITRIDIAKAVNKLAKHATNPSPIH IQQIKQVIQYLFNIRFLAIEYSPLRKSESDVVVCASDASFRDNIDCISSEGYLIQLYN GPVDWKATKQRYVTTSTTKAELRVVTEAVKRFPEPNFYTSLRHIDIYHHWLHQEIQSK QLHIQWVDTKRMVADGLTKLLKGQIFVNWRKHQGLVDIAHLL TSTA_000950 MFALFYDPKGWVMTLRVRLCQIIRICNENLRGPGRGPGRQGDPR VVARSTFDAVLPGRLICRLREQGWPTNLILWIASFATGQSVQIQLDGEIGPSTDIACG LPQGSPVSGILFMLYIAPLFRLGNPKNRFGYADDAANLAISTSLATNYKALSTHFKKL LTRELQRALHLH TSTA_000960 MKSTTLNIARSQKVISKGLPEKVGKHVKRVDAALLGKHTQQLYD RLSWKEASVLAQLRTGMARLNGYLYRINVAQTDQCACGQARETVEHFLFRCQKWTAYR TEMLQCTNTYRGNISFFLGEKSPSDNQNWTPSFEAVQASTPLNYVDEHKSTTPPDPTH TNHLVTDTVDALQLPKIGC TSTA_000970 MSVNQDFIDLWETAIEKYIESTNRTPSEQTLLGQLTSPEGLEKQ LEKDHSKFNSFRAKHGTLTRRLKNAIRPFTLLSDVASSALSLSPFAPASTIFGAVLFV VKAADGVSEAYDWIDQLFDKLGDFTVRLDEYCKEGTGSHLGTKVVQILGCLLEILARS EKAIKIGRWKKYAAVIFLGKDEEIKGSFDKLAKLFDEEQRLVIAINFATNQRMDKRIA EINSTAKETLETVKQDQQEKLREKILDWISSTNFPAQQSDIIARREEGTGLWFLEDPK FASWAQGPRKTLFCPGMPGAGKTMMAAITIDHLSRTTRSDSIGVSFLFCNYKAKSEQS AQALFRALLKQLVQNKPDIAAPVRKIYDYHSKGGTGPSLKEIFQALRSVCSDYTTVYI VVDALDECTDKDNARGQLIDKLRELQLTTDVRLLFTSRFITEITEIFQSNLTLQVRAS EEDVRKFVAGHIPRLPKCIRLDDELKRAVEDKIVESVDGMFLLARLHVDSFLDKRTKH KVLSTLNNLSKGSAALDESYDDAIARIDGQLPGDRSLARRAISWITLTQRPLTTKELC HALAIEPGHRALNNDNIDDVEDIISVCAGLVMIDEKSSIIRLVHYTTQEYFERELLKW HPDAQEEIAITCLTYLSFDTFRSGICADDEVFKQRLVRNPFFDYVVRYWSEHIRPVQS RTVRLALAFLCDDALVGCAFEGALTLNNKYMGNRKRNLNRTSGLHLTAWYGLLHLTER LLVSEHKDSNIGADSKNGDGRTPLSLAAEGGYEAVIRLLLDNEDVKPDSKDNGGRTPL SWAAQNGYGGVVKLLLAKEVVDPNSRGTVSDYTGKQTPLLLAARNGHEAVVKLLLAEE EIDPDSKDDGYYGLWLTPLSLAASNGHEAVVKLLLAKEGVNPDYKQPDRYWDDHGFTD YYGQTPLSFAARYGHEGVVKLLLAKEGVHPDSADSRGRTPLSWAAENGHEGVVKLLLA KENVHPDSVDSRDRTPLSWAAEKGNKTVVKQLLNTNKVDINSKDAEYGRTPLSWAAGN GDEEVVKQLLETDKVDIDLKDRYGQTPLSRAVEKGYKAVVKQLLDSGKVDVDLRDQYG RTPLSWAADNGDEAIVKQLLNTSNVHVDSKDKDGRTPLSRAAERGHEAVLKQLLDTEK ADIDSMDSEYSRTPLSWAAENGHNAVIKQLLDSGKVDVDSKDKYGRTPLSRAAGYGNE ATVKLLLDTRKVDVDSKDEDGRTPLSWAAANGHNAVVMQLLDSGKVDTDSKDKYGRTP LWLAAAYGKKAVLKQLLDTGKVDVDSRDSDYNRTPLWWAAWHSDEAAVKQLLDSGKVD ADSKDTEHGRTPLWLAAEKGRKMIVKQLLDTRKVDVNMKDSEHGRAPLWLAAENGYET IVKQLLDTGKVDVNMKDSEHGRAPLWLAAENGYETIVKQLLDTGKVDVDSRDSEHGRT PLWLAAYKGHEAIVRHLLDTGKVDVEAKDSGYGQTPLWSAADRGHDAVVKHLLNTGKV DVDAKESTYGYTPLWSAASYGYETVVKLLLDTGKVDVNSRDGFGRTPLWLATEKGYKA VVKQLLDSGKVDVNSKDSFGQTPLLLAVGNGDEEVVKQLLDTGKVEMDLKDSDSQMPL RRAAAEGYVAIVRLLVEKDNVDANSKDNYGRTPLSLAAAQGHEAVVQLLLKKDHIEAD LNDNDGRTPLSWAAAEGYKAIVQLLVEKDDVEADSKDNDDQTPLSWAAAMGCEAIVRL LVQRDDVDVDSKDKYGQTPLSRAAERGKEAVVRLLVERDDVNADSKDNIGRTPLSWAA EGGYEAIVRLLVERDDIDTDPKDVYGLTPLSIAAGQGHEAVVQLLAERDEVEADSKDN NGRTPLSRAAAEGYQEVVQLLIERDDVDLESRDNIGRTPLSWAAEGDHDAVVQLLQSS LPV TSTA_000980 MSNPPTLVFIPGAWNKPRCYDKVIKLLQDEHQLKCVSIALPTTS GDPQATFKDDLDVAREAIASETTQGRNVVVVAHSYGGMVGNSAIKGPPAEEAEYWVSQ LTTQGLKALFEGREYTYAGWQDVPTWYIGTVEDHGLPVLAQRIIVGMAREMGGSVEHR ELPTSHSPFLSQPEATVKIMLDAAKKQLPHDIQWTYSNDLEVITMDHQDGSYLPQGGW LNPPPNDYVEKVKSQHWKEFLDKARHLWKAASYMKPRESYGSIPPLKDGTNEVVDNEC KAKMFMEAFFPKMAAPGAMENPEPNEEIRWDPITKEEVYGALQRMKARKAPGEDEIPT MVWKQIWPYLSEEIFQIFTASINLGYYPRQWKRARIVVLRKPNKPDYAIPGAYQPISL LNTLGKVLEAVVAKRLSYYAETYSLLPNTQFGGRPGRNTEQALLILSNAIDKAWIRSK VVTLVAFDLKGAFNGVNGSVIDGQLKAKGIPSILRSWVISFIEERTTSITFDNFKSTR LPLENAGLAQGSPPSPILFIFFNAVLVNQPVNHKGGSSAFIDDYFRWVVGPSAEENLR KLQEDDIPRIEQWANQTGSCFAAEKTELIHLTQRKSELSKGQLIIQGTTIKPSTTAKL LSVVFDNELRWKLHVQQVLKRATKVSTALSGLRYLRPGQMRQLYQACVTPIVDYASTV WHCPTKDKMHLRALNTVQRSALIQILSVFKSVATTTMEVELFTLPTRLRLRQRAQITI VNLLTLPWDHPIQGVLSRARRRRDNPGNGSAPRFPLAESMKTMGLKQFSGLETINPKP TAQWKLRAFKEIDINPDREKARKNAAALLTNPHRVVYSDASGHDNHLGAAAVVLDRNQ NIVASRKTAIGSMAHWSIHIAELIGVYDAISIGGGLPSNKNDIRLGDVIVSKPDGQYG GVVQYDLGKWTADGFERTGSLNAPPERLLAVLNLMPGHGRQFAEPPSRLYPDEGLDQL FEPDGQQLVNRQPGRRREGPYVFYGTIASGNSVIKDADTRDKLRVQHGVLCCEMEAAG LMNSSFPCLVIRGISDYADSHKNDRWQEYAAATSARYAKDFLSQIPEEVAQELAPIRV REDRELFLQTVASTHGQNRTSRVR TSTA_000990 MAPKWPQVNIGPEHINEHTTYLKEACTQLQAVNRGRQNQVPWNT VQHYLTSTIALIGKVLRQPSMSDILQQVQDAAKCTQTIQKDVKIIKNSVGLSTTPLVA SNFSGRQTAAISWAQVAAQAKGSPPAPPPTQHGADTSRTSHTITTYTDRALTVKLKDH GIAQRHRTQSAAWTKQQVQNSVRDNSPTNSIKIVAAYQLKSGEIQIFTSTTAEATQLK ENQGWLKGLGERAELIMPTYGVIVHGISTNSINTKDQTATIQQMLADNYTVIPSAEIT YVGWLTKEATPKQASSIVVEFKDPEMANTIIYAGMVWEGQVHQCQLYDRACRVKQCFR CCNYGHIGTQCNASQTCGYCAGQHETRHCKQKGTEGFTAQCAQRLPSEEEGDGTVERA KQARSIYWHVPPKEKATRQSTRGKHNTNATPMIRTQTAPDATRTITQRLAETMRPIQE ASTPVHVEQTPRPEQPTDQAITQAAPQTSTSPTPQVPEDEPMVQPPVAPPAEENRQTP ATRQESPILQQPGSQNAIGGSGRDHPQPSTNQMDETEGLLTLQETDDWLNNMLGDNGN GWIYDTAEVEPSPITSLATDTRTALGRIYKGCHCPEHQEIYSDWPAHNAELTIVQCMK ICVYCGKDFAVAAELRKHMRKHHCASQNLRIRVETTGRGSSKTPAWIVRDPAEIPNCS WLEPRYSPMMRRH TSTA_001000 MKRDNELIVNVIRGLLADMKRDTSLAGTSQPNGSFRDKLERLLE STEDESSSGTVAEPLKLHHPELRLSPDVPHDNSSEPITVNQLIGLLEAALEPKGSRDL SATERAGAEHGGDITSHGQLFSQLAQTGQSSSSIEPEYRRDITVETLDSCRNLQASDA VTEIDDSPQEVYRFSWTGLDPRSVVTAPPNSPHVAPSNCITKEELKWLFAEVLGVKSA QPTSDGKDSSSNEKPEDNDRARIRASKAEYKTVNEVGLLHCAMVTFSMLIDPLIQDPS LSPLATM TSTA_001010 MSNKNPLPTGSSSIGMHLSEEAIARTHILSLINRYASFARGIPD QQNEIAVLFEPNATILLPDGRELSPLEIGKITENNTPKLLRHHVTTVDVQWDDVVVRS GDGRCPFKRKVIVVDGMDAEGWLSQTVAEGVEAVAR TSTA_001020 MFMCTLKFEIEEDQRVLINAYLSYEEPQAVKEEKDTQADEFEPI SDKDEAEDEAEAEDQDEDVVEDEDEDEDEDEDEDEVEEKGGDHVQPTIQPLNNQKRMS KMKLMVVMCLYLIHNVGCQGEFGNAQNFLDGYEVSHA TSTA_001030 MARDSTQRCSICHQEKTRIKAGIHTPKPPENPSIIPPVEKDLPH FICYTTASSLHRLQPTERPSTHQIHHQFEEHQIASSSQELHASPIYHYRGIHSQDSPT HQEARRQRERDRRAHRAAKRAGEEMSPTQDLEIYLADPQKEQDILPSGQLSSSTDPLA AFGSEVFSPVTQSTSLPPYRGHGARPSFSSSSTPRRPLLSNHFVYTACHKLRHHARKI TNNVDICQYCQNPKPQQALTLLQSDPPYTPSDLNCLPNPALAESDMILVKRFHTTLEQ HTTELCHRCEEKWFNMRLNAHGICDHYVRVDSGKHIYLFSAINNMHPGDLPDLPELSQ TEEMLIARVHVCVEIHRVRGQQYKWLAFLRLNHPGYHDIEISQEAIHTLPQDSDVSDQ VVNERIEPVKINPDNNTKGVELPERSAVPDILAQEDELTSISITPWAFPTLFPRGEAE FTSPRQRTVPFEDYIKHLMKFHDGRFARHPRFRYVVFNILMRQQANSKAGFFVKQRTI RGQEVTADDLRSAFADKSREGDALISSIIQRSSTLRGTRPFWTNKNQQLKAMVKNISP AHLFLTLSAADLHWNDLMLHLPRYEDSFQKEVLDKKFNVVDFWFRYKWQGRGSVHAYI CNESSARTEALLQYIAKYVSKAETKSDSYKDMMKSLLPTLNQKNPFLSIVMKMINRLI GEHDWSAQEVLHLLLNIPLQIASRDAGDDGEAVQRGLSVYEKYKRRPLLFEDITYLHF LQRFDFRKVNNPYERQHVLDRVLNYFPIYNAERQQDDYAHIKLMLHHPFRQITNILTL NGNTFDSFITAYTYCQEACIHENNYYGEVLSQPPESTYEDAEFQDDQDIP TSTA_001040 MLAHFILYFAFLSLQEGWLILQPRTYKLSKPLSAALQQIFPTTD TDAVLPFRGLNIVLAGDFYQLPPVGQRPLYYNKRLHTLEEIHGRLLYQTFNTTIQLNK VRRQTETGANARAFLEALQHLWVDELTLQDWEILCTHVQAVVPNKVERFKDAICIYSR KAQVYEFNHNCLRDISAPVLRVPATYQGSRAAQASTNEAGNLHAKIHLSIGCRVMLIE DIWIEHGLVNGAFSMIIDIIWEAGITNPREIPPYALLIHFDTYNGPEYITINEKKVVP IFRSKRDFSTNNINCSRIQFPITVAYAMTIHKAQGITVPKAVLNIAEKDFTISLTYVA LSRVKALNGVLFEEPFNYQHFVRKKPHESIVMRQEDAHSRHEQHVFIPSPVQLHFDYI PLPPQLPSQIPRNYFQALLATESSPQRGSSVIPRGSSHTPPNDEIA TSTA_001050 MSSTDCTLGDEAPPQHMRSRTLSLGYDAGEVDPTALPFGQLNDG ADLEEYITETRTGNIIRHTRSNVTGEVADWKLVTFTIDDPENPKNWSKAYKWYLTAII AWTCFVVAFASAAITAGLDGPANEFHVSMEVSLLTITVFVVGFGVGPMVFAPLSEVVG RRPVYAVTLFLALIFEIPCAVAPNIGTLIVCRAIDGIAFSAPMTLVGGSLSDLWKNEE RGVPMAAFSAAPFIGPAIGPLVGGFLYDAAGWRWLYWIQLIVAGIAWALITFTVPETY APTLLKARAKKLRQTENDPKFVTEQEIDSRPLSEKIRIFALRPFQLLFLEPIVLFISL YMSVLYGMLYMFFIAYPVIYQEGKGYSPGITGLMFIPLAIGVVASAFCAPLVNKHYLK MHAKYNGKPPAEVRLIPMMFSCWFIPIGLFIFAWTSYPRLHWIGPAIGGFPVGFGFIF LYNSANNYLVDTYQHQAASALAAKTFLRSMWGAGSVLFTIQMYHRLGYEWAGTLLAFL GLACCAIPYVFWFYGERIRSYSRFAYVEDEETKAMPPFDGGH TSTA_001060 MVRVKLYENNRLTFEDSLQRSTLYIHKRSCTGQAPRGGPWAFTR IPALDRWHQSSAHSDWLLGSRDGAKGTLPINCKTAIHSNSGTYNRLRL TSTA_001070 MVLVDTLSINVQNPFWGSDSDRYNQDRFKSIKQTDLLYNLYVFG FGHKK TSTA_001080 MASVRVRLFTLIIIMFLLSQRWWLPLGHIFFNLVALSSRWHYAS AEAFISKEHDDFDVTFASYAANQSTSGFGYGDVIPPIMHHINLGSKQPRPEWMAARDE CIRYHPHWKAYIWDDGASERLVREEFPHLKDMWDNYRFPVERVDALRYMILQTYGGVV LDFDLSCKRSLGPLRRFEFVAPAAHPTGFSIGFMMSSQGNPFVQELVNNLPRYNYVWF FLPYVAVMFSTGCHYASTIFTLQEHHSTLRILGGIPGSPHLHMLNGFAETPLFRHLGS SSWHSFDASLINWLGHLNKGSVIGLTVVSFMTSVIIILCLMCLRRNYCSTLRSGSSID KYCLDSGITCIKEA TSTA_001090 MESSATRLKATHKDLAKWFEETYNQPIDWASITRILSPKYAFID ELAPHQLKDKRRRTEQWPELEKAVMDWIRLAEVEAPISQESTRYKAQQYWPHLYPNNP VPSFSNGIIQAWKALWKRQWVRYIVDEFDRGIDPLSIMTILRAVRWVVNIWEDQVTST TITNCFKKALHDETEAELETAILIQDLQLTNRVQDVMDIRQFLNPLDEQVNDSIMDID AIVLS TSTA_001100 MFMRMPPGYRTLINLEFKAIPQEPCCMLKSGIILFFYVDDIVVA YKKDREAEAKSVMKELCAKYHITSGEDLEWFLGM TSTA_001110 MGARRLHLNQNIRRRKTDSNASMIWNPHTGRIVRARDVLFNKKV VFSGKKEDLEDDLLYMTIKEITQLLNKVDLSAQQDVSGTDDNVITDIDDVIFDDSRHS DEDINMTSSAIGSGLEDLNQPESNLTGPTLAPGEGLLEGIDKYAYPTPPNTPPSALLA GSITIVQEDDLTFLRQSSKLGAGKSTGEAGATSTLVQEAVSRASATSTLGDVGKARAT SPLAEFGASATSTLDLRDTMRSSRRNHSKLPIAAALTTQ TSTA_001120 MNLEHAALIAEASRMHNESRAKRRYNVKTKEYSQAMHRYEIQRK DIKQTFTWIRDSVSVIYLKSHVSVTHDWVKAYNNLKTALNPGSREIKRSIRKGKSMTF ALDKEDWSTRFIEAIRPLDPVWVITLEHDVEEKLDDDTLTYGDMSRYFQRKQMYRLTN EEDHDLVPQTIPGREIITLWRIPTPGNTALNERKEPLLVDEIDLSPRNNLSGRTLENQ LKDEEHLPLIQRKCKAMYFVKMEKELAALSINDYPLKHSALLDSGSSIYVFNEKECFI NFKRATPGDFL TSTA_001130 MDESYAWLKLQGFICEEESLAKWILDLDKRGLPPQHSLVREMAN YLLSQRGNQQVGENWVYNLVKCRPEIESKFSRKYNYERAKCEDPKIIQEYFDRVREAM LEYGILPEDIYNFDETGFAIGLCATATVITESDRYARPKLLQPDN TSTA_001140 MSEVLSELTTKFKILVSRLRAKAAIMQKKEAARSTLEKPVKGIA RHLRDYGDAKTVKIMALDNEVTADVGYCHAKGKANASDKTYRAFLMDFEAGYNITLDS EDKEETNDNNKDEATAYFMVGQLQD TSTA_001150 MGCILSRVRTIYFSVRILLGIMEEVRADLNAARIRILRPSWPIP NPSISFWQQNPIYPELVNAQSATLPESADVVIIGSGISGASIAHTILTSPRSEKDVRV VVLEAREICSGATGRNGGHIKFPPYAVYAGYRGRFGVERAKKLLAFERRALPLLVDFA QKKRFTHAEVREVETIDIFTDEAMWKKAKDMVHLLRQDLPHVAEGVEIHEADAGCKKF GVSKEHCFGIISYQAGALWPYRLVTSIYATLLSTFTSTFSVETKTPALEVRTQNDKEK PFLVCTSRGEIAARHVVHATDAFAPTLVPGIRGKLFPIRGHMTAQRPGHRFPDHGGSR SWSIISRKGYEYISQRPGKPYRSVPEMGGELMVGGGAVQSPGRGIDECGVWRDDQSCS TIGAYLEGILPTIFGKDHWGDDVDGSRTKQAWVGCMGFTADALPYVGKLEPALTGRDV DRLGWTSDSDHGGDGNERIRRPPPAEWICAGFGGEGMVLAWLSGAAVALMILGVEDEH FQASPGLPEGKLMDWLPEEFICLKSRVDRSDVVGLFELL TSTA_001160 MARFVRMHLCMSTSFDLSFAAQATQLDKEDIKALNKRLKWQADN PNHGLRFVKIDLRTTQLYAFVDASFTNNKDSSSQIGYIIRITRSVLASEMYGMANGFD AAIAIKSTLTQLLHLLEPLPLVLCTDSKSLYECLVKLGTTREKHLMIDLMCLRQSYER QEITEVRWINGNSNPADAMTKSKPCRALQELIDINKLCIDIDGWVEKLLMKCNSESKN IQFATPITTLAP TSTA_001170 MTPFLFSIKDLDRLKIYYNNMKDLLIRSKPYLTAPINYVDYTAT LDILLSDDYIKPYNA TSTA_001180 MLRESCDLRKGVSAAVVVGLVGLFQANPEDPVGSNLRISARGLR PSDEEKLVLFNSCLELQQQWLEGSNKEKFWQSVAQRFEASTGRQYRWESCRRTVNNEV KRRREYLKSYETGKASEPKSDLHEAIDMWIEILDQRDMIVLASQASAEQRRLDAAVDD DFRRQFTERLGQSRVRNESTISSTTSATEDTEDSERPKRRRRTRLNDEEDAMTTALVD LTKAVSESLLTTSQESKDMSTVVENIERRLEHLEANYIRTNELLERLISKLN TSTA_001190 MESLVKWVLDLDRRGLPPRHSLVREMANYILSQHGKPQVGKNWI TKLIKRRPEIDSKFARKYNYERAKCEDPKIIQEHFDRVQAAISEYGILPEDIFNFDET GFAMGLCATAKVITGSDRYAQPKLLQPGNREWVTAIEATNSTGWAVPSYVIFKAKKNV REGWFDDLPDDWRINISDNGWTTDQIGLEWLKTHFIPYINSRTVGKYRMLILDGHGSH LTPEFDHICTENNIIPVCMPPHSSHLLQPLDVGCFAVLKRHYGQLVEQRMRLGFNHID KMDFLTAFPQARTVAYKAQTIRNSFAATGLVPFNPDRVIQQLNIRLKTPTPPPSRSSN MASSCLQTPQNIRQFIRQSTTINKRINERTESNQNQEINQAVVRLSKAYEMIANDVLL VRKENYDLRAAHEKEKQKRQKSKKQISIEQAVTKEEVQALVQGQVEASHAVTTTPAEP ELPASQAVVRRQYRCSGCNVMGHRINQCPSRISS TSTA_001200 MRSFITLTGLAIFCSSVALAAPAPAPIAAAKFENRASACTFSGS NGAALASKSKTSCSTIVLSNVAVPAGTTLDLTGLNEGTKVIFEGTTTFGYKEWAGPLV SVSGSDVTVEGAPGAVLNGDGARWWDGKGSNGGKKKPKFFFAHNLKSSNISNIKIENS PVQVFSINGAETLILDSITIDNSVGDSTGAHNTDAFDVGDSNGVTISNANVQNQDDCL AINSGTNIIFTGGTCSGGHGLSIGSVGGRSDNTVKTVHIENSTIKNSQNGVRIKTVSG ATGSVSGVTYKDITLSGITKYGIVIEQDYENGSPTGKPTSGVPITGLAIDGVTGSVSN SATGVYILCGKGSCSNWTWEGVKITGGKKSSKCENIPSAASC TSTA_001210 MTMEDNNVILSSTASYHELNDNTTIDLEGYTELLNSFHPSQEPR AAPIEEEEEKDNLQTYNMHKSKDEVMATFLCNPQALRASMIAI TSTA_001220 MAQARMLTPSTGENLHPQRRVLIRAGAQQPPPPPPITNQQRPIK TYTKMEDLAKAAELLAQYIYLNPREGQDNPMIRGFINDVQDFTTAHKSGPEILTDLKR LLVDVQKDTAVLRTRSNQASQSSATTGLLSASLRSTAAPSSRSAGFSTPGVSHTELSI DCEIVIKIRDEAIHKEIRELHPVEIVKRAERARAHAAKGTPSLPLAGHVFIAARPLLL GDVSLQALNAAAAEVLRRHAKN TSTA_001230 MAPKEYKKEEEQIAKAVSAYQSGQNQNISRLAREFGVSRHRLSR RVKGIPSRSKRAPTNRLLSLDQEKALFLWIEYLDNIGAPPTNQQIEQSANFLLREDFT GPGEPRGVGKTWVDRFLTRLPEGYQRIKQKPQEVDRTGAEHYGEIERWFIDLKLVMQK LHITPKNLWNFDETGFIVGQGKSESVVTKYPKTAKRVSSLSSRESLTVVESINAEGRD PEWLIAPASNGFITDEIAFEWLQHFQHYTKPEHTFEWRLLIMDNHTTHLTIQFVQYCE INRIRLFRFPPHSTHLLQPLDGVPFQQYKRVHGRVVNQVARLGGFDFNKNDFFEELRD IRIQTFTPRIIRHGWKDRGIWPYNPDIVLSKLPHPDEAIIDDGNTLKIYGEVDDTIPS SPTTKSISPPSTVTALRRYINKIEKSIEGIKDILEESKPGLVRRIKVVNSSSLAMAGL GELHREDFARLRDTAKRKNNKKTKRQVKASGALYVKDANRLIKRRHDGDLLRIHKQHV LGVPEAEDAEAPREPQNLGFFIDSTGNR TSTA_001240 MATPEPTSPPRATSAAALEPSDSRQNSARLNRDERIRVLTLRDA GFTYLQISQQLQISYRQVQYTCQSQQATPKKARGNPPKLSEAEVDHIIEWITSSKRTR QLNLSIGKHALARALKKRGYIRCKALNKPPLSDKHKQVRLAWALEHLNWTTEQWNRIL WSDETWVTLGFHTRIWVTRKAGEELEETCIRSSPARKRGWMFWATFHGNNKGPCLFWE KEWGTINSERYCQRVIPIIDGYIRLLRDDIWLQFMQDGPPGHASKETLEELHSRGIYP IYWPAFSPDLNPIEAVWNWMKDWIQEQYPDDEQLSYDRLREIVRAAWDALPEQFLKEL IDSMHARCQAVIDARGGHTKY TSTA_001250 MNVYTSAGDLAYTRDLTEVIKTSGTEWNLVHRAKLHSELKRLAT SAEGLGSPAKLFLDCKLVDLDVNEPSLKLATGETIRGDMIIGADGVHSWSRSFIAPGY APFPYGKACFRWLGQYEELASDPETKRYARPGFLSEWTGSDRRVIYYPCSNHTIANYA GFVPADEVGTTEQTWDNAASKDLLLKCFESFGSSVCRVLSKASEDNLQIWTLMDMDSL PTWTRGFVTLIGDAAHPFLPFMAQGGAMAIEDGASLAALFPLGTAKSDVFDRLRLYEQ CRKDRVERIQEFTRKNGRDPGSSRGSRPSPQESIDLMTFCVHHDEWEHSSSILRQHLA ATHGT TSTA_001250 MNVYTSAGDLAYTRDLTEVIKTSGTEWNLVHRAKLHSELKRLAT SAEGLGSPAKLFLDCKLVDLDVNEPSLKLATGETIRGDMIIGADGVHSWSRSFIAPGY APFPYGKACFRWLGQYEELASDPETKRYARPGFLSEWTGSDRRVIYYPCSNHTIANYA GFVPADEVGTTEQTWDNAASKDLLLKCFESFGSSVCRVLSKASEDNLQIWTLMDMDSL PTWTRGFVTLIGDAAHPFLPFMAQGGAMAIEDGASLAALFPLGTAKSDVFDRLRLYEQ CRKDRVERIQEFTRKNGRDPGSSRGSRPSPQESIDLMTFCVHHDEWEHSSSILRQHLA ATHGT TSTA_001260 MCAQVELQVIQQSCHALIPGLKTTYGYTPSQAAGIVFVTPFGLS MCLHTTQMAWKRNWWCLVFVLGFLSTIFPTPPPLLLPSSSVAIQIAKRKVARPPRPLG SPSKIIPLLLGTPKGAMKLATWLSESRFFEDTCPRRPLLST TSTA_001270 MLSNIATEYKLNSPEDWDDWQNELLNRARANDLLPILQGTKRPI KKPMQLKERVRTLLNQPKPPRLKIQLMIALNKGQEMTSIYISITTKWSSVSGRFSVET STKSLNG TSTA_001280 MEDLVFDRNRHNNERTTTTGLLDSDYPSGPSLTLGEGLLEGINK YAYPIPPDTPLSALLTASITIVYKNDLNLRQSSKHAAGMSTGGVRPRGSLVQEATTKE GPRGALDGVDMVRSRGSRAKFGDRPRGLPNLRDSMVGLREAYGRHILEDGPRGSLEKN GVGFREAPVKTIAAALTTQ TSTA_001290 MAREELLLYEGTATYKTFAVSRLSQFLSNPRLKHHQAADKILCY LERHRAYALRLGGGEDYSVLTDASFADNTLDRKSSQAYIMTLFSGIIGWQANKQDTVT TLTTKAELLALAQGVKEDKYVL TSTA_001300 MSAIRHFDTPHIIRFGMTNDNPGMSEIQFWRNGVHFKLGVYEDD VRGTDFEQQWKPLLKQDPILLRAGLWSELCDLIISHCMEILQVLAPNIPYWTSLHDYF YCKSYTLRLYGAPKSGVIPRVVHGPTSACAYEMQPAAWNTFNVPDDIPIYDCHEASPL DHGMDLKNAPQKVRLSDGTVAFFIPSRISTRRQEGPGYVNDVNESHQSIASYFLLHSL QIRRSDDSARIPKMLGILSYSGRPDADIQREDGKQIAGILLEWIDGFRLINYRLGVYR HITAQNESNHAKWRQQVSQVIKELQVSEGHREGKVGESDQVYLQLVFDQWLSEEAHVL ASNPQEIKEIEMSRWFTILDSVC TSTA_001310 MLSLTTHIWEEIKHNKKDPRKKDFKKPETKTDHTTKQPNLSKHA SKIESKNKNKTSNKTGTLKGPKEFATGKNEKGERICFTCGSTEHLANYHKKDNKRDTE KKKLSVYIVKTASRKKGHERMAKQTWDLLDSSEKRGTPSYGTVDRALEDAPLLLEEQT LGEIGIDISLRAKEAGGNQWQFRLPAGNDFIEYYVRVESAKAFRKQLIKGPKIYALID LLYPLSRAELEVLQYYLQENLKKGFIRPSKSPAASPILFVPKKDGTLRLCVDYRGLNK VTIKNRYLLPLMGEILDYINGAKVFSKINLKDAYYHIRIRPGDKWKTAFRTRYGHYKY LVMLFGLTNAPAAFQGYINQALRGLVDDFYIIYLDDILIFSKTKEEHTEHLRLICERL QTAELYAKPSKCQFYQNKIEFLGFIINDQGVKMDLERVQIISEWKEHPPGLYQDIQVF LGFCNFYRRFI TSTA_001320 MTPQGNGVEADYLIQCMLTQKAVLNEAPRQEPSEGLQQLVIGFL TTAKQAMEGDINRFYYLVASVQMGLYQTETPESLTNSQTEILNRIIEGYLRAFTSLEQ IDWVKLLPTATFTYNNSMNYTLRISLFKALYRFDPEFHIDIADNVPEREIPTAKDCI TSTA_001330 MVYNLGRPSRDRGLCGIKILYSVFKAIGLVFKKKTHSGRSGGAR VAELQGVDENSIRRAGHWNQDAMSNCYLTALPRDFLHTMAGFKPVDLLLDLPPQPPLV QAGKQQVDTNDLAAQGFLKLLQQLCMVLLQDATMSTNQFELLDHFCTISSQVDDLISG QISFTVRANGPESGLEQSNLALAIDSVQSAPIQRTDPEDEKNMIQEQPQQASLAVFTQ MPSYKLSRTIKTVRELWAEWTIGLNGQPAVQALEQQYGAKWRSDSKERVMFDT TSTA_001340 MDCDGLERIIDYTFKDRNLLNEALHQTQNKRLALLGDKVVALML IDSWYQTGGSCYDGNSLLQHAASNEAMANRAIQTHLKDMVRRQSHQSPSTHGLATDFE AVVGAVWIDCGKDLQTLEKVIRQLYVE TSTA_001350 MTPKLYKEEEELIAKALKHYGEVECWFIDLELAIQQYKIRPQNL WNFDETGFIVGQGKDEAVVTAYPKTSKRVSSLSSRESITVIEGINAEGKIIPPLLIPK GKVHLEEWYRHIKDDDWLVAPALNGFITDKIAFKWLQHFDHFSRPGAFPDWREADDTI PSSPTTKSISPPSTAVKLRRYVNKIEKSIDSIKDILDEVSPGLSRRIKVVNQGSLTLA KLGDLHRESFAKVRDIATRKNQKTTKRQVKASGALYVKDANRLIKRHHDGDLLKIYKS HVVGVPQPMEEVASTEPQNSGFFFDTQGDR TSTA_001360 MFISNGLAILFLTSTAQAQTSIGQASNFNIRKEVALSCGCNETC QEVLTLTNAGDLETLGTAFDFDFYNTADNFSTSTPGDLLKLAAINSSQLDVPAGMATF RFQYTSQDLDGSPVPSTGFIAFPFEKPAHDRKIPLVAYAHGTIGVYRGCAPSSSPNLF DYDSWSPLIFRGYAAHANDLYYSVQAAHKAFPGVFTNEWMSIGHSQGAAAVWKLSEHP LVQNASSGYLGTVAVSPGVKLYDTAKVVFDSIFPRPDFHQFVVAAEMGPLAYGVMQAF PNYTAPWLGEAMRRRISLTALAQSCTLAFMGMSFDLTREELIAPDANPAADETLKQFQ SINAPAQGDSASRPLLVIHGWNDTSVLPEITVEAYHDAVATGNEVHLLRYPGLDHSAT ITASAPAWLKFLDDQFAHKPGRGVSTDVTFQPFDLAVAKTPLELPLNEEPLLGFLRY TSTA_001370 MRTQVELQAIQQNCHALIPGLTTAYGYTPSQAAGIAFVTLFGLS MCLHTTQMAWKRNWWCLVFVLGCLNLYSDHWYVDPGSSAVRSLPLMLGTTHLAPTFFT AGIYIILGRFINLLGRQSSVMRPSLYLWIFCTCDVLSLVVQAIGGGMASAEADKVDGN TANGTHIMVAGIIFQLVSITVFLLCAADFFCRVFRHKDLRVALASLAPLFSAMVFSVF CIYIRSIYRTIELLQGWSGYLITTERFFIALDGAMMVPAVVIFNIIHPGWFLPRPSMS RDSGDVEPYTTVDIAKAHEAHNLTQPNPQMGWALGPVLEPMNPRWAPNGPQTVQTE TSTA_001380 TKILPIRDVKTRWNSTFLMLWRTKRLQAIFIPFCTEWERPNLLL NNKEWRQVNYLIWITPPFYEFTTELFKIKDMTTHHVFKIYNLLFEHLENAIPRDLYAV STMLAPDNKFKFFQTKDWDNELLLKKGKHQPAKPKDEFTEYLDSAIAALTRDALLVPA TSTSIKRLFNIAQDICHYCCGKLHMNQLVIMKSNALKKKEERREEDGDDFEDEASPHP VVDVSLPVIEEKATQVRQSVRSRKRPRQEDNQYIYHEEK TSTA_001390 MEGASCLSPMKMGPFPIKRRVGNLAYKIDLPLGLHIHPIISVIH LEQAPKDEWERSIITTILQDVHQIRLPFKVKEILDKKVMPIQSGSKRKIWFYLIKYKD VRNTSDASYITLYLFTTVRPPFFWGGILSRDTT TSTA_001400 MTEFNDDCKEAWDTLKDILAKAPVRFLPDLTKPFLLYTDGSKEF SFEAALHQVDKNKVATAIWVIIKLRQYLDKNKFTLYTDHATRDSLNGPYTLHTNQMKK QAEPENPIKNRFKLDTATRLLYMIWENIERLCILAKAHKLILMATHDYKGHPSIQKI TSTA_001410 MPIPFKDNNLDGLKTSPYQISHRDRAASNKILDALQKEGQIEPV LERRHLQGG TSTA_001420 MRVRQQSPIAPNFFLAAKGPDGSASVAKRQACYDDALGARGMHS LQEYGKKETESDNNAYTISSIYHDGTLKMFTSHLSKPPNSDRPEYYMTQINTWGMTGS IETFREGAIWYRNGRD TSTA_001430 MSTNAFTTVAIAGITGGLGRLIAEYLLAKPNVRVHGLCRTPSKL PSQLHNEPRLAIHTISSTDITALRVALHGAHVVICCYLGSHSLMVDGQKLLIDACIAE GVPRYIAGDWSMDFRKLELGQHPPKDPMKLVQAYLEEREAEGQIRAVHVLNACFLERP WVGMWDAKGHLFKYWGTGDERWEFTTYRNAAQFTAEIAVDQDAVGWFSFRGDHVNIKE IVADFRDVYGEEPGLVQLGSLEDLYKLMHETRDQDPDNVMAWIGLFYTYYSLNGQTAL PLPLHNDRYPAVKLVTVRDFFAKTPKERIGRVGILQS TSTA_001440 MPLQKDPLAEKALLIKAPREDKHGKISPLNLDDILDDIKNNKGD FQEVTKKVQNAVEAGIWKIDKFTKKMDLNIIYYIAAVLNLQVKISFIRAQMSKSDVDV IVSDIHEYIKKQYSASPTSSSSAERPPDSSPEMWSHSMIEDGDPDWILKWWKVNAFNY PLMLKAV TSTA_001450 MTLKSLGLLLAIKAKPKTGFNTVTGYKKIDLTLWEKNAGPGDSV EALVNYTIRAMYEAALHDYFEEWTLVEFNKLDRTTRSKLKDFLQIRGVYLDHRDIPPR WPDDMIAGKKFDSRSRMALGQQAQLTPRSDTTPPAKRIENHNRDYLIRLPYSDKEKEE TTLGRDPERRINNNPLQLETHVRDLDSRTPLTGANAVPIGTPAPSPIKISTTPPPRPS MSLTSARQLNEYMRLPPTEYEQEDIDPSLAAKFSKAWDKAESYSGERYDILDDKVLAF LRVCRLIGVQLTQCWILFPEMLSGRAKTYYMHHIGQDASFIDTYKAIKAYFDTDSNHQ VYYQDWTSTTLKGVLHNNPSKTLVEAVEILIEKLHLCQCALGNAYKGQEHLVAAVTRA CQDSPEMSDALSDPATNFEMLVSRLRARAAVVQGKESASQYLTRVKANSAPNGIGEDN PMTLYTDRKFLGRINQNNRQTPRQGYRRQGRDDHNSRQQGDRKCWICHRSDCRLFKHS NKERRRARERFNDYQRVDGRRSASDRTYRAFIMDFEKGYIIESDSEEDNVEEEDDIED DATAYFMINELQDHSFIHWISGYHDDIDQEGFHHKLEVRELDQSERKDGLLEPASQFV LEHHEGEIFQGILPDTGAAKVSTVGRRQLAALQRSYPEITIDRTRAGEHSI TSTA_001460 MAKLRRAMAENGPIITETLNLVLGSEVKVWREGDGWLGPYKVIS VNGHDVTVDLGNGAVAFRATLVQQYLRDSKDESDRLIRLPLSPPQEDLNRQDGRSQVD FDQTPRTRARVRLQDHPANLNHHVETGGVHALQTPEMPALPRRRGRPRGSKNKPKAYA EVFISKKERDDLELAVKLRREGKITTNGAPFELSGKTEIDSLIANGTFKILHHANMDL RGIRIFNSRLINKIKGKNEIPYKKSRLDKILTQAPTIQRASQCLLVSLIPTLIEMGMV VEIRDITQAYTQAKTKLERLIIANLPIEMQNKYPPDSLLLVEGPLYGIPEAGAHHLNK LNMETSTYDLCLLISKLGDDEFGLVGMQTDDTLLICTEKFSRGEQAALQEASFKAKPK TRLSETKPLEFNGARITLQNGIINLQQKGQAAKIQPVGMEERAQKYVEQRARGAYLAL ICQPEAAYDLAVAAQLQEKDRSNSDYEALNKRLIWQAQNPERGLHYMPLNLAKARIMV FTDGSFANNRDLTSQIGFLITMVNKDFSQQGCFVATGNILHWQSAKCKRVTQSVLASE VYGLTAGFDHAFTITSTAKMITSRLDLPAIPVIICTDSFSLYECLGKLGTTKEKRLMI NIMALRQSYEKHEIHEIRWIHGDDNPADAFTKSSPNKALRDLVDSNKLIVCVEGFVER TGSD TSTA_001470 MIRTGEQILGKKFHIRAWRQITVGIAIKKFGTLASQFIEDSLDN EDDLIEDHSGSMTAVFHYQAAHTPHTGNQIYGVTVNFRAGITDAGLQEFHQASETWHR LIKQPSQYSIPSPLKRQRPTLFTPQASQPANVNTEWEWDESPSKRARSQALESTLFQR FHRCHEPRQGERRWTMEQAQTILKRMYGPEAQYRTSNQQQALQYIIQVLRTNEGKSLL YLLPCQLPGARTTVVVLPLLVLKQDMLLRCQNAGIEVTIWNQQDESRHLGSSPLILVS VEQAVHINFRTFLLWLQLANQLDRVVFDKCHLTLTASSYRKRMALLPTLRDIQCCRIT RFGTGYQLVQRGTCVPRLYVASYPPSPLLLGGSHQYQEFYTILIRLINRQEVTSKIVS KPGLL TSTA_001480 MSLLIVNPQNKHHIRENISAEPKPTFWSQDCHLLRTSVIFCHYI VHHGYRETRPKSSEHYRPRRQTRSSLAASTQRNSQKAPEFSDDAFLPSANTEDIEDLL ERPTKRRRRNNDPLTTTASTVTTSTLVREEVTIRAEIRDDGFIETQPPSNWEIIYDIV KKMRTANPTALVDTMGCAELHWRASSPKDQRFQTLIALMLSSQTKDTVTAVAMQRLHT ELGEGEQPNNPSPSKTLIKKEENDADSIKVALEKKPSTLTVQNVLAVSPERLNELIRS VGFHNNKTKYIKQVAIILRDKYESDIPPTPEELMALPGVGPKMAYLCMSAAWGKYLGI GVDVHVHRITNLWGWHATKTPEETRIALQLWLPRDKWHEINKLLIGLGQTACLPVGRR CGECDLAGTGLCKSEIKGLVAKTKREVKEEAKVKIELHSLRFFQVLCIRDK TSTA_001490 MTFISPPTPLRVCAWSTLCKQLLARLQALIPLITDICRIAGTPG LSLGVLHHGQVVYQANVGFRNVEAELPTDNDTVYMIASLAKPLTAAMVGILVDEGKLD WTTQLQDVVPEFHRQDAAMNITVTDLLSHRTGLPSYDALWLLTDNKILLDRADAISIL NYVPAAMPLRTEFVYNNMAYEALSQIIEKASGTDYASFLRDRILEPLGMSQTFHPHPP MDDANVAVPYTALRNATPFQIPQPLCDRNILLGPSGGIRSSVRDMLLLYKAFIDAARA EFGRFATTTVPQNPLKRMKYLWRGMISLSVPTLREYSYASGWFRAQLPAPPPLVYDDG PGINPLIGEGLPSRLALLHGGFFAGFTSWAAVFPETDSAVVVLSNSMPLADTTRLVGQ LLIEELFGNTINKTQYIEYVKSTSERIGSYMSRIKSDLLRHKTVETPAYPLQAYVGTY YNAVGNVYIEICETPSGRLRMYFMGSASDGFDLKPYQHNSFFWWMSHDEMAQRSRYTT WPKEYYIIKFEHVDDGSGARGVFGSPINSLRWKHEFAIDDDGELFQKSDYEEMSGLYE QQQSLFG TSTA_001500 MGQAFSRSPPAEVPSAANDKEPLPSVLQGDTQYGDLTDNKGIVT GGAPVRPPRRQPDKADTIPLWRNRNFHGRTADLEAIHSALSGNLTDPTSAVHQNSCLV YGIGGIGKTQVALEYVHRYRDSYNYIFWIRSQTEPEMVQDMTKILDILNLDRPENAGS GAIADVVRTWFTNTTESPWLIVFDNVESLESLTPYRPRSNHGSVLLTSQNAGFGSQTT FSIGLTSFSAEEAAAFLLKHLKTDGMTDQHDDAIALCKELGGLPLAIAHIAGYMTASS EHLSPRETLDLFKDLLESNDVFNSKPNTTFGYDKALNAVWDIALRELDADARKLIRVL SMLNPDGVPEDMLKVVTSENGLEFLANRRKTQFQALIQNLSRRQLITLAKPSGKKVLM THRSLQRSILHSLDGSHEDGDNLQSIFEAALALLRNALPDHSAILHPKNGLWKMMELY SPHVMSLRKVYAESPHSLPPSIEFARVLSNVANYFWERNLFSQGVMACDSAEQICAAF DGQFLKDQSDVYAFGAAIRSNEGISSRREFCLRLTKSLSLRQQYINGLNAEDITIEDV AHYAGSWSDVGTALMEWGSFEQAIPYFDFAMAIRDRIDEDSYRMNMQNIAMKSLCLAE LGRLEESMDLIVPDSEFETWPKEFLDDVLLTLLTFKLCWSTIYLRAGKVEKAYEIMCN ILDVRLRCFGPFSRATLDVYYLLAMIERQRSDPSAAEDWLRRALAKPEEWTNESKIRA QYHLGKLLLLKGDQSSAEVLFAEARSGKIHLLQRHGSYLPKDFDHDDDAIYDHLVHIG AGRIAPSEKIPALTPKMDKICLTMKQNLEAAFTHDKVIAVGEIFQYLRYTGEFPQEFP TSTA_001510 MQEKPESKSQLASFQYLVEQPSYAHEWPYWIFDDVPKGTKRGNL VIKNGPEQFVEDVRNSEEEFHLDVQGFTFKENSFSEPIEWNRREDIEQKYIPSVKALL KDVLGEDIVYCESICYRLRDGASGQVLREDEQYQPTMTYISPAFQLHVDYSALEAFKR MQNVFGEQADELVAKHRLRLINVWRPLVDVVEDLPLAICDARHANPEDLIEVAFIDGG IARYNYMGRYSDNYRFCYLSNMHRDEVCILKNFDSRDGVAKRAPHCSFKSKFASPKSS TRQSVEVRLLVLSNPA TSTA_001520 MAVTLSDRAKELLEFESQYTAGGFHDPMPAVITKAKGVKLWDID GKEYLDFTCMLSAVNQGHSHPAIIEAVVKQMHDASLVNIAAHTAIWPPFAQMMCQRFG YDKILPLVSGTEAVEAACKIARKWGINVKGIPADELLILGLSGCFHGLSIAMWSLQDK TPKRAAYGIADPRLTCYDPKTEEPLSYGNTGRIKACIEHHHARIAAVVIEPIRGQLRT FAEEISYSTKLYDLCKEYNILFIADEIRMGCGKTGRFLSSDHLGASRKPDLIALGKSL SGGVYPVSFVLGRSECMDLVGEKEIVSTYSFSPLAITATTAALRVIDDECLIERAGQI EKKFLEVAETWRHPFIRYATARGADFFIFFWRIDQNTCRRICEICMHNGLLVYPNGLG IRMSIAMVITDEELRAGLEILSNAFDTVFSDLTRYRALVG TSTA_001530 MSLTALAEEITKHAKILDSYITTHNLPQPSFEADGPLDFPISSI TGDDEESVQLQLSRTILLNACQALYNLTIGPAASVVSSAQNHPYELFMLSTLHHFAIP QAVPLEGGATMAEIAAKTGLEEDMVSRIIKYACSYRIFREIAAPDGNLVLHTAASRAF VLHDGLRNSLDICLDERFRDMASMLLINRSPREQTEKQSEEKLGVDDGDSRGSNSGLA AFNRAFQTDEKYHAYMRNPEHRSVLLAMNGFLDYVMGATNMGGRNHDMDMLANGAIDW DSLGEALVVDVGGGHGNVSVSIAEKHPKLRFIVQDLPTVVSNGKKKIYSTAQRDPRVA SRISFMAQDMFKPQPPQINADIFMFRFVINDWPDESAVKILQNLLPAMRNKTGCRVMV MDCLLPEAGAELSIVERLERTMDFSALAIHKGKERSVQDWRQLIGKVDSKLEIVQVYT KAHNAFGIIELALKGGASKISKTDTDETYTIFEKSLIVHWPYWRWGPHLWAPIAQIPE TNDVQFEPLQTDKNRPPQLRIPSSIDVTDPYQISSLIFTESLWRVLADNTNLYAYTKE SKNHNLHHRSWHPTTPEELKFHISAPPEVPGGFISTFYPPEPTPEQELRMSEEQLSRI WWHKVHIVLDMLRRASKNLDIPSANISIDEAIVRSHGRSSHTFKLPNKSISQGFKIFV LADHGYVYYFYPASRTQGVIEVGKVTSLTKTGQMVYELIQTLPRDSYNYNVYLDNYFT SIDLFKMLRDIQVGACGTTRAISAGKDFPDLLKKLKDLSNYIPYHKLYAIPVRDVLCV AWQDNNIVLALSTIHTVNKTEDYVERERRRPQKTSTNGPLVHREFGVQAVKNMLIPRL IDDYNYYMGGVDIANQHRAAYETHTKTFRSWWPLWNWCLDVDIINASKLHSLRCKELG IASLSHVQFRRRLSKQLLSFRPLSTVYQMKPMKRKTEDLQLPEHILLYGVI TSTA_001540 MDAASSFGIGEPLSNPPEAKVDIVFVHDLGGHRINTWTFEQHKR IPSTFWPKALLRKTCNTSRILSFGYNSSFESFFPPSTDDKKIDDHATALLQCLEQLRS NTQTLGRPIIFVAHGLGGLVCAHALTDKHVANRSQYKPLVDSTRGLVFLGTPFEGSNE AQWASVARHFVKLTGLNTKLDHLDKRSQKLISINGTFIKFLLERLRSTAPVEIACFNE GLPTYFEDGSSKEIVSKSSAKILNIEPQPISADHTDMCKFWNASQDGYTRITKVLGDW IKALEPRSAKDNAKQPSVEMGAVYYSGEIRNNRGVLAGNVLGTTSDAVKITGSSYTFG PTTNAADVMRALDE TSTA_001550 MPPLKALESCNLYTVGWITALPLERVAATAMLDEEHEQPLDLVQ PIHDTNAYSWGRIGEHNVVIASLGAGVYGTISAATTALPMLSTFPQIRIGLLVGIGAG VARPDEGLDIRLGDVAVSQPHGNNGGVIQYDLFKAKSGNQRESRSFLNRPPEVLLKAL AKLQAEHERRPSKVPEFLAQMAREYPRMANSKNGYVHQGFEDDRLFKATEPYEEILRE KRDSTEPQIHYGVIASGNTLFKDSVYRDEILKDVGKECICFEMEAAGLMNNFPCIVIR GICDYADSHKNDRWQRYAAATAAAYAKELLGYVPVQDLQRTQKAIEVAEDKGHQYSIH IGDTKYQGNITNNSGVVMGNAYASAKDGIRITGSNNYYGSDWNPSPTYE TSTA_001560 MRESKGGIDWWRYQKEVLIPKLIPFARDCMMERPNTIILEDGAP AHRHHYQQLVHDKYHVQKMLDWPGNSPDLNAIEPTWIWLKRRTTVRGAPRDKKTAKVA WIKAWNDLPQKQIQDWIERLIRHVQEVIRLEGGNEHCEGRNEKDKRRNWKGYRLKGKL SRVRI TSTA_001570 MLSNSFSGSSKKPYYMRNINRLLSRFDRTQIYEILEYFMLENVE YGRGRYAPTPEFAICAILYHLAWPTRLYESQLLFGRSATYISVVLKLNLRYLAVRYNH ILDWHPSLTYERMATHASSLERVSRSAIRIWGFIDGTFREICRPIRDQEIFYNGYGGA HGIKYQGIVAPDGLILSLHGPFPSSMHDITMYRSCGLPEKLREIMKQREQLFLYGDIA YTSSFRIITPYKATRPLTREEKRLNKVLSSDRIGIENAFGPINVPYYSLWLFIIEYLF Y TSTA_001580 MTQPSQDLTLTHGFGSSHDSNVYMSQYTSQGPMPSSLPQGPVGL GQYPPQGPELSSQTQASVPGNPVLIQYALDDSARGGRGQTLKDWERLELLKICVENQT VLVTETKTSYWRQVSMRFEKQTRRRYSAQSCEKMVMQLVQSRRQYLKEHVTGNSVGEY TEITPFVDEMITVLDQVDENIRLKAQEAKERHEELEQDLQHRDEIMQHPPRRHVKSAN RGAGHEVQHPAAPNLIQMRRFFRKFQEFERQLLKIRQTIGELPSLRINIVFRKR TSTA_001590 MAVALTRIGKLTPEIQLAQAISEFEAILTLDDKSVLRNLKNRHP PDAIDIVRLTAEIDRSSQFSRKCVGTRFSNVLRAIQAFTSVGDMVVGGSQNMMASGLW ASVRFTLQLATNHVSFLEKFSTLFMEIGRSAPRYQELALVYHRSSDLQKTICEYFITL VQLCTKAVQFTRRNGLNRLLVTTLRSFDSEFGDSIQKLGALGNAIKEEVIFLSAKTQQ EEARENSMFRSLLLDRFDKSSEMRRKLAAKLSLLDACSAYDYASTWRRIRKKGKTASL SDCNEYITWRDNPGVTNPLICFGKLGSGKSVFTASLIEDLLLSVPKGATAYFVCRHDV TESLQSRTILGSLIRQWLEFMDLKDILDAMDQRAQLLPHTLNLDELVSMCRKLLSAKL QGKSMFLVIDGLDDCAEREKRIVMQHLHDFAENSTLRLFLTTRSELELTSSLVDKHFP RRSKMQISLQENDISDYVNDELQRRLESGSLALNDPRTIVDIQDILIANAHGMFLWVV LQIDSICNEESDDAILTALRDLPRDLPAVYDRILHRSSLSTYSKKLLQIISAVYRPLT LWELREALSVRPGDDTMDEKKFITDIQKTISSCGSLLELDDETLTVHFVHPSAKQHLL YPDTAHTSNLSIRFSNVEASCLMGGICVTYLNWGVFESQLTSRHTPWQISGSDAVSAS VKFPLRSASVSRKVAQMYLKRTLRLSSSTSASSFDFKGALMEAYSGKDKKQPVEEFFF LSYAVKYWLEHSGKLNNSMGRVWTLWQKLVNNPPSIITSFPWDESDDHVYLHAQMRRA QWAFDNRHQALVLFLMKNDKESYLRLVELIEETALAQQLKGDWEIATDIRHNIVESLA SAFAAAPLDVLRATWNLALSYQATEGNEEMLESLLTDFLLKLKMDYSPFIDSILDTSA AFQRARNHTRHKAIAEQTLNLAYSMSSRLLGNRDVNTLRLRHDIEHFEERHAKSLAL TSTA_001600 MPPIRKKDPLKSAQIEGKIQLAISDLKNGRISNIREATRIYDIP CTTLRDRLKGIEYKGEKRANHHKLTQSEEDSLVKWVLDLDRRGLPPRHSLVREMVNYL LQQHGKSQVGKNWVTNLIKRRPEIDSKFARKYNYERAKCEDPKIIQEHFDRVRAAISE YGILPEDIYNFDETGFAMGLCASAKVITGSDRYAQPKLLQPGNREWVTAIEATNSTGW FEDLPDDWRINISDNGWTTDQIGLEWLKTHFIPNINDRTMGKYRMLILDGHGSHLTPE FDRTCTENNIIPICMPPHSSHLLQPLDVGCFAVLKRYYGQVVEQRMRLGFNHIDKMDF LTAFPQARTVAYKAQSIQNSFEATGLVPFNPDRVLQYLNIQLKTPTPPPSRSSNTASS CLQTPQNIRQFVRQSTTINKHINERTGNQNQEINQAVIRLSKAYEILANDTLLVRKEN HDLRAANEKEKQKRQKSKKQISIEHGITSEEAQALVQGQVEASQAVTAAPVEPELPAS QAVVRRQFRCSGCGVEGHKINRCPNRTTN TSTA_001610 MGFLRRVNVTTESDFPAGDPIIRLEFGSNSDFAGDGNATFVLDT RDPNRNSTGYKIYSSEWFPKPQLIRSDADTILIFLSANGIEYRQPEDDPIFAAHDGAN DVLRNTSPMNDAQYGTVKRIAESVRRSTLGWIITSRQERSLWASTTVSGIEQTYRLPP NQWQYEVLFWATTTFAYIQRSIFQYAANHDPDWNFLVRPADGSWDKDMCSIQLRQLPS EYTSFSFLGIAIIIVVGSILIVTGWSVDWIMAHIWPLVHPERYSQRGEICATAWNLDN KFQLQRLAFEGKSRGDWKAPDSRIPRTRTLFPTPTTVCEIASKALTVANALRSLGNTI RGVKPNLLQQAVSTCVLHKAYYVILAGARALLPVFRTTPISVLYREFRFSPPEIELDQ IALLASVRLQRLDPYHPLRRRAEQIARDGRQTSQFTRRVLALPNSEQINPLQHAPWYP RESRESAQARIGASMGRTKEQAAADFSVFQNTIPSSDIIIFLDGSRLENGHAGGNYVC FQAHHQFLHSTLSYGLGKEVFDAEAEAALASAQAAIAYPTAQFATNLWICLDNLEVTT RLLSPSKGSSQEVFESFCTLAAAWPLRKRLTHTKTDLVAKEGAASIPLSPHKSSYVSL KRYAKPQSLSAAQTWWQSVALQTYQDLKITTSPKRPGELQLN TSTA_001620 MTMPPEAVSIEMLEDYVQFNCCRMGNAQHRDRIEDVGYLRPLAQ RWQDEIRRYASSQHNHTTTVPAPDEDIYIRHGYTTPAPSTPSYTRTLNTPIGSPSYYQ YNTATAIRSNAAPFTSVASSTYYQDGYATPAPSTPSYGTTVYTAASSPSSSQSNVGVV DNSNSSYYQYSFPVSSPAPQPYGYSQRYDLRPREGNLHQSIPQAPPSEFRPHITNPLP SDSISRKIAEPLEDRDFETGSLYIFDRASSPGHVKIGWTASSVQRRLEDWSKCGYIPN LLFSVDCIPHAQRVETLTHYELIKQWRRERRCKADWCQKSHKEWFEIDKEQAKQVLRN WADFMQYAEPYDAAGRLKSQWREIVKMMEGYGEMVTATKLLEHYEASLTKKSTVAETT RPVSIHTPKIVEEVVTGYKPTIRRLEAPKEEVVRVDSLVTEQPTLPKEAPLITGQPVL KTKPDIKSKPQPSRTLFSAGLIKEAPSLKPLPKTEPILKTEPVTKTEPLSKTEPLLKT EQLPRTQSLFSAEYSTPLKDKPLFEWQSLLKTETPTKLEPLPRKQFSFSTEQHTPSKT PLFQWQPLLKSEPLFKTTPLPRTEFEFSADRQTPSKEPPLFKWQSLLKTEPLFKTESV PRTFMFSAKQPVKSEPLFNSSLPVNVETLSKAEIVIKSEPVDDEVVASEVTPVKEGLL PEQIPLPPSPLLQPTVFGEDTTSLKETNRNSDDGNAKEEDSDLNSTVADTLADSKAGS SGPSSARVSRSVTPIESSLEKHAQNSTDTTNTESPPSESDTLVPLVTWTSEALASMRS DSQEAVVKTPTSTAQRPKTPEDAGQVEPDEKLHGCEEQICKEKDEITAAVTEVELGLT GWDEDETIVEEQSPKTLEKVALEIVDGIYNDISTGTVNGALKELDKLKVPDSEMQPAI EMVLQA TSTA_001630 MSSQTVSWLPYEMGTLPLRFLILQESNSIAASWTRRLYSEVTHV GRVSGARLAELNGVSEDQPLLTTLLVAPSPANSCLGYIVLPPISEAAFSPTNCGESGL LGSMDSLQLRGLMSSMALAGAQG TSTA_001640 MRLLTFFLFAAPLLAHPTVNADEKAQLQERAPSFEWTAWGDSYA SGVGSGSYTYGRRCLRYDQAYPVLIEDDPDNLLSGSGGTFNNVVCSGAKAEEVEEYQF YTEDQDSGQPNWQFYPRPSSGKPTMGTLSVGGDDIDFPGILNNCIMDGFPWPLSSGFT QRTCDEQRDLSWSLICQDGDKNTPSIDLISKIDSVIKKIVQYGQSASGSSFRLYVTGY GRFFNDQDTGCDTVTFARTANPNPDRQQHTLLTTDLRSDFNAMSLTLNAAIQQAVNQN SGSNVKYVDIDGLMGTGHRFCEPGIQEPDQNNPNLWFWHYPYNQNDDSTNPTIAYLNS VEQNNVNTLAWNQSSTLWTDYLADFWTKVDYDQLNRTVGDNATAQLDIWPDVIGYRAK VFHPQVAFHQAIYKAIVTQYISDTKPSYAKGTCCFHLDEWENCNPESDDLYANITLLD NNKNVIYQTPGSDFQNGDLGDPINVGNGTTVQGPLPYSLAITGEHEHDYIQFTYGSLS WQSKEPNGGAQCTVGGWNPRDGPECEIIDYAAAENQMDCCFPC TSTA_001650 MAPSNLLSVSLIVVTIISYIPQYQCLLTVGSSAGISIASILTMT LVAQVQMATMYYLFKSAPLMEYGVPISTPPSTRDWLNLSQILIQWICSLFLFALIIYL PTSTTRPGPASSVLSKKVAVSLWILHVLSFILSVVAGGRPQDVAFNIIRNINATVINP LFTLMAVVAFFYQVRVMPSAGHPNALSNWTLGLHFFTFLLLAISWPFRLILPPNMWQL GSKPAILLEWYPWVGWACVNNAIFAIDQGMILLYSGRIGFDGTIKFTDERRPLINPEE RTLDT TSTA_001660 MGRTKEQTAANFMAFQRTIPSSDIVIFSDGSRLADGCVGGGYIG LQAHHQFLRSSLSYRHGKEVFNAEAEAALAGAQAVIVYPTAQFATNLWICLDNLEPLR KRLPHIKSGSIQIRWVPGHAKIPENKAADLAAKEGAASIPPAPHKSSYALLKRYAKTQ SLSAAQSQWEKVAPQSYQDLEITTSPKRPREL TSTA_001670 HAPDAHEIALTLAYTSNIDIILIQEPYTFKDLSQQITKKHPSCE CFSPTDSWAISGRPRVLTYLCPFTTDTKEASDLLFFQIFLPTGKSALIVNIYNAPAGC SRAGEAAKALTTLPEVYFPQTTILAGDLNLLHNRWQPSLQRSPTTFAELFINWLDLQG LVLISDIDYPTHERGNVLDLSFASSPLALAGAKASIASHLDATSDY TSTA_001680 MDAREAMSLRLTSEPFLLGTLDAIRARTKCPLCSLVSSTIPQES LVGIPEKETTCHLIWELDGRYRDSRRPQTRRLRIRWSEKRFRAHEAYLVLSAPSKYDK SDLDYPSLLNDETQFLGRRIGPTVNKTNLIREFLRLCENSHDACCTRQLGIEDHFADT LSQPYFGVIDIRNESLVPLPTSWENNRLKIESYATVSYVWGKSRDHRTTLANIQDRRK SGGLADTISMFPKALRQSVRLVSRLGIRYIWIDCICIIQDSSHSWNLNARAMHLIYGN STLTICAADGENAETGLLAIDKKHSTDQKIAKCAPDVHLVLHYPPETSIETSVWNQRA WTFQERLLSRRCLIFTGGQVYFQCRSTSMSEDIFADKKGEGWSLDLNGAPLQTLTQLS HRGLWFYCNCVRLYTNRSLYEPFDILAAFSGMCRLMEETFRSPFIFGLPTSHFDFALL WVPSGRSQTLKKPVSREEMYKNMKFPSWSWCGWKSDNGIKYEHQFVEGCVADICAWLK DHTWIDWHVRDGYGTPQRLWDKDWASEDASADGRWKGYAGPDHDSQLEDKSDDSSVDS SSDDSDDSKQEGSQILPSETQRHVYSDKVTTTFGYDHRRQSTRFHIREDARSRRRSPP VPRPDRPALDPFGRPVESRALARTKVFSETGVEFLPKTNFVLTLPEYPFHVPPAESKC RNGSHREFPDLPILQFFTWWSQFYVIDISSEEVTDTADQGLLNGVPSQKEIDDGNSDT DGGKPCRCHIIDQHGDKCGSILLDSQWLKKQTISKFEFIAISEAKTFTPEEMPDWTYY IPKERIDSEWDVYFVLLVEHYPKEGLWRRVALGKVFQAAFDQSLCWKEIILG TSTA_001690 MVDPLSVIGSAMAGIQLVSAAAQALLATIKLMNDLKDVPERLAL LLNEVDDSISRLCYCCNEGSKLFHNLDPSQQERLSRTTSAIYPALQDIHKMLTPLLGS SRTRASSVRRLWKSVLSLKVEKELLNLEVIRELGIVGLEVQVNTQGIVIANDLTSRDG FSNIEAKMDLLRNDFQNFTISMHRATPVTSKTSGYFIDPEKQNLSLADGLNENSWSLN SRPDHYSDSISGSTLGTQTPPEGESLSQQKAEQLRRYLAGRFETAIISGPNQVSTSTL PNANLEFVLFSIRTFYTVGNFDASPTITKTKFWEDTDLAIYLMKASKGDKRGAGRSKV RAFQLLKKSTVDTTYTMSQGTATILIELLSTLSPINTTACPEVRKNLIVYLSEQARTQ LSCNHPIALVLHALKNDNGDNDLALRALTFITERLRATLGPIHDLTQLATKRLCALLR RSGDLSETLRVTRDGIRAIRALQAPGSLQERWLSRQLEHVYTRQCDWEAALSVCFDII GQQRLDTSEPDPLYHDECAVYTMEDIAETCERAGNLGQAVAWLKQARISGGMVWGNGD ALAHIQDKLHELLRQMGKEDELRFWGVSSGPVTNCE TSTA_001700 MRDFTVVIKPKSKSPLERMPWGGATSRVALFSINSPLARLWRKI PPTMQAVRLHPAPASSPAYSPLNPAPSSALHLDKDLPIPKPSKPGELLVRVRAATVVR DMLTWPETYAHDYPILGNDLSGVVVETFSQQSSFKPGDEIFGMTDGERPCTWAEYCIV MEDEVALKPKGLTWDAAAAMPLSAQTAYEALYDHAGISVPVLGDNFRPGGRRSLTSEH KQRLLITGAAGAVGIYLVQLASISDLYTVAATSSNARNKEFLQTLGADETVEYSALLG GNKKSFDVIVDTVGGSTLLGCWENIKEGGTIISVDSASYDFVADHQKRSLSKEGINAL FFIVKGGKRSLEFLARLAELDILRPFVLQTYPLSEVRQAYDHTNGRYSGRGKVILTIN TSTA_001710 MPVVFQEYFEQKLREWALQPDLDLEGYELLPLHVPLSIETHYID GVFWEKSQLRMQLDFVAGRFWQGGSRKNGLYPTTPSERYQEEWRIVTD TSTA_001720 MLDDGLKAKAQINQFILLQTDIPSFTDSDWERLSQIHRILTKFN ELTLFVSKRRPQISLAIPVYYELYDLLSEGSELQGPFKELDPDIASALKEGLKKYMKY YTFMDESEIYYTALILDPRVKGDLILKELEDKEAGNLILQATRDSLHQRYPPIRSELP TPNIFNQITLDLTHSNVGSRMLQRLEPESSLSIVSDIDWYFDTPRVRLIDTSDPQWLC NWWRLHREEFPQMAAAARDYLAIPASEVAVE TSTA_001730 MSTLSNKPVPAPHQNISSDKLSLALRLHGVLKEAITEMIDFFCA LLRWVIETQQPFTVVEHPTWKELLKSLNANYPIKTADTLRNQFKEINGLHSGENLSTI VKSVLEAFQIEYKLLTITRDNARNNLTLYDYLHADLLKDFNKEDSLFRMKPLMRFQGR NSFIGCLAHILNLICKDILVSLKTGTVRDAHVILNEMPSQKDHSPETLISMKGAIVKI RLLALWISNSPQRRQAWKDISPYKQINYDINTHWNSTYNIVSKALQLRKEVTQFIREH PDIQEMQPTDSDWSTLRQIQKVLKPFWDHTNSVSKHCLSITKSLPIYWSLNDILDDIK NNKDDFQEITKEVQNAVEGGIRKMDKFTKKMDSNIIYYMAAILDPRVKTSFIQAQMSK SDADVIISNIHKYLKKQYPASPTSSSSAERPPGMPGTLWKKLKKIQPLQSADIISDID WYLDSSPEMWSHGIIEDGDPDWILKWWKANAFNYPLMSKAIQDYLPILSAEVGVKRLF SNA TSTA_001740 MAESMPLLERKMEIPLPTFTFAKDTPQGKRPQAIAHRGYKARNP ENTMSAFKDAVEVGAHAIETDVRLTKDGVVVLTHDPSLKRCFGQDRNVADCTWDDIKT LRSVQKPHEPVPRLKDLLDYLATPGLEDIWLLLDIKVLVPSLMQALVGDKDVQKTFDD YADDLHRSIAVTLADVKPSRPWNQRVVVGCWAAKYLPLCTKYFPGYPLTYIGFGIDYA HQFLKVPNVGINMFLMLIVGPRGQALLREVKKKRSDRSILLWTVNGESWMKWSIRQEV DGVITDDPKKYLEVCKNYNEVEKLYHSWESWKAIFYWHMRNLAFGLTFRYKHGFWVDL KKVTGNSGR TSTA_001750 MSHVLPRIRPQTFINTGMPIHRTMGSKSRGIADTRYTVTVSAPA ALSAVPDTASDKSHWLRDTTDRGKVKGFRNPWKSAHDFSFPEIFKAMVHHKFLSGNSQ RPDTTPPTVSVVEPTFLSSRTNPQTLRATWLGHACYHVEFPTGLRVLFDPVFEDRCSP FSWLGHKRFTPRPCDISDIPAIDCVIISHSHYDHLSYPTVLEIQKYHPSVQFCVPLGL KKWFADCGINNVIELDWWEDVELTLSKSTEKRPVTSSPTVDPSNDDITARISCLPCQH TSARSPFDKAATLWASWSIASGSKSVYFAGDTGYRAVPRLPKGVDDWGPELAHLPVCP AFKQIGEFRGPFDLGLIPIGAYMPRHVLSPVHSNPYDSVEIFKDTQCKKAMGIHWGTW AVAEENVLEPPQLLKEALTKSGLPETGLFDICNIGESREF TSTA_001750 MPIHRTMGSKSRGIADTRYTVTVSAPAALSAVPDTASDKSHWLR DTTDRGKVKGFRNPWKSAHDFSFPEIFKAMVHHKFLSGNSQRPDTTPPTVSVVEPTFL SSRTNPQTLRATWLGHACYHVEFPTGLRVLFDPVFEDRCSPFSWLGHKRFTPRPCDIS DIPAIDCVIISHSHYDHLSYPTVLEIQKYHPSVQFCVPLGLKKWFADCGINNVIELDW WEDVELTLSKSTEKRPVTSSPTVDPSNDDITARISCLPCQHTSARSPFDKAATLWASW SIASGSKSVYFAGDTGYRAVPRLPKGVDDWGPELAHLPVCPAFKQIGEFRGPFDLGLI PIGAYMPRHVLSPVHSNPYDSVEIFKDTQCKKAMGIHWGTWAVAEENVLEPPQLLKEA LTKSGLPETGLFDICNIGESREF TSTA_001750 MPIHRTMGSKSRGIADTRYTVTVSAPAALSAVPDTASDKSHWLR DTTDRGKVKGFRNPWKSAHDFSFPEIFKAMVHHKFLSGNSQRPDTTPPTVSVVEPTFL SSRTNPQTLRATWLGHACYHVEFPTGLRVLFDPVFEDRCSPFSWLGHKRFTPRPCDIS DIPAIDCVIISHSHYDHLSYPTVLEIQKYHPSVQFCVPLGLKKWFADCGINNVIELDW WEDVELTLSKSTEKRPVTSSPTVDPSNDDITARISCLPCQHTSARSPFDKAATLWASW SIASGSKSVYFAGDTGYRAVPRLPKGVDDWGPELAHLPVCPAFKQIGEFRGPFDLGLI PIGAYMPRHVLSPVHSNPYDSVEIFKDTQCKKAMGIHWGTWAVAEENVLEPPQLLKEA LTKSGLPETGLFDICNIGESREF TSTA_001750 MPIHRTMGSKSRGIADTRYTVTVSAPAALSAVPDTASDKSHWLR DTTDRGKVKGFRNPWKSAHDFSFPEIFKAMVHHKFLSGNSQRPDTTPPTVSVVEPTFL SSRTNPQTLRATWLGHACYHVEFPTGLRVLFDPVFEDRCSPFSWLGHKRFTPRPCDIS DIPAIDCVIISHSHYDHLSYPTVLEIQKYHPSVQFCVPLGLKKWFADCGINNVIELDW WEDVELTLSKSTEKRPVTSSPTVDPSNDDITARISCLPCQHTSARSPFDKAATLWASW SIASGSKSVYFAGDTGYRAVPRLPKGVDDWGPELAHLPVCPAFKQIGEFRGPFDLGLI PIGAYMPRHVLSPVHSNPYDSVEIFKDTQCKKAMGIHWGTWAVAEENVLEPPQLLKEA LTKSGLPETGLFDICNIGESREF TSTA_001760 MVLQISNNYLQETCFILAIVGIALSITATALHFVAVRRVKHRPG WEDLFSVLATFFFVLYVIPLLYLLSLMNGNSTWTDEEIVNIKKAGYAMGPQFCMQQLF AKLSILFLYYRLFHVNQRFLYCVQFLGIFQTAWSIATYIAHYLECIPPSKLWKPTIDG HCINSAAFLAGGETPNSLVDFALIALAIWMVQTLRVKTSEKLKLFVIFMIGGLAGVLG FVKIGYGFSAVSKSKIEVLDPIWATVQQTCSVICCCAPFYKPLIPDFGVFRYISSVVS KSRSSKSGSNPHPDEMDINPFFWERNRKHQCDDWIQLDGNNPQKGLSSHTLPPV TSTA_001770 MSVAPPPKSVSLGNLQLPRILCLHGGGVNAEVFRLQCRALFRSL NGHFRLVFPDAPYLSPADPGVIPTYAHLQPFRRWLRWRIEQPNPGPEAICQDIDKVIN VAIANDNALGATGDWVAIMGFSQGAKLAASLLLRQQLRAEKLGQNQTDSSFKFGVLLA DHMIRLPSLHVHGRKDPGIADHRRLLTDFCKPGTTRLVEWDGDHRVVIQPADVTAVAN EILALSKQTGVI TSTA_001780 MTTMATELFLCGPQAALPSPQELSQLRRILLDQENFRESLTSIL EDLPNLYEQLTAFDSTTKAVPAASSIEFPLQWLESGTLCVPVDDLLNVGCLPFAVLLQ IALFLRHLTENKIGPDFRQKIQSLQQYGVQSFCTGFLTAAAIAFSGNEEQLVKNTATS LRLAMCIGAYIDQNALNDDNSSLPCALSVRWKEGQFSKSQVEGLLAHYAHAYIACITD VCCVTVIVQARDEEQLTEKLRKAGIRAKSVGIKGRFHSASDHAIAVQTLKKFTQSVKA DWYTTVRKTFDTHPTGCAKVTVFAFEEGVLPISLWRRNGSTYGVNGVNNSNSISSVNN INGINDNTEVKYPPHSVAVVGMSCRFPGAADVNDFWKLLESGTSMVREVPPDRFNVNN HRLAGYQNTKFWGNFLNDVDSFDHRFFKKSAREAVSWDPEKRILLEVVYEALESAGYF GPGSQDRPNDYGCYIGAVGNNYYDNVACHPPNAYSMLGTSRAFFSGRISHQFGFTGPA MSIDTACSSSRVAINTACRAIHPFDYQNLAAAGFLSPSGACKPFDGSADGYCRGEGVA AVVLKSLSTAIEEGDHILGVIVGSAVNQNYNDAHIIVPCSSSQTTIYKKVLTMANASS SSVTYVEAHGTGTQVGDPIECQSIRDVFGGPHREEILYFGSVKGNIGHTEASAGVAGL IKVLLMMQHNTILGQAGFTNLNPKIPALEPDMMAIARTLPWKTLSKLACINSYGAAGS NAVVAIHEPKSVSSVRLGQRRNITSKLPFFISASTEGSLIAYAEKLLSYVEAQETSAR SSSSSLTDILFNLADRVNHTLVYSTAKAVNNLADLKMLLKNVITGFEKPLKGASSNPR PVVMVFGGQENDFVGLSEEAVENSGLFKRHLDECDFESRLLGCRSLYPAIYQRESIRD LPRLHAALFAVQHASAMAWINSGMHVSSVVGHSFEKGSMISVQSDYATIKSILHNFNT EVPSNRLEFACFNHPTNHVLVGTSEAVEAFKSYTASDDRLQRSLHLKCLKVTHGFHSV LAEKILLELEVLANNVQWNKPIIPIELTTEKHVDQEIGPWLIPHHMRNPVYFSSAVRR VAKKLSSCIWVEAGQGSSIMSLVKNCLNSGNQQQICCPSFLNRPNAVSSLAETVVELW KTGVHVRFWPYHRSERHHFEYKSLPPYQFEKTKHWLSFTDKPVLEPTPDITHATPAKV THEFFSFLGFSDPSEKEAVFLIDPESDRYMYLLNGHIASNQALAPASLYVELLSRAAI ILTSNTSYETHVMNMSSMQMKVKLTRITPNVDSWDFEFSSKSKQENGDVQVHVTGKVG LNRRDDPLLSDTIMQWSALIGYKKCLSIMNMEDGEKMQGRHIYQALQKLILFDEMYQG IKSISYHGHEAAGKVAAKLDPKLSPNEALYDTPTIDGMMQFAGVLVNYFAHPSGKDVL LCQGINRIVTGGAFDITAGEWIAYSLLTEDNQERTVSDVYIFDKKLQKVVIAFIGFVF TRISVSSLQRSLRNVNTGGPGTDPTPVPARATPILDTRISGASASDCAKSSRAPQVLE LLHNVTDVPINEISPDTTLEELGIDSLLVTEVLNEIQSAFGLEIDLNTFLFLPNVKAV CDHINSVLEVSAGTSTKSAAQIADSTPSLQRAQKVFANCKDAYERAAIQTGAVGFWKK CYPRQAALVLAYVIEAFAKLGCDMATLQARDVASVIPHSPRHSKLVRQLYRVLEDAGL ITFNEQGQFVRTTKVVDSTPASTIFAQIISEFPLHASVHKIVGVVGEELAECLTGEMD GLQIVFGNKENKKTLDDLYENWPLVRSGTIALGEFLEKAMAYPNKPGVFRILEVGAGT GGTTKYIVRHLQNLGVPFEYVFTDLSSSLVAAAKRTFKDCPEMQFTTLDIEQEPQASW IGSFHFIISTNCVHATRNLTTSLTSLRKMLRDDGVLGLVEITQNMFWLDIAVGLFEGW WLFEDGREHAVTPEWLWKDHMNRAGFSAVDWTDGEEPEARTIRVIAGFPTTLSA TSTA_001790 MVGLFQANPPTVTTHTPINRKLGRDTSQRIETIYPFVVPPWWEP PEARIDDTREEAIKAIEAISGTDTTIQFFTDWSGFDNGIGAAVYSSIGQAYKPVGSSD THTVYAGELEGIDAALEILLRSQPCDDNPHEATIYTDNQAAIRATCQPGRSSGQYILR RIVRHLGLLRDNRSRWRVRLQWVPGHEGVPGNEKADQLAKLAAVEATRRTQENARIAR ISAPNQTTPHAARMSYIPNQSTILMAVCRQRLHAGFAKRWKEQWEHANHGRHLYRIIK APTKMVLQLHEGLRRAWSSVLIQLQTGKSALRSFLASVRIEDSPQCECGLGDQDTAHV LIRCPTHINLRMETLWKEARETDYRKLLSEPQWVRQSIEFMMRTGLLTQFHHVTPLTT TRS TSTA_001800 MDIIIKHFENALVEYVSDKEFCARIQNGWDAFDKYYSKSDDSPL YAAALILHPARRIRYIQANWKKSWQKPAL TSTA_001810 MGSVEEIPTVNISAWLNPSSTEEDRQNIVDAMRHACTTYGFLNL VGHGVTLEEQKQAMDCAKLFFTLSEEEKMDVWIGKSKGKSFRGYEPPGIQVHQEGLLP DTKECFIIGHEVPEDHPDSGTFSTGPNLWPKSLPDDKFRIPIMRYQARMVELVKVLLK ILARGLPKEWNCPPDALDALAENDPSIPMRLLHYAPQPVRDERQFGVGDHTDFGCITI LLQEMGTKGLEVWYPPTETWIPVPPQENAYVINIGDMVQKYTGGYYRSARHRVVTFSE KHRYSVPFFLNGQLKLKCTALDGSGVETIVGEHIRGRLIETMGKTGEKLK TSTA_001820 MSQQKDWLARLPDHPNVLATRLENRPLHLSHNKPHFESGKITFG GPLYSSQPKDLEDGFKKITGSLHLFKAASEEEVWEMIRSDPYAKLGVWNLDEVVITPM KVFVIAKFDELRTLQFVI TSTA_001830 MATNINNAAAWLTEAKAHPFQVKEAPSYTPGENEILIKNHAVAI NPVDGSLQSKAWWPMNYPTILGQDVAGETVQVGPNVTRFQPGDRVVGHAVGMATKRLQ DNAFQAYTILQTNMISYEDAAVLPLGLSTASSGLFQDEFLKLNLPTEPRQPSTGEVLL IWGGSGSVGSNAIQLGVAAGYEVITTASPKNFDYVKKLGASQVFDYNSATVAEDIVEA LQGKTLAGAMDCIGFAATPLTVEVVANSQGSKFVSTVKGGFNAPEGVTLKSVFGTTIK DNQVGKAIYEDYLPKALAAGTFIPAPNALVAGKGLESVQAAVDLQSRGTSAQKVVVSL TSTA_001840 MSQSAESRETTPSLRPRQKPGAACEECRRRKLRCDRRQPQCGLC EASGVECQVITARSSRGPKRGYLKVLQARIAALEGVLLQQQGINNVSEPSDEHSLDVP LLDDQIDLSGWQLPMIDDETPLHDLYDSSKTSNSSSRTVITDSGSGPNPIPSQERNSN ISIDLTMPELAPRTKIVENVDDISEPKISDLMQADLDQLYFDRIHHSIPIQHPSRYFS WRRQPVKTEAQSCLQYAIWTLGASVSALYENIGDSLYQYARRGLEALDSVDTSLASTD LEQVQAWLLLAIHEFMTVDIRRGWISAGRAFRLIQLNWLHGTDGSDLLRGQTDWIESE QKRRTFWMAYCLDHFMSMRTGSPPTFSETVAIRLPCPEANFQNDQPILMGFLSDALAP DTIITSTFTECIIVATISGQALSHRNQCLVGDFYFSTTEDFWNRHQWIDGILTQRMEA FSAKYTTDMQQADPMLLFIGLMWQTIILHLYQTMTCAVPSNDGKRDLIAEYKKRSTVA AQEIVDLTNKLSQVNSLKVHPLTLIPLSLCVEFLMLYHKPGDALTKQLQDITAAMRGL KRFNNLGQGVFQLFEDHVIARPVQASTVH TSTA_001850 MIRTSPSHPVIILGAGMVGLTLAQALKKAGIPFQVYERDSAADT EKGRGWALTVHWALSALEECLPPELYNRLENIQVDPTLDDSRRFCFLDLSTAIPKYII PPSKRLRVNRRLLGNLLGEGIDIQYNKTLSSFHVSPEIPDAVTISFTDGTSTTGCLLV GTDGRNSKTRRILLGEEIGALNPLPVCSIGTTITMTPEQFAPIREIDPLLFQGSHPAT GVPQINGSKDTLNPFYEGQLIQSWLYKSEKDAVPETDSERLALFKRNAQCFHWRLREA INTLPEDSKVIHIKLVDWVPVEWDNRDGRVTLAGDAAHAMTSYRGEAFNHGVADAAVL ARNIITAWNDPAAIGRLGDAVSRYEVEMRERTWESVLLSTQACLDAHDLNGLQPDSPI VSKRAKVAREARDARAKAKLLEVVV TSTA_001860 MTQLYDWLVQTPANAADLENRINTRPAHLEHNKPLIEAGILVWG GPSLAHHPKSAGDDLAITGSVMCIRAGSEEEVREMIRNDPYAKVGFWYPEQAIITPMS ELILNLILDPNSLLCWERLPFLVA TSTA_001870 MEQQSPQVHSLDLNHNKNFHFDSSASDVEQPSEPKIEQPSSKRP TGPPPPPNGGLIAWLQVVGGFMLFFNTWGILNAFGVFQTYYESGALFDRSSSDISWIG SIQASMLLVVGFFTGSIYDRGYLRLLLIIGSFCIVFGHMMLSLCKTYGEVLLAQGFCV GIGAGCLFVPSKLGMALGLAVSGSSLGGVIYPIVLNQLIGPLGFAWSVRVIGFIAFGT LLVPIAVMKQRVKPPRARALIDWSAFLDLPYMTFVFASLVAFMGLFVLLFYISYFGAA KPVTDTRMAFYMVPILNAASCFGRTIPNAMADKMGPFNLITPCCIMIGVLMLCLVAVT TEGGLIVIALLSGFFSGALIGLPPLCFVALTKDKTRIGTRVGMGFGMIGLGVLAGGPA GGAILSLSDHTGWTGLWVFGGVTALAAGFMYLGLRIVLYGCKLRVKA TSTA_001880 MTIKISTTEEVDLTLHLPRILCLHGGGTNARIFHMQCRGLERAL KSTFRLVYAEAPFPAQPGSDVTSVYKDHGPFKAWLRCTAADPERSAQDITEQINLSIA KAMYSDNLRGATGEWVALLGFSQGAKVAASILYAQQTLQQQLGENAAIWPHFRFAVLM AGRGPLVWMLPNMSNSPTSIPMGLVDASCPSMMSSEPELPKDREHMLRIPTLHVHGLR DPGLALHRRLYHGYCRQDSASLVEWEGEHRVPIKSKDVRAVVDQIYTWARELKSIK TSTA_001890 MKSLFVSSGLSLAFSMAALAANAFDESSWDSSQIIRRDVAIIGG GAAGTYATFRLKDHGKSVVLVEKTGRLGGHAVTYEDPITGGSVDYGVQVYDNNSIVRN FFSRLNTPLADFSFASFGRPIYADFKEGVLLNLTSGGLGQDYINELNKYPYLNDGIEL PNPVSEDLLLPWVDYINKYHLDYSTAVATLARPAITGDLLNILTLYVFNDLNHLMLQE ESGAAVINANHDNSQLYRNAVPELQPDLFLNSTVVAGYRSTRKRDGVRLIIQTPAGFK LIIAKQLILGIPPVLENMRSFGLDSHEHDVLSNIYGLPYYGGVVSNTGLASGFSYKNS AANTTYNVAEIPSVVGFTPSTVDGLFFYWYNSPEPVSQQQIEGEARDAIKLLQKLTNS TTQPEPRFLAFADFAPYQLRASADSIRNGFYDDMYGLQGHRNTWYTGTLFVTGSSQVW NNTEVMLPEILAAINASKSLREAAWILLSHTLKRLGNIVGE TSTA_001900 MARRCSLSPRVPVPGTDTIPDDAPPNEIPDHLIEELALRAFFHD YCVIPVNPALSRGFLARLEPMVHRLGLQSPVANACKAVAFACHGLKLSRPFLTQKAET LYHELLGFLARSIQNCAATAGPEMFVIAILMGLYEMIMAGETDPGHHNAHAGGLAAIL QIENSPRGLLHAARSGHPLVVNPMLQNKGIFTGPSPGGDSQDLDAILVKTASLWQKSE TFLSNPFCPLFFDQLYGLKQEATALYRDLIGWQTTQVEDIKPTTIGYVRPSPGELSPG VGCWPGRIDTYVDLYVAGVWNVSRVARCFLIHLLQKLSNILDATENDSRYYEDVVEVF NDILASIPYHLTEDSRSFLAHGAASSEITNPGRPVGGLLLLHPIYVVSQLPIVPADMQ EYLRRCMAWIGTHMGIGQACLLAKAPHIEGQYFACGCMIVCAGLLV TSTA_001910 MPGTVLEGGESVEIAIIGGGIVGVILAVGLLRRNVKVRVFEQAQ GFREIGAGIAFTANAIRCMEQIDPAIVTALRTSGSVPTSDGNEDDPNDYLRWIDGYNQ LREDDPYYQRMLYKIDAGYRGFEGCRRDQFLEALVKVVPPGVIECKKRLEKIEEQEQE KKISLKFVDGTMVEVDAVIGCDGIKSRVREIILGGGNPASYPHYTHKVAYRTLIPMDE AIKALDDYKAKNQHIHIGPNAHLIHYPVAGRKMINATAFVSDPNPWPNDKQMVAPGSR EDIETAFAGWNPCVRNVVNLFPKKLDKWAVFDLWDYPAPYYNKGRICLAGDAAHASSP HHGAGACTGVEDALCLVTLLKQVNLSVQESGLSKRKALSLAFETFNAIRRTRSQWLVN SSRRVCDFYHQPEWANPAKWVKAETCFEELKDRSYKIWHFDYEGMIKETLKGYADREA GLLPASSRETSEH TSTA_001920 MVEHRGINRLPALRREILLFGPLALSFDQEAFKHLRKTIIDSKE NYWALDALNSLPYYYTTIRAAIPGINGVNGAQLQDLKSALNNEKQLLTGFPLSNTLLI PLIVILHLTQYWRYLEQASMELESNIDLFVASHHNKETVGFCTGLLSAMAVSAAKNQR EFCKYAAVAVRLGLLVGMVVDAQDGSSAHGPSKSISASWKSSERREEAQRILNEFPQA YISVYYDEDRATITAPASKIPDLHQRLRAAGIVTADVGLNGSFHTERYRGQLESIIQF CDSHPDFQLSDASKAIIPTRSNATGDFIREGALHQHALQSILVNPPQWYQTFTAVRDA CAQEEGTVIVSFGSERCVPPSLLRGLSQKVVTVADLDIFKKDQYTYSENDIAVVGMSC KVAGADNLEEFWDLLCMGKSQHREVPKERFGFETVFREVDPNRKWFGNFIDGHDQFDH KFFKKSPRESATMDPQQRHLLQITYQAVEQSGYFHSANPDRHIGCYIGVCACDYENNI ACHAPNAFSATGNLQGFIAGKVSHFFGWTGPGLTIDTACSSSAVAVHQACKAIITGEC TAALAGGTHVMTNPLWFQNLAGASFLSTTGQCKPFDIKADGYCRGEGIATVFLKKLSA ALNDGDQILGVITATAVQQNQNCTPIFVPNVPSLSDLFRNVVKQSRLQPADVTVVEAH GTGTAVGDPAEYDSIRSVLGGSYRENQLTLSSVKGLVGHIECTSGIVSLIKVLLMLQK RMFPPQASFTTINPAIKATPADKMHIPTAVQTWDANFRAALINNYGASGSNASIVVTQ PPAIAAKSINETPGLKYPFRFCGFDDQSLRRYFKVFRQFLGRKSYSEKDLSLQNISFN LNRQSNRQLDRTLFFSVKTKEDLEQKLIAFENGDQSVTSLARSESRPVVLCFGGQVST FIGLDHTVYERVAVFRKHLNTVDAVALSIGVKSIFPGIFKTTPINDTVHLQVMLFACQ YACARSWIDSGVQPVAVVGHSFGELTSLCVSQSLSLQDTVRMIVARATLIRDSWGSDK GAMLAVEADLAKVEKLLAESSAARQGLTPATIACFNGPRSFTLAGAVAAIDAVVETIS KPAFSSMKYKRLNVTNAFHCALLDPLLDRLEESARGLNFREPVIPVERATESRTEEPF TAKFVADHIRSPVFFNHAIQRLAQKYSSCIFLEAGSNSTVTNMANRALGNPSSSHFQA INVTNQNGWNNLVDSTLSLWKVGLSVHFWAHQSSQTKEHSLLLLPPYQFEPSRHWTEL KSVPTLTAAPALGVVEKEDVKLPDTLLTFVGYQDSGKRQAKFRVNTMIPKYEKLIQGH IIAQTAPICPATVQLDLAIESIRIIRPDLSASGLEPQIQAVENSAPICVNSLRAVWLE VTADDFDQGTSWQFQVYSDDLENGFSKTIHTTGQIIFRSVDDVSLKYEFARFERHFRH QDCVDLMRGGDVDEVLQNRNIYKMFAEIVDYGEDYRGLQKLVSKENLSAGYVVKRYNP ETWLDGHLADSFCQVGGIYVNCMTERASTDMFIANGIEQWIRSPKVRQQDARPESYHV LAIHHRSSDKAFLTDVFAFDSTTGALIEVILGISYVRIPKASMSKLLSRLTVKSNLKE PAEMPLLPEPASVNLFDTPKNVSIHPQSDSLPRQSVPHLKPLKMKKEKGAQSDKAQLT QKLKAILAELSGLEVAEIKDDSELADLGIDSLMGMEMAHEIEKAFTITLPESDLMEVV DMPSLIKCVQKAVGGGAVPVEDTTEQSAYESADSDDKSTDYTMPSTPEEELCGVDKSM HEFLGKQNVELNLPFATVMEAFNETKSLTDDRIKEYQQTYYVKSVLPMQSEMCVSLVL EAFDQLNVRIRSARAGDKFTHITHPKEHTRLVDYLYKMLEDANLINIDGDVITRTAIQ APRPSKEILDELVSRYPDQNAADKLTFYTGSHLAEVLRGETDGIKLIFGTQDGRELVS NLYGDWPINRLFYRQMEDFLERLISKLDMSQGPIKILEMGAGTGGTTKWLVPLLAKLN APVEYTFTDLAPSFVAAARKKYSKQYPFMKFRLHDIEKAPADDLIGTQHIIVASNAVH ATHSLSESGKNIRKALRPDGFLMMLEMTGKLYWVDIIFGLFEGWWYFDDGRTHAVTHE SQWEKSLQAVGYGHVDWTDGVRPENKLEKLIIAFASGTRYERLHIPRPIESTSADCAA RQAVVDRYAKEMTSDFGIVTGESPYMNSQRRQESKGYSVLVTGATGSLGCHLVAVLTS IPDITSVVCVNRRSRQQPLERQHRSLLQKEIFLSEAAAAKLRVIETDMSKPELGLPKN EYDDLLNSVTHIVHNAWLMNAKWPLKKFEPQLQIMRNLLELAYGISLRRSSDRISFQF ISSIATVGHRPIWTGNSSVPEEPMTIDSVLPTGYGEAKYICERMIDETLHKYPERFRA MVVRPGQVAGSSTSGYWNTMEHLSFLVKSSQTLCALPEFDGVLSWTPVDAVASTLVDL LLLPKDQSPFPFYHIDNPVRQLWKEMIPILADALDIPRTNIIPFQEWIQRVKDYPRQA EGPEGDNPAILLVDFLDKNFIRMSCGGLLLETKKSRAHSQTLANLGPVSAEIVELFIK SWKRMGFLRS TSTA_001930 MHWSLRDPKHPKKAALSTEFIFSAAVEEYIVSVSTKRHMLERQA HSHNHYSAAGSTLASLHYEEIESKETQIKSYLDSYMTTNQILSTLYNDNRESIIKLRD IYNKKRKLRDKFLDGKTPVQALISVVPDNRFSFILDEKEESYKFILECLAKVYAQVDL LLPNYILTDKDMALMNTITTHIEKNILTRVRPILTNEVLHTIYSGNPAAVKKDITKYK THIKSQWKDFFRSFNKIVYAKTKEEKDEAVNSFKVEYSSETWQEVMDYIDSEWLNNSI TQRFLHCYLLNIKHFG TSTA_001940 MWTWFSRCVPGISLLLLIALLAVAFPDITRELSHLLPWSGKVPA TKTPGHDHGTSHHPLHLSVAQKIFIGYTLLVHLNAATFVVRLAWALWRMTFAARALLR RRPATKCLRSPADPVMPPFADSPIITPISSEVSDPMSFDLGGLEDGEGGEVVHAIILP NYSEDLETLRTTLNVLASHPRAVTQYEIFLAMEQKEVKAPEKAATLISSFQGQFRDIC GTFHPSGLPREISGKGSNVAWAAKHIVETRSDSSFDSRDVIITVMDADTHLSQDYFNE IRRIHWRDNTDADRAFYASPIIFDRNSNDVPVLVRCADLLWSFAGISAMYPGCAIVIP TSVYSLPLALARRVGGWDGDSTAIGEDMHMLLKCYFETAGDVITHVIASPASQCNISS PGDGNCGPYLSTLLARYRQALRHMWGALDTGYSVRQSVGGLSGKGSRRLRLQARHVAL LHLLFEAHFLPCHLTVLLLFSALYTAFVPVAHIHPTLVWAFFITGFLRGLSFVGMNVC ITLYDHWYSICIRSRANDMAKAGLNDTGFSFRKWNRLQQLAERICFPIAGTVFGSIPA IHAELSHFFTDKLVYRVSKKPTFTSPLVRSPT TSTA_001950 MDHHHAVLVHFPGMGKSTASQADSSIRSIYPNINLALVVGICGG VPFKKGPAEILLGDTPYSGIEQDELFQSTYRHEHHGRIDCISCAHPTNNTVCDEVFDL SCHQLKCDKDKLVQRKRLQNTLALGHTPSPTIHLGLIASGDTVMRSGQDRDLLAEQ TSTA_001960 MDAPSNINDEISSLSRQIEQEEGAIEAVERLAQNASPKNWSTSR NKVRHLEKKLKNLQLIRDAPDWVVVFAQEQNDHDENWKH TSTA_001970 MKSFALLWKSCHTQLFDYSSIKIGHAIEARKEQRLTHVLKRVTN SFVELDEDRFAIMKTLDLPLIAVTRAKDDDSLIDLIASLANEELAEDFFVGVIPDAES TRKDSLITVFNVLDETTPKYHGPFEKHAILRFASLVSQPLIRQFDMSSLVSFMKSGLP IGMIYSANEDERKLIAQALSNVAMGYRGKVNFATVDAIKNSFALEPMGLKLDQLPAFV IQTDENIYKFSPGERITPEAIDAFVKENLFSGTMQVDRLTVQ TSTA_001980 MAMGSLLFRSLSLLVAAVAISCAFSSLGVSPFEWLRASKDNGFQ ISHPQIYTLSDDPLVVYVKDFISTQEAAHLVQLANGRFTPSSIWDNDGKSHIDKAYRL SMTAKLDRSDEIIKKIEERAISFPFYKLVGDFMPIVVQNYGISGQYRDHYDWFDDAQA VGGNIASTFFVYIHANCTGGGTNFARLTPPDDDSWCEFIDCDRPFDEGITFKPILGNA IYWENLQEDDAGHKMTLHAGMPVTTGNKMGMNMWTWKIA TSTA_001990 MEKQPSDIVNTDGSALESQQSDAVKHTSSSGVLARLNERIASIR FMEARGIERVPESERHSTTAADYMQMALLWFSTNITANNIAVGMLGPLDYGLSFTDSA LCATFGAFLGAAGAAYMGTWGPVSGNRTMVVARYFMGYYPSKICALLNIVIMLGYGMI DCLVGGQVLSAVAGGNMTVVVGTIIVAIVTWIVVIFGMSVFHKYERWAWVPQLIALFV LVGSAGPKFDTNIQSVGTSRDIAGNRLSFFSLCLSSSVAWAPAGADYYVYYPPTTKKW ITFTMSFFGIGLALTFANLLGVGLGSGTHSNTDWADAIDVSSGALIMQGYNGLGGFGK FLGVLIALGLVANNIPGTYSATLGFQTLGRYGVAFPRWFWTCVGVVIYTACALGGREH LFDIFENFLALMGYWVTIFLTIVLEEHMIFRWGKIAPAFDWSIWADRSKLPLGLAAFT AFVIGWVGSIMCMDQIYYVGPIAKLVSTEGADLGIWVGCAWAMIVFPPLRLLELKKFG R TSTA_002000 MAVDGGGSPHDLVVQSWIMYSIGILLYLLRLYARYDRLGLKWQA EDVLMVLAIGWYTALVVTNIQLAEGGGGTLYPPEEFSTFTPEDIAARSQFAKVEFASE QCMVNTIWFLKACMLIIYYRMTANLDWQFWVKLCAAYTAAGYVAVELTLFLNCRPFSG YWTLPPPQQQCATYFNYEVVQAVFNISSDLAILLVIIPRLWKMNMSNREKIPVLVIFG LGFFLIICAIVSKVFTFRDIYDTSYQFWYLREASVGIYVSNLPYVWSFLRRTIGFLHS TATGSKKSSQQLYYGGKTSGHPFSGHTGGRSRNFSAISSNHDEAHLGRSESEEHIFDS SIATETRVLGGDVHLDEVDPSKQYNIQKTTEIHIASSQGKV TSTA_002000 MAVDGGGSPHDLVVQSWIMYSIGILLYLLRLYARYDRLGLKWQA EDVLMVLAIGWYTALVVTNIQLAEGGGGTLYPPEEFSTFTPEDIAARSQFAKVEFASE QCMVNTIWFLKACMLIIYYRMTANLDWQFWVKLCAAYTAAGYVAVELTLFLNCRPFSG YWTLPPPQQQCATYFNYEVVQAVFNISSDLAILLVIIPRLWKMNMSNREKIPVLVIFG LGFFLIICAIVSKVFTFRDIYDTSYQFWYLREASVGIYVSNLPYVWSFLRRTIGFLHS TATGSKKSSQQLYYGGKTSGHPFSGHTGGRSRNFSAISSNHDEAHLGRSESEEHIFDS SIATETRVLGGDVHLDEVDPSKQYNIQKTTEIHIASSQGKV TSTA_002010 MLSQKMLYNILLAMMATCSLTAAQTTTEQYPSAAEIAAAQASVV PYSPVSKVHGKVFSRFVDIWLENTDLETAEAESHLAELKKNGIFLSNYWAVTHPSEPN YCAAAGGDHFGMDNDDWHQIPNNVSTIADLFDTKKLSWAEYQEALPYAGYQGFRYPES GPNNYVRKHNPLILFNSVTDDATRLRQIKNFTSFYDDLDNQRLPQYSFITPNMTNDGH DTNVAFSGTWSYNFLGELFKNECFMEDTLVLLTFDENGNKTHPNKVYSLLVGGAVPDH LKGTTDDTFYTHYSVIASLSANFDLPSLGRWDCGANLLSIVADKTGYINYDVDTSNFN LNTSYPGPLSNKAFSAAWPVPLTTGTCSGGRSILNTVKQTYHGLKPTYNYTSPVPYDA VSGTAVGVKYSRTGHNGKTEWYETA TSTA_002020 MPNSSRGSFQLVPESHGLSTSDQPTSDNTAAAVAGGPPRQDTLT PTSGPRAAPEDSGGNGKKVWIPRASHRAGPLMRARTKHACEACRRRRIKCDGARPVCQ GCAAVGTQCSYADHKRVRDRQEMKSLKSTVDQYEHLLRDLLHEVPASAAKRIKSTLTP TDSYPLNRNPSMSSSSSSSAGSIEGIDTISEDLNRNEESRATGFMGKNSVHAWLRNLE PDSGYRVSPDSSMEAGNRAQFGQPISPQGLDNNMAVSYFLDDKKLPACNSDDTYKLPP KNLADRILHSYFRSVHPFFPIVRTDLFLEQYRSLWGVNANPRPGHKWLAIFNMILAIG CRRLQFLKETLPTDVSHEIFFSRARSLSVNENTTFEHADLQQVQVEALVTLYFMVSMQ INRAWRVSGIAVRSAMALAINLRITDTDLQPGSKEARSRLWWSIYMLENLLSHMTGRP SCIGSSSFSVDQPVPYSEDMFDHPQVMNLLTNETLRRERLRWSLEDPENEDDDYDPFW LNDVEVNQGLQFYHLVDLMHIVHIAISELFSPKGFQANKSYIKRRIRFYDERLDHWLT RLPPAFRFVDRNYNLNLHKSSQEQVVLALHHYGARITLYRPCSPFRRYVGVKEKEYAY ISQRCLRAALSLIAVFPDVVDLGWVYNTSPWWSLLHFLMQASTILILFSQNNEIPGKD VENTLEVPEVSVQVQVACQKAHRWLHGLSGVDESCRRAFLLYGDLVRRLGLVMSSSAT SKSGSTQSNPPSWSPTDVTNVQNPDHLAYMQRHGDYQSQMALHNSADQYNLPMYDAGN VLSSTYWRSVDSTGAVRFIGPGGVGELPSFSPRTLTTDNVGDWAGRAFEDNNVHMEFS TSTA_002030 MPESPIPTRKLGKDGPDVPAIGFGLMGMTGIYGTAPSEEERFKI LDRAAEIGATFWDTADIYGDNEEMLAKWFQQTGKRDKIFLATKFGIMMEGFRFKGINS SADYCKQQCDASLKRLGTDKIDLYYAHRLNHDTPIEETMRALAQLQAEGKIKYIGLSE VGSDSLRRAVKIAPVAAVQMEYSPFVRDIEGESSTHVLQTCRELGIAVVCYSPLGRGL LTGRFSTQDSLSAEGDIRAKYFPWFNEENFSRNVSIINRFNEFAHRKRCTPSQLAISW LLHQGPDIIPNPRTKSIKYLEDNVGALAVKLTEDEMVEIRTFLESNQIAGYRSTAGSE NFAYVTTKAEN TSTA_002040 MNTYHCLLGMTENHNSSLAPNRSRKSKVKSGCRTCKLRKIKCDE HRPMCMRCYSTGRVCDGYGIWGGGGNFYGRRLQQPLALINIPFTTVLNLTLDEKRSFE WYKCRVKPKVHGLFVLAFWETLLFQTALSEPAVLHAVLAISCVHETEVNHYANQVTQN ASRDSRGDKEKRILKHYSKAIRHLQPYLSADGRLSARLVMVTCAVFVCLELLRGNFKT AIFHLESGVNVLREVQNRYPVVDEWIIEVFARLSIQVALLNQHRRYPLVYTFNSPEHS PPYDVFHNFNQAWHYLESILFRILELSSCIRRQKPPACLVFDSYMQLFQRQVDIQLDL ERWLHTYERSRDPLQTPLGQDVESFACHLLLSHHKIAQIMVATCLRDYDDESVFDTYN DQFLIALNHLVTLVNVKEPQISVPVASACCLNMSRSVIDIGWMSPLYYVAIKCRVHRI RLQAIRLLESSIHREGFWDARIAACIARKVMEIEEAGFYAYVYTGDDFNLLESPSTED LSLPPLPENYRVRDVDVTLPDGPTDSIILRFRRKGHSENCGLIEKEYSMQQGRWLDTW EEDLDHIPPQ TSTA_002050 MASVRPKIAIIVGSQREPRLGHRIARFVQDTLQERRYEVDLNIV DLAAWNLPLYNEPGIPSKIFSPKDYKHEHTRRWSNEIASYQAIVFVTPQYNWGYPASL KNAIDYLFNEWKGKPAMIVSYGGHGGGKAAEQLKQVCHGLRMLPLDTPSCLTFPDKEF IEKVNRGEDFDVRDVFNKDRAGIQERFDELPMHVSILIDRWFYTYLQVHPSTFNM TSTA_002060 MSIQLVAMSQLDEALGSQDDWTGLTDAASRRKRQNRLNVRAYRR RKALEARNNQAATSTVEQIPVKVKDPTVACWVEDQQTIINIPISVVDTTFGTTQKPLL TTAHENSLTRAEQTPHKIIFPLCPDHLITLLQYNVLRAGITNRKLLSPVITVTSCSSD SLHVLPEPSLPNALPPSLYPTHLQRTIPHEDWVDIIPHPRWRDNLILALGTFDEDELW SDTIGGLFEGFPQSEIEHRGVIVWSPPWDVSGWEITEGFWNKWKWLLTGCEDMLVATN YWRAKRGEYPLVF TSTA_002070 MTFHPDSLPDLTGKVYLVTGGNSGIGYYTVARLAEHGAHVYLCA RSIERGSTAVTGIQTLYPQAKVTLLQMDHLDLSSVVSAAKEFLSRETVLHGLVNNAGI MATPFELSKDGHEAQWQTNYLAHWVLTSHLLPLMLRTSRTLPRGSVRIVNVSSSGHYF APKVGINFEDTSLRDANGMTRYGQSKLANALHIKTLHKLYGPGSPSAVSGQGEIWSSI VHPGLVESQLGQHAGFPTFIKVLADLYGKLGGRVNADKGSWTSVFCVASPEMKPEQSG VYFQRIAEIGWQSKQARDSTLAAKLEDWTKAEMEKKGWLK TSTA_002080 MTGGYAPKHGTWQQGWGGHIARPEKGVTSYTLSPNRQRLFPNFW HTAIFNTFRRFRHQVLYVAPPFIVAYSLMEWAVERNEYLNSKAGRAEAGEE TSTA_002090 MSESSKSDDPVLEAQLSRTESMRAVAHSFSPIHEFVFVAVVCMA QFMTQAALSVCLSPLDIIGKSFDITNPGILSWLIAGYSLTVGTFILFFGRCGDLFGYR LMLLIGFSWFSLWSLVAGVSVYSNYILFIFARTLQGLGPAMLFPNALAILGATYPPGN KKRLVFSLFGGMAPGGAVVGSVFAALLAELAWWPWAFWCMAIYCLLLAGVVYLVVPPT PSHTADMGLPEILSELDLVGAALGVTGLILVNIAWNQGPVVGWQKAYVYILLIVGFLF LAAFIYYEISVSPHPLIPFETLNTDVCFILGCVACGWSSFGIWVFYTWRFLEDIRGST PLLAAAQFVPPAPSGLMASFATAYLMGKLKPGWIMLIALSAFTIGSIFMAISPVSQTY WGLTFVSVLVMPWGMDMSFPAATVILSDAVGRRNQGVAASLVTTVVNYSISIGLGIAG TVEVHRNHGGLTFDDRLKGYHAAQYTGIGIGGLGIVLSLLAISHSQIGIIGDGKLAFV TSTA_002100 MITNTESENGQTHLPSFSDSYVSMELVNLKDVDHVSNEVLPLLR WCGLRTQNYQMDSLAIDESTRLFPQSRQETPICVPLSILDCTSARFSSTGCIWIFDHH DATPDHHTLVERLRDSFVATLNEFPQWSGQLQWTPFNPSGGYRERFNRIQLVYGSVDD PGVDWRTTSYSSESTAQFAPTPSERVSKKIWRGDNNSEYSRLVSDCPLALSDLKSYEG LPGMSVQVSLFGDGGYAIGVKLAHVLGDAQSLMVFVHMWAANSRGLHGYTGSPSLFHS PIFDPEQLDSHASGDINGSGIDHNLAAVARSLPLHRYDCWEVDAPGFPSFLAETVQKT MPPSTFLDNLKLSPTHSGPWSSWDPSRPVSYAHIHFSGKTLAKMQSSAQHEKSGASML SRLDMLLAHLWSSINRARRMEQSSSDVFLNLTLGARARVSPPLPDSYIGSPLFLTHVK RSGLETCSESAGSLARSIRNTISLFTPEKVGAMLHDAAHEVSPLRLWQGFVGQRHVIV TSWLRLHVYQVDFEGTPTPPRYVHAIMPNIDGVLQVFDSATDDGGMDVALYLEAEAMD NLLRDNIFQAWNN TSTA_002110 MAIVHRPTWLQSYAKYWVVRTLTKIQRGRATFICRYEDDATVVV GEKDTAVPDELNATIYVVDPNFWVRFCTALDLGLSEAFMLQEIECPDLNKIFNIYFKN KDYLGFGNPILQAGQRLSRLLWSPSNDVQQARLNASAHYDTSNELFAAFLSPDMNYSC AHWTADPAETLQTAQERKVDTLLKKLRLTSNHHMLEIGCGWGDVMVKAAQRYSCRVTG ITLSSEQKELVEQKIKNAKLDHLVSVLLCDYRTTPVPDSGYDRVISIGMFEHVGRKYL NEYFSTISRLLNPTTGLLVLDGITFTNKMHESRSPVDTFIGRYIFPGGYLPSIHLLTE ALHKGSKGTLEITYAKNIGPHYGKTLLAWRDNFLRNWDRIMADYMRKHPSASKEDIEA FRRMWLYYFLSCEAGFRSRALGNYVICAARTPEPAVPYTSAGFQEMLD TSTA_002120 MSTEDLPMKEDMESKINKYSEPEQVQTGPKEKEAWVLADPAPDG GFSAWSVLSGSCTIAWIPSLQIFFMFAMGPIVGQLYDRYGPRYLLLVGSLLHVFGLMM ASISTQYYQVLLSQGVVSAIGVATIFQPALGVIPGWFDKMRGVAYGITATGSSIGGII FPIMVQKLIAQVGYGWAMRIAAFMILGLLAIANLAIRSRIPPRPKPMTKEELLQPFQE LTMILVIAGFVLLTFGIFIPIDYVVAEALELGMDPNIAQYLLAMLNAGSLFGRLIAGA LADTLGAYNIFTFVCYLSGILVLALWIPGTGNAAVIAFAVIFGFTSGAYASLIANLIV KISPNFKVIGYRTGLAFLFGSIGGLTTNPIAGAILQNSNGSYIGMKIFSGVFIMSGTT LVFAARLHRTGPKLVAKF TSTA_002130 MGPRRKKAAQLRSGPLNPDPQPRRPLQDLQWLTMPPRMRHIIGR MHYYNQLIACYLAGSIETPHMAIFEDQRNWIQRSLLELPYFDEVVVEPDGPFSRFDHE AVRASLLFYSYLVIFPIPFAYSPYDRLRQLLMGILMDEGAQNLPQPFLLWAVSLGAIS EIQILGHLQDSQQCWNDYKSVVQSVMWQDSVLDPFMQRIWLAHILAV TSTA_002140 MDSIRDSPFGLIVRFITKNKYFKHEEELDTFKHPYYHGPKEAIV EGNHDLVSPESSSIIAAVSEDDVERQSGSEIDENIIHRLVTLQSQHDLDEKYILVDWY TTGMLCPYIGKHLSLRLLLQMILKTHRIGVSRRRSLLHLWFVITPSSSISDRPYLWAR YPRSSQDLELVSKCHPSRCVYMFLVGSGFNRKKCSLYHYFIHIHNALDRCSNGQILSR LPRFSILDWCLRISSSCYWRSHVWGHVSVDQSTIRFDSLGSIHRGGTCPGPHALSQCP CAYIIVFLCTRDISIHDPLLPRSPFAQITGDDKYRTKEEIDRITNKKTSIFWNTISKP TEINVLDPAVLFSTIYTSLIYAIFYPFFESFRIIFQAVYHFSFILVPLFERQLERKGL GAFGAPERRLVPGLLVCTLTPIGLFITAWSSRPSVHWMVPILGLFLNIIGTFTVIVCM LQYLAFSYPRYAASLFAANDFARSTLAAGAIMFSRFMFINLGLDWGISLLAFLDIICV VLLFGLWKYGGLLRAKSRFAES TSTA_002150 MPIDRSRVRNVFIYDGATRDCFGGMRQNGSITEAVFLWILNYIL ILTDDECQVKARASQQIILPTSNPLEPGDYDVYCNGRWYDLKSTIELTNEPWVQRILS HSVSGREDSFRDGLRTRDGRCVLSGFPNLNADIGDWSPFEGAHIFPLEKEAIWITYNF GRWITDMDYTNGVIFIRLFNRDIFGLDGRVLDPVCRIPADPHRVSDELLRWHFRQSVL ANMRGAGEPIFEHDFPPGTDMIKEIREGPSAQERFEMEIASRLRAFEV TSTA_002160 MVAYLLGFVRRAGGKGRQVRPRPTSVPPENCSKLPPLYKEIVTI ISVPECLNEMKGKNLCQARPRPPSAGSRASAADNSRAMNSVPESGVCLFTGDPQRTSI FNQLYSLIFIPLQLMKGRGPLDTLPFSFPNETQSRTPDPSGSQRILDSGSDSSFLTPL PILSIPNTLTRVGPGRAKTFVLDTDMSKDEFVAWWLTGRRKRLIGVTNTQPVAGANSI KWLNAIRFLSIQLIAALEHHPLTSIWLGQLVGSLLRKSQI TSTA_002170 MEGGSYSLLHGLEMGTDGLIFDKFNELAGEVVEGDLEYVAGCVI NEYNKILDIDGAVVGHAEVISPTPAQKARHPAPKKIFDSLEEEVSRFGHRLQPSIGTV DGFHAGCLGFDGCFN TSTA_002180 MHVPVLAHLVAGSAAPQSTLKKATPIAYPHESREDAQARIGAPM GRTKEQAAADFLDFQHTIPGSDIIVFSDGSRLENGHAGGGYVGFQAHHQFLRSSLSFG PGKEVFDGEAEAALAGAQAAVALSTARFATNLWICLDNLEVATRPLSPSTGSSQGVLT PSARFQLRGHSAKGVLIPKAALSEFDGSLDTRKSLKMRRLTSLPKRALPLPVLLHTDL GITTSPKRPLELQLTRLDLGHMIAARTGHGDFADYHERFNHDDAHLLCRCGARKAPLH FFFCHIAKRRAPRPPGPPSEVIPFLLGTTKAAQKLAIWLAETLFFEDICPRRSLLST TSTA_002190 MNRGQRGQRSTQKCRDVGGHTRPSFSSSSSSSSSSSPSQSSQSL GSRTTRASTPTEQLVTPTPKSQPEQHPSHTAGHLIVYARNDKETVRVLVETLDGLKLA EYVVDPSIPTCVTITSQDGSSKASQVSAETRTDQQLNVVQQSSESVVKGKESGNESLI EKMNALEKELGDTKNTLQRTEKECAEVCDKNKDLRIGIQNPTVTLADFLRGRTFLEDE LKKWEDKYMPDANIEMEGELVDGLEAFFSMIKADYSIYQQQITSLENENRTLTEQAQE QARLRQENDDLRTQLRDEAAAAREQAQGLARLRQEVDQEQARLRQENDDLRTQLRDEA AAAREQAQGLARLRQEVDQEQARLRQENDDLRTQLRDEAAAAREQAQGLARLRQEVDQ EQARLRQENDDLRTQLRDETAAAREQAQELARLRQENDDLRTQLRGEAAAREQGNHAF RLERRGCQRHIESKATGGQWQQMDSEQIDDPLVRSFFSI TSTA_002200 MDMLAVAMSDFKHTIRSSQEVFEFFRTLAAAWPLRERLPHTKSG SIQIRWVPGHAEIPKNEAADLAAKEGAASISPSPHKSSYASLKRYAKTQSLSTAQTRW QSVAPQTYQDLEITTSPKCPEELQLN TSTA_002210 MALKPGGQAVLALGLSRFQIDILQRRAEQVASNGRQISRFARRV FALPNSEQINSLQYAPWHPRESRENAQARIGAPMERTKEQAAANFLDFQRTIPGSNIS LFRWI TSTA_002220 MAAVPRDIDSFNSRKPVLRRYNVERSCIRCHERKIRCDRKTPCS TCTKANAQCQYPGPEKGRRRSKREQQSQLVPRIDEHDDHLDVTRSSQELSPAIPTGNQ KTQNVSEGQSLDSNRGFLLKDGASTRYINEGLLSQVLEKETELQSAIDTPGSVGTLEP RAPTLGFRGLISIPCIVTTDLSTLYPSRWQATRLWHVWLNNVNPVLKLLHIPTIQPAF FAAINSPAQVSADFSALLFSIYFAAVTSIDSSEAALILGQCHQAALNNFQYGLELSLH NAGFLDSPTIASVQAMSMYLTCRRNHNSGRSGWALNGLLVRASQSIGLHRDGEHFNLP PLDCEIRRRLWWHVSGLDGRVAEDHGIIIGGLGTLCDTKLPLNIDDADLIACMKDPPQ PKKGATEMSLSLILAESNVTTQEIHHIMSRGQNGDEKVAHLKQILQDFKARMEEQYFQ YLDINIPIQRYALLLGRMQLAKMEVMARQQYLRGKSVEKSSELACDESLMYAIEVLEK NIMLKTDDLLRNFQWLASSFAQYSALTHVLWHLCVWPESQNTDKVWSIVERSFELEEL PSFPGLNQK TSTA_002230 MAVTATVKPLPTPKGSSVDFGSIITGVDLENLSDEDFQLIHDTL YKTSVVVIKSKPDVSAKAQYELTRRFDPSSTAYGHGKTLDAKRSILHPDLKTVPHQPQ VQVIGNGFVKEYESLKDITLRHPHHRTFHREVIPDEDDLDYTRFYRWHIDAALYDLDP PFVTTLLAVSLPSKRRQTLRYDDGTGDILDVSLGTTAFVSGYQMYERLSEEDKEFVRT SKIEYAPHPYIWMSGTRSLPTGLGLYSEGRELDDSELPPFDPSKIKIFPMLWKNPVTG KLALQIHPSAVKKIHLKDGIVIDNLEKVRDIVYRLQRPGISPEYVYAHDWEEGDMVLF NNRGVLHSVVGAFAPDEVRLFRQCNLSASQPPVGP TSTA_002240 MEKNNDIKTLPVTLLSGFLGSGKTTLLEYILKSTDHGLKIAVIV NDMASLNIDAALISHHKVSQTQEKLIQLQNGCICCTLRGDLLAELAHLARSPGMVDYV IIESTGISEPMQVAETFTSEFSAAMMELDPEQMDSTSQEERKILEEVAELGGLQKLAK LDTTVTVIDAFNLFSNFHTAEFLSDRYGSDEVAPEDERTISDLMVDQIEFADVLIVNK IGSVDEQVRRRIRELVKLLNPDAKVIETNYSRVNVADIVQTGKFDFVKAASGAGWLRS LHEMTTMNTADGKKRLAPKPETLEYDINNFVYSARRPFHSRRLFALLQDKFIILQGRE TEEADTVDGEEDDDQEMSEAEEEEETNKIADFEQLEPSVILENKRLHAAFGPVLRSKG FFWLATRSLQFGEWSQAGGILTMSCGGVWFSELPREMWPSDKDIIASIERDFSGQWGD RRQEIVFIGEGIDAGRISDALDQCLLNDKEMWQWERIMKNPKLTPVQKEEALNDLWED GWEDWPDVDVINVDEDDEMRVPQDDSIARQKRKASHHLGHEHKHGGHHHSHARAIHA TSTA_002250 MASLISESAESGRSISPHTRIRAGTEGKSGHARKREGAAPHIKV QAGEGARVPTEYKSLLFPPSLLVLKMFTHRTATAATKAIPSIRNFATASPAATARNHK VVVVGGGSAGLTISHQLLRHGKFSQDDIAVVDPATWHHYQPGWTLVGGGLKTKEELRR PMNSLLDPKLKFYNDGVASFAPEDNSVTLSNGGKLNYDHLVVVPGITINYDSIKGLPE ALADPDALVSSIYGYETCDKVFRTVKKLQGGDAIFTQPLGIVKCAGAPQKAMWLALDH WKRAGLYNPQNTAASPIKISFATSLPGMFGVPKYSAKLDELRKQRGVEGLFQHDLVAI EGNTAVFNRLDTKDQVKRHFDFLHVVPKMGPHAFVKNSPLADQAGCVDVNQASLRHNK YSNVWSAGDASSLPTSKTAAAITSQAPILVQNLLSVMDGKEPVATYDGYTSCPLLTQY GKVLLAEFKYGGEPKETFGRLFGIDQAEPRRAFYYMKKDFFPWVYYKSMVKGTWAGPK GFIN TSTA_002260 MSIRLSTNQLRYHLDKVAGPRLKTLSLARNYCVASAIPQTRPLA RPSRSQGTKCSRQYVQRTSALPSSIVPQKTMQMQRSYSARPSNEGGEPTIHDVFESNT GTWQYIVADPSTLKAIIIDPVLDYDPATQTIETHSADNLISLAKKNNYKIEMILETHA HADHITAASYLQSRIARDMGYKPLIGIGKRIGQVQELFAQRYGVPLEEYQNVYDILFD DDEVFKIGEVTAQAIHLPGHTPDHLGYKIGDNVFCGDSLFHVDIGTARCDFPGGSATN LFNSGQKLLQLPDNVKIWTGHDYPPDGRDQPVPCVSVEGHKKNNAHIKDGVTEQEFVA MRTERDAKLAAPRLLHQSLQMNIRAGRLPNKTTAGFRLLHLPLKLNGVEW TSTA_002270 MYAVPDGVYHPKSSTREAERGQKRKGVDKALHQIEQAIKKSKNA TTEEQLEDPLKIVSHLHDLLEKAERQLASRKADQPHSSESISTPSDLTQSQQPHSTTL NTDDGPALDDAENPLQLLARASDLQLMPPTIGRNLPSSSPIALSSPGTTVYSNHSARA VDSFFVPIRASLDVGPELDPVDIGLITFEDANNLFAFFYDHLSHTRWGFDPAIHTVSF VRAQSSFLFTSILAASASFLPSTEALSRRLLSHVKVLAQNVIARRHRSVEIVLAFMAN IPWMAPGKRLGDDDTCLYIAMALSIALDLSLNKVATLPRTSTGGQFSRGDCIDARRAL SMDGFEDVEASSEYGRRLLRRRERTWIALFVVERGVCLARGRSYSVPVTALLENCDKW HLSDRDIADPRDGQMNSMATLRRDLDELLRKVRRACDDYRIRENGINVARSIESMIES FYDSWFAVWALSISEGDSQSLPPYVEILVTHTRLSTYSSVMNHPTAPTEVRSFFRSEA LSSALNVMRTAIQGESRLKSMPNNTVIMIAFAACSALSLGLAKRNQNDNRLAPSVLNL ITETADVLERIGATPRHRNGASVLYGRFLHELVARARGQPDSYYTQQQQQQLQPPLQP YQASRNYNTTDPRTFSQEYLPPPNISPATQYSLAPPLQFSSMSGDQIADAVNSVSLPM GEAGTLLPDYQNFPLNEMMLWEWFDNTSAADLSFM TSTA_002280 MASYLPRTMGRLALLSRRRLHTTILTTTIKTTLSPRSKLLTSSL TCRFYTTSLPRYSQSLAPRIVRSESKLFSSADDAVADIKSGSTILSAGFGLCGVAETL IQAIARRGAQDLHSLTAVSNNAGVAKVGGLALLADAGQISRLIISFLGNNKTLEKNYL TGKIAIELCPQGTIAERIRAGGAGIPAFYTPTGVSTLLQEGQIPVRLSSDGKVLEHGK KREVREFKGRKFLMEEAITGDVAILRAWKADEAGNCVFRYTTKAFGPIMAKAATLTIV EAEEIVPVGSIDPNDVDLPGIFVNRIVPATAEKHIEIRKLREPDNGTSATKQTLEIVQ RNRIAKRAAKELKDGYYVNLGVGIPTLATSFLPKGRTVWVQSENGILGMGPYPTKDEV DPDIINAGKETVTLVPGASTFDSSESFGMIRGGHVDVSILGALQVAANGDLANYMIPG KVFKGMGGAMDLVSNPNNTKIVVATNHVAKDGSSKIVQKCELPLTGAKCVSTIITDLC VFEVDREKGELTLTELAPGVEVDEIRVKTDAEFRIAPDLKSME TSTA_002280 MASYLPRTMGRLALLSRRRLHTTILTTTIKTTLSPRSKLLTSSL TCRFYTTSLPRYSQSLAPRIVRSESKLFSSADDAVADIKSGSTILSAGFGLCGVAETL IQAIARRGAQDLHSLTAVSNNAGVAKVGGLALLADAGQISRLIISFLGNNKTLEKNYL TGKIAIELCPQGTIAERIRAGGAGIPAFYTPTGVSTLLQEGQIPVRLSSDGKVLEHGK KREVREFKGRKFLMEEAITGDVAILRAWKADEAGNCVFRYTTKAFGPIMAKAATLTIV EAEEIVPVGSIDPNDVDLPGIFVNRIVPATAEKHIEIRKLREPDNGTSATKQTLEIVQ RNRIAKRAAKELKDGYYVNLGVGIPTLATSFLPKGRTVWVQSENGILGMGPYPTKDEV DP TSTA_002290 MEISSQEQEFKGPSSPPAFIETENSPEVKKILFKIDIRVLPILA LLFLCSFLDRTNVGNAKIVGLEADTGITDHQYDIGLAVFYLFYVCSELPSNLVLKKAS PRIWLPFLTILWGIITMCLGFIHSFGSFVAVRALLGIAEGGLLPGMVLYLSSFYKRSD LALRIGLFYTAASLSGAFGGLLARGLIEIGPRGGLEGWRWIFIIEGLLTVVCGIIAAL GLPNNIATARFLTPLEREIAQERIRHDRPRDDGTNVEHENFKWSEVIRGVTDIKMWLS ASAYFAILSGLYSFGLFLPTIIKDSGFTTNANKVQLWSVIPYAVATVFTVLVAFLSDH LKLRGIVMLFTLPFAIIGYAVIANTSNSQVGYGMTFLMSTGLYASVPCVLVWNSNNSA GHYKRATTSAMQLAIANCGGFVATFIYPSKDAPHYHRGHTVVLSLLVAAWFLILFNVL YCRKVNKDKASGKYDKYVGYNDDRDPKFVFIL TSTA_002300 MSNHTSLSDDIDNTEDQPPAYTTSHPLPILATEKSLAYGSTSAH TQESSSRSTQPQPIKKPIAIPAVDSSFDAPFIRTYAPVLKDYKLPQEVFLSFLDRLNK AISSSPPLQALDVTGGILNSVPILFPLHWIGSAVSGLAKLGNTGVSKSRTDSLLKDAN RDIFGPRGLKSEVARLDALAHIAKIPILDSRGKVSRQAPLSQQLSAVEQRPGSFGGAK KDGELNTAIDAQQRRIQILQPWIADLEFDILPWTSQSKLTRFNETLKKYNNTDNREPR GRRRRAQDSNPDFKNGDEAQDNEEGGDPFRKSLWLIIHSSHLIMSMDVLKTSLLTSSN RPQVSTAIGPATNFAPL TSTA_002310 MGVARLVVAALVTASLVTEAVVAQSTDAILRQLQANGVDITALN ITSTTVPRAQKGCSILTSALVKLFPSQTLTSREASFSNWTESFWSQQQEEVTPKSIFK PACPIDVSSAVLLAELFSCPFAVKSGGHAAFSGASNIQGGLSIDLSGLDNITLSSDQT VASVGAGNVWVDVYNYLEPYGLSVIGGRVSTIGVGGLTTGGGISFFSQEYGWACDNVV NYEVVLASGIIVNANVSSYPDLYWALRGGGNNFGIVTRFDLRTHVQGSLWSGSLIYLP EQSDEAIDAFYYYGVNAASDSKSAVIMNWAYTQGMFFAVADLEYANATINPPIFQNFT AIPAYQNTMEVRTLPEVTDMFQVDNPDGLRESYWTATFALTKEMIAYCVETFETEVVR AANATGYLPALVLQVISTDVTTQMQKNGGNALGLSPSDGNLLLLNLSFMWGDIADDNL ILNILGAITSKTIAYAKANGLYKEYLYMNYASQYQAVIPSYGTENFNKLKSVSAKYDP YQVFQNLEPGYFKLSGQAPNTTYPS TSTA_002320 MSSTAAILLVSEDQVLSTGYATIAMTTAFTIARFAVRWTQQKRE FQIEDGICLFSWVAFLVMAILYIVVTPVLFRVDTAISTGELYANLMKDALYVTEIFFA NTMIFWIVLWSVKLSLLFLYRRLFVGLPDQMKWWWSVLIFTVLVCVLDRIGECSTHRD AVAQVASLYFSFAVDVITDLMIMLLPWKLIWLLRLPFMEKVALGGVFGVGLVCVIMAI VRTVSVGIESRSDNTPSSSWLMLWGLIETAIAVVVGTLPAFAIFFRRQQHSRRYGSYP NGSDQRDPTRSFRSGSDRAYHPSQKIKLNTINVTRTLVITRSSHSNDNDNDMDMDEDP LHNSYPR TSTA_002330 MRPQMIPLHYFDNTHLLRRSMVMCLTLKFDDDLDADMLRDSLIR LIKNDRWRKIGGHLHRGNRPFLHVFLVSEISKGESVVEFSKVHIDMSTRGHPLASNLH QSTCYPSAQSGVEQYRYLSGPAHRPTSFGDYLNRNLPQISLHVVRFTDGTLVSLTWPH TMSDVSGWAEIFRAWSAVLAGKRRQVPNLAGFDADFLEYFGTSSGVPRLLIEPAGRQR AYIQNATFPYVAQMPPKDIAGKWFGQTATAIRKAYKILGDSEQLMTTANLLQTPNVRS RFPVLGDRAGHHIFFVNWASARLQSAIDFRAAVTHPTNTTEAKAKSGTMDHNMRNKKG VGKPSYFHSDILNKSDLHG TSTA_002340 MHLVGKHCYICKVCAWLKCEETLSSQDSSNWQCPDCKHGTQLLA DMDVDSSSVISFNFNDPKDSTTKEPAVKGISQTSDSAIKSSEATPKATTSTTPKRHRT SKPPSPPQVIIDSPKKNSASGSPIKRRKKSSKSSQKQRQNSATQTDVPDEMRMSTSEH PTDDLEPAIKTLKTHIANLHILRTRNTELDEEVKALKEKSNTQEQTAWELKEYNENLE LQVEKLKTERADLCDKLREIRRLSGFDILQIET TSTA_002350 MAPFMGLRGSRLNVATILLVVLPAYMCFGYNLAVAGGLLTMPSF IEQFPKMDTVHNSSKFNSNVQGTVVALFTVGGMLGALSTIFVGDILGRRRVIFIASAL VIVGSLLMSSSYSFGQFIVARLVQGFGTGATTATVPVWQSEISGTSHRGSHVVTEGLF VSVGIAASLWIDLGFSFIDTSSVSWRVPLVLQTSLAIFVMIFIFRLPESPRWLLGKGR AEEARQILTILRDVDPDDEGVRNEIADVQKSLELVYNVSRWDIVKMGEKRNVHRLALG MTSQSFGQLCGINSLTFYATVIYDQRLHMGGTESRVLSGAMVTIQIIGALAAVFTIDR FGRRPLMLTSATGMCISMAVLAGTSSTSDNHAALIVAVVALYAFNLFYPFGFLGVPFL YSTEVAPPHLRAKISGISNCMTWLFNFVVVEVTPTGFETIGYRYYIVWAVINFAIVAT VYFFFPETNARSLEEMDEIFMESRNIFDAPRIAQRLPRKLHLEDDFDEGKY TSTA_002360 MTGIEEKPGQSPSDKEASLTTAAHPIDEELAARSGKERYPVKWY RSTFYNALILGICNFLAPGIWGAMNSLGGGGDEKPYLVNTANALTFCLMVVSCLFGTV LVKYIGIKYTFILGTVGYAPFAAGLYTHNRYGTEWLVLFGAAVCGLSAGIFWMAEAAI ALAYPEPYNQGKFLGFWLSFRVSGQILGGAVNLGINAKDNHAGKVSYTVFELFIALQA LAPFVGLFLSPPKKVQRTDGLPVRLRIFDQSWTTELKEVAKLFFSRDFLLIVPLISQA VFSEAVMFTYQGLWFSVRARALGSFLSGIVALVAGNVLGAYLDNKRISLKTRARTSFV AVVGLQGAWWIWGTVLVTRYHHTHPTYDWVDSGFGSGFALFLFWVIGFQVNYMYLYFV IGNLAKDEQEVIRIAGLLRGTESAAQAVSYGLSSVAIMGAIGDIYLNFGLWAVALFPA WLVVKEIGVTKGDPKVEREQALRDSE TSTA_002370 MRGAYSLGAFASLIAVASAACPMLTGEIPAGSIANPHHLGSRAD SNASDETEAFLSEFYLNDNNSFLTTDVGGPIEDQNSLKAGIRGSTLLEDFIFRQKIQR FDHERVPERAVHARGAGAHGVFTSYADWSNITAASFLGAAGKETPTFVRFSTVAGSRG SADTARDVHGFATRFYTDEGNYDIVGNNIPVFFIQDAIQFPDLIHAVKPQPASEIPQA ATAHDTAYDFFGQQPSTLHTLFWAMAGHGIPRSFRHVDGFGVHAYRFVTDDGSSKLVK FHWKSLQGRASLVWEEAQATAGKNADFMRQDLFDNIAAGRYPEWELGVQLIEEPDQLS YGFDLLDPTKILPVEQVPITPLGKMQLNRNPLNYFAETEQVMFQPGHIVRGIDFTEDP LLQGRLFSYLDTQLNRNGGPNFEQIPINRPRVPIHNNNRDGFGQMFIPLNDAAYSPNT LSDGNPKQANETVGNGFFTTPGRTANGNLVRAKSPTFADVWSQPGLFYNSLTATEQQF VINALRFELANVASETVKNNFITQINRVNNTLATLVATAIGVNAPEPDPTYYHHNKTS DVGTFGTPLKKIDGLKVGVLASVNDENSISEGQSLARSLADLNVDVVIVAERLAGNVS ATYSASDAINFDAVIVTSGAKGLFGPQTFTAVSNTTLYPVGRPTQILVDAFRYGKPVG AVGSASEALTVSDIDTDRSGVITGDLNDEFVKQLSEDLATFKFLDRFTVDE TSTA_002380 MPGVSEASSGLTRQCSHSNDISAISPSSAPSTHKAFSFIRSSSF SFTKSRSVPEPPPIPESDKEPIFDEIRDCDEAPYPLPPKMRKMSAPGPIDIKHKAEYK SLNGNTSSRSSSTTILSASVPANVPSTPCSAVPMPVPPHKHKWLNKLDPRFNAKLMEE QKKTIERQERERKKKKEDEEMLGELLHNWVNE TSTA_002390 MELLCCMVYCQVGSTGVHVDANARLVVTVNPTLICYPSLKTYKL KYKQQAAGSDLSQIFIYLSASMRKIPYRCYETELPMVSKVLRGGTVLTFDDATQRVRV LRRASVVMQDDRIIAITPDTETEVPADADVVNVDGKIVCPGFVNTHVHMWQSVYRTIG PNIVLSHYFSWLSQASETARVAFTPHDIYISSLQGYLEGLYSGVTSYVDHAHHNWAPD FVEPGFRAAGDSGARVLWCYDVADHDNFPLKEQWEVLGRIAASTISSSLVQPGISLDS LLSSFNRETNNQLQHTREKISKLNLRGITMHHLGGPWPTGNSSPAQICQLNLHSDQCP IIFSHAPFLTNQEQDALRKNNFFVSITPESEFHFGHGQISGRLISDQAALGLDTNWTF SGDLLSQARLWLQTVRQMNFHRALEKGLLPKETPFTVEQGFLMATRQGGLAMKRPDIG VLQVGAKADIVIFNGDSPNMLGWSDPIAAVLLHANPGDIEHVLVDGEFRKRDFKLVNL KSEWSQVRDQFLEAAQRIQKVAEKPPPLPKKLWGVGEMGDVEVASTMLPSRNHH TSTA_002400 MATQLVDASAEYQAYLRCTAAGSIIADIATNNEDYPGSLKPVKR LQEQTLRVQQLARQSGTKRQLRPRQAETHEITGKVGRPEEEETDPASGYSSDESYTEG KKESRDEAMPNAAIILLLQGFTSLVKGALLEWVFDRVHFRARFRRKNYKAYSDEALRS TRNECILAIVETKKTCKDVKGRRYHNARKCRDGRLDSKQFR TSTA_002410 MKVQYNSVKRWAFVLPTTTVASWLAMLGALLGSWFTSGQPRYSS EEKTQSIAFLSDIGAQHLKPIFITCTSIAMITYIPTLIFYFYHMSPARIPLSSSSESI VRANSLPSIYSTPPSLPVQYQTQQKKWHDSLVFQISVPILSILFSLVGATNLILLTIF DTARYTSAHQLLLPISISSHILGCLILCIWTMLCLRQYRAQRLSKYGGIYSGDKFTVE LYTPQIPISLPSLAIKSVIVVIEIGLVALFAVMSWWLEMFDNAAVLEWVVVLMFAGYM LCLIVDLWVLDKDMFHGR TSTA_002420 MRLSVPRYHAKMLHHLMIGTWTPPGRIYTVAFDDQELTLKLVKK TMIPEDEPISWMTFDHTKKNIYGAAMKKWSSFSVRSPTEIVHEASHPMGGDPMANSAE TNTRAIFVLAGHKPPYNVYGNPFYKHAGFGNVFSVTESGKIDKNVQNFEIDPHSGIHG MVFDPTETYLYSADMGANKIWTHKKDPETGLLTLVDSIDAPAPGDHPRWVAIHPSGHY LYALMEAGNRLAVYVIDERRHVPVFTHMIYPLIPPGLPPRNKYRADVVFPSHSGKYLF ATSRSNHNDVHGYISAFRLGSQGNIEKQLFINPTSTSGGHSNAVSPCDWSDEWIALCD DQDGFVEIYRFQDELLARVARCDIPEKGFGMNAIWYD TSTA_002430 MRINYAPSTPPTTNPDGTPLSETEQKATAEVYERVTARRKPRPL IPLDLALLHSPPIADGYNSLLGAIRNQAVIPQDVLELSVCRVAILNGAVYEWNAHAPL ALKAGVTAEQLQGVKSIPISTFTPEGKIINNTRKPAESSLTDFQWEVLLFTDAMTKNI KVDDTIFGAIKSRFGEREVVELTVCIGAYNMVSRFLVTLDVGENNDKKMKDAVDIAAE LEDVDGKAQGR TSTA_002440 MLIDGEKWACEACVRGHRVSSCRHHDRPLIRINKKGRPFSVCII CRGPCNNREEHSKLNRDKKSDGESDSKSSKVLRKRQHTTPLVALYPYEAEERQSPPLD VESSHLHLHALHHILHHSRLQPISAANFLVQSATIVASFTANLFSFFSFLDRNDDGDH EHHKQRLSTHLIVRSSQSAIRSGFGPVEPDLHAGSDRPRAAKFLGVSLRFSPNDGSAD DADFFCIPVFRRRHVCSGQWLCAGSHVYRVRRHGCSSRRLVDLFLEQ TSTA_002450 MGRVGTAVHVNKFYNVDLGLKPQPQAKDNKFVVYEDGTHAHRLY DGRRQDGMLELLNDKLQVLREASKLARKKRPNDDTDTKNVLEDGLPLIQLGPASSPRP LLKLPAITSSFIGRIQPSGQGAAVSLIDRYGTNKEQIGRGSSGIVTVTFRPHSVDHPS GGQLFAVKKFSQRGRDSVKKHLKRVGAEFCISSSLRHPNIIQTYDLVQDPDGNFCQIM EYCSGGDVYTRVRSQGRLCATEANCYFKQLLRGLNYLHTTGVVHRDIKPDNLLLSCRG CLKIADFGNAECVRLPWEKTTRLSSGLCGSFPYISPEQYVTLDYRDTGTSSSRYHFDA RAADVWSAGVVYLDMRMGRHAWRFAVVEQDEDFEEFVRSIHQLGRWELIEMLEKVCRQ TIYSMLSLHWKNRPDTGALLKSDWVQNIHVCKAAEGHDSL TSTA_002460 MAIHLRPASEADLSIIVDVSTAAFPPSVDAIARHLFPGDLHYSE DVRQARIARKSVKFQMNSTRIMVAVDDASDGKIVGYSIWEVPVAGGDDKNDDDGEIIL PPLAQEGSDRAPFMELRRILEDDVREQFGDEGTKGVWTLDSLGVHPNHQKRGIGRMLL DWGVEEAAKHGKGCYLVATPAGLPLYRAAGFEDVKVLDIFGTPHVSMRRRIFKVE TSTA_002470 MNSDMQATLYGDIDTESGYISPNPLGTLRNPSISNASGFEWDGT PTGQTGKLANTDIYIAGDSTASDIAILFIADMFGWTFKNNRLLADQIAREVGATVYLP DFFAGEVIDSELIANEQWDKVDLAGFMSRHGRQVRESEIFECAKALRQQYKKLGAVGH CYGGWASFRLGAKSTKLVDVISVGHPSLLTKEDIDGIAVPVQVLAPEIDPVYSPELKL YTFQTVPTLGVPFDYQHFPGVVHGCLVRGDETKSGERLAMIRGKNALVAWMSQFLKE TSTA_002480 MSRSLSDLPVDVILLYKKILRAEHTFNGTWFARRENPNHWTAHV NKWSSELLLSYFRQASIDILQRGFSIFNFLHLVAREGNPRLAEILVNKGLELDMKDFA KRTPLHIALIHHQEDTAIVFLNAGADVMLFKGYALLLAAENCSSTMVERILKKMDALQ QPVTTSPTMGFPQITYVQHVKNIALTAATIRQARGVKNVLIRHGADPHWQTDSPLCIG MFRTFVLTD TSTA_002490 MADTYGNEKIAVTDARPEATVLYGEEKNQVHSVAESTENSDRQQ EPEKQGRMARLFTYMKTREFWIVIVLGQIIALANISSSTFSSLLSMKGTSIPAFQTLW VYVLLNLVYSSITIYNYGFKKWFRLLYTDCWRYFILSFLDVEGNYFMVLAYRYTSLLS AELFSFWTIIVIVIISFIFLHVRYHITQYIGVFVACGGMGLLIASDYLRGANYPAANQ LKGDLFALLASSIYAFSNLFEEYMVSKRPMYEVIGQMGFWGMFINGVQCAIFDRGQFN GAVWDGQVAGYIVGYTLVLFIFYTLAPILLRISSATFFNISLLTMNFWGLIIGIQVFH YSVHFLYPIAFVMIVLGLFAYFLGEGKLGESFKPWLGKDQELGVDGVGTARRAKETGH AIV TSTA_002500 MKLFSLFLSLPTFASLASAALQYRGADISSLLVEEGKGISYKNV NGASAKLENILASNGVNTVRQRLWVNPSDGVYGLDYNVKLAQRMVNAGMKIYLDMHFS DTWADPSHQATPSGWSTTDIDTLTWQLYNYTMDVCNSFAEKSIPLEIVSIGNEIRAGL LWPLGSTSNYYNIARLLHSAAWGIKDSNLQTKPKILIHLDNGWSWSEQSYFYKTVLAE GPLLTSDFDLMGVSYYPFYTSSATLSSLKSTLADMASTWGKGLVVAETNWPYACPNPA YAFPSDLKSIPFSAAGQTTFLKDVANVVAETKNGLGLFYWEPAWIGNGALGSSCGDNL LVDSSTDVFRTSVQVFSSI TSTA_002510 MVNDEESRHARASEETPLLAETSIGEITASAVQNGYESDDDVAP THISRKRAVAVALAIMCLLFIQATNITSISTTQSDIAADLDAFSNTTWLTSSFMISFA SLTPVGGRLSQIFPLRSLLALSSLLMSIGLLITAAAKTFPVFIVGRVVAGLGGALIYS TQTIIVLELSSKKRRGLLVGCVYTTVTVGIAAGAIIAGAITPKFGWRSLYVIQSPTSL ILAPILYFSIPPTGRDQESKPESFKNKLSRIDYLGILTMTAANVLLLYSLSSPRISYA YVAMSLALFVVFLVVESTPAIAAEPIVPISVLSSRGVLLSGISSTGIMMARWAILFYL PVYGIAVRGWSPAEGGVIMIPTNAGFALGGLLVGWIHIRKATSYYISSLVISILFMLS GLSVAILSTPNSSVILYAMSIFANGFTCGAFLNYTVSHVLHLTTPSSHYIVTSLISTF RSTAGSFGSAIGGGIFTRVLKSRLEEGFSDSSYDDGVIPDDRQELIRRLLGSPALVWQ LKGYERESAISAYQDALRTMFLTGAALALLMTFVQAGTGSNPPIEIDETDVSAQGHEH ED TSTA_002520 MADSRIPNDGYIYPLRAPAQRPQLYDNTLKQTTIEMALFPRTGD FAPLFRLLDDYDLHRSGRGGQSQSSITSFAPRFDVRESKDAYHLDGELPGIAQKDIEI EFSDPQTLTIKGRAVREYHSGNLDNEGENANAPKPASVEDANDKDNKAVQKSSDKKEV SKNRDNYKYWVSERSVGEFHRSFNFPSRVDQDGVKANLKNGILSIVVPKAAPPSSRKI NIE TSTA_002530 MPRAVKGVLVECDPSVKAIILKYDEERHDYIVEDLDDDRHLVIK ESQLQNLKERLGQVSFSSFCALSRW TSTA_002540 MASKLPSYKYFNVTFPREYVAHVETNRPDKLNSFFEAMWLELRT VFNALSDSPDVRAIVFSGAGDRAFTAGLDVKAASQGLLSPAPANGKPVDPARVATQLR RHIDEFQDCITSIERCEKPVIVAMHGIAYGLAIDMSVAADIRLCAKNTSFSVKEVDIG LAADIGTLTRLPKAVGNYGWVKEVALTARVFGADEALKVGFVSGVYETKKETVEMAVE LAGLMAQKSPVAVQGTKELLNYSRDHSVKDGLRYTGVWNSAAVQAKDVSVAVLSGLEK RVPTFEKL TSTA_002550 MIRSPAPEDKTDIITGANVGLGLEAARELAAHGLTRLILATRDV SKAEAAKKTDTRRDRFWLEGDLPEKET TSTA_002560 MNPLALFSSIPNLPSIETRKALLLLDFQNDFVRPNGRLPVRKTL DFIDLIPGLVQSFRRTGEIVWVRTQYEGPRPVIDDYGSEIVITSAGPLDTKNPRKGRR GRQDSRANVDGNVEVDPEAFLSGPEPACLPQTAGVQFPAPILAAIDHEHDIIIEKSDY SALQSPGLVLSLRSRFVTELYICGSLSNVSVYATALEAAQQGFTITLIEDCMGYRSFA RHEEAVRRLADIVGANGISVQELLEEEEWEETQEIAHATSPAPGSNTNTLAQQSSMTP PSGIENVLDHLAVQNSPVTKRSSIAEQTGSTPSTNSTAHVSGMIEADDDPYDDDDIVL PPIKYTRASSTRVTREQTPRPSSTKLRRHKSGNSPSSSRRPGSAASDTVFLGRASTSP VLTTTNKVLNAASPGSPAPALPPVTEASYAKKKKKIAKDDLGPDDTIAEGDSRIVYNL DLPTDAFEKIQEEVNWQKMYHLSGQVPRLVAVQGAVNTDKSFPIYRHPADESPILEPF TETVTAMYRDGQDNISEHSDKTLDIVRGSSIVNVSLGAQRTMTLRTKKTAPADGGDGR QKQQVPMPHGSMFILGPQSNTRWLHGIRPDKRQESEKSFEEKAYNGERISLTFRNIGT FINPEQGTIWGQGAVSKRADAARKVIHGDPAEAERLIRAFGSENRETEFDWDAVYGQG FDVVNFVEPMVARLTLSGDPIADMRVRLCLTENGMRYEVVHPPKTMDMQPLVYTSPDG ETMITGDIQILLYLASLDPNSSIARPGVGLVRGGNHLIEITRLETAWHEYVLSGSKAE FSQLPSWNERLRETEVTSGQHYIAGQTLTIDDLALWPILHQIESRKEMITGSARYPYL SAYYHRIEKRGCVRVVMDEIGHERGRPGTR TSTA_002570 MASKTIPRALRLSSRVAVPRRTFVSAVRARPSAATASVSHAPAA VSARGIKTIDFAGTKETVYERADWPRDKLQSYFKNDTLALIGYGSQGHGQGLNLRDNG LNVIIGVRKDGASWKEAIQDGWVPGKNLFDVETAINKGTIIMNLLSDAAQSETWPQVK PLLTKGKTLYFSHGFSPVFKDLTKVDVPTDIDVILVAPKGSGRTVRSLFREGRGINSS IAVFQDVTGKAKEKAVAMGVAVGSGYLYETTFEKEVYSDLYGERGCLMGGIHGMFLAQ YEVLRERGHSPSEAFNETVEEATQSLYPLIGANGMDWMYAACSTTARRGAIDWSPKFK DALKPVFDELYTSVKTGKETQRSLEYNSQPDYRERYEKELEEIRNLEIWRAGKAVRSL RPENQK TSTA_002580 MSTNHLPLNRTNIAEAHKIIKPYIHRTPLLTNQTLDTIASTPQS LSDLVGTGWEGSDRTPAKPKIRFWFKCENSQRIGAFKARGAFHALIRVIEELGEEEVR RRGVVTHSSGNHAQALALAGKTLNIPTHIVMPSISTPSKIASTQSLGAKVVFSGSTEP ERTAVMREIQRETGAIFVPPYDHPDIILGQGTTGLEMEEQYAELQQGEKEWKTLDAVF TPIGGGGLNAGIATWFSEPSKPAGKKTLVFGAEPSFQGANDCQRGLAAGERVPAVSSK TIADGLRTPVGLNNWAVISDPSKVAGVYSVSDEQIKKAMKLVLERMKVVVEPSAVVGL AVCLFDEDFRRRVEEEGGEDGWDIGIVFSGGNTTVEAIAALFGSA TSTA_002590 MAYQGGHPHSPTSNYGADEAHRMNELGHTNQYGEDDASRSLLNQ GPFNGPFEDPLHSRSASPMRPVSRYSLTESYATESAAPPYHDAGAENPAAAFGVPGRV ASPYARSETSSTEAWRQRQAPGGAGTGLRRYATRKVKLVQGSVLSVDYPVPSAIQNAV QAKYRNDLEGGSEEFTHMRYTAATCDPNEFTLHNGYNLRPAMYNRHTELLIAITYYNE DKQLTSRTLHGVMQNIRDIVNLKKSEFWNKGGPAWQKIVVCLVFDGIDPCDKDTLDVL ATVGIYQDGVMKRDVDGKETVAHIFEYTTQLSVTPSQQLIRPTDDGPSTLPPVQMIFC LKQKNSKKINSHRWLFNAFGRILNPEICVLLDAGTKPGHKSILALWEAFYNDKDLGGA CGEIHAMLGKGWKNLVNPLVAAQNFEYKISNILDKPLESSFGYVSVLPGAFSAYRFRA IMGRPLEQYFHGDHTLSKQLGKKGIEGMNIFKKNMFLAEDRILCFELVAKAGSKWHLS YVKASKAETDVPEGAAEFISQRRRWLNGSFAAGIYSLMHFSRIYKSGHNILRMLVLHF QMLYNTFNTILTWFALASYWLTTSVILDLVGTPSNSNNNEAFPFGNKATPIINTLVKY GYLGVLLLQFILALGNRPKGSKHTYIMSFIFFGIVQLYVVVDSMYLVVHAFSGSAPMD FDTDHGVGGFLKSFFSSSGAGIIIIALAATFGLYFVASFMYMDPWHMFTSFPAYLLVM SSFINILNVYAFSNWHDVSWGTKGSDKADALPSVQTQKEADGKGAVIEEVDKPQADID SQFEATVKRALTPFVAPVEKEERSLEDSYKSFRTKLVSFWIFTNALLSVCITSDGVDQ FGFTNKATTRTTHFFQALLWATAAVALVRFIGACWFLGRTGIMCMCARR TSTA_002600 MLKIWSMKQKQQQAENADGGAAKKKKVTAAQLRVQRDLQELSLG STMKMSFPNPDDILNFTLTIEPDEGMYKGGSFNFTFAINQNFPHDPPKVKCTQKIYHP NIDLEGNVCLNILREDWKPVLNLNAVIVGMQFLFLEPNASDPLNKEAAEDLRLNRDGF KKNVRNSMAGGSVKGITFDRVLR TSTA_002610 MAAAIPPITGMLRRGLVLDLSTAFGLGTTFGYLWWYGYHLPRVR ARDNHYARLEQERAAGRA TSTA_002620 MAQGNGILPPLKRSSASQSPIHEPATKRQKRHYHHNHKLHHPVQ TQLREPALLDDGAVAHLLECSIGQILTETGYDIAEPTAIDAFRHATEEYILHLASFAR QSMTASRRIQPIPQDFEFALQRAFIGTDELTPYVKSLKSEKTIPTLLPSPPPEEDDLF GAFTGIPVLSEELSGEDERNRINYIPAHFPQFPSKHTYRFTPVFTERETDPRRIRELG MEDSRHGEEALRKLARAAFKDTHATGTGKSERRLWGRKTESAETMFEKTIRGLSKKVQ QPVDSQGGGAGQKDSKSSLANLDLAPIVNCERSFWRKSTSGTQKAEKLPDKDSVIAKV ERWVST TSTA_002630 MAPLVPIFPTDDLQSRVQIIHRGFKEKRRKGEPIDLHKCELMEM VQYSCNPPEEGILPPGVVMCKPIVRLFRRCAGGLTVETTSWEKMNANNEASSNKLDTR KEKKS TSTA_002640 MLVAQYLLGSTVSNQICTSSISKLAMSGSVIKNVAVVGASGSVG RFVVKALLEENFQVTGVTRETSKATLPEGVRHVKTDYSAASLQEAFKGQDAVVGAIGS ITPGEALTLQKPLIDAAIAAGVKVFFPSEYGIDTSDHASKDYIPFLVDKIETLDYIKT KQDKISWTAIVSGGMFDWGLDIPGFGGLNIPAGTATIFDGGNIPYEATTLAQVGRAIA KSLKKPELTKNQYVYVNSFTVTQNQVLAAIEKASGKKFKTSESTVEELFSGGAEQWKS GNPMGVLAMIAGAVYGKGGLAQFSTKQGLWNEKLGLPQEDLNEVVKAYLARK TSTA_002650 MVASLQVDVFVSPAIPAVTGSQEPSKSWWSPISCTLVQGPTSAV LVDTPTTIKETEELAEWIRKTLAPGTTLKYIYTTHAHGDHFFGNPIILEQFPGSKSVA TASVAAKIKRTLPATIPVWQGFFPDGQVLSDKQVAPEPLPENGEFTVDGHSLFGIDVL HSDTDASSFLHVPDLSLVVAGDIVYGWLDALEQIAALKPSIVVPGHKRASQSDGPYLI DRTREYILTFERELKRLGDASQLEETMKKLYPHRWNGFILDTSCKNSVAVL TSTA_002660 MTSTAATLGQRLLDEVKEESLDELLRNLRKEFSTDDAFFGIKDF DELLHVALGAHQPFAGTQNQHVHQSAAFGSSSKRKLPVIEISSPSSGGGKSQLLYYFI AMSVLPSTFNGHKLDGRGGVAILLDADGRFDVRRLHDIIAGIVRHALSDADVSSNTAI DKAINALIHTTLQYVHIFRPQTSSSLLSTLNALDTYLLNHSQTTRSRPLQGIFLDSAS AFYWTDRLRDEISRTQEIGLPATEIQRRRKGRTSFYMSVLYSNIAGELRRLQSLFGCA IVYTTWGITPRSSSSVEGYGHIWSGPPTFKPHLPSPWNSTFPDLRLVVQRDHVREYPA TSTFSDWERDAAIRQDVVKRGKFSAWVDTSNLATTWVRGGGEDVLGALRKLPDNGGFP FWVREDGVFMDDLMGDIS TSTA_002670 MSSTNVLRDFSSHLTFRSCVTCDTEPVCNCAAGESCIESSRTCT ACPTTTCMPAASTSAPSSTSSSGGSNAGAIAGGVIGGIACVAIVVFLIWWFVIRKRRQ AQAAAQPAENSVRHERSRSMQSIASTVLTRASNVIQIAYIPGVTNRSSPETPGTLVPP VPAIPSTHVSTYSGDQYLFTPRDLRDSRYTATSDRRSIATSLARSSVATTIYRHDAIV SPVPAQQVRAAKAAVVSVKTGNNTPSDSLSLRTVDAPAVPAITEAQLQKAGKIKPQLS SIVARSVVARPVNVKGPGSKTKVPTVSEEETHSDDSESAASHSRAKQLSNQNSTFDDS SDDSDDDEVNEKGHSNPAADNIQIALSSPQNEGPFSDSHVAGTPRVTVSTATSIAPSA ATEAVSTSRASMDSNNGSSHRQRTSIGSAQMLDNAIAHDAATHRSSSPFDDQHEIK TSTA_002680 MRGCLQVLRWLSTSPARRPVSSGLRLRSYEIVSPSILRPFTSTV RRQAQASRNVSDLEKRIAEIPIERFRNFCIVAHVDHGKSTLSDRLLELTGVIEPGSNK QVLDKLDVERERGITVKAQTCTMLYNHNGEDYLLHLIDTPGHVDFRAEVSRSYASCGG ALLLVDASQGVQAQTVANFYLAFAQGLELVPVLNKVDLPSADPERALEQMRSSFELDT DNAIKVSAKTGLNVEQLLPTVIERIPAPVGDHTNALRMLLVDSWYSTYKGVILLVRVF DGEIRAGDQVVSFATGLKYYVGEVGIMYPDQTPQSVLRAGQVGYIYFNPGMKRSKEAK IGDTFTKVGYEKKVEPLPGFEEPKSMVFVAAYPSDADHFEHLEDSVNQLILNDRSITV QKESSEALGAGFRLGFLGTLHCSVFEDRLRHEHGASILITPPTVPVKVIYKDGKEVTV TNPAHFPDEDEIRAKVAELREPYVMATLTFPDEYLGKVIELCEANRGIQHTLEYFTSS QVILKYELPLGQLVEDFFGKLKGSTKGYATLDYEEAGWKASNIVKLQLLVNKKPVDAV ARIVHYSQVERLGKQWVTKFKEHVDRQMFEIIIQAAVGRKVVARETIKPYRKDVLAKL HASDVSRRRKLLEKQKEGRKRLNAIGNVVIDHSAFQAFLSK TSTA_002690 MSADKEKSKVHKLSLKGSSKLVAEFFEYSINSILYQRGVYPAED FSPVKKYGLNMLVSSDDQVRAYIKKIMSQLNKWMIGGKISKLVVVITSKETGEHVERW QFDVQIFKQAASARKTGGITSTTDKENAAADEPTQEKTEAQIQQEIQAIFRQITASVT FLPVLDGNCTFNVLVYADADSDVPVEWGDSDAKEITNGEKVQLRSFSTNNHRVDTLVS YRLAD TSTA_002700 MPGASQSYSSTSSSTTFTSHSSTRTSRSASTPAAITDCPTSNGT TYTPGNPSSGNSIYQFTKKAADTTTDGTNLEQASLSTFNGSESRVGIQCTSVVHLMDT SCYAKNGTKTVKSMYNDVVVAMLTG TSTA_002710 MHVSSDKNIHSYILIMTKSERTHLIIVCCHAIYIGGPSKGLDED ECLLAPFQKGETPTFVKHIRAGLQKYEGSGGAGVLIFSGGATKRDKTDLTEGQSYLNL SRDNNFFQSITKNTANMFAENHATDSYQNILFSLLLYRQHTKSAYPRKITIITHEFKR KRFLDLHLPAIGILPMSMSKIDVNFVGINPPEYITPVAGLVKGEEKRGPGLWKDDLYG KGEVLSWKRRGRGWNQDEEEMVLEGEENEVVKRLVKWQRAGPFPEMDDLPWV TSTA_002710 MRFISEVLAKAWMRMSGFSHEPKLLILDSLLAPFQKGETPTFVK HIRAGLQKYEGSGGAGVLIFSGGATKRDKTDLTEGQSYLNLSRDNNFFQSITKNTANM FAENHATDSYQNILFSLLLYRQHTKSAYPRKITIITHEFKRKRFLDLHLPAIGILPMS MSKIDVNFVGINPPEYITPVAGLVKGEEKRGPGLWKDDLYGKGEVLSWKRRGRGWNQD EEEMVLEGEENEVVKRLVKWQRAGPFPEMDDLPWV TSTA_002710 MRFISEVLAKAWMRMSGFSHEPKLLILDSLLAPFQKGETPTFVK HIRAGLQKYEGSGGAGVLIFSGYVVFLAQCISQSNPNECIRRGATKRDKTDLTEGQSY LNLSRDNNFFQSITKNTANMFAENHATDSYQNILFSLLLYRQHTKSAYPRKITIITHE FKRKRFLDLHLPAIGILPMSMSKIDVNFVGINPPEYITPVAGLVKGEEKRGPGLWKDD LYGKGEVLSWKRRGRGWNQDEEEMVLEGEENEVVKRLVKWQRAGPFPEMDDLPWV TSTA_002720 MEQSTSQPTAQDNNAQSAVDEASFPTDYWNNIDGIYPELDVQQS DQQMQSHQQQAPQGITWDHPIFSQTNQQPERQASFSQQNEHIRDFYSGTPQTWGQPAS SAQTANEVSGQAYGLSHQHPYPMQQFPQDQVSFNARTLSPDNLAYQYSIPGQYYQQPG LQPADPYAQQQPRHQAIAPRPPSTQQQAVLPAGSQSTQGHQYIMPASNVAGTAQARNF NQYGDAATPGMNFQSTIDPQFLSSLQEAAGGPSQSSQNQFLFYNPIASSYDRPNDPKA FQAFPDNLSQLNAEQLNARQQLAPGLMAQLPQIAPYPTGPGMLAPKPAAAQVITPPKK RGRPRKYPLKSPSTKGRSASDSESSDSELEVEEPDEPSPLPAVRPADPEGAVQYDTIK AIWSPRNKYPSVDKIKNALVAFKDVVKTVRDEWKSLSQAMKDAENQNNNEIASELRNK VVQQRKLINTVITATLDKGHPVIIEKLGEHPMAVAALYSFLLDRHQASDYEGVLLVNI LTLLSRFTTMDDDVLLKTNVSKLLPRIIKKGIQPGKDLAQKILDNAAQSTKRKQESSS SSPGRDATPDKSSETSSVTGIKRVRDGESNGLPATKKMVTPGAAAKGGPSTKVTVGNA AKAADGKATTAPAARPKASIVPPKPTSLFGSLTSASKKPGTSNAARAAAAAAAAAKEK GGAVAEKKNSPQPASAKPAFSFGDLLADLSKKEEANAKKASDDQPPETEEEREKRLRK EARRKLRVSWKPDDSLTEVRLFTHDPEEVGGMDDGLKRDVDDIKSEGRMLKLHKDLDV DDEEEVEPQEEEIHPWTSLPTAEPDGLSSEDRANNFIKRGGDKEPDSPEKLAQESREA TTLMVFYTSAADVPTSPKEPPVPTDEEPPTTQLPFGEPDDKIKARSARYFAMVNTQPA PTAAPVVPAIPAAPTAPILPGAPLDIANLLKVIQNAPQQARSTPPPVLPLQAVQSQPN PLANLEQTINLFRQQQQQVQPVIPQIPQIPQIPQIPQVPQVPQIPVSAPSGQPIDFQK ILAVLNASKQLAQQQQPVAPQMPTTQPGIAPNLAALVSQLSGNQAGTPISQPPPQQQQ QQQQQPPPAQSSSGFYEDPERKRYRDMGRGYDNNGYGSNAKRPRMYVDPEAKKHPRAG SVPCRFWREGKCLKGEDCTFRHDPADLV TSTA_002730 MASLGMSLRDRQIASIQKILNLNHDVHTSADTHADGAGPATIPQ STPILNEDGDPIWKVLVFDNKGRDVISSVLRVNDLRAWGVTIHLNINSARYPIPDVPV VYLVEPTAVNTQLITSDLSRGLYSPAYVNFLSSVPRQLLEDFASQIATTGTAEHIAQV FDQYLNFIVAEPDLFSLGLGNDSYWKINSAQTSDEDLDNIVDKIVSGLFDVSVTMGAI PIIRCPKGGAAELIATKLDRKLRDHILNSKDNLFSSNKRPGVAVPSSRPVMIIVDRNV DLVPMLSHGWTYQSLVQDVLKMHLNRITVETLVDESDPSKGKTKKAYDLNATDFFWKR NANVPFPQVAEDIDAELTRYKEDANDITKKTGASSIEDLQNDTSASAHHLKAAITLLP ELRERKATLDMHMNIATALLQGIKDRQLDNFFQLEENISKQSKAQILELINDPSKGTD PSDKLRLFIIWFLTTETELTRGEITNFEEALQRAGNEDTTSIAYVKRVREITRMTMMT SSTGSPQQQSSDLFKGFSSLSNRLTDRITSGTLGANFDSLISGVKNFLPVNKDLTLTK ITESIMDPQSASSSAIAKTENYLYFDPRSANARGAMPTSSAARGQQQAGSTTTPGINA SFGQRRQAFNEAIVFTVGGGSMEEYGNLQDWVARTSGQTGTGGAGSATGGGAAVASSA GAAASSGSHRKRVVYGSTELMNASDFLIDALGRLGKES TSTA_002740 MDLQAFIDPNLPEADLLVLKHLHHDIDSYEDTNNASSSSRQQIP DNSITKRNVPAAVENVDALITQLDALNDPSQSSFEPTVFVTFDMGYLRTKLHPYIYKN FLVPYISIARKIVRVDTDVVMLTHLLLYFSTSVPSAIFLYRHFTYLHGVLHWLMQSYY VGTYTLMMHQHIHMGGILTKSNPFIHAFDVLFPYITNPLMGHTWNSYYYHHIKHHHVE GNGPGDLSSTIRYQRDSIPDFLHYVLRFMFFVWIELPLYFFRHGKFLLGLKAFFWEFS TYITIAVLYSYVDARATIFAFILPLFMLRIGLMVGNWGQHALVDEEEPTSDLRSSITL IDVASNRFCFNDGYHTSHHLNPRRHWRDHPAAFLRAKEKYATERALVFKNIDYIMMTV KLMQKDYIYLAKCLVPIGEKQIRMSLQERAEMLRSKTRRFSEDEIRVKYGL TSTA_002750 MPTSRPSRYPEPFVINNQIPPHKQTFIILHDRGSSATAFGPAIL FRPLPPFNRSGYAAHSQHQYWSTQSTTLYMAFPHAKFVFPTASMLRATAFTESVINQW LDEETRRWRAELPIPGLRDTVRYMHGLIQKEIDILDGDAAGVVVGGMSQGCAAALISA LLWEGDPLGGCIGLCGWLPFAEEIMDATQPDKEDENEAPTRFKEGINRLREKLDVPAS SERGQDTAYSMPDNTPLFIAHGIEDKTVPVTFGRQMVDSLKSLGWSNDNIQWKEYPGL VHNYSEGMLSDMVKFLSERLV TSTA_002760 MASTPPAQNVVIDTSSLSPSTTSSVWDRISNWVSENKAVVYTVA GIAVVVTGGTVYYLSTSSSNRPQEPAQPKKSKNQRRKEKKKAEEEKKKAQEAKTAEEV KSAKVEDVDEIPEVDESTVDSLSEETRKAYAAKLKAAGNKAYGSKDYNRAIELYGKAI LCKPDPVFYSNRAACWNVLSEWEKVVEDTSAALAMDSEYLKALNRRAIAYEHLEKYDS ALLDFTASCIIDQFTNRQSRESLERLLKKVAEKKGKAIMEAKGKRLPGATFVGNYLQS FRPKPIPAGLDSEDLDEETGKGQLRKGYLALEKKTAEGYDEASQAFGKALELGDLGEY EGDALNMRATFTYLQGNAQGALEDLNKSIQVQPALTQSYIKRASLHLELGNKEGAVDD FELAIAHNKDDPDIYYHRAQLHFILGEFAEAAKDYQKSIDLDPSFIYSHIQLGVTQYK LGSVASAMATFKRSVKKFENVPDVYNYYGELLLDQQDYQGAIEKFDKAVEMESKIKPT AINVLPLINKALGIFQWKQDFKEAESLCQKALIIDPECDIAVGTMAQLLLQQGKVAEA LKYFTRAAELARTEGEVINAISYAEATRTQLEVQEKYPQLAARLQTMGAGFSGPPGM TSTA_002770 MACEQVIVTLTPVYSPDTLPFRSLAFSSNNETIPIGRASKSETK NLVPGHDNGWFDSRVMSRDHAVISVSMEKKAVYIRDHGSLHGTQLNDVTITPKQNVTV KSGDVLTFGNEISRGTQTFRPVAVRLDCEWHEKPIAPSPTKPTVNTFRVPDEEDDIVE VVSYKEVAQQNAMQWASDSSDVDYGSDMSRPLDLTSPITSPEIKTSQLPKQQAFEAGN AASVQQTSVTTSEAANPPSSPPAQSEDEDQSGSEAEEDDGSDSDDSAGEDTRKVFDDE SEASDDGHYEGSDWDEQEADLAGVQAPPFPSFQGSMAPPFAGLNAGSGEVKPSTRDEI QPIPPMPTLEEVNNMLFQTKESASAVPEQSRISTAFPQQGFTFPEYSFPRIPTPPRIP SPSDKAMAKPLGIPAAPAPAPVYHPCSNFSRGLAAPKHGLLKPTLHNTGGLGSSNANE SFPFGPHRSSGYLRNFNKVPDDGRPSWLHDTISSRRSSIENPEPLKKAEKLPTAPKTR VPASGMVGAYTQDTTAAIMNLKRKVDEYLADLNQTPKEIGNTQDSIADAQPRPNMQAL ELTQSQGTDTQSLQEVAQTSPKKNPRKRVKTHQAYTFMKYAAIAAVGAAVGSIGTIAG LSSLPADYFS TSTA_002780 MAPLWATEICGSYAVPSTKATNGYILHQAMKYLFIFREATKIPV DKNSKLSPKLRQQFAGPYTVLRRVRRLAYEFDLPQHWKIHPVFSVAHLEICPPECRRR PRPEEVAVDMKRNGKRSDYIIFTNLNDSTFNHDCAIIQSEADSCRFLYPRYPLHFMYH PAHRPVRTKQHQHHQGTEIDTKNPITLSLDKHCAFDSYYIRTLHPGP TSTA_002790 MGCSDQRMICLPPEYWNETERFCTRLSSALELKSNLDHLRQDGH MNDAQYYTAKRIAGSVRYSTLNWIAANRGDYSLLASTTTFDIQQTVLLENDQWQAEMV YWLYTSFAYIQRSILMYAANYNTTLMSKPTTPWEQNMCSMQLHQLSPEYRSFSFLGVA LIIGIGSIIIVINWFIDSAIALIWPLARLAPEHGIWTKKFQPQRLAFEGKSRGSGGDW TIPDTRIPRTVTEEKLGYYDD TSTA_002800 MNSNQPEDTTTEFSLISSLRYDPDLPSATSVYAKERYPEPHDSP YYLLRFHQDRLLKGATNFKWLKAAAFLQQPLEKFVETLDKFIPDRSKAWRLRIVVDVE GRCSVDVHPASAWPLRCMFLPTSFDQLESLNSSFPWRLIVDSVPTKPSDFTTYKSTSR AHYDSARRRAGIKSPSDPIEVLLVNPLGEVMEGSITTAYFRRRLHHPQEEIRSEWITP PISSGGMISVSRQYALDHGFCTEQIIRVDELVDRENLDPKFGSYRPKRVQGSVFKN TSTA_002810 MDENIYGTARFLRAIHIGLHQKYLAHSERIEQLWRSFTPDQRDL IFRAGLKSDQVLQTPDDKSLGASYAVVSEMNLHDIKSSPDYFLDHFEFRATTSLTEQY RTGLEGALGDHQVIMRSKIARNPEFLKEHKHELTFFMDEKRYGESFKAENQEKYKKVL NDFASAVQAGLCVPRGVGNLILERQCYMIKHLCILVEDILRYGELMDEMERESETPEQ KAWTALSTYPVDIDPESVCVQDLLTAVYDRKSSFEVYWGLCRSNPVFLANAVNVWYST RPDLVADEKGRRMPLASDEYVSRAIFEVIHNSIIGTAVWDIITTLLKNCLEASDDPGQ GILLQEISNICHHEYDRIRRDFTRMVQVGRGAKHFKRLSNVFDNATPRVTLKNIPDRL QKEDQQLHYILRLCQPETDTTQAVRWITMLDQLHHKQPIELDRLEKREYNALGEIATT VNIINALIISLKLPSASPKKGVTYVSKLKELMARLDPLKILKDLEVQLQNVKETGKFK SKSKSKSKSKKSVGAFRPMSQVQQQGQAIKTHSSNSSRSSTSRISASEPRASPPSQIP TPLENPTKSKTPAEPETLIKADGSADASTPTQVKVLAETKSSTQPQEITEPSLSDEPV ASAQETLIEPEFESSSRVPPVSPKPKKSVEMDTSTGGKASTKLSVSDEPEISINQELP TEPVTPAELEISEPKPITELETSAEPETPTDMANSTEAAAASEHEHEPFVGPDPYVQL TTSTIKQAISALDFGEAGKRPLDQPEAPTEVKSNSEHGTADAEQTSALEPDVGLEAIG REISTEAETSEALGERRPDLLAESETIGPEIPRRSDTPASYTEPGTPGSQRSIKANME RPIEQDSPTKSDVTEELPEVFKVQPTTLEVFTALFSALESGSNSDDAAPSIKWLAFGT AMAEIGFTIVPNIGSLYTFVPSENAEVQAYFTLHRPYREVIQGDSLVYLASRLKHLYG WGKETFEAA TSTA_002820 MSARMKFFVCMVALFPHKTVVAATNPPVNANMKFFELASSYDYS FPAVSLAYFLRYPNPYSKHVLTSDVIDRYVDPDTQRLHTTRLHLKKSKIPSTLLKLLP KGIGGSDNSGQSYILETTVVDAKEGWMKTESRNMEWTGILSVVEKQHYERDMPPEGEK VNVRTTVTFVSRLGQSKLLNRSRKAAGESSELDEEAPKRGLFSSLSTAGIQRTIELIG VTRTRDAILRSKEGMNVVLERLRHGGIVAVLEGMRRDREGLPSTIAAGGPMRSESTTM WRQAWLGSSKTNGSTYVEDDD TSTA_002830 MEYLCNQQKGTLVPAHEDITPLHLAAKHEYLELVEKILDLLDTP YDDQGALNVLSAIVSMTQHGEMPLSQAASGSTKRLRDIENVLRNRVSTNIERFPTFFC PQPTTNHKPLSDLAEVVLELAAHFLRKIPDRTLSLNMDALSSKDEWYSLHLAVYHQCA IVVWWLLSYGGYLNKEDINNAIDIVKQQRREDSENKPIEMIGDLLSSPPPILTRREGR DDHLLPDFQFEPQDYKSLEEIIFDFHLDEDSYIGFQMKRRPVNDIIHNEGPRKIMRVN KYRNLNVLKDTLGTAQLVPRVRTGKKGIGRPGEKREPQSQSVQREVLETPDPGMMKKP ELSLLTMRAQK TSTA_002840 MASMELALAALRSADPGEKPNISLVARTYGVSQSGLYKRFHGVT GSKEEQYDKQRILTTTQSRALIKWINQLTERGLPPTNSMLANFAREISGKEPGKNWAS RWLKAHSDKVISRYSTGLDSDRKKADSAYKYALYFELIGRKIQQYNLGPEQIYNMDEK GFMLGVSTKRKRIFTRRKYEQGGYKQHLQDGNREWITTIGCICANGTALAPSLIYMAK SGFIQDSWLQDYDPQTQRCFFAASESGWTNNDIGYRWLVDVFDKETKSQASRGWRLLI LDGHGSHVTMKFIEYCDSNRILLAIFPAHATHTLQPLDVALFSPLSNAYTKQLDDFIR DSQGFTRLTKRDFFRLFWASWNEVFISKNINSAFRTTGLYPFDPEIVINKFNKKITSR PSSSESGASIIPPEDWRRLEKLVKTVVNNIYDEKAVQLRETVSHLSTQLILLQNENQG LKRALINAKKPKNKKQPLLLGLPSEQDGGALFMSPTKVQQARDIISQKNDEAAQKQAH KDDKKLQQQLKKQAREAEKVKRAQIRQEKREQREQEAAEKQRLKDEQELAKLADLQLQ NDIFQGVSPTIIGGYSDRYGRRPASLLCFTIFAAANIGLALQTNYAALLVLRCMQSAG SSGTTALSSAVVSDLATRQQRGSYIGLAALGSSLGPALGPIIGGLLDHFLGWRAIFWF LAIYAGVMFLVYLIFIPETCRNIVGNGSVPPQSWNKPVIQYVKAKLKDKDSREDFQPT TTSKRQRPGILSSITIIFQKESFLILIFGAFLYAGYILILTGLPQQLSSTYNYNSIQV GLCYIPIGIGPIVIRPIVGRIMDANFRRHARKLGVETVDNVQHDIDNFPIERARLEIS LTCVYISSIAILPYGWVMGLKHPPLPAALVLLFFMSLCTSAAVQPLTALIIDINPKSA AAASAAFNLVRCLLGAGGAAIVNPLLNSIGRGWTGTLVALTWIVLSVCWWAVIIWGPQ WRKQAKGKAELKRESA TSTA_002850 MGLPYTPLEELDRVLKDFFKKGPAYASYKFQALFQAAMYVETVV YVDERLMRTTGSMIAKSVSWESCKLACTLVLLFASPPSAFMLKTLTWQSRNLDGFPTM AEISSTPSVDLPKRFAQAKKAAIDGKVGKVTVLGVSLIDVEIIERAEVGRNDVDFDFT SFTHSFALAIGREGFRVYQSWGEHGYRLDQFLTRGGSRIRSWEEGKAFMKAFKKLASA TKWSPELNSAYKELFEVDIDSICGEWRVQPPLIPVYRPWVRVFEINDVQVNHIKKFTW KIIE TSTA_002860 MADIYQLVQACYGNIAKKIVTNQEQDGEKKIAMAFGYSGDDLLS LPEKTNLGLSCGNPVAYANVKEGETVVDLGSGGGIDVFLAARKVGPAGKAIGIDMTKN MIDLAIENAKAAGLSNATFIEASITSIPLPSSSVDCIISNCVINLVPAKDKGSVFHEI ARLLKPGGRLAISDILARKPLPKNIVEDMALYMGCIAGASQVAEYEEYLRQAGFQDIL LVDTKSNLNLYKESSYLQQSTCCGPSSGSKEQKADSANIDYNECAGSFQIYAVKPAV TSTA_002870 MNTILRSFTVRHSPAICSGRHCWRHAYTTMANPAVAETEPTANG EHVRPLSLAIPETEDDMDIRRKYRPFIRDDAEDDWVSALELTRVLDMAENNLRLTNKR LKILVLYGSLRRRSYSKLVAFEACRILFRLGCDVRVFDPEGLPVKNDVDHGHAKVQEL RALSTWSDGQLWVSPEQHGNLTAVFKNQIDWIPLSTGSVRPTQGRTLAIAQVCGGSQS FNAVNSLRILGRWMRMFTIPNQSSIPMAYTHFPDEGQPGDQRLKYSSNRDRLVDCMEE FVKYTILMRPQIDLFGDRYSEREEKRIKEAKSKA TSTA_002880 MATKPSALFVCIHNAGRSQMAAAYLSHLAGNAIEVRSAGSAPAN TINPMVVGAMREEGIDIIDQKPKILTSESVEASDVVITMGCGDVCPFFPGKRYLDWKL DDPAGQGIEAIRLIRDEIRCRVENLIQEMLQSD TSTA_002890 MSQLRPESLDSSTKQVCDVEKQGERGLPQDEFQSKQSAFKDLAL LDRFLAVWIFLAMAIGIILGNFVPNTGPALQKGKFVGVSVPIAVGLLVMMYPILCKVR YEGLHHVFRTRQIWTQIAFSIFVNWIIAPFFMLALAWAFLPDEPELREGLVLVGVARC IAMVLIWTGLAGGDNEYCAILVAINSILQMVLFAPLAVFFIEVISQDPIDFDYGIAAK SVAVFLGIPLGAAIVTRFTLRRLTTARWYDEVFIRWVSPLSLIGLLFTILVLFASQGR QVVHQIVSVVRVAAPLIVYFTVIFFTTLYITYKLGFGYKLAATQSFTAASNNFELAIA VAVATFGADSNQALAATVGPLIEVPVLLGLVYGVKFLAKRVGWKD TSTA_002890 MMYPILCKVRYEGLHHVFRTRQIWTQIAFSIFVNWIIAPFFMLA LAWAFLPDEPELREGLVLVGVARCIAMVLIWTGLAGGDNEYCAILVAINSILQMVLFA PLAVFFIEVISQDPIDFDYGIAAKSVAVFLGIPLGAAIVTRFTLRRLTTARWYDEVFI RWVSPLSLIGLLFTILVLFASQGRQVVHQIVSVVRVAAPLIVYFTVIFFTTLYITYKL GFGYKLAATQSFTAASNNFELAIAVAVATFGADSNQALAATVGPLIEVPVLLGLVYGV KFLAKRVGWKD TSTA_002900 MAAAKAMLDEIHDDLPVQTTDHNTYILGRIKEHNIVIACLPSGD YGLVLANTVAMQLMSSFHLIWFGLMVGIGGGVPNDDADIWLGDVVVSKPTGKYGGVVQ YDYGKAISGGGFQRTGMLNRPPQILLTAPLVYYGVIVSANQLVRDSQLRNWLSHDLGA YCVEMEAVGLMNNYPCLVICGICDYADSHKNKEWQGYASAVAVAYARELLLCISISDI DCMRSAEDAVSARFNVPFDLIRLLVIANFLGRQKELEQLWHHLQLQNSNSRTVAILQG LGGIGKTHSILPQLPGQLLGQSRSYSATNDDEIEQNARQVLKWLAVPGNSRWLLIFDN IGQYSPGIEDGYDIQEFFPTADHGSILITSRLQSLPELGRSFPIPIFDTEESLLLLWQ SMNLHILDTITGEEVDQDWCLDMARTETNRLNSPWHELALVAVGAMVPGTDEQDYWQL QRRLLVHADFVRQMVRSDHLTDDVSVWSAFHSLGNLYSDQGKLKEAETMYQRALAGYE KALGPDHTSTSDTVNNLGALYAVQGKLKDAETMYQRALAGFEKACGPDNYQTK TSTA_002910 MSEPKSTDGETVVEIISEGTWKAGPRAWSIILTLAFVSLIVALD ATILTISEDLRGSGSSAFWAGTSYLLASSVLMPFMGSLSDILGRQEILSAALLFFTAG SIAVGLCKNMDVLLLGRVLQGVGGAGIIPMTQIVLCDIVPLRVRPKYATFSQMAWALG SIIGPLIGGLLAQYVTWRWIFWLNLPFCGVGLVLVPLTLRLKTKKTSVMEKLARIDWI GGFLFISSMTIFLMAVTWGGVDHAWASTATLVPLLLGIAGTVVSLLWEKWGAAQPFIR LAISSNRSALAGYFCAITQGLALYYIALYFTSVKGFSPVHTGVCLITVSSILMPIGVI VSLIITHTGHVRWALWSGWVFMILSSGLLILLDESIATWRWVLILMTIGIAHGLLIQA LIFVPQALAKETDESYAAIMYTFVRTFGQTFGVAIGGTMFQNRLKQHLASAGLNVAIG TDAEQYVNVLNTMTDQTLRDKILAAYAASFRNTLELVLALTVLSALISLLIKKFTGQV LGGSR TSTA_002920 QIPVDMDSIAPRLVGLQQSLSALKRDLKTLAYKQWRQNCQQSQR GRILFQIVDKPSKKNIELHARLSRPPSSILTQIGTGNISLLHFLYKRNIPGIDDGEC TSTA_002930 MVQQRIEREVIILCDSQAAIQAINGSIAENGTSSGARVSWLFAK SRHTRELRVMSEQTEQQKRQLDGD TSTA_002940 MKFAILASRLLGGSIGFQQNARDDSLDLAARDNRATAYTMVQTV TNWVCTTYTTTMTGLVASKSQRWFPGSLASSTSQGAPTPMVTVFPSPGTYTIPASTVT LTAPTTVCAAQTTSLVPGPHTVGGATTIVPSPTTITVPYASVVTAGGTVTTEIFSTVY TCPGAGTYTIGATTITVPETTVYVYPTSTCYYPGTYTIPEKTVTITKTSDIYVCPYST SSTSSVSATATTTTSSASSTSSTSMSGSTTSSSSSQSLMPTSLLSSSSASGLSSISTL RSTSVPSSSSHMSSSSSVRSSISPSSSAAATSRASRTCSRSSSKSPTPSSSVKTNTPV SSSSTSKTSLSSSTSAIPSSSVRVTTPTPSSSVISTTSTSRTTQPSTSAIPSSSVRVT TPTPSSSVISTTSTSRTTQPSTSAIPSSSVRVTTPTPSSSVISTTSTSRTTQPSTSAI PSSSVRVTTPTPSSSVSSTTSSSVSSTTSASKTTQSSTSSTSSTSSTSSTSSTSSTSS TSSTSSTSSTSSTSSTSSTSTPTPTGPCGGGTAYGYATSADSRDDTFISLRIGNNWGW VLSGALPMSGNLYMGAGGNDLSKGTLVGTFEIAVTGNHFTVTYSITNSFYYLEQVHFF YGTSYPSHIAPGQFPYVKNLSGETQFTFEVPYNSADHTFIVHAAIGASC TSTA_002950 MSSQKAVAENMLWGGRFTEGLDPLMVQYNESLPYDRIFWKQDIL GSLAFARANSRGGILTEHEFSEIERGFKQIAEEWSTNSFVVKANDEDIHTANERRLGE IIGKDIAGKLHTGRSRNEQIATDMRLWLRDELRKLDAFLSDLIKVSIARAEQDIDYLM PGYTHLQKAQPVRWSHWLLSHAVAFASELGRLREVIKRVNKSPLGTGALAGNPFQIDR EGMAKELGFDGITINSMNTVADRDFAMETMQWGSSFMLKISRWAEDLIIYSSLEFGFV RLADAYSTGSSLMPQKKNADSLELLRGKAGRAFGHVAGLMMTIKGLPTTYNKDLQESV EPLLDHIKTVGDSIQIATGVLSTLTVIPEKMFAALAPEMLATEFADYLVRKGVPFREG HHISGRVVALAEKNNVPMDTLTLEQLQGIDSRFGPDVRECLDYERAVELKDAIGGTSK RAVREQVEALKAIIRGESA TSTA_002960 MSTSYNYDTSTQLGLRLRLWRGLRSIVTRRRVFVSEPNRQLSHG RKENAIQQSEMQPGAGTTTSTKTTTTTTIQMPSTTLFTDDGKVNPSEWFPVYQGCIEY FVNVAQHRPLAQSIAAHINILLPYQRAESPSNSQNQSGSTDQQQSESQLFSLIPYIRR LVVTATDTPVVMQELFGDGWLRGVGAILSQERINYLFSAKSGGWLKTKAQYDILPYET VPFLRPLRDPQEEELRAAEARWSEWLAMEDWMVGPRSPFEDSSGD TSTA_002970 MSDTSSLSTPSRSSIITFHEVTEDNWRAVANLKMKDGQIGNLAP NVWSLCEAAYSEDAWVRAIYADETLVGMLMMAIWDPDEAYYICVEFAIAHIQQHNPKA KQLGVMSTPPEGKTALNPLKTVKHEDSPYRFYEKLGFRAVAPPDEDNEIMMVIDL TSTA_002980 MPPIRNKNRKNLDEQEGRILLAISDLQNGRIQRVAQAARIYEIP RTTLQDRLNGIQQRSLVRANSHKLTQYEEESLVKWVLDLDRRGLPPRHSLVREMANYI LSQHGKPQVGKNWITKLIKRRPEIDSKFARKYNYERAKCEDPKIIQEHFDRVQAAISE YGILPEDIFNFDETGFAMGLCATAKVITGSDRYAQPKLLQPGNREWVTAIEATNSTGW AVPSYVIFKAKKNVREGWFDDLPDDWRINISDNGWTTDQIGLEWLKTHFIPYINGRTV GKYRMLILDGHGSHLTPEFDHICTENNIIPVCMPPHSSHLLQPLDVGCFAVLKRHYGQ LVEQRMRLDTNPPSKSIKQYGIICLQTPQNIRQFIRQSTTINKRINERTESNQNQEIN QAVVRLSTAYEMIANDVLLVRKENYDLRAAHEKEKQKRQKSKKQISIEQAVTKEEVQA LVQGQVEASHAVTTTPAEPELPASQAVVRRQYRCSGCNVMGHRINQCPSRISS TSTA_002980 MPPIRNKNRKNLDEQEGRILLAISDLQNGRIQRVAQAARIYEIP RTTLQDRLNEESLVKWVLDLDRRGLPPRHSLVREMANYILSQHGKPQVGKNWITKLIK RRPEIDSKFARKYNYERAKCEDPKIIQEHFDRVQAAISEYGILPEDIFNFDETGFAMG LCATAKVITGSDRYAQPKLLQPGNREWVTAIEATNSTGWAVPSYVIFKAKKNVREGWF DDLPDDWRINISDNGWTTDQIGLEWLKTHFIPYINGRTVGKYRMLILDGHGSHLTPEF DHICTENNIIPVCMPPHSSHLLQPLDVGCFAVLKRHYGQLVEQRMRLDTNPPSKSIKQ YGIICLQTPQNIRQFIRQSTTINKRINERTESNQNQEINQAVVRLSTAYEMIANDVLL VRKENYDLRAAHEKEKQKRQKSKKQISIEQAVTKEEVQALVQGQVEASHAVTTTPAEP ELPASQAVVRRQYRCSGCNVMGHRINQCPSRISS TSTA_002990 METALELLGSPLKIEKYLIKQEGLKESAIRDLQSSKIQSGCKAA RVYGLPRTSLQGRLKGRRPLAESNATKRKLTSTEEETLIKRVLSLTKRGYPPRPIFIE NWANLLLANRGSDGPIERVGINWKSTFINRHPEVKSVYYRGFHYQQAKCEDRKVIQPW FELVRTTIAEYGIDSSDIYNFDETGFAMGLIKSAKVIIGAETTNKEAFVLQPGKREWV TAIEAVNSTGTRTVGRYRLFILDGHGSHSTPEFDTTCIENNIISLCMPAHTSHLCQPL DISIFSPLKKSYYKHNGFAATGLIPLNPGRVLEKLNIQLKTPTPPGSSHGTSQSQSSC FQTPSNPHELKQHSIAVKKRLDPLFSSPSNPTLVKLNQVYKSCEIAWHNATLLAQENM ELRTAIQKEDQKHKRTKKVITEEASLIRGEAQSFIDESNAANQLAASLVSVEDGLPRR RAPPTCSDCHRISHTRRQCPNRTS TSTA_003000 MGGSLTDYNKHLIIGETIPRYTTMTYQPEQISDFIILNQNPTDA LIPALESHLPTSLPVLRRIQYDRAHPRQTAYYVVSANLTTSQSSTNSKSGEPWMAAYI DLFAGKETQVWVYSSLEAEIPPTEHIINGDNDEEISDFSTISLRKQDIAREQTWTLLQ WIHRELVPNYMSHVLKSDSQNESTEGPEQKIKVIPKHNPPAILLGSLHTGLMRLLTTN DSYISAQFRQGLKVHRYDTLPYVKYIFAPEIFQTSSNDENESNPLPEGYYYGTEGLRP QHVDLVKSRTHIPRERETLLAMPSAVVYRNTNNNSAEQTPIAWGFLAFDGSLATLHVE PEHRGKGIAVILSREVMRRGMASGVYGSDSKRLGYAHADVARNNVASRRVMEKVGGGI GWRWSVTWAVVEIVDK TSTA_003020 MEKTPATADSLPDPVRVLSTYIKPQYRPPHDPTITFEEYHHYAL KTRDKELALESPKLNWREWVLRKKHHHNTDVLSGGVIAPTNSAPVANPTEKSPIDAER SHRAIITDEEWENASRALRTASAGACFYLITTDILGPYGSGFAMGTLGWGPGIAFYTI FGFMAGYSGYLVYRVFLGVDSYEFPARNYGDLALRTWGRTVRYITNICQALGLLLITG QVTIQFGENISQVSRFRLCYAVCPVLFVIAGFFITQIRTLRSYGWVANCAVWLNLLVI FISMGVIANSPPNYKISVLGSAGSAVDPTTIRPDAAGNYPPIIHYNGLPPNSLVGSIN GLLSGVLAYAGVQLFVEFLAEMRRPTDFIKAMWGAQFFIYSVYLIYGCFVYHYQGQYS FNPSYQGVSVYGWQTVGNMISLIAALIAGGLYGNIGIKVFYNNILQDFLRAPPLITTR GKIIYAILVPLWWIIAFIIAAAIPDYFGFVGVISASMLLNLTYTFPPMFALGYDIQKN SIRSDIGEGFDIATGQVNREVSTVRRWVRGYFSGGIFQVGINVWHTIYFFASLAMCGL GMYAAIQGLIDAFENPNLNSFSCRSPLNLDA TSTA_003030 MSALVVSWDVLVWKGSDNTQKMGIPWTIYLYGIAPCSGGLAFGY DTGSMSGILVMPQFLTYMNYPSNFLQGGITASIQAGAFAGSLLTGAFLADKIGRKKTL LLGSAIFTIGIIISTVANDVTALVAGRVINGIGNGCLAMMVPNYQSEISPREIRGRII SVQQCFINFGILIAFWIQYGTSHIDGQAAWRLPIGLQMIPTIMLHVTMYFMPESPRWL VQQDRQQEALQVLARLHSKGDTNDAYVRAELTEIIAKLQWEKSNPPTTYFSMLFGVEA RRTWLAIGLSLRSQLNMISIMYYAVFLFQQAGLGETSASLLANGLQGVILNIFTLPNM YYMDKWGRRRPMIIGGIGMGIAMMLIGVIMKTKGDPIYDPLTKKTNFSFADHNASNAT VAFVYIYVMMFALTWACVAWVYPPELFSMNMRGRGTSLSSATNWFVNFWFALYIPTAM NKISWKLYLIFMALCFLMAIVVYLFYPESAGKTLEEMDFLFTPDRTPWVLFDHSATKI GAIFERDMAHGEALTAFSESGKGAKVEDLEHGEHVEST TSTA_003040 MASILEMQPYNNTEHDSPAISLSRIQTLDREQQEGSSQEDMVPV ISSQEPISNSKAIIIVIASFIIVFTCCGINFAFGIYQALYETLSHEPNTPFTGASPAI IDLIGTTAVSVMTIGGPLAVGWAKRFSPRKVTLSGAAIFTLAHILASFGTKLWHFELT QGLLLGVGTCLAYMTSVTVAPTWFSARRGLAMGIILSGTGVGGLVWAPALKACIDAMG YRNALRLTGAVSFVLNTGAGAAMTWEPSRKAQIEVELSYETARNPRNIFAVPLVDLRL VKTRKFIAQALGGIFQGAAYYIPVFFFATYASTLGYSDTAGANFIALSNACNAIGKVV IGYAADRYGRLNVLLLTTIISALSALVFWIPSTLLPFAHQEASRGLFITFTIFYGIFA SAYVALFPTSLVELFGPQNFASVNGFLYMLRGLATMVGTPVSGLLIRGSTAAAPVMTP KMYEGMSILVTMLLFATAFSVVWVRVEAMVGPDGRAHWKWRL TSTA_003050 MESHRHDRHSHDTQAASESAALYRARGGVSSSRDSPLGSRNPRL RSEDSWIEVSSQPSTSSLSSAATTDDIITTGLRVERPDLRASQIRNKKKRSKQHARLL NDSSRESSIAGGSSQDEYDESDSESDKVMSGSNEDVTTHSRGDALLLRHGSMSENTSS GEDDEDDRSTALGPRISSSPFVPQPNAFSHAPQYSRSRSYNDPGTTPSLVSNSSQATT VRHNSSSTIRNTRRSSRTQHVPYNMISPSYQADHDAALRASLSTLLSLGTAVRGAPKN DSPPAPAGPQVAPASTFRLVPESVAMGEKTDDGGRAPVNPGSPRTETRTMQQPKQPRS ISSSAPSKGKRKASKDRGSSHTSASKKSRQTSMSSSLVGVSPTLMTWVISAGVVVLFS AISFSAGYALGREVGRTEMAMDQMIGGSMSEQLGGVRSSTGCGRDAVKGSLKRLRWGG AAGGVSVYS TSTA_003060 MSKTKSTTKANKATDKVLSKVKDAGVTKPSQSPKAKSKEIARKL KTKGEKAALKKKEPTPSSESDSDSDEDMKSASSSESESESEEEKKETKKPGKAAAKKE ESSESESESESESEEEKPAPKAKKVAAKEESSESESSESESESEEEVKKTDKKAGAKV AAEEEASSDESDSSESESEAKADKESESESESDSDSSESEEEKEAPKKRKAEEEPATN AKKSKTESADNSPNLFVGNLSWNVDEEWLRREFEEFGELSGVRIMTERESGRSRGFGY VEYADASSAKAAYEAKKDAEIDGRTINLDYAKPRDANNQAPREKAQNRARSFGDQTSP ESNTLFVGNLVFGVDENAVREVFEGQGQIQGIRLPTDAETGRPKGYGYVEFSSVDEAR QALNDLQGTDIGGRAIRLDFSTPRAQGDGNRGGRGGRGGFGGRGGGRGGQRGGRGGFG GRGRGGATGANTTNRGGFGDFSGKKISFD TSTA_003070 MNPSGFFRVLGTIARQTSFRSTSESQLISSRSRTFSSLSTRLSG AAPPRGWTPTPFVTETVGGGWHTYDIFSRLLKERIICLNGEVDETTSASIVAQLLFLE ADSPEKPIHLYINSPGGSVTAGLAIYDTMTYIQSPVNTICVGQAASMGSLLLCGGHAG KRYCLPHSSIMIHQPSGGYFGQASDIAIHAKEILRVRTQLNKIYQRHLTGKKQLSLDE IEKLMERDYFMGAQEALEMGIVDEILDRRLKSENETENPKGYPS TSTA_003080 MSCSSHTIPFKKWRLQVLTNSDNNNENQDSSSFEKITNKSLVAK RPSDSLPKSPLFQLAQTHQTGNRLRKPQGTKADDARLENNPWAVALASPLRQCTATAM RLPKAFLTDMSLVRRIDESTTGKERKASLWWMPTELLKDKLSAPSTSSGRKPPVMRLL TRALLVEFLPNAIRRGGYRKVQAFQLVPSDWKLNLKKSEYVEISKVDWPEGLSDLILK YLDQKIVKSLKEAYRYEQIKREKADQWRVLQISSDLSVSSLIESLRHVEMKDMGTGAV VILGDSGSETIKGDPFASKFPDYLTLPQTQSMVPVFDLSSLLSVSGRQALRESIPRFN EKALFFRADGPKSVDAMLALWELKGYVMHDTDYVPDLRDHNDADEE TSTA_003090 MSSPLYKKALIIGATSGIGEALAIKLISHGSQVVLVGRRQERLD NLVKRLGSDKSSAMQFDILKLQDISTFASKVTTEHPDIDAIILNSGIQRSINFAKPET VDMSVVQEELTTNYTSIIHLTMAFLPFLQAKKTTTHLVYISATLGIIPTVLRTGGYNA SKAALHHWILVFREQLRQQPDNRVKVVEVFPPAVQTELHDERHQPDLKDGGKIGMPLQ EFIDQTYEELLKGDEQFGIGMAKATIDGWEKERVKLFHHQVPVVTNALKQFLNYISYH VGVGSKSAPGDPHYTMTTTSPQYLTGNPAALNEFIDRFDTFLFDCDGVLWSGDHTFPG TAETLELLRSRGKQVVFVTNNSTKSRADYKKKLDGLGIPSNVEEIFSSSYSASIYISR ILKLPADKPKVFVIGETGIEQELRNENVPFIGGTDPTLRRDLVPEDYKLMANGDPSLL DPEVGVVLVGLDFHINYLKLALAFQYIRRGAVFLATNIDSTLPNQGSLFPGAGSMSAP LIMMSGKEPTALGKPSQAMMDAIEGKFQFDRNRTCMVGDRTNTDIRFGIEGKLGGTLA VLTGVSTKDDVLNGLLRPAAYVDKLSDLLGAKE TSTA_003100 MHSNALSLAAAGVGYGWIAHEMHSWDALYRGRSLYCSALSSAQR LISSSEYTDELLPIMMMLLLYELFEFGTQSSTGWETHASGIEAALQLYGSQMLTSPLA YQLFYFYRTIGVLRSLILRKSTFLSKAEWIEAPWSSRPKNSYHKFLDLAAEVPDLLEQ IDSISTGDSLEQHGNLSPERLLRRILNIIHRMKEWERFSGPHLALGPPHRLSSSGTSM TLTDRLQPRAHRYPTRNTCVNGTDFDKLQSARLMLFHWAVMLTLYTSLYGNSHLFRFS EYENNNENSHLLSMHDLEFAAENLAYKVALWADFCCQNAWQSFGPAIGIFSVKAAMKW YEVRLRAYSSGISHSLAQQQLQKCQTLLAHLTYCDRNREFTIPAS TSTA_003110 MMQLAEVLSDLTSLRACGHHEALVLVNVHKATVAKTSTEEDDTK RDAEQHTDLSRAKELMELHYGVKVKHMGNAPNYEPVIDEGLRRAREDVNRVLRELDV TSTA_003120 MTSNVDAKLLKQTKFPPEFSKKVDMTKVNIEVMKKWIAGKLSEL LGNEDDVVIELCFGLLESSRFPDIKALQIQLTGFLDKDTPKFCQELWNLCLSAQSSPQ GVPKELLEAKKQELKQEKLDAERAAEEARRRKEQERERERELETIRQKERSDRNRGFR DNRGGRGFDRRGSRSPRRYSPDRRGAPSRREFDSYVPRGNRRSRQSPRSYARSVSRSP SLSRSPPRRSYRSDERNRRRPRSASRSPSPDGRGYKRNRRRSPPYGDERDRSVARNES SSRSPSPRRTRRRYSSVSSRNPSRSPTPPRTRRGRDRSPSRTRVRRNTSSVSVSRSRS RQPSYKNRRRRSSSRRSEDRSVRGSKTDIAAKSRSFRDDRRLSRSTSSRRDRSVSRSP SPRGDRKRRRSLERYAPAARRRHNDSPGSPHAERKQSSADVEDIKMQDGSEAKERTSN KLRLSANELRERVLKERLKAMRRKASNEKTEDVA TSTA_003120 MTSNVDAKLLKQTKFPPEFSKKVDMTKVNIEVMKKWIAGKLSEL LGNEDDVVIELCFGLLESSRFPDIKALQIQLTGFLDKDTPKFCQELWNLCLSAQSSPQ GVPKELLEAKKQELKQEKLDAERAAEEARRRKEQERERERELETIRQKERSDRNRGFR DNRGGRGFDRRGSRSPRRYSPDRRGAPSRREFDSYVPRGNRRSRQSPRSYARSVSRSP SLSRSPPRRSYRSDERNRRRPRSASRSPSPDGRGYKRNRRRSPPYGDERDRSVARNES SSRSPSPRRTRRRYSSVSSRNPSRSPTPPRTRRGRDRSPSRTRVRRNTSSVSVSRSRS RQPSYKNRRRRSSSRRSEDRSVRGSKTDIAAKSRSFRDDRRLSRSTSSRRDRSVSRSP SPRGDRKRRRSLERYAPAARRRHNDSPGSPHAERKQSSADVEDIKMQDGSEGN TSTA_003130 MRFAALAVTALATCAFALPSPFATVPTPSIHDRDLISSVLNLLN PSNLLVDLSAEGAAAFVGASLGLKADLIDVDAKAKLGLWLDGTDIDLDVSVKTALKGW CTGASAELDVDVVAEIALFAPCAAGIAAKGGLVVDVNGIASVGAAVGVILEADLQAEL LAFLKANLDLDSEVAVGLHICANGGLVVALTADVKAALTAWLSSSECGLSAGLKAAIG LWLEAQVGVGAVALGTVSTAASISGSIGLTIDAAVDVEGILSATYITALKAWISAQVN LDADIKAALGICAGAKAAITLDIVAVEKLTAWLLSAECSLTAELKAAVLLWLHVRVTV AETISVLSAADIATLTTWIGGEIAADLSAVVKGVIGVAIAGEAVVNVSVDAIAELIGV LTGCVSGIDISLDIQIILGKWISGETCGCHSNEKRGLVMAARCRATLVVISV TSTA_003140 MHHAPSLERTSSPRHSQNPWKTAFGTDILSQSLHGVKKGGIQVV SNQRGYALPWTESADTKDRFNVRKVVNV TSTA_003150 MKEVIVSPTLPEVTARVQDGPIPSIGPDEILVKVVIAASNPKDY RHLYITQKSVNSGDDVAGYVSSIGKDVKTTGEFRIGDRVAGFHKMLLPGGAYAEYAVV PAHTAFIIPDNILFEEASTIPLTILAAALPLFRRQNLPAPWIGRSKNAEPLPLIVYGA SSALGTFAIKLALLANIHPIIAICGATKSGLTSLLKSELGDTIVDYRPGVDAMKTAVK EALNGLKSYHALDAISENDSWIPISQLLSPGGHLSVVQGGPPDKYSKPSIPTEVNIGY TYVGSAHYGAFNSSMLNQPADKDSVVKDIDFAYVLMRYVARELANGNLSGHPWEVISG GLEGVESGLRKLQHGEAKGVKYIYRIAETRGVTSESDTL TSTA_003160 MEINLNVAKYSISFCFTGHSPFQSTKGSVRAIRRTLKAIRQEKS DLSEATQLALKALQKYAVQNEILEHQQQGLVDALIGEKKQQKRGRPLDLIDKDNSGEA QFFSPGRIEAARQRIQNIESQKEQGKIEAANRRTQKAFARQQKAQEIQERRETRIRER EEKRRQKELEKERLRVAREAQNEVKRGKERLAKQVNTKKRRYSKVIESNEEVSSKRPK TGISRSGRAINLPIRFRD TSTA_003170 MAYASSRDALRELGRDAEFEDDVVHYNYRCWTANEANRNFTSQE RQRVLGQSREGVFERHYQFQYDVVLRLSQKSLLQVGGSMLRKWNPSAPSSELTEEQRH IICQDPRILDLRRAKREVMEGLRSLAGTKREAQETYPHLYQRQESISKELSQLRKTLA KDTKETTRKDYFYNASILEVDRQIKQLLSQADPEDRDGEGLDKKEWELPIPKYVLRIF IGQMQRALKMISFSTQGKGLSKRFGFKAKIILAVSDSVDNVLDRRVSFQLFPDRTEYL GDG TSTA_003180 MTIQRSHRDYTIAWISALPLEMAAAKAVLDEIHCALPQSSTDRN AYTLGRLCDHNVAVACLPSGIYGVTSAATVLAQMLSTFSSLRFGLMVGIGGGVPSKFD IRLGDVVVSKPNRTENGVIQYDLGKTLSGGRFQRTGSLNKPPHELLTAISQIDSDQMM GKQQVQQIIHDTLAKNEQIRGQFSRPPDDWLFCGTYDHKTITDDCSACDRSQLVSRAP RPTDEPQIHYGLIASGNQVMKDAKTRDLIAQELDILCFEMEAAGLMDQLPCLVIRGIC DYCDSHKSKQWQGYAAVTAAAYAKGLLKVVPVADHKAQQEQHVSLTEEEKSCLQSLFI TDPAEDRNALKRRKGDRTPGTCSWILETDELKHWLALNQPADQEETRILWLRGNPGTG KSTMAITLTEELPNQPYFSGKNKAFAYFFCDSSAENRRTATAILRGILFQLINEWPIL MKYLFGKYEGRKEKLFTSFDALWAVLIDMGHDSTHSGIYCVIDALDECEPESQQIILN QMNQTFNSRNSKHSTPSNIHILITSRPYPEVGESLSFFRYKDFSSYQAFTNDLKRMIQ QRVDDLRGKKKYPESVATKVSRILEDKAEGTFLWVGIACDELARVPSIHAEKTLKTLP RGLHSLYQQLLNAAIASDYEDDQRVIVQMLSFVSFARRPLSLAELSEACQLYPDEDED SRLQFTRDLVDMCRLMIVIQAGHVQLLHKSVKDFLVKERDDISDLKANTSLAHRCIDH VIDAFLNYSVQHWPEHASLSRTEFVIGQKQEEFFQLQSKMWKEWLRQYNTMQTYSSNH LDIGFSTLHAAARWGIPQLISRALNSMKAGHPGLRIANGGRMFVDSQFRTSRGVTPLE VAAGNGQLFTMSLLLEKTFAGLKVAQSIVIAAAQNKDRGKQVLELLLDQRGDQIQITE EVVKAAAGNEGDGNAIMTLLLDRRGDQIQITEEVVKVAAGNEFQSHKLLALLHKRYNT LPTLITDTVLLAAASCALSSCFSLIMVITMDSRTFDMMNENLALRKAGLLGKVKVRAV FCRRHSPRYNTADE TSTA_003190 MFARAMALGARAWKSSFEIQPYGISVAATAEDYVVGVTFAKSSK AIILP TSTA_003200 MTETEQRVWDEIVKKNPLKWTEENNFNEDKDNAPQVDLRDTSNP QTVDSKTSTKEALQDTTEEEPEPAQSTQTTTELIFVHNGVPASDLMSALSKIEADDLP REDGLVCKAKTEKELLDELEAQAREAKAKRGDATTQASTSTAATPATSSTPSTSTQDL IELSDLSCVICGEPGRRCQGCQLVTYCSKDYQRKDWKNYKRECKGKSKAMDPSNWLVQ HVSSDQLHARVPYGSSIFIQCYSSRDNYILTRNFGYPTPAYWACIIGIRNESELVRVD IKYNGHRGFTDTHTICAQNRRSSLLRQDSGRNSPATYDRRFVEEFRSEPKKYDGDSSD DEPVPGPKFSASVKALLKGDVVETSPQRETSAKRLSIAARDFASARPERHVRVASPHD SSTGSPAPRVVRVGSVRLPSTKPESSNSEEQSYMKDLITPAPRTRSVRITGSRSSTRS PLSNSPGHRSTNDEALSGQNSVEGFSNERRSMLEEDHAPRLGPSSVLRARAGEERVGR LTGTFLNGPARRGVLRRQSEEIEENLQSERHTPDREMREESPRNSREENGASSRFGRM ASSPKLSWIDSDPRILENPAPAAARPNMDMPFSGPASPKSSRSRSTPGTTSESSEKSE VANDQPQYRIPPPALPSAQDQENDPPPTFKRAKPTGLSLLDKPEKYAVIYDDDNNKER ELPPATVSPRIPLTSRSSNTPRNTVQPPPKMSVLETATATGGAATTTSQSRKKRSQVT INHKAFTRMDCIGRGGSSRVYRVMAENYKIFALKRVNLEDVDRLTLAGYKGEIDLLKR LENVDRVVRLFDWEINMEKHTLSVLMEIGESDLEKILTYRLNAEDAVFDPSFTRFYWK EMLECVQAVHKYNIVHSDLKPANFLLVQGRLKLIDFGIANAISDDTVNVHREQQVGTP NYMSPEALIDSNAASGLPASVGKMMKLGKPSDVWSLGCILYKMVYGQPPFARIAKYYE RIMAIPNPKVPIEFPQFAIGGVTVPPGLLRTMKRCLQRDQTLRPTIDELLGDRDPFLY PEAQLEGTVPVTQEMIARILTNVVNHCRVRGVPKEEELAAWPAGFFTKIKAAVEEEHK TSTA_003210 MTTAFRNNCRKIICIGRNYADHIAELNNAKPKQPFFFLKPTSSL LLPGQGPVLIPKGVKAHYEVELGLVIGKKLTDLDPEDHQTALDSISSYLLAIDMTARN VQDEAKKKGLPWSIAKGFDTFCPISNLIPKSVIPDPHNAFLRLSVGDQVRQADSTNLM LYRIPRQLADISRVMTLEPGDLVLTGTPKGVGQVKDGEVMRAEIEVDGKQIERIEVEV RDRVEGRYEFKET TSTA_003220 MPSNTYSERNDAAGSSSKTSLLWAYQLRREHVHLVDRIDDMNNQ LLSCSDKSQACGQHLSNLESLVKSLQAENYTLKNEVTLVRTKLTARIEDINQQIASFL GSDNAVKDVTKQLELEFRGMGLQLAELSESVSELRGEITNIAKRKQPERTQHVHVEST QDNTGLAKVESGTEVTVARPKCVVRLFYGKKKPTQLIPEPVASTANLSESMVDLDALS TLTDSIIPDSMPPPNPVPRQYDFIFCQIHQNGRTINDYFAFVSQLRSQLPRRKQEGHI VEAFFDGIAEDSEDGHAFKASLKDYLDKVGWVWSHLELFFKPCALRNKKRALYNTRAR LRANALAAKQKAQLEENSDLQG TSTA_003230 MASKGGLEEVPEGQIESNYDEVTDSFDAMNLKPELLRGVYAYGF ERPSAIQQRAIMPVIKGNDVIAQAQSGTGKTATFSISALQKIDSSLKACQALILAPTR ELAQQIQKVVVAIGDFMSIECHACIGGTNVREDMKALQDGPQVVVGTPGRVQDMIQRR VLRTDNIKMFVLDEADEMLSRGFTEQIYDIFQLLPQSTQVVLLSATMPQDVLEVTTKF MRDPVRILVKKDELTLEGIKQFYIAVEKEEWKLDTLSDLYETVTITQAVIFCNTRRKV DWLTDKLTARDFTVSAMHGDMEQSQRDVIMKEFRSGSSRVLIATDLLARGIDVQQVSL VINYDLPANRENYIHRIGRGGRFGRKGVAINFVTADDVRMMREIEQFYNTQIEEMPMN VADLI TSTA_003240 MTAAEVAPKDVPLRQVEAVNSTRNGWEAIPCHPLGVKPSGNALV ATSNLRDAIGTFNIFPDEVVLTFLEYLDPPTLLRLGRTCKALYAFTRSEDLWKAFLVG ENRHDLVWQGTWRATFLKLSPSQIPTIDCSNLFSDALHRPFYCAHISLDPYVTGIPSQ NQISRLENLSTDDFQAKWTNRPFILTEPVKEWPVFNKWSTDELLEKYSPTIFRAEAVD WPLKTYVDYMRNNADESPLYLFDRSFVSKMDLEVGHPSVVPNAAYWPPPCFGEDLFAV LGSDRPDSRWLIIGPERSGSTFHKDPNATSAWNAVLRGSKYWIMFPSSSKLPPPPGVY VSEDQSEVTSPLSIAEWLLGFHAEARRTPGCLEGICGEGEILHVPSGWWHLVVNLEPS IAITQNFVPRGHLSAALDFLQNKADQVSGFRKNVDDPCARFVEKMRETYPDLLEGALE ELAKQKEGRKRKWDEIIHANSQVNDPCGRAEEFSFGFGGDDSDIEVP TSTA_003250 MDHSHMDHGDMDMGHGGGKCVTNMLFTWSTHNMCIIFPEWRVQG TGSLLASLFAIILLTAGYEAVRNFTRLYEASHTQRLKAFSSSVLAGRDSKQVLERRGR LIMATLYAVQVFYSFFIMLLFMTYNGWVMISVAVGAFVGYLVFGGDAPATKSAACH TSTA_003250 MDHSHMDHGDMDMGHGGGKCVTNMLFTWSTHNMCIIFPEWRVQG TGSLLASLFAIILLTAGYEAVRNFTRLYEASHTQRLKAFSSSVLADTETTSGDNSPEE DTRREGLARFTNSLLVGRDSKQVLERRGRLIMATLYAVQVFYSFFIMLLFMTYNGWVM ISVAVGAFVGYLVFGGDAPATKSAACH TSTA_003250 MLFTWSTHNMCIIFPEWRVQGTGSLLASLFAIILLTAGYEAVRN FTRLYEASHTQRLKAFSSSVLADTETTSGDNSPEEDTRREGLARFTNSLLVGRDSKQV LERRGRLIMATLYAVQVFYSFFIMLLFMTYNGWVMISVAVGAFVGYLVFGGDAPATKS AACH TSTA_003260 MADTVPTAVPTSAQTNPAPSTTSINMSNSTSQAQQNPSSSQSTV TSNTTTGPNKNPSANSASSSTTAAGATGSSGGATANNENRGLPYYEKLRRELRDILQK KRVMDRSMAQLEEQIYRFEQQYLEDTSAGNIIKGFDNYIKGSSSSSGLGGGAGSLSLS GSMGAGAGGTGGRRKAAFSELDRVFSRSSASFMRDSPAPSSAQTTPSHAPTPTSSHGG PSLSLNNGGSNGTSTKSEGSKGGSSKNKKKGGVGSGSASTPVATKDEDDIDVDGSSKP PVKRLKITYGRD TSTA_003270 MGGEAHPRAPPSSYPKLVERPVGQHIRSIYLDRLRQLTDEGLYG EDGLVSKYYDAIVSDQDHVKLSVYSPPDLSRPTFEEATSHEFKPTSVGTAFGPSWSTH WFKIHLTVPRDLLDKDRLEFHWDAHNEGLIWTENGHPLQGLTGDGERIEWIIPESFRD GKGHVLYIEMACNGMFGNGNGSSIAPPDDNRYFTLSKAQIVAVNLDARALYYDFWIIG DAAREFPQDSWEQHEALMVANDIMDTFIAGGGSKDSIKEARKVAQRYLGDRVDSHKVY DTETDPIVYAIGHCHIDTCWLWPFAETKRKVARSWSNQCDLLDRYPEHRFTCSQAQQY KWLKTYYPSVFDRVKSYVKKGRFQPIGGSWVEHDTNLPSGESLVRQFLYGQRLFESNF GKRCRTFWLPDTFGYSSQLPQLCQLAGMKRFFTQKLSWNNINNFPHTTFNWVALDGSQ VLCHMTPAETYTASAHFGDVKRSISQHKSLDQDKTSLLVFGKGDGGGGPTFEHLEKLR RCRGLSDKVGLLPRVKMNTSVDEFFDQLQEKAAKGVNFTTWYGELYFELHRGTYTSQA NNKRNNRAAEFLLREIEYFATLASVGKAKGGYKYPKEDLDNMWESVLLCQFHDCLPGS SIGMCYDDSNKIYAEVFATGHRLKKEALAALGFDDPKSGENVRFINTMPWKRSEVVKL PEALCHSKGHRYAQISGHTGTIDATFLNNAVSSRVSISEIRPGVFRLSNEKLKVDIQD GVIVSLYDVEVDREVISRGGKAGQLVIFDDKPLNWQAWDVEVFHLESRKELKSSTTSI LEISPHCVSVVTETKISDQSWIKTTISLKAAVDSEPSYVEFDSEVEWRENKKFLKVEF PVDVRNTEASYETQYGIVRRPTHYNTSWDMAKFEVCSHKWADLSEYGYGVSILNDSKY GFATVGNVMRLSLLRAPKAPDAHADMGRHHIRYAILPHSGSLDHRTIRAGYNFNQPLV AVSATNKSNTGAFDAVHLNGSKALVLDAVKRGEDDEDVSRGELAKRPGKSIILRVYES LGGKARGTIHTTLPVKKVWKTNLLEDDEAEFPIVSNHKDSTIQGQKSVDIELRPFEVA TFRLQL TSTA_003280 MLLVAPINFGIQIGMDKMKLIEKLWPVNDVKFPEKEARNGLKQH GGNFEILGRYTLYCDLCEDWADPTYDWIHGLGRFKAAVKTFRPELEAGANTSSSTNRD APYPEGHSDSLKKSKWFTRAADMKERRQERDCESLGDGRCSIHG TSTA_003290 MASLATYSKNPPPVLVSSSLDGLEIGVPPSNHPSPYWREKPLPK TPSRPVSVYSTGDKTIGSTISLVDYTEKAAVNNNEILLAPTGRKITKSNTDTDTTSTR FYRRRNNVAHRNSLATRINDRTSIGFKTFLTEEQYGVGMHLARANHYFREKKWEIFPE LGPQATSPTSTTRSPRKGRPRLPKGFSRQQMSKGEVFGINLKPRLVSKGSRLGSRKKS GAGDDEREESPRERAQLFRNDSSNSSLNDSDATLVDNAIEYTVEERSKKPSFATVRQR MRDMSRPFVSTRSELKEESIALWKSTSTTVLSIVSHAESKLTPTTTTAAIRARRSYSQ PPPSQRIRPQISTSTDDISSATNPDPALVRMTAQKPILSIQTHFHTSPTSPGEEGAVP VISIPEYARHLRTKSKTKTKASLVLVAAAYNSAKQKIASAQAARRRAEMKKQIRLVGP IGQYPDGSINHWV TSTA_003300 MSRPCINYKLFSIRPCTYSQINKAGPLVSRTFFSSAKHTTTGSV KMSPLSPESAKAIARLRAYKPPPSSYGSLPLSRRAAVLILLYADPEGELKTVLTIRAK TLSSFAGHAAFPGGKADTENETAFETARREAAEEIGLPNIGKPLFPPFKVEHLCELPA SLARNELVVRPCVAFLHSYNETTGETADPETSLIPRLDAKEVAAVFTARFHDFLKKDI EHAAGKEGDDEDGPGDWYQGSWTTWHESDWRMHNFYIPISEKSITKPRPQTRLKSIQK RIQDVAITKLKERERSGELSRYKVWGMTARILVDAARLAYDEEPEFEHNSHFGDEEII AKLRRIGRLGAIRRPGDELTRETMRKAAKLS TSTA_003310 MRTARLSNSVAARRVYGITPASFPSILAARSLAQAQRNRRAFPT HLNALAVLIPNQRTYATETSTSSNTNSGGTPLPPPGFDANQAKQPLSSSTAESRKIAD QAAVSKNATGTTATAQASQPTATSAETVVADSKKMTVEPEKRAVEKKEEMKKMTIGQK IKHEIQHYWDGTKLLATEVRISVKLAMKMAAGYELSRREHRQLQRTVKDLARLVPFSV FVIVPFAELLLPIALRMFPNMLPSTYEGQKSKDKKAETLRGTRKEVSKFLKDTLKETG LPLSAENAKKEEFTEFFRKLRSTGETPSDEDVIKVIKIFKDDLTLDNLSRPQLVGMCK YMNLNTFGTDAMLRYNIRHRMRQIKRDDRAISYEGVDSLSVPELQMACASRGIRTHGV SPGRLRDDLSMWLDLRLKHGVPSTMLVLSNAYQYATQSKDSEMSSQIDALRSVLSSIP EELFHEIELEVHNAEGAATNRQRLEVVKEQQELIEEENEQNTEQEGKGVSAPKDTENI DDKEDHPKLEASDAAAQQAVEASEAEADQASAEKQTTTTQEGKEDVAKSEKKD TSTA_003310 MTVEPEKRAVEKKEEMKKMTIGQKIKHEIQHYWDGTKLLATEVR ISVKLAMKMAAGYELSRREHRQLQRTVKDLARLVPFSVFVIVPFAELLLPIALRMFPN MLPSTYEGQKSKDKKAETLRGTRKEVSKFLKDTLKETGLPLSAENAKKEEFTEFFRKL RSTGETPSDEDVIKVIKIFKDDLTLDNLSRPQLVGMCKYMNLNTFGTDAMLRYNIRHR MRQIKRDDRAISYEGVDSLSVPELQMACASRGIRTHGVSPGRLRDDLSMWLDLRLKHG VPSTMLVLSNAYQYATQSKDSEMSSQIDALRSVLSSIPEELFHEIELEVHNAEGAATN RQRLEVVKEQQELIEEENEQNTEQEGKGVSAPKDTENIDDKEDHPKLEASDAAAQQAV EASEAEADQASAEKQTTTTQEGKEDVAKSEKKD TSTA_003320 MLKEDYVIFADSTFANNKDFTSQIGFAIVLANASNNANIVHWSL IKCKRVARSVLASELYAMVHGFDSAASIKSTITQPLHLTKPMPLVVFTDSKSLYECLV KLGTTQEKRLRIDLMCLRQIYEGQEIAEIKWIDGDSNPANAMTKSKPCRALQALLIRT SSMSTSTDGWRDL TSTA_003330 MGRKLMSFPICLYGEYDKYGTSGCLIREYLPTLLFFGAYPRMTE YDPPAPSITQRAATLRKAMAERCTQYRNGPASTAMQLLPINSNVIVWREGNTESQEEI DHGDDSVHDNNRPELPTATNSTSPQTSPHIEEIQSGTPTSQPEPVRRPQRNRQLPARY RDDILHSTFAQFDQSRKKEINGLLENGVFEVVRMEDVPKGTCIFNSRFVDEIKNLGTD KAFENHDLLYKCITMKKKISQRLLLCLAVCVAGTILFIRDVMQVYVQSTTHLIRDFYI YPPPELADALPEGTILKVVKPLYGIPESDNHWYRTYHTHHTDKLGMTSTYDPCLLHCT KPAQGFGITGMQTDDTLILADDKFVKREKDEIKCAGILCKPRECSTPTNPLKYNGGLI SENAQGMLVYLH TSTA_003340 MFLDVERLRRMHDFGQRDSKYTTTLNIKTLPQAARHLGSQANRI EIVSGAYPFTQPITNMGSGTRNEHDSPSVKLRLLIFKWLRPQKRRIRSHLTLLASWAL YFPVCLLIRLPLVQIHRSALPVHDLRVVVLLRRRNLRHHETMLTLSRFTSRPHIIYTR STHTLLDFQQKQGTMTDSNPLSRSKSAPTLSEEAMASNAPLSPDLLATDTDGLSGPPE GSTSPTSTPGRLSRNPSFSNSSSYQEDWETFPPLDKLSFFELFDTFSLSQKLERWNQA LNMQRDRVRRQREKFKSVSGLAKDRVVDEWRKRIPTADEQLDKYKNRMRDSVDRLTKQ WNKVATVTLREKVSFIAGVMNIFISGYLMGACPEKFYWWYTAQLAYFMPIRLYTYHKR GYHYFLADLCYFVNFLAMLSIWVFPQSKRLLIGTYCLAYGNNAVAIAMWRNSLVFHSM DKVVSVFIHIMPPAAFHCIVHLTPPEILKERFPAVWDVKFSQPGAPEHFTLLAMMLWA SIPYAIWQLSYHRFITVRRADKIAAGRPTSFTWLRRSYAKTWIGKFVLSLPNILQEPA FMMIQYFYALLTMIPCPLWFWYRWASSVFMLGVFIWSIHNGATYYIDVFGTRFQKELE QLKKDVAKWQTSPDYATSPLLTPGLATEQGSRLLSDLATTPGEELDKSSLERIPTLDA LSETTGNQPRAPDAASALRNRAAAQ TSTA_003350 MIYSNQEGQIAFESSPSIADPGRVIFTPDIQERFADNVYKTWRI STHTEAVTSGPIRSERGRGIPAYSHITGRTRQRGSWSPMSGSPSPVIPCDWQVDQSRR HRRNGKRRDPRIPGSMTPDFGYDAMTLPSSAYVIRVDDEARLKRYYEKAFDAFQQLNC RVIAKAFIKLVEPRKQVNYPYNGRRASSSPGGERRANPELTKPPWWPAGVTHKEPDHL LKPERIQLLIHILRELGGSHGITAEKLREAGQDVRRSISPPERLQILDEVYNVRHMEE RYIRGEISGDVLIPIAQVNLVGPEFETSDDASTPDSHVRNSEGGSSWGMESLASESVR GQEASSGSDGRSEGTTTRDPQVETYDHLMPERSQSYNLPYSNPPHHPSSTRASSFDSN VQYSPRHYPSSTASSIMSHEASPHSIDVSTRPSFSSYLNQPMLPPVPVTTVLWHPTVQ ASAPPYQPPY TSTA_003360 MGKLVRLELFNFKSYKGHHVLLFGDAYFTSIIGPNGSGKSNSMD AISFVLGIKSSHLRSTNLKDLVYRGRVLRTSKVNGDGSATVPEQNGNADEAQDGSDVE DSQTQGDRGDPRSAWVMAVYEDDAGEEQQWKRSITSGGVSEYRINNKIVTAQQYNEAL EAENILIKARNFLVFQGDVEAIASQSPKDLTRLIEQISGSLEYKAEYERLKAEQEEAA EQQTFQLNRRRGINSEIKQYQEQKREAENYARKAEERDQAIITHILWKLFHFQRLIDE SSAEIQKHQDELKEYRRGVEKYEKNLEEAKKEHAKMGREVSNAERYIVKKEKEIEDAT NSLVPIDEKIEITSGKVQRYSSRISEIAKEHDTQTANVARLEKDLKIVEKAQAEWEAE WAKSMSKAGGQLSEADFQEYNKLKEEVNKRSSTEQLKLDNLRRQKKTDAETVNGLKSK FETAQWQLQNLEVEVKTLKTRKSDIADTIKETSAEIDRKKKELNAVTSERLRVSQMRT ELEEKLQLVLKKLLEADDGRKQSEKEIRMKEMISTLKRIFPGVKGRVSDLCQPKQKKY AEAVSTVLGRHFDAIVVDNEKTAKECIQHLRDQRAGQATFIPLETIQVKAFNSNFKGM HRGMRPAIETVDYDNSVSRAISYACGNAIVCDDLDTAKYLCYEKGVDAKAVTLDGTVI HKGGLMTGGRGPGQQSSKRWDDSEMENLHKLKDKLLADLAALPKGHRRGTDEETFQGE LVGLEQRLAYAKEELKALERNIKSKDSELQFAKKQVAEVQPKLREKEKALKQLENSIQ SAEQSVAGVEDEIYSDFCERLGYDSIRDYEALQGSLQEEASRKKLEFTTQKSRIENQL SFEKQRLQATDDRISSLKAQYKRDEAQIKGFKAEQETIRSRLDELEAELEILKERLEE QKELYAESQEKLAAQRRELQKRSKNVEDTLRAVNALESEIQRNSSNRYALLRRCKLED IDLPLADGSAPLDSLPIDELVQTADEDAMDIDEDATMTGLEAPGAQDYGIEVDFDSLG ETLKESAEDKLEEELQDRIRSLNAELDKMAPNTRAMERLENVENKLRSTEKDFEEARK RARKAKEDFEDVMTKRSELFNKAFSHISEQIGPIYRELTRSANYPLGGQAYLDIEDSD EPYLDGIKYHAMPPLKRFRDMEHLSGGEKTMAALALLFAVHSYQPSPFFVLDEVDAAL DNTNVARIANYIRDHAAPGMQFIVISLKTGLFQLSEALVGIYRDQTENSSNSLTLDLR KYQ TSTA_003370 MFKRPFTFISNAKPCPKIPVFQPSRNFTYQAPRLAKSLTQKGSK IQTNTKPSSQQHSRKNVTDIRFIGRRSASLAELDRKVAQNGEVLLFKASPQRSYIIGA YGIGAFAFGYAVINSSLDYQDTRSNLAYWQKSLNIVFIARTSRLVRDITALDVKGQTL LRVRVRSMIPFRKPYTITVAPNQVLFNERLVAGSGSVPRARDINISLFKNPLKMINFS LFKTFISIRRVFTQEDFIMMEMQGQKGAYRVGIDGYVSDDLLSITSPTGRR TSTA_003380 MAASFTLKQVPLGLLRRQTPVNSITKRFASTLSQTPGGYPLYPS VSQLLLQKGIPESEVSKIPATGPKGRLLKGDVLAYLGEITAGYPAEQAARIEKMGHLD LSNIKIAPPPAPPAPAAAEEQVPELPSDISIAVSISLESVLSTQKRIKDAIGVTVPLS TFIARATEVANGELPRSAASKPSPSELFDELLGAAPIKYTRGNYVPEINTVPLEEFET IDEDVTSQQEEVDIIDILAGNVSPRRSSSSSSYAAATTPAPSALNVFSLTVPAGEEKR AKTFLDRLNTVLTVDPSRLVL TSTA_003390 MADRDTEPDATSSTSGIKNNMSNRLVSPPGSRTPPSRPVKSTLF FPDTSGKSSNLRTLSDGVDPTALASALKDYDEAGRRRERTPGASPSRKRQRVYGDRFI PNREGQDLQATYSLLGEDGCPSTPSRTKKRTPHGELHFQRTEEANRTYSRVLRSELFG DSVPQPDPDVDAYLNFSTTVHDPTRSHTPPPASLTPSTPHKNILNYSSPRAGSSHPTP TKTPRSQHGPNLNPRSELYSLSPIHYNSQRILGTPRKQPRLVHKVPFKVLDAPDLQDD FYLNLVDWGSTNILGVGLANSVYMWHSQSGQVTRLCELKDDTVTSVSWIQRGTHIAIG TGKGLVQIWDAESCRRLRTMIGHHNRVGALAWNEHILTSGGRDRLIFHRDVRSPDQYL RRLSGHKQEVCGLKWNTEDGQLASGGNDNKLIVWDKLNETPLHRFSQHTAAVKAIAWS PHQHNLLASGGGTADRTIKFWNTATGQMIRELDTGSQVCNLGWSKNSDELISTHGYSQ NQIVIWKYPRMEQIVSLTGHTFRVLYLAISPDGHTIVTGAGDETLRFWKIFDAQNRND WRRGSRLAELSTIR TSTA_003400 MKSRLYSSRLLQGYSVNSAFSISSATRRIGHFGYTTMVKVNHKQ AALDFLSFVNASPTPFHAVKSSKDLLAAAGFEQIREKDSWTSSLQPGGKYYLTRNGST LIGFAIGKKWKPGNSVAMVGAHTDSPVLRIKPVSKKQGEGFVQVGVETYGGGIWHTWF DRDLGVAGRVMVRAKDGSIQQKLVKVDRPILRIPTLAIHLERKESFDFNKETQLFPIA GLVEAELNRTRDHTPDSSQQETPTTSLKPTTERHHSYLVELVASEIDAKPADILDFEL ILFDTQKSCLGGLLEEFIFSPRLDNLNMSFCAVQGLIESVRSSKALDNESAIRLIALF DHEEIGSKSAQGADSDALPAVLRRLSVLPAKEAGNKSVDLSTAYEQSLTTSFLLSADM AHSVNPNYSAKYESDHKPHLNKGPVIKINANQRYATNAPGIVLLQEVAQKAAEDGGDI VPLQLFVVRNDSSCGSTIGPMLSANLGARTLDLGNPQLSMHSIRETGGTEDVGHAVRL FASFFEHYSALAPTILVD TSTA_003400 MVGAHTDSPVLRIKPVSKKQGEGFVQVGVETYGGGIWHTWFDRD LGVAGRVMVRAKDGSIQQKLVKVDRPILRIPTLAIHLERKESFDFNKETQLFPIAGLV EAELNRTRDHTPDSSQQETPTTSLKPTTERHHSYLVELVASEIDAKPADILDFELILF DTQKSCLGGLLEEFIFSPRLDNLNMSFCAVQGLIESVRSSKALDNESAIRLIALFDHE EIGSKSAQGADSDALPAVLRRLSVLPAKEAGNKSVDLSTAYEQSLTTSFLLSADMAHS VNPNYSAKYESDHKPHLNKGPVIKINANQRYATNAPGIVLLQEVAQKAAEDGGDIVPL QLFVVRNDSSCGSTIGPMLSANLGARTLDLGNPQLSMHSIRETGGTEDVGHAVRLFAS FFEHYSALAPTILVD TSTA_003410 MFSRQALRGLFSVERVASQQPILSYASRACLHQASTTNSSSQNF QATPPEIPPSTSAAAVSIPPSASQTSTSPIPDATQQSTTTSTSDGHFINGIPRTKLPL PPLFDSNLKITKSLADKLPYLYTQRPHYISAHLHDRPYLLTEGDHLRLPFLMPKVKSG DILRFNRASVIGSRDYTLKGSPYIDERMYECRLRVLGVESEPLRIKEKTKRRRRHVQR IKSKHRYTILRVMEVKLKSLEELMEDGAEIIKEGTILEEQGADVIEKKDAPSYLIVFE SILSVISISRL TSTA_003420 MHNSTKLPLSHALASMPDKANSLAEAMRWQLTLPAFSHHYLTEA SLWGSGEEQLTVVDVGGGVGHASQALLDHNPHVKCVIQDYPDVVSQVQQSLPEQYKSR TTFQAHDFFQEQPAKDAAVYLLRMALIPALKLGAKNVRERTAQGWSALLKGVDERFQL TAIHQPAQSTLAVVEVTWSARIYCCAQPP TSTA_003430 MPQNEYIERFQKTHGRRLDHEERTRKREAREGHLASQKAQSLRG LRAKMYAQKRHAEKIQMKKRIRAQEEKNVKSAAPSEPSSATPLPNYLLDRSQATNAKA LSSAIKDKRSEKAAKFSVPLPKVKGISEEEMFKVVNTGKKTHKKSWKRMITKPTFVGQ DFTRRNPKFERFIRPMGLRYKKANVTHPELGVTVQLPILSVKKNPQNPLYTQLGVLTK GTVIEVNVSELGLVTTSGKVVWGKYAQVSNNPDLDGTVNGKTPFLYSLIHDTDRE TSTA_003440 MATAITIQATSSGPAAAGLPLNASTLTADHRFLTRHHQHPRMSS TTSPVASLTNSPSASSASFSSIATPNTTPAVNTSASSVAASTTTTNQTLNLTNTIAST TSLLAGSVSSRGPTGRDGVSCDACLFRKSRCAMNELVNKCYSCEFHRQDCTFSLANSA LSEAASPPSRKRKLEDLVEAESNKRQSIEIPRPESTTKEMVPPTNTTNTNLLASPRLS YQSSQHIGLTTELEPILFEHIPLDTNDESSLSSTHKIRRFAADGTFMRIMNPDSSKEA STVSLDAIETLVAPYGSTLVDKFFEKIHPVFPVLMEDSFRQSYRTRRGLSPLLLAAVY TLTLKYLEFEPAARSARKPDVKRMEDTAMKLLTESLPHADITTIQAGMLIMQRSSLNT SSLNGQLVTAAFELGLHQDCFNWKIPLAERGLRRRMAWALHTQDKWCSLVHGRPSHMS AANWTVRDLVEDDFVGAFSATSPAHKKYDSNNEGESTGGLGHGPLLFCQHVALTAILA EILDTFYTLRAAEQFAAAGNNKTRYILEKAKPVQIRLKDWFARLPPGMKMDSTASTSA EILFDEVTEESASNGALHVAYFATEITLHRCIIRSLSPNTADNYLSHICRSAAKTRLI SAMDFVNRLRPAHLQAFWPGSSRTNFALIGSFGTLLLATAPTREEAEFYRQRLAEYRW TLSVSVKNAQFLKHAIESLDLSTMLAQNVPEKPGIEELMAGVVAKKSKRVTLAGPVYH QSGTLSSAMDTTGLFAAGEAAGGGGATSSVVSGLASPATSPSNMDDMSDVDDDDDDAG EDY TSTA_003450 MSTSTGIKLPPATHRKRVVPQSDLEAASTLKLGEDQNTHTLSLS EARLVINKVLENKRRGGKKYDEPEYVSPLFTGGFCCWGDDGLANVWDDGRNLTKTLDY LEVFARFKDEENIKAVERLLNSHTELEMFERSQLGSLCCDNAEEAKSLIPSLQNKISD VDLQELLDELTKLRNFVE TSTA_003460 MDSKYLATKQSTSRNREMFRPPVNRAMRVLDRSFFKKTVPVSAA TVLENKNISSVRKRLESSKDAIDLPRYNTCATPTSERLVVGRNGQILELTKEESRKKK CLVLREDIKYDDATTWSPILNELVENGTVGLGPYTIDLDYSYWTYAEIIEAILPEEEV ASGEFPEGFTLTGHVLHLNLRERWYPYKHLIAQILKDKNPLVRTVINKTENVGSESEF RTFPFEILAGENDLNVTVHEQGCEFRFDFSRVYWNSRLETEHRRLCDKFHEGELVCDV MAGVGPFAVPAGKKKIFVWANDLNPHGWESMEDAVKRNKVQQFVKPFNMDGRKFIRAS AEMMLSPPTRVVVQPKVSNSARRNKPAGAGRTTPPPPQIYTRPSTVDHYVMNLPATAI EFLDAFVGVYAGMESRFEPFKPERKLPVIHVYCFSGHSEDVRDDHEDICRRISERMGF TLTPDDTVNGTGNQERELEIHNVRLVSPKKQMFCASFRLPKEVAFAR TSTA_003470 MNQGSEIYSSPRRTSSWRGRFIDGVWHCDCDPRLPADHFQTKNG GVNHGRWFYTCQKPQPQRCKFFLWDDDAQIREKHTLLANSRSEPDTPKKSPSKSFQVG GLLTPGTGTTYGNSSAVKARTEPRRRLDFLAQQQTPTKVRKSSTLSSDEEAYSWDESL DNEAGNLLNRSSSSSTARPKQPVFTSNKAPRTATNTSPSKRKLQDVFDDEDKPPPYSE SAPTTQSSSTAPISFSSVDVSATPTPRRYKDVLSAQGAASHQISDLASNILAILDRHD VVIPTIARDEIVASLDQHHLKTQGIIRGRDLSRMALKKKDEEIQALKERIERLETERE MDKVVISCLSDENSK TSTA_003480 MAIEESNSFVLSDSRTSDDIEQLSEFQTLLRQHEQAKWKPPKGF VWIQVAIFANVFLSGFDGTITVSTYALISSEFNAANTASWLTTSYLITSTAFQPIYGR MSDIFGRKPCFYVCTIAFLLGCLGCGVAQDMFLLNIMRAITGVGGGGLITMATIINSD LIPFKNRGMYQAAQNVLHGFGAICGASLGGAIAETIGWRWCFLLQVPLSVFALVVGKI VIRLPQTSEDHNSTHGWQGIWNQVDILGTMLLVSGLSVQLIGLSLGGNELPWSNPWII LSLTGSVALLALFLWTEARTLAAPIIPLRLLHGTVPICIQIANLCVGMGAYAFLFNLP LFFQVVLLDSASTAGARLVIPSLATPLGGLIAGIIMSRWGRLSQIVQTGALLMFVGNL LVAMLRFNDASWKYFVYVFPANLGQGMVYPGILFSFLAAFDHTDHAVSSSTVYLIRSL GNVWGVAITSAVVQNQLNSGLSKALSGIPDHRKVKPFIFRAT TSTA_003490 MVTQLDAANPAARVEAPVNNILTGKQEHYLKRELLSAQVRHEIV ELAHPTALRRFGAPFKSEFGEVAPVDSELPILRFIFVNHVRNFPFLDQAREKEFWQDR LQVFLESFANKNISSSEDRLEETKRRKLAKKCEKLVELMMVSGIPTASGYEERIRFSE MEIVDRGANEKGLLVNMPDGQNINDWDVNVAAVRTTSVRRTVRYHQHAEFILRVRKAG QPDVYVGRRYGEFVKLHKRLRTELPGKILPPLPRKNKKSSASSFWGGSGDDDASSISS LSIQSIAVVDDEVTVSKTNLTVVTPEHHRSSSRSSALSTRSTSSRPSGDLRPGTVLYR EEQRVSLRAFLRTILQNKRVAESKAMEEFLTARPIQLNEEELLDIKRRKAADAARIEE QKKFYEIARQRAAELDVYMEKFRRSIVEQNGLTKLFAEIKEKNTIQELSPEYQKFAEW LRIEVAATIYHLFLAEDNSPELFAQAKRIHSLVPYTLLKNVIRIANPAAVMSGVLDLF LAQPFGSRSLLQRIFSMTLTDGIKQFQKPIDTLVAKVEDPAICEKLKAFVDSDESLKT IIREEAQEEDIDIVVAILRSELLTPDMKPSQIEKIFNSYVAWNAAVENIHEDMRHGAE WFAYLKQLLKLYTRQRDKAMMLSMIEEPVTLKLFRDLFTIFYEPLVRVYKSANVYNSI TDFAKFAEDAIGVIEKAQRQDVSADPNQTVQAFIDLCARHEHNFYKFVHEVHLHDNGL FGSLMAWIENILDFLRKGPRSGCKLDMNALFQGAVDTKQINKGEAIREIDSLVKWQED RKKWHHDKTRQKMAAEGIGAEMPGSAAFRTSDFGLDEDDLDDLATTNEESDEDDENTD DDDLDPIMAERRRRAKKQDHLRRSAGEPVKPDVKEVYKLQESFGMMLREVLAD TSTA_003500 MADLQVKLTAPNGRTYIQPTGLFINNEWVPSSDGKKIASINPTN ESEIISVHAASQADVDRAVTAARQALNNPSWRDLPASDRGKLLYRLADLAEENRLTLA TIETWDNGKPFTVARDEDLTEVIETLRYYAGWADKVFGQVIDTTPDKFAYTLREPVGV CGQIIPWNYPLSMAAWKLGPALACGNTVVLKPAEQTPLSILYFANLIAKAGFPPGVVN IVNGYGRIAGAALASHQDVDKIAFTGSTATAKDIMKMAATNLKNITLETGGKSPLIVF NDADLDLAAEWAHIGIMSNQGQICTATSRILVQDNVYDKFLEKFKAQVKNISKVGDPF EESTFQGPQVTKAQYDRIMSYVDIGKSEGAKLVLGGKKPASSGKGFFIEPTVFTDVSS KMRIYQEEVFGPFVVLTRFSKEEDAIRMANDTTYGLGSALFTTDLVRAHRVARRIEAG MVWINSSNDSDWRIPFGGVKGSGIGRELGEEGLKAYSQVKSVHVNMKAKL TSTA_003510 MAPDTTSIPGYTIFREDDYGSSAAASQITGRQPHPLDQLSIEEI PLAAKLIREYASPKKLKFNCITLREPTKREYAAYRKGSGPRPDRRVFSIVLEVGTPNC AEVVLNLTKNTVEEWKEVKEVMPTLTLEDLDIMERICRKDPRVIQACKEIGITDMSKI YIDGWAIGHDERWGFERRLQQGLAYYRESAFDNQYAHPLDFSIVADTETEEILAVDVR TVNGERTKVPLEGSNYLPEFISDGYKHDRLKPINITQPHGVSFRMRGNEISWAGYKMH IGFNYREGIVLSDVRIDDPYQERERTLFNRISVVEMVVPYGSPEYPHHRKHAFDIGEY GSGLMTNSLKLGCDCKGAIHYLDAVVSTAKGEAAMIKNAICIHEEDNGLLFKHTDYRD GTVVSARDRKLIISQIITAANYEYGFYHTFTLDGTYKLEVKLTGQLNTYPMHPTEQAA PWGTEVQRGINAQNHQHIFSLRVDPEIDGPNNSVIQSDAHPSEDPVGSPNNYYGNAFY AKKTPLRTALEGAADYCHETSRSWDIVNPNIINEVCHKPVGYKILNNNCPHLLSKPGS VIWKRAGFTRHALWVVPYKDYELYPAGDYVPQSDGRDDHPHNQTVVDWANRNESIENT DIVCYLQFGLSHFPRLEDYPIMPAEPVSIMLRASNFFEKNPALWVPPSAICVDTVSHD AFPSAKKCCSSEKEENQSRL TSTA_003520 MDTEPESQAPLKPSNSVDQSVLDARDLAALGHDQALSRKFDIWS MLALAFCVLGTWSTFAQDLASGLTNGGPVSILWGLCLVTFCNLCVAVSLGELCSSMPT ALGQAYWVHRLWEGKRLGRFASYMCAWINMFGWWTLTASQIGFMTNFMLGMKVMFDNN WPGASEGWVQFLDKFLPYFNDFVGIWFCGLFVVFSLALLISVGTKPDLSFQPASFVFG TWINQTGWSDGVTWFIGLVQAAYGLTAFDSVIHLVEEIPAPRRNAPRAIYLAVACGAL SGFIFMLVCLFCIQDVDAIINSATGLPFMDLVQNAIGTKGGVALIALFEFNGLGQGVS IATTASRLTWGFARDSGLPFSSYFAHIDPTWKVPARALWLQGILIGLVGILYLFANTV LNAILSVSTIALTISYGIPIFALLIVGRDKLPSGGTFRLGRRLGPVVNWISVIYCCIT TVFFFFPGSPNPAASDMNYAIAVFGVMLVIAVGFWFIRGHKDYLLTESSAERLMEAQR LENEEITVGVPPTSVGDNVDRKNGAVAK TSTA_003530 MGFPINYLLQLLQSVTYHFLPVDGGKCTFLLFNTCQSHCNNNNN NCSMSNNGSTTPLGSPLTLDNLSIEEVSNKTQPATMPLPINPRALAYPTIVYETRKNP PAVKHSLAESSNGEAQPEDDVQRSMARRKKNEPPMDINKKCSYCDKVFKRPCDFTKHE KTHSRPWKCPVEDCKYYETGWPTEKERDRHVNDRHSVNPPAYNCKFSPCTYTSKRESN LKQHMEKAHGWTYVRSKKNGKRGQTPTSASESSPNQTTPPTPNMPLTPAMSTPVSSLS TELPSPASGHMPSPYNQHMSANEPVIYRGNYVDGYTNMANGQPFNFADPPMMSGYDYS DFQLFPSGDLDNISLDPVPQATIDDFSAFNQTLDTSNAHELTQPPSADMSLDQLGQDS LNPYCFDNEFYNYQSNGMADFQPTNGMPDYQSVNGMADFQSANGMPDFHTHNGMPEY TSTA_003540 MAHSETPFRPGPEISISASPEEMDLEHSLDSPEKIEGEKNILIA ELPASEEQVNADTSGRAPKKSWSFKFAFIGLAATLFVFQLDATCLGIALPTIAADLKG SSLESFWASLAYTLCGLVMQPVWASISNVFGRKPPLYASMGLFFIGSIVFATAQHMNF IIVGRVLQGLGGGGIDVLAEVILADMTTLEERSTYIGLMSIPSAVGNIMGPTVGALFS TYATWRWVGWINLPFLGVGMPLLFFFLKLRPVPLDTTLVRNLSRVDWIGMALVVIGIT AFVVPLSWAGSLYPWAAWQTLLPMLLGAAVIVIFVFYEAKPTAPIIPHRLFHSKTGNV TLLGGFIHGILLISLLQYLPLLYQAVKLETAISSAVSLLPTSIISVVFAAISMMMVPL FGGYVWILRLSWAILTLGTGLLALYDVGPSSSMRYGLPILWGQGVALLRLNILPIQAS VKNVDDTGLVIGQFLSIRMFGGLIGLTISSAIFNSVFSTSISDTTVELTGALGPLKDA SNAVNFIDQLRSLDVPLTTLDQVLRVYLKCFRTIFYTMTGLSGLGLVTSLFLEEINLK KQELGKQRFEG TSTA_003550 MAAEAVSAAPVHKRRRALRKRIRAQSPISGRLLLDNHLRGDVAV LSDDLVTDLFPDVNLTEAGLNKDPNQVTLYIAISPYTPQYLSIDDVPWTIIPARVQPT ERSQVAPISHSTVLFPASANYLQSFFQTLGKLDPTRHIIQSSRPLEIRVLDVSPLHLE TIYVRVERNLLRNLDDVQSRFGGGFNVQAQGNNGSWPRGGKTMEVKRYTKKAAAEAEE RLTAAVREALSNQKVVHVGDILPLPLPAHPITHVPPPPAKITFCEPVAQGLLLPSTKI VLVQSRPHGARPNKGYTLTGPNLLKGAVEEEADDTSNEQFYSAAEDRALESSTEMEST TPPDDSETDLSAASDHDSSDDSLDDMISLTAPQLPQQPSGVMSAMSSITPRPGGRRMD GTNTPGSVISTFTSGTARPGRMGGKTFKAEGLLNRVLVDLLHPKPKEDDDTDAFVYVD VNTLVKVGCFSGDWVRIEGTEEPSTNPFASLAIGSLSLGEEDSGNWRAVRVYGIAGLP SPKPRYAVGGSSDRRSSISQLPGQRLTPSVFVPPILLSNLDNPKYVKLSPLPFASTHG SARPGVHQPSKAGSNKSPPVAKEVTLLKISTPLSTDRAIQPALFAGLRRYFESKRRLV KSGDLVGISVDEGLGRVVYSAAKTSEGGNAEDDLTSKLGFVPETAPEESGASRKVGVA WFKVGQVIAPPPEEQDGEDQWGGVALIDSSSTRMAQAGSLISRVPGTLKSGWEYWQGV KRLPRAYSDVPQSHGIITELPKFFTSPIQNRVRELITAATSPRAVQLGMPPVVILLTS TQRHIGKTTLATRACAEIGLHAFTLDAYDILTEGGANGGDVKTEAYMKARADRAFACG PNSTALIIQHIDVLTADRIVTAMKEIVAESRVIIATTTDVDKIPEGIRSLFTHELEMT APEEKEREGILHNAVIDLSIKIAPDVDLAAVAVKTAALVAGDLVDVVERASLAKLDRL EKLTRVANGAVTLRDVQLAGGDAARCVTKADFDLAVDAARKNFADSIGAPKIPNVTWD DVGGLSNVKDAVMETIQLPLERPELFAKGMKKRSGILFYGPPGTGKTLLAKAIATEFS LNFFSVKGPELLNMYIGESEANVRRVFQRARDARPCVVFFDELDSVAPKRGNQGDSGG VMDRIVSQLLAELDGMSGGDENGGGVFVIGATNRPDLLDAALLRPGRFDKMIYLGISD THDKQTKILEALTRKFALHPDVSLSRVAQRLPFTYTGADLYALCSDAMLKAITRQATA VDEKIKALPGGPVSTAYYFDHLATPEDIAVMVTEDDFVNAQNELVASVSAKELEHFER IRQSFESSTTKEAESSQPRTIADAIEGLSPGGEIVANGDSNHAHRGMNRQPSNNIKGK SGRIVSGSGKGKSKPIINGGDSDSSFDGYQPSLRSESTQSQNYDLGEEADVDGMEDGD ADDYIVKTDHLPSQIDDVD TSTA_003560 MPPRHTDDDAQLAAMGHKAELQRNYSMLSMLGLAFAILNSWTAL SASMSLSLPSGGSTSVVWGLITAGICNLCIAASLAEFLSMYPTAGGQYHWVAVSWPKW VPVLSWITGWVNVAGWIALVATAGLLASQLILGVISLMNPDYVSERWHQFLIYIGYNI AAFIINAFMNSVLPMVTRAAFIWSITGFVIVSITVLACSSPNFNSGDFVFREFLNETG WPDGIAWLLGLLQGGLGVTGYDAVAHMIEEIPNAAIEGPKIMLYCVCIGTFTGTVFLV VLLFVPGNIDDIISSSAGPLLQILDNATKNHAGAICLLMVPLVCLLFAAITIMTTSSR MVYAFARDGGLPASRFFARVHKRLNVPLNALYLTTSLVIIFGLIFLGSSSAFNAIISA SVVALDVSYGMPIAINVIRGRKMITESPWKMPEPLAWAANIISLVYIILTTVLFLFPP ELPVTPSNMNYCVVAFGIVLIISLFQWVIDGRKNFIGPRINLDELSQGVTIGQVSLEQ QDYTDETSKKVAVMQS TSTA_003570 MSLNVSLATPFISTLQLDTKKQATAVLIAHDLQLETYIHILNEI MKEEEFLNSIEDLTGRVAIVTGGAKGIGLETSVYLALKGATVYIAARGSKGTYAGIED VRNRLKSVVGDESLGSKIKYHNLDLGSMQNAWNSAREFARIESQLDILVCNAGVSMTT MQELSPDGFDTMFAVNHLGHFAFTTGLLDLIKQTSKTTQDSRIVFTNSNAYKLASPLD YEKLTTLIPNDGQTFKDASNAFKRYADSKLAALYGVLELTHRLRQQFGLTNIYINSCH PGNAIGTTLGAGHQKAVHPILEKVVRAGLQVTIGNSTADSAKTQVYLAGSRYIKDHDT YGAFWEPSFSWIAKAYKGCAGEEYTELARDEVERKKLWDVTVEAFKKAVGEDEIGSVD IVKGLIA TSTA_003580 MICQRCRTSLLSRVPVQQHSVRTPSSCVSIAFNTQSRFASTDTP KIPAMPPPPAPRQPGAGSVSIPSAISSATPGVSQPLSTPTDGIPKPPKWVNPGKGATS DDAAAATGPTHEPSSCVAGTPLKGLNYMKGKPDIVALEDHEYPDWLWTLLDDKAKKSN ETGGVDVASLNKKQRKRYEKKMAALAATQPRKIPLHEQATDIIPADYNAERDGPRDII AEAAVSIEKTEQITKSAREARRKGIRESNFLRGL TSTA_003590 MAEKTEPVHFFDITSVLEGPRKSWSPNTLKTRAVLNIKGIPYTQ SFISYPDIAPLSKGLEIPPTPEEGTPILYTLPAIIHKASIKFNPHGAMHDSLPIALHL DKAFPAPAYPSVFPHGQTSVALALAVDNLLTPAIRKSATILWPGIAEILDERGSEYFD RTRVPGFQKEFPHIQHLADLKPKTKEKVDQIVAETKKELAVFDEVLAAGGENKGVFLE GEKPGFADVMLAVHLAWIERSAPEFFEIVIDAGNGSLRKHWEASQEFLNKQGETKEWS IPKI TSTA_003600 MKTNLGIFKGCLQIQPYCKDSEARDVPSDPIVLEDVKSRVIGLT TKYIPGGNLENPDVPFLFEWLKQLTQLVDFLDLELGIMHQDIAPRNLVIDPKTHKLLL FDFYWGFMWGKRSRGWPRRQIPHWDRNIDMVQSMSEWPCNRDLDLHVSTFRNFLNELV AIQNSDGDMERYLNAPKRLTWPDLPTAPDYSVPFESGKSTDGETIWRTGRSAVKTKRN TSTA_003610 MSTEKYEISTRAECEKLVKDWGFSHVFTWTDSSNAHYPPHSHNG MTTHLIRRGSLTITYPEDNVKLHNGEIKKETFGVGDRIDVPAGKLHEVWIGPEGCEYV IGE TSTA_003620 MSSKEVTPVDGQYLPSSSNSSKAEDVGTTTVIRSDALEALRNRV SGGDATFDQTEDPRYYKPIDTYEGIHRWDPEFEWEEWEEKKLVRKIDLRIMTFACLTF FALQLDRGNLVQATSDNMLSDLGLTTNNYNTGNTIFLVCFLFAELPSQLISKRLGPDR WIPIQMVSWSLIASCQAFLTGRSTFYATRALLGLFEGGFIPDTILFLSYWYKSKELPV RLSFFWSAYELTAIIGAFLAYGFLHIHTSTGTGQWRYLFALEGLITGVIGIFAAFYMP ASPTQTAGRFRGKNGWFSEREEKIMVNRVIRDDPSKGSMHNRQAITPKLLIEALYDYD MWPIYLVGLVWLIPTNPVNSYLSLELRSLGFSTFHTNLLTIPAYVIFIINLGLFTWLS EKLNQRLLLGAFAEVWNLAMLIALETMPRHESAWARYAVLILLIGSPYMHAAIVAMTS RNSGSVRTRTVASAVYNMTVQTSSIIGANIYRSNDAPLYLKGNKVLIGIASLSLFLFL FAKIYYDLKNYYRARKWNAMSSEERDAYLAANKDSGNKRLDFRFVS TSTA_003630 MAPALVEDPLPIRESAAAKANIKENFNKEFFIGGKDAFDNKAEL EGTDAQPAATYPNYLPVWDNEKGVKYPPWTPFEHYDHGKDADPSFKDLLPADKAQVTE ITPYIGSEVRGVQLSQLTDAGKDQLALFVAQRKVVAFRDQDFAKLPIEKALEFGGYFG RHHIHPTSGAPKGFPEIHLVHRGADDNSFLQTFLHEHTNSVTWHSDVSYEAQPPGTTF LYLLDGPTSGGDTLFANLAKAYQRLSPEFRKRLHGLKAVHSGFEQAQSALARDSTVRR DPVKHEHPIVRTHPVTGEKALYVNPQFTRYIVGYKKEESDHLLKFLFDHVALSQDLQT RIKWAPGTVVVWDNRVTAHSALYDWENGQRRHLARITPQAEPPRETPFEG TSTA_003640 MAGPPALFLYAELLPNIRQLTLHVSLPSSPSGIDIRESTITLSE SCRAVTVFTLHQGVELVETLKLPARVTDASRRNLSFAGHRVDIGATESKAQIVEYSFR LQVDPNENGLALRNQDIQEDDYVPWTANDLSTCISLHCRLCRQMILDTSSKPDLNTWQ WKDLPSGNWAEMMDFWHCHKPDVHPDEKQGQKNIEEQNASVKGYGASNRVVATPRTGL VDVASFLVAESDCYNIKVLQPRPISASAKSTLHGGKDARNIRCSGCSAIIGTEDSVAE GLRLYKNNISVGRRDATEHAYETYSVDIITSAQLLDLIDHEGVRRFVIHAGQSDGILL WAFNPDLRYSSSSADHSIISRRAMKVLYQNVTDVEGILEPENGAPTSLSLEELFLPEN IYNELVLSLQRTNLLIPVSARIFQTYVTIMISDNSLRRSTLDQWPSRMAVQVLQCYKG VHNGRGVLPYTTFSFAEIYIMASKCIHPLIQERPDLARETQECDPECTVEQYSSTYEG SYRKWASKQQFMSLVRSLNALDVPSAQHIEDIHDISADDMKPIFNLDQMKKTTSEVPE LLISNSLSPSDNHLECTWGNADDIPTRVPSAALEEFPPLVRCRNDSAMGYLDDFTNDM DYEDSDSVSSDWSFYSHLNVDEDGLGRAMEGDPEDSSTSSCKEQSCRSTESKDNKSWA FILPASSPMQIQRPCRSTLHADEVSSKCLD TSTA_003650 MASLLSKILAFSSSNSIRVPTIKSHDIENPVEKPAKTLYHLVRL NHINHSVWIRGDTPDNSTTSHNFLPQHLVSAFLFGADNYALDNLYEANSGLLEPWKDA PGEVVGSDWMDFLGKREYERAFLDLFEDSLVEEGYDWKVVLQKYLFSAKQPLISSITA GLGRPLIHLALALQMSIKDIAMEALTLVATAYYDNDILKYSDDPSYFQAEPIYKTPSV PEILGKVRTDERFTNEALITPGEHNMAIIFRDHEAALLDHCNAWMFPSDLTTHFRDSQ KAIVSLFLGTSNSENKYDKCLLYPLLMSHAIQVLFPHIPGKVHISLVQQWWLTTLAIY VAQLRPDIDFDLIEGYDMEGKDWNWIRQQALKGPHAMDAYFVSTLRVLRELGEAWEDL EGYYLKAAVKFVDVFDGWQS TSTA_003660 MARTDQGLGAESEPLTNQSHRHSNSFSSTDSLSTDGSLFGDDMN ATQFQKSTQLPEETPYRDIEEGVEGEPESDILSHPRDKSKRSRGSRWIWVIGLLCLGG WILAFILFWGRRNNNSDISSSVAAVHDAESATGATSYGKPLTLDSVLNGSWGRRKHSI SWVAGPDGEDGLLLERGEDGKKGYLRVESILSRQNETDADDGLILMESGTIEANGKYL QPSETWPSPNFKSVLVAVDAVSNWRYSFTATYWLFDVKTQTAQPLDPDAPKGRIQLAS WSPNSDAVVFTRDNNLYLRRLDSTTVTQITKDGGKDVFNGIPDWVYEEEVYGSDTATW WSNDGKYVAFLRTNESMVPEFPIEYYMSRLSGKHPSPGLEKYPDVRKIKYPKAGAPNP VVTLQFYDVESTDVFSVNVSGGFADDDRLITEVVWASETKVLVKEFNRESDVVRTVLI DVGSRSGDVIRVDNFAQDDGGWAEVTQSTTFIPADPANGRPDDGYLDIVVHDGYDHWG YFTPVNNSQPILLTSGPWEVVDTQPAVDLRNGIVYLVATKESPTQRHVYSVKLDGSDF QAMTDTSKAGYYDVSFSIGGGYALLSYEGPHIPWQKLVNTPSNQQHFEEVIEQNEHLF SMIEKYALPAEIYQNITIDNITLQVVERRPPHFNPIKKYPVLFWLYGGPGSQSVDRKF MVDFQSYVSSTLGYIVVTVDGRGTGHIGRIARTIVRGNLGFWEARDQIETAKAWAKKP YVDKDHIAIWGWSYGGFMTLKTLEQDAGQTFQYGMAVSPVTDWRFYDSIYTERYMHTP EHNPTGYEHSAISNMTALQQNVRFLIMHGTADDNVHFQNTLSLIDKLDMGGVENYDVH VYPDSDHSIYFHNAHKMVYDRLSSWLVNAFTDEWHHVGSALAAT TSTA_003670 MASAVAAPSGAGNSAFKDKEKPMAVRTANILAARAVADAIRTSL GPRGMDKMIQSGKGNTIITNDGNTMLKSMSVMHPAAKMLVDLSAAQDIEAGDGTTSVV VIAGSLLGAADRLLSKGIHPTIISESFQRAAAAAVQILHDMSQPISLSDRTTLLQAAS TSLSSKIVSQYSNLLGPMAVDSVLKVIDPKIADNVDLRDIRIVKKVGGTIEDSEMVDG LVLNQPVIKSGGGPTRIEKARIALIQFQLSPPKPDMENQIVVNDYRQMDKILKEERQY LLNMVKKIQKAKCNVLLIQKSILRDAVNDLSLHFLSRLKILAVKDIERDEVEFLCKSL GCKPIANIDSFTEDKLGTADVVEEVQASGARYVKVTGIKSSAATSQTVSIVARGANNL ILDEAERSLHDALCVIRCLVKKRALIAGGGAPEIEVANQLSLKARELSGTEAICWKAF ADAMEVIPTTLAENAGLNSIKVVTELRHRHAQGEHNAGVSIRSGGVKDNITDEKVLQP LLVSTSAIELAAETVKMILRIDDIALSR TSTA_003670 MDKMIQSGKGNTIITNDGNTMLKSMSVMHPAAKMLVDLSAAQDI EAGDGTTSVVVIAGSLLGAADRLLSKGIHPTIISESFQRAAAAAVQILHDMSQPISLS DRTTLLQAASTSLSSKIVSQYSNLLGPMAVDSVLKVIDPKIADNVDLRDIRIVKKVGG TIEDSEMVDGLVLNQPVIKSGGGPTRIEKARIALIQFQLSPPKPDMENQIVVNDYRQM DKILKEERQYLLNMVKKIQKAKCNVLLIQKSILRDAVNDLSLHFLSRLKILAVKDIER DEVEFLCKSLGCKPIANIDSFTEDKLGTADVVEEVQASGARYVKVTGIKSSAATSQTV SIVARGANNLILDEAERSLHDALCVIRCLVKKRALIAGGGAPEIEVANQLSLKARELS GTEAICWKAFADAMEVIPTTLAENAGLNSIKVVTELRHRHAQGEHNAGVSIRSGGVKD NITDEKVLQPLLVSTSAIELAAETVKMILRIDDIALSR TSTA_003680 MPSATGSDWEKYKKNFADDEIPEKKITPLTDEDIQVLKTYGAAP YAAALKKLEKQIKEKQQSVNEKIGVKESDTGLAPPHLWDVAADRQRMAEEQPLQVARC TKIIQDEKDPEKSKYVINVKQIAKFVVNLGERVSPTDIEEGMRVGVDRNKYQILLPLP PKIDPSVTMMQVEDKPDVTYGDVGGSKEQIEKLREVVEMPLLSPERFVNLGIDPPKGA LLYGPPGTGKTLCARAVANRTDATFIRVIGSELVQKYVGEGARMVRELFEMARTKKAC IIFFDEIDAIGGARFDDGAGGDNEVQRTMLELITQLDGFDSRGNIKVMFATNRPSTLD PALMRPGRIDRKIEFSLPDMEGRANILRIHAKSMSVERDIRWELISRLCPNATGAELR SVATEAGMYAIRARRKVATEKDFLAAVDKVIKGNLKFNSTATYMQYN TSTA_003690 MSSLSLRSLAPASKISRALRDQRRNFSSTRPAARIFGSQPLRAK EATGPIAQKYPIIDHEYDAVVVGAGGAGLRAAFGLAEAGFNTACVSKLFPTRSHTVAA QGGINAALGNMHKDDWRWHMYDTVKGSDWLGDQDAIHYMTREAPASVRELEGYGCPFS RTEDGRIYQRAFGGQSQDYGKGGQAYRCCAAADRTGHALLHTLYGQSLRHNTNYFIEY FALDLLMEDGECRGIIAYNQEDGTLHRFKAHHTVLATGGYGRAYFSCTSAHTCTGDGM AMVARAGLPNQDLEFVQFHPTGIYGAGCLITEGSRGEGGILLNSEGERFMERYAPTAK DLASRDVVSRSMTLEIREGRGVGPEKDHIYLQLSHLPAELLHERLPGISETASIFAGV DVTKEPIPVLPTVHYNMGGIPTRYTGEVITLDENGNDKTVPGLYACGEAACVSVHGAN RLGANSLLDLIVFGRAVSHRVRDISSPGKPHRELSSDAGAQAIKDLDFVRTADGPKST YEIRNAMQRTMQTDVSVFRTQESLDEGVAKIVEVDAMFDQVGTKDRSMIWNSDLVETL ELRNLLTCATQTAHAAANRKESRGAHAREDYPDRDDENWMKHTLTYQKNPHGKVDLTY RAVQDHTLDEKECKPVPPFKRVY TSTA_003700 MDESSVFARQANSCIGPYQFYQCSKGPFVGCCSINPCDDGICPA ENRPGGDSTQTTQPTTMTTMSITSTTAGDDHTASVTSMQQVTSTLVTSAGYSVVTETV GAPTRTVDNIATASSTAVDPTATSSSHKTATIGGAVGGIVGVIIVLLCVYIWYRRRKT AASLESQEKNGRQRGQTDSDYTMSSSWTGPFGSYNDKEATPFRHVDRTRSSIVSELDS SPVSPLTRSPRNMTSSETIFELDSGANNDKHSTIVSTPSTPNFPSISSRYTGSDGWQP NSHLQPWNGTVSEQDIISHVSSTSTGGVRIASAGHSSTKSDTYLGWHQ TSTA_003710 MASNFFNNKARAAAAAAAAASGSSKQKTSENAEEQARLQPWVEK YRPKSLDDVAAQDHTTAVLQRTLQASNLPHMLFYGPPGTGKTSTILALSKSLFGPALY RTRILELNASDERGINIVREKIKDFARTQLSHPSGLDAAYKAKYPCPPFKIIILDEAD SMTQDAQSALRRTMEQYSRITRFCLVCNYVTRIIEPLASRCSKFRFKALDGVSAGDRI NEIAQAEGLTLDDGVVDTLIRCSEGDLRRAITYLQSAARLVGATSTQKGKKDQEDTEM TDAGSSLITVQTVEEIAGVVPESVLDKLTQAMQPKKMGSAYEAVASVVSDIVADGWSA TQILTQLYRRTVFNDAIPDTQKNKIVMVFSEMDKRLLDGSDEHLSILDMVLKISGILG S TSTA_003720 MSTIHTLQARLKETSNALYETKPLIDRLRNFTQAIGQGDEARLE LGAEIHSRLKNVEEEMEILNVEVEGLEVTTGAQSKRKTGDNNGEKDAERKMVIGIAER LMSDLKRTRTEFRTAQLQANRNAEAARRKEREMLFARPSERAESTIKGSNKFTQNDIV VNASNDVTAALRRTHELMRTELSRSQFAQETLEQSTAALSSLSESYTNLDTVLSSTRT LVSSLLRSQKSDTWYLETSFYLLIGTIAWLVFRRILYGPMWWLAWMPVKMIYNVVASV IGLATISESIATTTSAVISATQVTSLPGETETASIVMDGADAPVMKTYEQVSAETENE NSVLDKINHMVKGDQQHETAEEYDGPRNTKKRMWEEPVERRDEL TSTA_003730 MSIPVSTITSFRTAFSPFSPLGRPCRILLNLLQNPSTAPASSPT HIDIKVSHLPRNSQQLPEITIGFKGGKEIKLEVGKRKMKIGDVLDEIARVGRVVEREQ SLKG TSTA_003740 MNTFARAVLRLRRTQLAAMSVPTALAPSSLSTTIPPLSSSIQTT SSSSSSSSRLTTNSSSPLHPNTARSNFRSQPAAVNRAAQLTRHFTTSTNSIDPAMSYS IRKVGAAHTLEHRVYIEKDGVPVSPFHDIPLYANEQQNILNMIVEIPRWTNAKQEISK EEFLNPIKQDVKKGKLRFVRNCFPHKGYLWNYGAFPRTWEDPNVVHPETKAKGDNDPL DVCEIGELVGYTGQIKQVKVLGVMALLDEEETDWKIIVIDVNDPLAPKLNDIEDVERH LPGLLRATNEWFRIYKIPDGKPENQFAFSGECKNKKYALEVIRECADAWERLITGATP AGDINLANLTNSNSKDLVDQSALSKIPAGENLPPAPIDGSVDKWFFISGAAV TSTA_003750 MLSTTGSDNVNVNSAIANATQMTPYNTACPYQNNSIIESEEGLP FHIICGWDLAGYGDYFPWGLDYRPHTETMEECMELCAHAHPLCLGVGWNSDLTAGYGN CYLKNSQVGNLVADSYHTHVALVNLPSIDACSSITVPQQILSNDKTFNVTCFEGRSGS SNITSVYSANITGCIDECATYNGPESCLGVFYDNSFADGFDNCYLLNATGSANAPFNS TYAELVSDNSNPTSTSTSSASSNSTDGSSSSSKAWIAGPVVGVVAAVAFVALGVFWWR KRRSGQQQDVKFAPLARNSPPPDKSVVLAELPQQHNVSEMEDLNKRQAGYTTESSHKT SPYNDMLNIFRRMMSSIPRVPIPANGVDYRGKIVLAPMVRSGELPSRLIALKYGADLV WGPETIDRAIMGAVRRVNPRNNTIEFTRIPSNSSKSADQLPKESVIYRIDPENEKGKL IFQIGTASPEFAVQAAKVVAGDVAGIDVNSGCPKPFSTSGGMGAALLRTPDKLVSILE SLVREVGTPYKIGISVKIRLLETPELTKSLVTRLVATGITGLTIHCRTTPMRPRERAI RDQLSMIAEVCRNAGVACVMNGDVTSRDQALELMKEYNVDGAMIATAAEANSSCFRSE ADGGLLPWRDVVKDYVKEAIRVENKFGNTKYLLNMMIKAKDKAGAQAKQSKNYVDVCR TLEFDDLLPAAVEVDKILGLEMKGVTSKITEKETKPSAVQNAMENNESAKAAGISGHI KKQQSNVQHGPGPIRTTSARASVPNTPIETDVDLSVLAAPQQSGVAV TSTA_003760 MEESVPDTPSLRRDVDDTDTVATVLIAPKTQQTPLSQRYTQPTQ IIDRTPNEAKSVVQVAASSPAGPATSPSRPRGGMLANLMAPSGTQFRAPTIPQPQKRA PVLSDDEGPNYAGGSSDEDELSIATNIKPRILSKTPSRSPEKIMESPISNNTGSQTAF KDIAARFAYQPGSKRSSEHLDQSFDSAKKFKQTTISRAIPVDDDNSNIFDEIKTIEDI EDNRMRNFVTRLLSLFPSFTIQEGLRAFMKSSGNFDRACEQIAEQEDLDRVSSPDELT TTTHPREHMNTAKQNIKSKQKILEKYTANVQRKDIPEVQDDPKPKGRRLMRGPRPGAT SSEASPAPEAAPKPKGRLIQRKDLKRHDSPEEESMASESESDASSEEDNSEIETKVLK FINTCTVPALADLAATPESIATIIINARPFRTLNAVRAVTDNAGEGTSQKKSRRPRKP IGDKVVDKAVEMMKGYEACDALVAHCESLGKPLAAEMKQWGIDIFGNKGNGELELVSL DHDSGIGTPTTDDGDEVVTHGGRKSRFVSQPSIISPDYTLKNYQIVGINWLTLLFHKQ LSCILADDMGLGKTFQVIAFLAHLFEQGITGPHLIVVPASTIENWLREFSKFCPTLNV MPYYAKQQERAEIRAAIEDDRENINVVITTYTVAKAKEDSRFLRNLGFCVCVFDEGHV LKNSESKIYDQLVRIPAEFRLLLTGTPLQNNLQELVSLLGFMLPDVFSKHREDLQTIF AQKAKATDASEHATLLSAQRIARARSMIAPFVLRRKKHQVIDLPAKVSRVEYCEMNET QKEIYRQENEEVRALLADRAAGKKTGNKSAHILMKLRFTAIHPLLKRRLYNDKILGKM AKACLKEEQWSLSDPDIIFEELQPYNDFECHSMCVKHPKSLGPFTLKNQEWMNSGKID KLCELLKKFKENGDRTLIFSQFTLVMDILEYVLETVNMRFFRLDGRTNVEDRQAILDA FYEQTDIPVFMLSTKAGGAGINLACANKVIIFDSSFNPQEDVQAENRAHRVGQTREVE VIRLVTKGTIEEQIYALGQTKLALDQRVVGDDPTESKRSEEAGMKAVEDMIAADLN TSTA_003770 MAPKVLIVLTSQNVIPNTDHQTGWYLPEFAHPWKILHDAGVELT VASPKGGEAPLDPASVEMFKQDEIAVNFHKNQEPLWKNTVRLADIVPRAKEFDAIFYV GGHGPMFDLVSDPISLSLIQTFASAKKPVSAVCHGPIVFVNATTPSGEPLLRDATVTG FSNVEEDQAQLTKLMPFLLEDRLKAIPGTKYVKADQPWGEKVVVDKTYQLGGVLITGQ NPASATGVGKELLKALGL TSTA_003780 MAAEDDHNEHGKAVYSNAIAETIPFWPVGSKSDPAGFGLAYTTT CSPVQLNGEIDLTEFVEEHEQRTKGGLVKRGGSVMRFVDYPPHGASPMHRTVSCDYAV VIIGEMECLLDSGERRTLSQGDVLIQRGTMHQWINRSDSWSRMLYVLLDAIEVEINGQ RLQEELGDMTGVPNSH TSTA_003790 MSHAHNPVSLQTDTKYKQEQSTVNMVTWPIPISSGSSFTLADLP YGIFSTSHSADKRIGVAIGDFILDLSSIEVSYGFKSIPKDHENGRFIFQYGDLSNFAA LPASTRKQLRRELIDWLNDRNSPFFQDATLNKFAFVPMKDATMHLPFKIGGFADFMCS DVHVTNCSRLAGAPIPLNHYAMPIGYNGRASSVVVESVPVHRPYGIIRDRETNEFKFK PSAMMDYEAELGIFISQPVPVGRTITADEAEDHIFGFVVLNDWSARDIQFSEMTPLGP FNGKAFATSISPWVVPLEALESARCTSSSVGLCSGGSSGAVHLSHGKAESTWNIEIEV SVMRNKSPILTTRSNLRDLRWSPGQMVAHLASSGCGLNTGDLLGTGTISSPNDSLTLR TLGCLFELTESGKVPVSKQEGVNLTFLEDGDEVVMTAWTTGGAVSLGTLRSELQTPRD SRPAP TSTA_003800 MPPKTPSPAYIHIKNASLITVNSTAETLNNYDILIKGDTIISIG PDLDVPLDADLTVIDAENCIVVPGFVDGHHHMWQQIIRGVTTDWTLFDYLVNIRSLYG SVYTAEDVELAYYAAALDLLNNGVTCVLEHSHIINSPKHADAAIRGLKKAGIRGCFCY GFYENPPIDGCDVPGVNLDKFKQEQRVCDVRRVREVHFTSNDPAEDLLTFGIAPTEPE SQPLDETVPQVQLSRELGARITTMHVAMGSYDKAHQEVVQHLANGGHLGPDLVFSHGA SLTDRELDSIRSAGAGLVGTPDTELQMGMGFLVVFRAADKGCKACGAEVLRLDHLVGS IIVGKKADLVIFRFDDVSTVPVHDPTATVVFHASSANIDTVIVNGRIVKNGSLVGVDW PLVRTALRTQSERIKFQAAKVDLATARAKWLEIISRSS TSTA_003810 MASMNNTLTRYKSLLPPDVIIAESKLRFAELQHANLGALVYPEN GGYYLKFPEGKVVAIANDRLRSVIDENLERDGMQEEANETMEDLRKAGIDADRLKAEA SDKIKSDGCVRDGDCEEDASNDFDGHACGQQPKAKS TSTA_003810 MASMNNTLTRYKSLLPPDVIIAESKLRFAELQHANLGALVYPEN GGYYLKFPEGKVVAIANDRLRSVIDENLERDGMQEEANETMEDLRKAGIDADRLKAEA SDKIKSDGCVRDGDCEEDASNDFDGHACGQQPKAKS TSTA_003820 MSEQKPKIPKRRRLLRKKPNDRDSDENDVNNAYLCDTEGYALDN LHYYKIRLPDGREIQTRPRLNNYVDLVVGPAGSSDRFFLREESWRTEAVTEIHLKIVN YEIDYYLDPNERTGIVFAMWQELDSQDNHFVIRGRRFGGRNFIGYLNEGERYDFSLGT YDTDTSLNTIALSCSSDLTFEVEFVCMSEKD TSTA_003830 MPPIRKKNKKDLAEQEGRILLAISDLQNGRILRVAQAARIYSIP RATLQDRLNGTQQRSQVRANSHKLTQCEEELLAKWILDLDKRGLPPRHSLVREMADYL LSQRGNQQLGENWCEDPKIIQEYFDRVREVILEYGILPEDIYNFDETGFAMGLCATAK VITGSDRYARPNLLQPGNREWVTAIEAVNSIGWALLSYIIFKAKKYTRLEWLKIHFIP LTNGRTMGKYRMLILDGHGSHLTPDFDRTCAENDIIPVCMPPHSSHLLQPLDVGCFAV LKRHYGRLVEQRMRLGFNHIDKIDFLTAFPTARTMAYKAQTVRNSFAATGLVPFNPDR VYSKAYYSIEDPNTLSKSIKQYTVILPTNTSKYTSIFT TSTA_003840 MMTARFLSPLPGGVVSGEIDIGDISRADWRAIGNHWAEQASQRA LIHADKPSIELVQACQVLALYWFATAQTTRTNMHTAIAYRACRLLHLSQKCENGSVTN WSGDQDRGMRCFWACWLTKCASQENTRFQFDCWADVTGCPLPADNVDESPRTPMQYIG KHGVIENLEPIQQNSGIGFNAALVIMQGIWWEVQDFVQTGHETCDRPTEWGSKYCSLS KRLEELPDKIAELTQYERGRESSKANSADQARSFCLGYFYHLCVVYLHSSIVPALSYS RTPLAISRVMIRLAAEQAWEHSVSMTTMADQFIARRATISKLWPVVGYGAYVCAVIQL RRFLALRVLTHQHLQEMKVHLLISGELSKYWMTLQPLHEDLKQQFSQAHALISSRGNC AQEKDEQSSRLMDQPNSGPSPELSSYHRVYVANRDARGVDRQLEEQNSNTSVRVMEAH DTPPATNVRQAPDFTSFTHIPGLTSAGERHQTVWESTMQGTSGDLAPQSDWTSREDGF WWNQDPSSLNDLFSGGFFLHDDIGF TSTA_003850 MPSLGRTLIALVGLTTMIGCYIADWNETHIYNPSWTPHAKFHNG QTMSMGAALGSTTLFFLYGPPSSPSSSTAGRLSALFYPGSLAVDPEFGEGAPQVYICA VLLSMIVVGTRLEVRLINAEKNKKA TSTA_003860 MRAASWTGSPSIKGRSEATRMALLAFSLTGVSFTWGVEMSYCTP YLLQLGLTKSRTSLVWIAGPLSGLIIHPLIGVIADRSRSKWGRRRPFMLGGALIVAFC LLLLGWTSEIVGWFVHDIERKRSATIAVAVLAIYGVDFAINAAQACCRSLIVDTLPIS QQQLGSAWAIRMQAIGSLIAYIVGSIDMVTTFGTRFGDTQFKQMTVVAAIFLIVSVLI TSYSVKERVLIAARGADETHGFFHVISQLYKTTMDLPPRIQSICWVQFWSWIGWFPFL FYSTTWVGETYFRYENPESAASSSDTLGDVGRLGSLSLVIFSGVTFLSSVLLPFGVRS PDSNSKRANFTSRPPPRMAAVLRRFKRVRPSLQTAWFLSHLVFAGTMIFAPMARSLKV ATFLVSVCGIPWAVSGWAPFAFMGIEINKLALEPPSRPTATMITSTTFRTHGYRPIDS VEPDMEMDVLRLNHRSAGDDDSDAEESSTIESPSTGELAGIYLGVLNVYTTLPQFVGT FISWIVFSILEPATSSPVGQKQSTPTSDAGETKDHSSARDGGWMNLDTDAPDAIAVCL FIGALSALVAAEATRRFRALRQQSLP TSTA_003870 MVELWPVFLTAPEVNIKALNKALLLLQDFQFAEEIPCSNWFLMT SKDMPTGKLQPTTPPHDPDGLFKNEFAGMSMDEINDFLWDNTERFEKAGLTCYTWLII DVKGLETDTSLVAQRVFEYDEDTETGSHVKSFRAARLPYERVWDMYCNLDVANMDFED WVDESGGIQEDGTWKWAGPFPLTNEGLKRAEEERKAKIEKALEEMRRLGHID TSTA_003880 MSNTLIQAEHGHSASSVELKDDTVIIVLGASGDLAKKKTFPALF GLYRNKFLPKDIKIVGYARTKMDHPEFLRRVKSYIKVPTKEMEEQLEEFCKVCTYVSG QYDQDDSFINLNKHLEDIEKDHKEQNRIFYMALPPSVFITVSEQLKKNCYPKGGVARI IVEKPFGKDLESSRKLQKALEPNWKEEEIFRIDHYLGKEMVKNILILRFGNEFFGATW NRHHIDNVQITFKEPFGTEGRGGYFDEFGIIRDVMQNHLLQVLTLLAMERPISFSAED IRDEKVRVLRGMDAIEPKNVIIGQYGRSLDGSKPAYKEDDTVPKDSRCATFCAMVAFI KNERWDGVPFILKAGKALNEQKTEVRIQFKDVTSGIFKDIPRNELVIRVQPNESVYIK MNSKLPGLSMQTVVTELDLTYRRRFSDLKIPEAYESLILDALKGDHSNFVRDDELDAS WRIFSPLLHYLDDNKEIIPMEYPYGSRGPAVLDDFTSSFGYQFSDAAGYQWPLHSTTP NRL TSTA_003880 MAIVNSSVELKDDTVIIVLGASGDLAKKKTFPALFGLYRNKFLP KDIKIVGYARTKMDHPEFLRRVKSYIKVPTKEMEEQLEEFCKVCTYVSGQYDQDDSFI NLNKHLEDIEKDHKEQNRIFYMALPPSVFITVSEQLKKNCYPKGGVARIIVEKPFGKD LESSRKLQKALEPNWKEEEIFRIDHYLGKEMVKNILILRFGNEFFGATWNRHHIDNVQ ITFKEPFGTEGRGGYFDEFGIIRDVMQNHLLQVLTLLAMERPISFSAEDIRDEKVRVL RGMDAIEPKNVIIGQYGRSLDGSKPAYKEDDTVPKDSRCATFCAMVAFIKNERWDGVP FILKAGKALNEQKTEVRIQFKDVTSGIFKDIPRNELVIRVQPNESVYIKMNSKLPGLS MQTVVTELDLTYRRRFSDLKIPEAYESLILDALKGDHSNFVRDDELDASWRIFSPLLH YLDDNKEIIPMEYPYGSRGPAVLDDFTSSFGYQFSDAAGYQWPLHSTTPNRL TSTA_003890 MPSEHGHRLYVKGRHLSYQRGKRNTNPNTSLIKIEGVDDVNAAN FYLGKKVAFVYRGKKVVQGSKIRVIWGKVTRPHGNSGVVRAQFRHNLPPQTFGATVRV MLYPSNI TSTA_003900 MVLPGRRSRPNQRVSPPPSSSEKPDTSSVLAQGSTNIPGASDTS PAVPAETIYKLLAFTFAMICGPLGAYFLSIKTFFSGNSTLAGALAALTANVVLVAYVI VAWQEDKEDQAKQKEKKGQ TSTA_003910 MATYSSVGETLARENLTDHASAASTQNLNSWSGKYRGATVEDLD PPPALSVSPNDSISSALLTAYERDYSHLTVISSSSRALLGYLSIPRLKTLLREGKVSE SDPVKAAMQRFNRKKSNIYTVITMDTPLEELERFFKGEPMNPTEGRPTTGMGKQDFAI VTDPTRKFVLGVVTEGDLQEFVRRRP TSTA_003920 MPSIYPTQETLQTNFSQRQPFPAWSAVDDVKQSYGKLSTKAQAK TGKIEMFSPKYYAACTFGGLLACGLTHTAVTPLDLVKCRRQVDPTLYKGNLEAWKLIY RAEGIRGVFTGWGPTFFGYSAQGAFKYGGYEFFKSFYSDLVGPEKAAQWKTSVYLAAS ASAEFFADIALCPFEAVKVRTQTTMPPFATGTFDGINKITAKEGRAGLFKGLYPLWGR QIPYTMMKFASFETIVEMIYGYLPGKKQDYSKSQQTAVAFTGGYLAGILCAIVSHPAD VMVSKLNASRQPGEAFGAAMSRIYKDIGFGGLWNGLAVRIVMIGTLTGLQWMIYDSFK IFMGLPTTGGQIPEKK TSTA_003920 MPSIYPTQETLQTNFSQRQPFPAWSAVDDVKQSYGKLSTKAQAK TGKIEMFSPKYYAACTFGGLLACGLTHTAVTPLDLVKCRRQVDPTLYKGNLEAWKLIY RAEGIRGVFTGWGPTFFGYSAQGAFKYGGYEFFKSFYSDLVGPEKAAQWKTSVYLAAS ASAEFFADIALCPFEAVKVRTQTTMPPFATGTFDGINKITAKEGRAGLFKGLYPLWGR QIPYTMMKFASFETIVEMIYGYLPGKKQDYSKSQQTAVAFTGGYLAGILCAIVSHPAD VMVSKLNASRQPGEAFGAAMSRIYKDIGFGGLWNGLAVRIVMIGTLTGLQWMMYASLK T TSTA_003920 MPSIYPTQETLQTNFSQRQPFPAWSAVDDVKQSYGKLSTKAQAK TGKIEMFSPKYYAACTFGGLLACGLTHTAVTPLDLVKCRRQVDPTLYKGNLEAWKLIY RAEGIRGVFTGWGPTFFGYSAQGAFKYGGYEFFKSFYSDLVGPEKAAQWKTSVYLAAS ASAEFFADIALCPFEAVKVRTQTTMPPFATGTFDGINKITAKEGRAGLFKGLYPLWGR QIPYTMMKFASFETIVEMIYGYLPGKKQDYSKSQQTAVAFTGGYLAGILCAIVSHPAD VMVSKLNASRQPGEAFGAAMSRIYKDIGFGGLWNGLAVRIVMIGTLVCLLISTGA TSTA_003930 MALSSVLGLAEYVPTGELRARSWCLETHLHSNTSAYTESTNNMI LTGRRILTQNFVRSLLQPTHQQQPCGIDLTLRQISKWTSAATIDFSNTQRQAAKTSLL EFTTTAFSQEEGIKLEPGAYLVDFNESVRIPLNCMASVFPRSSLWRNGVGIVAGVVDA GYEGAMGAMMDVRNPEGVVLHKNAKVAQIVFCEMTESVKEGYKGVYQFAGESVGRDGT AT TSTA_003940 MSAEESKPTAPIVSHGRGGQGNIGADATEYVDGEIVREGPVGDQ GDGAYSAGRGGAGNIGSPHIRPSTPGKVHDNVVVPETAVRESLDGDYHIGRGGQGNVH LDESHEQDKKHHLGHKHTNSTGKAPATGDSADGKVYEGLADKLKRKLFGK TSTA_003950 MATRYANNNNNQPPLPSPPTSPRRSRGISFGGKSEKSHRSSHSA GGKISLHETPEEKAKRILHTKADPTLAMNEAQPATVALLEKSNLGSLRSIQHKDQYGN IITDPDLSNPTRPRLERPLDTIRSFEAAIDGSYHNRRMSYATDASQSRPGSYYGEPNQ NGYGRPSMSRPDSYVDYGASGSNGYYYNQGPRGNRPRHPNNRVNSDYTNGNGHQQQQN FYPNSPYQRSQDNFTAGSGSANTDQWGNSTDPSSVNSSFDRLQQQAAQQKQYQQQQQP SETYGLNGFGGNPQIDTSFQHDYRNGVPAPPPHGQSNGQASQPFNPALPAPARKVADT DDKKKRGSWFKRRFSKD TSTA_003950 MATRYANNNNNQPPLPSPPTSPRRSRGISFGGKSEKSHRSSHSA GGKISLHETPEEKAKRILHTKADPTLAMNEAQPATVALLEKSNLGSLRSIQHKDQYGN IITDPDLSNPTRPRLERPLDTIRSFEAAIDGSYHNRRMSYATDASQSRPGSYYGEPNQ NGYGRPSMSRPDSYVDYGASGSNGYYYNQGPRGNRPRHPNNRVNSDYTNGNGHQQQQN FYPNSPYQRSQDNFTAGSGSANTDQWGNSTDPSSVNSSFDRLQQQAAQQKQYQQQQQP SETYGLNGFGGNPQIDTSFQHDYRNGVPAPPPHGQSNGQASQPFNPALPAPARKVADT DDKKKRGSWFKRRFSKD TSTA_003960 MSFFSRVFRGKDSSASKKAAKHTAAEQVPAKPKWTDAYQRSEVA PEEVQELLRGCTHELKSRGLDIPFLLLPFRPSSDPSAARTFIRNFFNAEQKGTPLQGE ALIQELRLTEPMVLCSVVKWCWSRLPGGVVTWEAYELFKVGEQDSDLARDAFNTFIPI SVDSDARTKIIFDFFDLLTAIAAHGKSNGLGGRKLSRYAGWWAFEHTDMGNGFEASYK NWAQAADATSHLFFAYLRSISPDSVRGINGISALPIALQSLVQATEYPPQAPSLLQFS TTKVVMIVETVSPTPFALLRRARNFEYRDSDKHLQEFSEYEDPVDALTDECARVLKAI STTNQSAVSTSKTSTSLRDASWSRFEDMGFGASIDSDHDDDIIEERTGPLELRSAPQS RTNDFARPTTPSWADFMSSGFADDHVPRGPASLLLPPDKVLPPIGTVRGQSSQSHKRT LDTEPNLEPGELASITVLDIDDSFWWVWISSLSGEEPAVRKAVFGRCALLETIIKGAK WLVLEEQVKGAVSEPEVGAYIVEKKRFFGFSTRKKMTRSKSTAKGSGTSQPYKSGNNN ATAPVSKTSIGPDQHARIQAAAAALQRKHREQEEDQNREVNGRRARNDDTYSTKTNSV MTQYTGLFTEASSAMKWTRHYDSNYDKDQLRSAYLSDTRAGTGAPAGSFVSNGRAMSN ISESRSEQKPSSADTEKALPVPSKDDAKPANGGAADAATAPLPEAAQSNGTEETKKDS AEEHGHKKLKKKPVGNSAFKGIFSNKQRTTDDTPKKEIGIDPSAVAAARAALENKVKS QDALPTPKSHNTGRLADIKKKAVPEPPANDTASAEQAAPSPPSKEMKKPTTTKSAPAA ASASPTYSGPPRTRRDAEYDALSRIDTNEKAAAEREFSSFDQGPLADQPAFAPSGDSP ITPVAEHFPESKTETVVTATETPRDIATPTATAGAEPVATSPAYQDRWAQIRKNAAER AAAATQEEIHSRTSVADDGDTSGEETIESRVARIKARVAELTGNMEAAR TSTA_003970 MLQHHAPASSDRLQWNQQTVLLNVGGELDLLVKNVGFERFVVTA QLPYATHAGVKMLILRVVSIQMQKCGYRHKNIQRRGDHKATSGPRSRGDAERRDKSLQ EDHLSVHQSSPYERLLPQPFPLSAGTPETGRTLDVPEPVHSIIGNQFSPSLVEKGHQT SPQWQLLPSQLSPNGTQSIRGKDVYHPTVEEEAEEQQYEQISPRVVGSSSAFAFIENV HEALRQPTKRKGVSPHSNEIYDTVSHFGSSLRSNGTQRMPQTVSTLVDDLSLPPSSLV EQLLRKYWDEIHVMNPVFHEQTFMRRRLLNEGPITRPSRTGSLVDVSLLPADRIFQAT LNLILAIVCLRTNLGTSSEHRTTQETFFHRAERLLSPDIMQWESLQLVQALVLKAAYL READMSNQSWIAVGVAIRTAQAIGFGKRAKKTCVARMCDNGQSMTYGRPPMIYGEPTV SHPEAIDDEYLSMDSKEQDGAQPIDQPSRMAFFIHALHLSDISSRIRQLLYGPRQELN RERHCRLSKKPYAEIIDLDADLGNWNEKVPSYLRNEDFTPNHHRSCFSRQRIYLRCQF LHWRLILFRGLLVDFARESSSGCHDSSKTPLQDILSRGSVEICIRTARKLLDTIEPHL GTDRLPAGWFIASFHLYNIGAIIALALLSPGLRALSSDLTLQDLRQAWRQCINCLQKC DSLGMRFAQGCIIKLRKLFEENEGGEQNQPNMSVSWKASYAQQPIPLGPDPVVSIIDG DNGRESLPNGGQPAEPLGFVSQQEPFQSENPGDMENGIVDMWWMDQDFQWMSGFDLSH LTDLEVPSPRDFA TSTA_003980 MAKFDQFVKPHVLTQNEEESLLKWILDLDKRGLPPRPSLVQDMA DLLLSQNGNKHVSERWVYRFVDRHPEVKLRFSRRYNYERAKCEDIKIIREHFNRVQEV IQEYGILSEDIYNFDETGFAMGLCASAKVITGSDRYGRPYLLQPGNREWVTAIEAVNS TGWALPSYVIFKATTYYQQGWFETLPQDWRLDISKNGWTTDEIGIRWLQKHFIPHTTS RTKGRYRMLILDGHGSHLTPQFDQICTENNIIPVCMPPHSSHLLQPLDVSCFAVLKRQ YGRLVEQRVRLGFNHIDKYDFLTAFPEPRAMAYKAENVQNGFKATGLVPYNPDHVYEK LTVQLRTPTPPPSRSSNSQSSCQQTPQNPRQFNRQTATIKKRINDRTIGPFEVVDQAI NRLSKAYEMSRNELLIIQKEVHDLRAANEKEKKKRKRSRAQISHEGSLTAQEAQELIG SRNEASQPIPTAPVESEPQTSQPRLRAPPKCSGCGIIGHKINRCPNRTTS TSTA_003990 MEFKNLPFLRHLTPRLAFCIFLMSISVFNFGLDNTAYSTIQAMD PFIKRFGTIEKHGKHVITPKHLSYLSAFPRITFAAGILIGGWTSERVGRRPVIIMMMV ICLIGVIVSYTAKTYAAILIGRMIVHGYIGMEGCVVPMFQAEISPAAIRGIIVISYLF NHVFGSFIMSCITYKTAELNTDMSWKIPIAVMFVIPSLVLLLAWFLPESPRWLLRKGR DEEALKQLKYLYENDDQAKLEREIELLKKSLAANVQKGSWMDLLRGTNLRRTLIVISI QSFNQLTGQTFATSYGTVFIKTLGTINPYKFTLISNAIGCLGPFLTFFLVDRLGRRNM YLIFGTLCGSCLFVMGGLGLGTVTFQQKAGIVAMDILYPFFYCFSFGGMAPLTGAETP SPRLRDKSAIVGWSFQNLWAFVVTFVVPYLISDSYVGLHSKVGFIFGSICVVALVWAY FFFPELKGRSLEEVDEFFQARVSAKKSRYWKPESQSRGQKITAIEDDAPLADEIIYSY STENVKDQGKSRVVQTQQSLV TSTA_004000 MRGRPEFTTLRTNRQVYQEAKTVMLKGNQFIRVRMHGVFPLQLF FVPHQIPAVTFKQEAISKCKHYVMTHIINITFDPKIESLDNEEYLETIILREDLDLFL KALADAEILCPDPSLKNKHRITLHNPFGGTLSPNFTDCKNQKHLHGFKNFQIDGKIEP EVAKTISNEIKEDSPPNPQRLIKWVTLQKELGNGLYREISPLAAGAFWGRASRQVERL AHCSHWALVKAVGGEPFEDKFTALFFQLSANSLQGIIEIIPTCPPPEMSQYAAVVSQL FHVAGNADRVLGTKWTPDSVQLAKLHYRLAMIYRLADHDAMDA TSTA_004010 MGRRKIEIKAIKDDRNRSVTFLKRKGGLFKKAYELSVLCSVDVA VIIFGHNKKLYEFSSGDIGETLGRYQYWGPPHEHKGPNDFSGRGGDEEEDEVDEDMIA EEMGAPTQNIIPPQLQNQPGYQHINHAPSASPPIPNGVSFAPRHGTPQPQNSSRPSSR NHIRQMSTQYSGHAQAHATPPPPANPNGYTYMANPSMYNPNSNADMNGRPPQHGQYHQ FAHPSHPGSQQAQSIPQQHQHAPHQPPHLNLPTHQHQSIHNPYMQDQGRHSLPVSMPP DTQQYQFRPTLSLPDPTGAQQAGDVKAEGNSSPKTKSRSIFTPIDDQTSVFSRHLFGR APLRESPQRDTSVKQESKPTGPVNQTLPLPRGGPPPPPPNRAATEPQRSQSTPSLSDS QQPSRPKPRLKVQIPSDHSDSGSATGDSSPRDSTGKTASTSAKKSSETGATGVVQLPP PPPSPGAGGPILSAGAQGPPNPFARPPPPGPNAQNGGGYGGSSSSSSNQNMETPISAL PSRFVSDALLPSPSSFFPEWGFGRTGPDTSLLPSPLPFTPAVQTGPGFARDEEAERKR KNPEEGGEPADTGGKKLKT TSTA_004020 MAPFLPDSDPFLARSEQSLPARTPPYAPVIDDAEYPALVFPGYA EKPLSEQLEPIAVVGMGCRLPGDVSSPSEFWNLMLNKRTGRTPKVPSDRFNIDGHFHP NNERPGSFNVLGGYFLKGDLQDFDPSMFGISPIEAMWMDPQQRKLLEVVYEAFESAGV SLEAISGTTTAVFAGSFTSDYQQMSFKEPDFRHSYAATGVDPGIISNRISHVFNLHGP SIVVNTACSSSVYAMHNACNALRNGECSGAVVGGVNLIITVDQHMNTAKLGVLSPTST CRTFDESADGYGRADGVGAVYLKRLSDAVRDGDPIRGVIRTSAVNSNGKAPAVGITHP NLEGQKDVIQHAYRRGGDLDPRLTGYFEIHGTGTPIGDPLEVNAVALAMNGKRTDADE PLLIGAVKTNIGHSEAASGLSAVIKACLTVENGIIPPTRGLIKPNPKIKWKDWKVRVV TEPRPFPAHLPVKRVSVNSFGYGGTNGHIIIEGVDSFLPDYKHGKRTTTKPEGDELNR PYLFPMSAHDKPTLKQNIAAYEKIVDEYDPLDLSYTLANRRSRFQTKGYVVATPATVK AAFGNDAASFTIAEKKISEIGFAFTGQGAQWATMGSHLMRYYPSFLATIRKLDQALCS LPDAPEWTLEATFLENASTSRVNQAEYSQPLCTAIQIAVVELLLSWGVRPKVTVGHSS GEIASSFAAGLISATEAIIVAYYRGQAVKTVNTNGAMMAVGLGAEAAGTYLANYAGKV VIACHNSPASVTLSGDAPVLEEIKAAMDAEGIFARLVKTGGKAYHSHHMKPSTEVYKN LILKARSVVDFGSPLSTGAIMVSSVTNSRLAEGTVVDENYWCQNLISPVLFNQAVQTL ATASDLSVDVLIEIGPHTALSSPIKQVCKEFGYKTLGYIPTLIRNEDSAAQLLKVAGE LFLGNYPVDLETATAIEQQTTPLEKIKLVKGKVLVDLPTYKWHYPRKLWAEPRQSLEH RSIKHPRHDVLGLRMPGCSAVEPVWRNVLRIRDVPWLRDHSLGGEAVFPAAGYFSMAI EAVTQVNELSAKPVKIDGFVLRDVSIKAALVTPDDDQGIEVMFSLRPSIFTEAEQGQW WDFNVSSVSATGHWNDHVTGTIGINSRQRGQIPRRIPNLPQRATGKAWNDSLKEVGFD YGPSFQGMVDVQSDGKNYIAATHFDIKQESGAMEGESRYVLHPGTVDLCLQLIIVSIY AGKLNDMTCGAVPIQVDEVAIWPPSEEQVKDPAAIAFSFTDQRGIRSFVSGSQLVASN GELLMDITDMRCVAYEAAVPQRAEEATEPQPYQETVWKYDIDSFSWANNLGNLTTAKL VEALAHKAPGSKVLEIGFQNTASILEEPLQINYTVVEQSDEAVEATKAKFANAKSLKV EKLDISVPLADEAVSGTYDLVIATDKVGATVLENIRKLVSPGGRLVLKQGSVPATGFE NVEIFVEKKNKTLAFSKAAVDEETPAAKEVTLVYRNNPAPILSPIEEELTKAGFVTKR SRLDALEAKDGESVIVVGDLEGSPLLATVQEKEFEGIKHLTSKASSILWVTAGGILTG KDPEYAMTNGLARSVTSEQASLDLITLDFDLDTSSVSQLASIIAKTAKRQSKKADIRE TEYVVSNGLVYISRLVANRGASNTTVKSTSIPTPFTEDQRLVAQAQQGKITWTADKRE HDPLSPGDVEVKLLYAGLNKEDTVVINGNDYPTTFSHEISGTITKVGSKVTNFKAGDV VVGLKFDKFASFQRTSANLLQKVEKDEDVTKLASVPWSFASAIYGLETLARIEPGETV LILSNTGTVGAAALKVAQALNAKPYIVADSEMDVSKIVSKFGIARDQIVIPTITSLAR DFKALTKGRGFDVIFSGGYTDPVLARESWRYTAPLGRFVDFGRKNVLKRALLDTLPLH QSASYLSFDLLDLYAHKPQTLTYLLKSAVTLYHKDPNIVVEAPEIFHVADLESAVSSF SNNILSSKKLIAYRPSENQLEVIPTAPTLRFSPDATYFLVGCLGGLGRSLTSWMTENG ARRFAFLGRSGTDQEQARILVEDLQKAGVHCQIIRGDVASKEDVERAVASIPKKHPIR GVVQAAMVLRDGLFHSMTYNNWLTATCPKVQGTLNLHEILADTPLDFFVTTSSTSGTL GTPGQANYSAANSFLDNIARYRVNHGLRACSIVLPMVLGVGYVAEHPEVEEALRRKGI YGIDETHLLESFATSMLVQTSKRPVDHIIVGLDPVKLQKSISSADTTDGFWLEDTRFK TLLESMKSADSAGSPEASRTILTTIRTAATPAEAVQITSDYFTQKLSRLLVLDLDIFE PHTKAIADYGLDSMIGAELRNWIFKELGLDIPFQRLLGPELTIWKFAIEVCANQGQVL EGSS TSTA_004030 MATNLSEAFSRSPFLLSLRQSLYSLPVRPEFLASSSFKFVNPRS HVTNTDKVVQVLPASAIAGLSDENVLALFSTGFFGGFIFGFERFILRIGGYNILPSRY TGFERPSDAVTIWNKSDIPTTHLLPNGSNLFGSFTMLDKYIATNPSEQDASYVDYGFG SDESIFAGCHRFQITRLPTQSGAESQVQMELLHFRCNPQKNKPSIAEYIERFHYVYAK ALFANGIQYVITR TSTA_004030 MRMSWLCSLQGSSGDSSLASRDLSCGLEVITYCHLDIQVRLFLS VIEYLGFERPSDAVTIWNKSDIPTTHLLPNGSNLFGSFTMLDKYIATNPSEQDASYVD YGFGSDESIFAGCHRFQITRLPTQSGAESQVQMELLHFRCNPQKNKPSIAEYIERFHY VYAKALFANGIQYVITR TSTA_004040 MADYLLSQRGNQQVGENWVYNLVKRRPEIESKFSQKYNYERAKC EDPKIIQEYFDRVREAILEYGILPEDIYNFDETGFAMGLCATAKVITGINSIGWALPS YIIFKAKKYTRLGWFEDLPDDWKINISDNGWTIDKIGLEWLKSHFIPLTDGRTLGKYR MLILDGHGSHLTAEFDRTCTENNIIPVCMPPHSSHLLQPLDVGCFAVLKRHYGQLVEQ RMRLGFNHIDKIDFLTTFPKARTMAYKAQTVRNSFIATGLVPFNPDRVYQQLTVRLKT PTPPLSRSSDTQSSCLQTPQNPRQFKRQMTTMKKRISRHTRSSSEAIGEVFTRASKVY EMSINKLTIAQKELHDLRAAHEREKQKRQRSKQQISYEQGITREEAQALVQAQIEASQ AVTTAPAELELPVSHPPVRRQFRCSGCVVAGHKITGCPNRIRN TSTA_004060 MLTAGKRKGDNGYFIAPTIFLNFPDDNDVVTKEILGPLSPSSLS RLRKLLSEPTTPSLFSSFFTRDIFRALPIAKQHKSGTVTINCTSLPSPRYGV TSTA_004070 MSEKLDPNVNRAREDERASGTTLEAHDESSVDVTPPTEVEKTRT HDVSAAGDPNAVPEENAVTQTVTSQSQRMTKSKTIIIMGALCLSVFLAALDQTIVSTA LPVMAAHFHASQSGYSWMASSYMLANASCVPFWGKLSDIFGRKPIIILANVVFLVGSL ICALSDNLAMNIAGRAIQGAGGGGIIVLANITVSDLVSVRERPMYYGLFGVTWALAGA LGPIIGGALTTKVTWRWCFYLNLPVGGLSLTILTFFLHVHTPKTRILDGLAAIDWLGV LTCIGATLMFLFGLEFGGLNYPWNSATTICLIVFGVLTYGVFLLIEWKVAKYPIIPIR LFKNSHNLAVFGSCFCHAAVFISGAYYLPLYFQSVLLASPILSGVYTLPQVLSLSLTS AVIGIIIKKTGRYKEAVLIGMGVMTLGFGLFIDLKPYASWPRIILYQLIAGAGVGPNF QAPLIALQANIHVSDMAVATATFGFVRQLASAIGIVLGGVIYQNVLTQQLPKLEAAVG PATANKLAHSFSGGDKAIIQSLPPDQQAVVKSAFTFSLSRAWIFYTAVAGVGFLISFF LRRIELNRSHAVAKTGLEEQERARQEHLAAKKAAKESKSAV TSTA_004080 MAYSQKFNPDALPAHIEPDKAAELLAQSQPQTKNKYEQQRPPPP PKSNGMQQPPRRTPPTSTSPHPAPLNFVNRPHPADSASSRFHSPPPANYGHGPRPNPN VMGRPTQHPLPSLSPANNGPPPTGPMSDDPQQLLPLFRAANVSRTGALTEAELGSALV NGDYSAFDPNTVKTMVRMFDRNGDGVIRFEEFVSLWRFLAAWRELFDRFDEDRSGRIS LEEFEKALVAFGYRLSRTFIRVLFTTFETKGRRRAGTVPGAPYPGGGGRGGRGMSFDL FVQACISLKRMTDVFKRYDDDRDGYITLSFEEFLTEVLSLQD TSTA_004080 MQQPPRRTPPTSTSPHPAPLNFVNRPHPADSASSRFHSPPPANY GHGPRPNPNVMGRPTQHPLPSLSPANNGPPPTGPMSDDPQQLLPLFRAANVSRTGALT EAELGSALVNGDYSAFDPNTVKTMVRMFDRNGDGVIRFEEFVSLWRFLAAWRELFDRF DEDRSGRISLEEFEKALVAFGYRLSRTFIRVLFTTFETKGRRRAGTVPGAPYPGGGGR GGRGMSFDLFVQACISLKRMTDVFKRYDDDRDGYITLSFEEFLTEVLSLQD TSTA_004090 MAGLYRLWHNKHNPPSDFSQVSFHDKTILITGATSGLGFEACLK FLRQGVSSLIISSRDHTRGQQVKRELESLTGRVGIVEVWPLDMSSFRSVAEFASRVND AELKGRKLDVVVLNAGIMHRDFVLSPDGWEDTLQVNTLSTTLLAALLFPKLRGYDTSG AVASESDVPHLVIVSSGTAVRVSHKDLPPPPPSSSSSSSSSYSSYFSHPLLEYLNQPS TRKKYAISKLLLEYASRSLADLAHNDDGSLNVIVNTAKPGLCASSLGRQYTTRWYERW AARLFNYLFARPAEVGGRVLVSACVQGYESHGRIWKGDGYFDETCTLLAGEEGKRLKQ QAWSEIVDVLQEQASHLNITLDLERTR TSTA_004100 MTNQISRDGRTWRQHFPDGDLWVFGYGSLIWKPPPHFDKRVPGY ISGYVRRFWQASTDHRGTPERPGRVVTVIEKSFWETLDDPHKTLEPSYTSTVWGAAYH IPASHAEEVHDYLDVREIDGYTVHYTPFYTVSPQKDGEHTKNKMGYSPRQQSPPPSEL LATSSSQKPITCMVYIGQPTNPQFLRNPAERDPAAVAEVISQGVGQSGRNPEYLYLLE KALEGLGLGLADGHVTDLVRRVKVIEGLHDRKGQADEEEENAEREVERNFAAVGAGGT VDSIE TSTA_004110 MSKLFIGGLAWHTTDDTLRQGFEKFGTIEEAIVIKDRDTNRSRG FGFVRFATDAEADAAIDGMNNQEFDGRVIRVDRASNNASSRNEGGGFHGRGGYNRFEG GNNRGGYSGGGYSGHGGWGGNQYQGQGGQGSA TSTA_004120 MLLESAQTLDEDMAMEILDKLVDGEKLNGDRYELQLADGRAGYG DMVAMSSTSHGVLRMNEHHFGTSSILGLAGVNSTTHSTTQRYYDDSILLEACRAQYYG HMQNGFTARHSAIDADAEQTRDAHTHLCTSTTDEYNLTSTLLAM TSTA_004130 MTQLTASALAAQEKSHAQGRVDSMHSHAAKVVHEERTHQYFSQL GILKGIDIINAEYWDGGNTGACTRTGTGAGGCSRANRDDALPDTARRDNSDNSNGGDL LQSLKIFVPHLVEDSGTTPLERFLTLGESTDPSYIRHPALTQAQYYGNMDSVETRISQ AHVSADRIISEGQARKGSTGDEHMYK TSTA_004140 MAEGHLNHVPDLSALDPSTSNGYPIGSRPPWRITVVPPVEDLSG PQTQSYYNRNSHPSSNATTAVVSPPSQGLLPGQYNVIRQVAAGIQRPPESQDTSTDPN FLSPRSPSVITWDSRTNSSTSITECRRGRGRRRMTSDEKAHQRALKAAGGACDRCRKR KKKCLHKESQLRLGDSAQAEDSLNVNNTHRSGILQPRSRADVSRSRRVVPGPQQLRQR QPHHHQRQNHSLVPSNGRSAPSELSISSPSEGGTTNTTILSIQSDATSIFDDERNLPP RRSEEEQFRMDTIGLGQQFGERYDQFVAWILSNHEYLERNGDSSTEL TSTA_004150 MSASILSPGDDSTSPSASAYMIPSRFNISYHSTSSDDDEIASLP SETSSESDLLSDYEDSDAEEQWRESLQQLELLLTMVLVPVIGKYAGRRCAYWGWTKFM EWKYPVEAVITNKAAFRTAGIIGAATL TSTA_004160 MADTEAVAQETKQRKSVAFSEEATVMDANGEVTETPHVEKDTAE SHSADKEVDEVTELFKGLSKKKKTKKSKDADAEGDEAAPAGDGELDLSAMKKKKKVKK ADVGDFEAKLAEAGVTEEGAEGKEPAGEQLPEGDLEKGTGIWAHDATQAIPYQLLVTR FFSLIQSHHPDLLSSGSKSYRIPPPQCLREGNRRTIFANIADICKRMKRSDDHVMQFL FAELGTSGSVDGSRRLVIKGRFQQKQIENVLRRYIVEYVTCKTCRSPDTELNKGENRL YFVTCNSCGSRRSVTAIKTGFRGQVGRRKKAA TSTA_004160 MADTEAVAQETKQRKSVAFSEEATVMDANGEVTETPHVEKDTAE SHSADKEVDEVTELFKGLSKKKKTKKSKDADAEGDEAAPAGDGELDLSAMKKKKKVKK ADVGDFEAKLAEAGVTEEGAEGKEPAGEQLPEGDLEKGTGIWAHDATQAIPYQLLVTR FFSLIQSHHPDLLSSGSKSYRIPPPQCLREGNRRTIFANIADICKRMKRSDDHVMQFL FAELGTSGSVDGSRRLVIKGRFQQKQIENVLRRYIGMYIFGSRENFQIRH TSTA_004160 MDANGEVTETPHVEKDTAESHSADKEVDEVTELFKGLSKKKKTK KSKDADAEGDEAAPAGDGELDLSAMKKKKKVKKADVGDFEAKLAEAGVTEEGAEGKEP AGEQLPEGDLEKGTGIWAHDATQAIPYQLLVTRFFSLIQSHHPDLLSSGSKSYRIPPP QCLREGNRRTIFANIADICKRMKRSDDHVMQFLFAELGTSGSVDGSRRLVIKGRFQQK QIENVLRRYIVEYVTCKTCRSPDTELNKGENRLYFVTCNSCGSRRSVTAIKTGFRGQV GRRKKAA TSTA_004170 MQSFLPRPSSQGQHYPPFPPPPLASPGFPPPPQPSPGFPPPNYN YPAPPNQQQQQPQPQNAQSQFPPPPSSRPATTSPPPRFEAASNNSAAAHQAAMLAAAQ EQKLPLSPPPMSPPPTGRPRMEQMPGGAPPVGQFRGAQATGADDVGTFNGGSYRISHR SVNSIVTFQLAMGAPLEARPGVMIAMSPTVTLKGNFHFSMKKLLIGGEMNHSTYTGPG EILLAPSVLGDITVLRLTDDGQTWKVGRDAFLANTASVKKKYKAQNLSKTLFSGEGWF VYNITGPGLLWLQSFGAVIKKELVEGESYYVDNGHLVAWNCEYIIERVASGGMISSLS SGEGLACRFKGPGTVYLQTRNLNAFALQLGVSTASG TSTA_004180 MTTGRVRMALSYYISIFFCLACCVSADNWDDFANNLATDLAPLI TLFGEQITRQCMSELISTLDDFIFALAPLGVLTAVVSAIRVCGNPTLRAIIGRAQEDP ASSEKEILSCVSDSTAEIFTESGVARITGNPKILEIVADESKVQSGIVTIRKVSEVAG KDWTDLEKDVKLPNLSLNKGIRRRAPIWFHLAAIFGVVLQLSVIIYAALTVFYWPQSF LKNGGRVDSYAFPMFVLGTALLTQGMFLCAVLVERHSEKHIFTLPEESRVYWIQPGNQ TVGDQKFPSFVGRTDKGVYFIRSVRHQPLNEVLNVGREMQLIFVVCLTMVGFVIQFVG IRGLHSSVILAQLGATMVMTLVRTGLRAERMREGDNMLKVDQDIVSSGEHELDWIVFR LFNIKSFRLCPTNSLHAQNTQTQSSHTIHELVSTREKLRKLAGSDWDETSVRTLVRNL DRAAQELATLISSWSEKEISAFDIVIPLAIQKEDQQTVVRTWEIRLSTGKSTDIDSWE AILGLYVWSLKQVNRGLKKKSQNSFFRAFRPKGITPHQARLLHRTWTADRSIFDKDRS ATRCLLNDSETIGHFDLKTSNQVIQDTPVMAVTANIYTLAAQDIYMHLLFSMLRLLPS IGGHTHITGSDKSSYRVCNDRVEALANVFANSGLGNNTEGMICNLQVLSDSSLISGVS SGLPDIRQSMEVFASQGYHNGLTHLITMSEWLCSLTGYEEVQPCVVEYAHICLKVLLE QDVTAKELAAQRIKAIVDVNLNDRASFPVSKYLDLESVPSDAWCTKYRTEISWMVTHM IHYLTKRYPGQRTMTILQDLKGTIGIESPIPEIYDDLQAAQSSRQTFFDIWLGSDVGF SIEDDQSSQMALDWLVQNQFDILQELLIIKLVNDLAEMYRLLAVIVYAIRKGYTQTMR LVLHHAERSKRKEDIIIELARQGNTVAFEAIFEKECSRKVTGAYETAFLIAAQMGHHS LLKFLLESGANVNFPDSNGKTALMEAATNRDVASTEILLSHNANIDQKDKFGDTALII ATERGFLPIVELLVNKGADINVIGSRGRTPLMAATVRQELPLVKYLCAARADLNINDH DQYSVLDIAARVGLHGPWMEGHAYLSAVGAKYSRGP TSTA_004190 MASQGEFSAAMTNRSLRTIRTELEFLTDASVITPQQLANLLSQL PNQTVLHAPVMSASGPIPAPVQSPDPTPAFNPPTAQLQNTSLNEKAPISQYPSPAPPP PAYAQGPPVLSISSAMYAYTPTDAGDLALQPNDRIQVLEHMNNDWWRGRNERTNQEGI FPRSYVRVLDEKPAPPVLSPQPTNYGNMPLEVAQSGSPATDASGHRPSKFEEQGKKFG KKIGNAAIFGAGATIGSNIVNGIF TSTA_004200 MCGILGLILGHISDDPNFPCKAAVTLHEALYYLQHRGQDACGIA TCAAGGRIFQCKGNGMAGKVFDEGRRVQDLPGSMGIGHLRYPTAGSDSSAEAQPFYVN SPYGICLAHNGNLVNARELREHLDKEAHRHINTDSDSELMLNIFANELNETGKARVNQ EDIFDALSRMYKRCQGGWACTAMVAGFGIFAFRDQYGIRPLILGERPSETLPGATDYM FASESIALRQLGFRNLRDIMPGEAVFIRKGQSPVFRQVAERLAYSPDIFEYVYFARPD TIIDGIGVHASRQKMGDKLADKIKEILGEEGVKEIDAVIPIPETSNPSAFSVAQRLNL PYREGFVKNRYVFRTFIMPGQSARQKGVRRKLSAIDQDFAGKCVLLVDDSIVRGTTSR EIVAMAREAGARKVIFASCAPPITHPHLYGIALASPAELIASNKDRFAIAQNIGAEEV IFQDLEDLKDACRELSPPKGPREFEVGVFCGSYVTHVPDGYIEHLAELHSQKNKNKAA ATHGAVPGSSGPTVFDGARREDISLHNVANDATLR TSTA_004210 MADQDWDSVTRIGTKHRAGGVQRETVVKGRSALNAAQRSGLVIG TEKKYATGNAAGRAGVPEGQHLTKVDRSDDIIKPKTVGTQVGDAIKRRRNEEGYKMTQ KELATKCNTTVTVVQDMERGTATPDQKVLSAMERVLNVKLRGNDIGAEKFPKKK TSTA_004220 MRPILLQGHERSLNQIKFNRDGDLLFSVAKDKIVCVWFAANGER LGTYAGHQGAIWTVDVSPNTVLLATGSADNSVRLWNVKTGECVKVWEFPTAVKRVEFS PDGSRILAVTEKRMGYLGTIVVFDVRYGDGEGNNLNEQTDEPSLKITCEDSKATVAGW SYLSKYIIAGHEDGSVSQYDAKSGEQLENVQAHEPDLQINDIQFSQDRTYFITASRDK TAKILSSRNLAVLKTYPTDTPLNTAAITPKKDYVILGGGQAAMEVTTTSARQGKFEAR FYHKIFEDEIGRVRGHFGPLNTIAVHPAGTAYASGGEDGYVRVHHFDKPYFDFMYEVE REQMRR TSTA_004230 MTGSKIDGTAIAKDIREKLKGEIAELQAKNPRFKPNLVIYQVGD RSDSSTYVRMKLKAAEEANILCSIIKFPETITEAELLFEIEKSNNDAEVHGILVQLPL PNHISEHAITSAVSDDKDVDGFGTMSIGALAKRGGQPLFVPCTPQGVMELLRVSGVNP AGKEAVVVGRSDIVGSPVSYLLKNADATVTVCHSKTKNLGEILKRADMVVAAIGQPEF IKGDQLKPGVVVIDVGTNYIPDDTKKSGQRLVGDVHFESAVEVASQITPVPGGVGPMT VAMLLKNVVSSAKAYFDKQRDRHITPLPIKLLNPVPSDIAISRAQKPKAITKIAAEVG IAAHELEPYGHTKAKVSFSVLDRLSHRRDGRYVLVTGITPTPLGEGKSTTTMGLTQAL GAHLNRIVFANVRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNL LAAAIDTRMFHEATQKDAALYKRLVPTKKGKREFQPIMFRRLKKLGITKTDPNELTED EIHRFARLDIDPDTITWRRVLDVNDRHLRGVTIGQAPTEKGLSRQTGFDISVASECMA ILALCNDLADMRERLGRMVVATSKNGDPVTCDDLGVGGALTALMKDAIKPNMMQSLEG TPVFVHAGPFANISIGANSVVADKLALKLAGTEPDEDHDAKTGFVVTEAGFDFTMGGE RFFNIKCRSSGLVPDVVVVVATVRALKVHGGGPEISPGAPLPEVYRTENVDILRKGCV NLRKHIQNARQYGIPVVVAVNKFETDTDAEIAVIREEAIAAGAEDAVPANHWAEGGAG AVDLAKSVITASSQPKDFKLLYSLEGSVQERIDQIAKVMYGADKVEFSELAQKKVDTY TRQGFGNLPICIAKTQYSLSHDPSLKGAPTGFTVPIRDVRLSAGAGYLYALAADIQTI PGLPTAPGYLNVDVDPVTGEIDGLF TSTA_004240 MAEARQAARQQDHYSTLPSIRTTMPIRAIIFDLLTALLDSWTLW DKAANNDSAQGYKWRRRYLELTFGCGAYRSYEDLVRAAASDVGLSETAPNFLLEKWDD LQPWPEVKETLDRLKAKGYALGVVSNCSVELGRRALQRCETSPKTFDAFITAEEVGFY KPHPDTYRSILSALNVRPGEAIFVAGSSGDVVGAAAVGMQVVWHNRIGLPALPGSAPL VEARSLDVALKALE TSTA_004250 MASQVSKIKVKNPVVELDGDEMTRIIWQDIKDKFIFPYLDIDLK YYDLGLEYRDQTDDQVTIDAAEAIKKYGVGVKCATITPDEARVKEFNLKKMWLSPNGT IRNILNGTVFREPIVIPNIPRLVPGWKQPIIIGRHAFGDQYRAKDQIIPGEGTLEIVF TPKNGGEPERIQVYDFKGPGVAQTQYNTDESIIGFAHSSFKMALLKGLPLYMSTKNTI LKKYDGRFKDIFQEIYDKDYKKEFEAKGLWYEHRLIDDMVAQMIKSEGGFVIAMKNYD GDVQSDIVAQGFGSLGLMTSTLATPDGSAFESEAAHGTVTRHYREHQKGRETSTNPIA SIFAWTRGLIQRGKLDDTPAVVAFAEALEQACIDTVNEDEIMTKDLALARGRKDREAW VTTREYLEAVEKRLRGFLEKARL TSTA_004260 MKSIAPLSPLDMPVRTISPLAVDTLQNTPRRKTLSTPPDTPITD SDIESPTLNSHGSAIIEFPYDLDIARDSNGKYIEFGRGVWSTVYKATLSTTVAAKPVM VTPPSSPVTKSTSSSRIMAVKTPLRRDAHAVLKREAYILSRIMKDTVGEDVAVPFYGY IPSSHSLVLEACPLSLSAHIESCADSARKSFSTKTMFEPVTPHWSDLAKRLIKGLDWL HGSVGVVHGDIKPHNILLRPSHSSEGNEMEYDALYADFSSAHLMSSPSSPTNTTAEAI TEDPGAAGASAALTPPFAAPELLTLSAIKSPTTLSTPSSDIFALALTLLAAATGDVLV YPGTSEMQRLAMSREGWRALDYVSSGSNASRLGGRHGLVRRVVEKGVAREPERRVGAG EWLDLLD TSTA_004270 MFALIFTVSLLLAPALADLETVRSSGRDRTYWVHEPDDFKEGQT YPAIIAFHGSSGIGYNIDGFAMEADVRLSLPVVPTPYSSDRYFFYPDGVNGYWAGPTY ANVSVSEDLQFVTDLLSDIKSKYSIDTNRMYATGLSNGAGFVGTIACSAEVGAHFAAF APVAGSFYTDVNGTDCSPARSPLPILEIHGGSDRTVYYEGGEGEGGPLPAIPTWFEYW QQRNGCTFNSTVDSDNGNVHHTSWICGGQNGTLQHWKVDENGHDWPSKTINFDMVAAG MGPQPIEANDIVIDFFDQFSLD TSTA_004280 MTEYITCQFVASHRQSNMKEASSPSSMATAEVVSSPPELTESSN NFTIFANTINGKASLNGRKTQAINPSTRRPLWDVPVATDDDINTAVGAAKTAFENWSR TPWKERATYIDRAKEALMDIRDGMASLIMQEAGKPLQFAKMEVDHSARFLSFYSSHPE LETRVVSDDYELRLTVKYVPMGVVAAICPSIHPLLLAVAKIGAGLITGNTVIVKPSPF TPYSTLKFVDYIKSIFPPGVIQALSGDETLGPKLVEHPDIQKIAFTGSTATGKKVMAA ASKTLKRVTLGLSGNNACIICPDIDVSVVAPQVSVGAFLNSGQSCLATRRIYIHEDIY QEFMQHMIDVVKLWKASPSLPGAGNILGPIQNEIRYRHVKQIVEESKQKGLNFAFGNA EFDEDNHFVIQPAIIDTPPHDSPVMMEEAFGPIISLFPWKDEQEVINRVNDIKTGLGA SLWSGDMDHAVAIGEQIQAGMVTINSHPAPLPSGFLSGWKESGLGGELGTEGLLSYCN AQTLYCHKLPVGPGSAEAD TSTA_004290 MSTFTPNAVQSNTRDPRPLLRPLFHVLRPNNLCVPLIPADELPS WLEVVSTYTIDVNHSFLASYTPHPRLGEYDIICRYCETATQHIHDWNPWSANGLEEQS RIALRTHVSAGAGGYAAANGVMACTVHEEVVTCVFDADAAGVAVVADASIASTDTTAT IPTAALFTAVWQTVSTLLTPLSAAKSTAGCATGSRKIRATSTDEQARRSGFRATA TSTA_004300 MNRCCRNGFLRPQMEARSRAYTPAVMTTICSRLFSTTRIIQSTL SKSPGGKKKGSAPGNTSKVDIVNEQLCSLLKDDIVQQLSNVLAVNKPSVILDLYPGRP VLSSKVHDLVQPFRHVLVEPRTSRVYHKYLQEFSEKKDGIQIHKEKVHYGSFHGIGWM ELLDTYVPAEVPPGVQKDLLVIANLTDRAFKNASRIWAALVGHTLLNRGRFSDWTVRT LMILPPEEADMILPRSVRNRRKVAILNEAYSRQSFVVAETDNTEHIIPRGYELVGKSA DLVAQRMAESQIVIPKTRRRHPSRSYTEIPAGPRAEPYTAKYPLFVGEPFKEFLEKKK LYEDIIQKYYQEHGGAIEGELERARARARVVHLAKELAKRKLEIALISTLIQAKLNAA EPEEAKRFKDVVKRNPKKHKSLNSARQYFRVRAQIMHLAGDLAKLELQIRSCEETFVT SKARSLELGEARLYQEAIKKYPKDKQASKIKSDYTRARASVVHLAKAADAAVDLAKQQ IEIASLEERLAKAKLDPTTGSVELERQNSHLVSLRKQFTETSSEALFHVSRKLPNLIN DYRIALGASGDIKNSRLLWDHRPYEPLYIHPKEVTPKGSGCSIIYIEPESKEELFERF AINSAHDRHDEAVEMALSVVGTFGIRARETVADMLEKLFPGQPPAEVIKIVPELFDCI PKQLRATSINKDVTATSEKDLTPTTSTTSIPASFYDEFKYMPDQITLQCLPLSAILGL LRAYFNTPNARSFLQVNRSLGGSATLFALRSWVSDDK TSTA_004310 MIHSVDDMASNRVSSAPHNVEQITRLAQDYEYNPAIPLKLWLRT ANSLVKEAEIYEREGHDEQAYLLLFRHAQLVLVNLVNHPDAELESNRQGLLAAEKQVR INIKKLESLKPLINKRYERYQQLLQNRESRRTQSSQSRHEPPTSRYQSFSNPALSGTA QPLEAGENRELAVQIAHREINRRENIKRARASGISQDEERTRRTGGMWGDWEQALGEQ KPSHPADDLSRRIQEVRLRMEEPRYSQSRQNTEEETSSTYKYPTVPKHKPYDGRSHGV PKPAYGQLEPQEAPMPPPKEFRENTYSIEELPPRPSKFLSTGPPLPSKESTKPPADPS DLNPSTFTFKPSAYLENGTPLRTVFLPPNLRQEFLRLADSNTRRNLETCGILCGTLIS NALFISKLLIPEQESTSDTCETVNESAIFDYCDSEDLMVLGWIHTHPTQTCFMSSRDL HTHCGYQAMLPESIAIVCAPTKDPDWGVFRLTDPPGLKSVLGCTQKGLFHPHAETNLY TDALRPGHVFEAKGLEFETVDLRPGI TSTA_004320 MSATSSRSVSRSGTPLGTRPHSITTAATSSSSLSEPRLNNNNSN SNYFSYPVSRVVSGLYRRFTEPPQKTSGNNNHNANNNTMNPTSSSLYTPQRTASPFQP PPLTPLTLKSSSTTTSSLHPPILSRALAEEIRLLIPPRLQLIDTWHLAYSLERDGASL GTLYEHCKHVAEHNPRAGYVLVVRDTVDGGAVFGAYLTDAPHPASHFYGTGECFLWRA SVLGDGQGIWNDLYNNPKDNDNRNENGQGYDEIGGQTMTDEQLRRAGFPPPPSADTTN AVRSTTLRASTPTSTSQQKTTTSNNINNSNSNNLLPLPQTKANISSGTSTPERIRFKA FPYSGINDYMMFCETGFLSVGGGDGHYGLWIDDSVEKGVSDSCPTFGNEPLSDDGTKF DILGVEIWYIGT TSTA_004330 MFMLRNVSKYLFGDTSKEAIIDIPQGQLYLVRPLSPKGYSELIF KDAAATIRRTGQEFQYQLVVQRAYEEGEEELAEEEDEEGATDALDKDERVFLLDQALH FRSVVRDGGEKVLAWRDLSGDPGDLYEFVCDSSVSPDKVATFESAAVQCQYERKYRRS FQQATEEELQEFSFEEDSPIPSASPIDSPKGPSAADSAAAMAKDVEYATKKGTIKPPG QATPAPPAGNVPEAREILAKERAELHLFDFASGTFILQDSDVVATVSEIGNWQYWLQI TGNDKEWLGQSVVGDINPVFNFEYLSFIFNHYAEDGSAYSWLLRFRDQPTEERFQEGL MQALWEQLNEIKWEKQKEDDRDYVLDAFQDLTMDDNEAEQEEVEEEEEEEEEEDEGQR SEHYDTDEEEDDVLTGLKDDNVNSQLAVGYKHDRSFVVRGSKIGVFKHTPNNNLEFST NISKVETPGGKLFSPKKVMLHAEDSNMILQDGSNPNALYRMDLEYGKVVDEWKVHDDI GVTTFAPEQKFSQMTAAQPFLGVSNNALFRVDPRQSGNKLVDANLKQYASKNDFSAAA TTEKGYIAVASNKGDVRLFDRLGINAKTHIPALGEAIIGLDVSADGRWVLATCRTYLL LIDAMQKDGKNEGKLGFEKSFAKDSKPQPRRLGLQPAHVAQFQHETKQPLAFTPARFN TGVDSEETSIITATGPFIITWNLKKVLAGRKDPYTIKRYGENVMADNFRFGSDKNVIV ALPNEVNMVQKKSFKKPTRESIAGPLPMTPVRRSAAHRLGRNDIVNSPY TSTA_004340 MLEGIEARSVVVGKVEGMICQSGIVAVRLVQADIEVGQYFNPDS LRQIYFEIEEVHNSSDHNTMEEGERPRKLQKRQHNETIESNSTDNGEPMMAGALKAGD EPIVDDQKNPVEATRPTRTAKLIEEQSNEMEDEQEGTTEENTTTQTAPLSKKQQKRLL RQQKWDEQKEERKKRRKEKNTQRKMRKREEWKQVVEENGGQAPPRKHSVLVPVAVVID CGWDELMADRERISLGSQITRSYSDNSKAPYRTHLAISSFDKLLKVRFDTVLRKMHEN WRGVHFMQEDFVHAAEQAKEWMKGPGGGKLEGALANKEDAIPENGEVIYLSSDSPNTL TELKPYSTYIVGGLVDKNRHKGVCYQRAIEKGIKTAKLPIGEYLRMASRPVLATNHVV EIMLRWLELGDWGEAFLKVIPQRKGGILKNPKSNDTEEVGNEEESGMGATDAEMASSE EENGSEAEGDECEKGSAEVSVSYA TSTA_004350 MPQDMPPIGGYRPVQYKRNIPARGFKPFTYLVGMHLIMGYGFYK YYYGVRELNELAREKMWSRIHLIPLLQAEEDRDQVRRHFADQAREKELLGTETSPYHS DRFVRPSYTYTPSNVTQ TSTA_004360 MASIEARIRSFNDEREKEHLHNYVYVGSYDVYNTNRHLLRALSH ICPHQLFEVRGPLRCTNGDMHYENLAAEICPVTVVTVLELDYDTFHGHIKYQKGHFHQ RAGASNALSATSIFKIPQNTMLSNCHKMVQNRPWTLIPETSNVSRILYRDQSETGFAL RSGATGQAFENAPGLSQTDLMERNLKIL TSTA_004370 MATPGQPATDGMADIIHALEVSHSPMSSNALRAEALQYLESRKQ DERAAHTGYLLASEINNSPLIRHFGLSLLDHVLLHTGFALSSTQIMELKEMVIELSRR IQPTDPSYYRNKVAQLWAEVAKRSWGIDWNSMDLDLYNLWNGGPLHKEIVLSILETLS EDIFYREDTASSLRGTDLNRALVEIFTPLVVFQETFPEREHHRQEIRHLNDGWLSRIC IFMDECLGSVNTSREAKDCTLKALAVLRSALVWSIPKAILTCDIVTGICRTLTSQNEH ILLAAIEALHSLYSRSNVEVEEFAPLVEQIYDVDHLVLLRRLYEWTAVDANDIDETRY NICKKVSELMSYAAAFLEDKDLDLQSASTSDIPFLFEFLIEILRHQSLTVSIPVLHSW SRLLTAERVEQMEFVTRLIAPLLEICTQRLVRWETLPEDSDDPTILFLNDDIDTTPER HAFVGNYRRYCSTVIEVIVQKRPYEAIPHILSGVDQNLNNLYNGVQPFSAASFQKASF PLMRADTQFSVVEATLKGYQKWIIAHGRSPQEDEQQRTQLEQAIENWAMSLMQRNLED PVLKQRVIRLVVDISSKALDKTPSFALKVLEHILMTHLEDQPQYPAYSDAVRELHSLA SHELRRLSMRYADYFFTFYDVLEPKVREIAAAHQLDDKLQTELISVLLIITQRTKNVD PRIREERLNSFMEPVRSAWQNEEFRSMSSSFSGFCELLGLQKVGPYMQSKQAASIEDW SAVPLDSVGKEIQGELARRFQQLPLRGTKTLLAVSTEKLKRTDPAYELGCALWRDVIP TILPTLLQLIGHAHAFHNPANWSDLSRDMRTVVGRILTDRFWQAGISTGSRDDFYAKI TTSKATLEGFASSVRGKVRAVRESCYSMLFSMSRLRQYFYGFEELPGPLSEALFKDST HLSSHQFSILLNISRCLIDDCPVQFREHFLPPMISTLFKQVDKKVTEEWDNIEQRKAG LVEGDLTEEMKDESILRQLTYSAVIMVASLLDPQRGDPDTTTSDDPSAPQPPVSLESS MRHFVLSNPTILEPVFMFCTHALRMRDTRCGSIITRVLRSILVDFAPPVNTPTAITIR EFISTEVLRACITSVHEPYFVDMQRDLATLIASIWVLYGSSTSTPRSVMLSLPGLNEQ RVAQTETALMRSTSGRQQRALVLDLLEGLRGVSISEQGKILGTREDRRKARSAMQQRY MSTAPADMEGQQKTKVNIDDGPDLGGIADMFG TSTA_004380 MTSPLAPYAIDHEDPNGPGDARPTALKIIRDQGLDGTLSGKVFF ISGGTNGIGVETARAIHATGADVYITGQNRKKGLEVVEHITGDGKPGKVMFLEMFLDS LESVRDTAKRFLELSGGKVNVLITNAGIRGYPKDKTKDGFEQHFGVNHLGHFALFHAL KDALLSSSTPSVNSRVVVLSAAGHRQSKIRFDDYNFDIRPEEYQPLLGYAQSKLANIY MATEIERRFSAQGLHATAVNPGLIPGTGLNKKTPPEQLAQMWKMTQLRNLLKSVEQGA ATTIWAAVGKEWEGKGGKFLENAQVSPPADESKGPLSIGYAEYAYDVDAAKRLWEDSL RMVGSE TSTA_004390 MLDHQKFEKLKLNDKAVFSKPVRRRQRQTLSCLPCRRLKVKCDR GHPCRHCVWSDRAASCQYAPFPTAQANSINSSDEDQTGKSSLSPQAPIDRAKPALLLP KTEPDLFDSSPAATTSSSKSEESVKSIYDPYNSWNSKFRGSTHWLTVSRQIQYGHKSM SGNPLYDVLEDVFNKITNNFTSSIFPSNYPFGCGYQEQFPSKREVLTYIPDRPTIMFL IDVYMNTIERTHPMLHPPTFYKELDNFWEDPAAVEDEWLAQFLVMLGMSHHMSRGVNI TPDEEATLKQYFRGAETCLKSTIFLIAPNLTSLRTLSMMVLARRILASSCLELDACGP LSAIVMRMAIGIGLHVDPQNDVSDSTSLFDREIRKKLWSTIAMMCTNISVLTGTPFAL RSSHMNTQPPLNLNDIDLSPSLLAYPIPRPLEEFTDSTFLIIVANSFQLAFDTVAHAN SPTTYLPYEDVLIYNVKIKNLLLEATQLYHQSSPVPLEDWKQDQLFMLQVYLRRLLLA LHSVYGLQPNADVEYPVSYWATLESALALLVIQRQLSEDLNSPPGRVWLGEYYKHDFY AATLTICLIMTRNDAVAMDINSNGPSQTNLEIPRETILQTLICCRDIWARRICQTYCH FLSYMNLGTIIASIMTPRGKNIHSTEVYKSSLRESLRMLKNCPCGKCATDSTSVKGSF LNHPEYLAV TSTA_004400 MAPLRLHIEGHKFRDPYNREITLRGINVAGDAKYPKNPDVPSHI ADGFLDADNVSFVGRPFSLEDAPIHFGRLRKWGYNTIRYIFTWEAIEHSGPGKYDDEW IAYTIEVLRIAKQYNFYVFMDPHQDVWSRFSGGSGAPLWTLYAAGLNPENFNKTQAAL VQNTWPNPSEFPKMIWSTNYTRLVCQTVFTLFWAGRDFAPKAIIDGRNIQDYLQEHFI AACKHLAQKIHEAGDLEDEVVIGWESINEPNRGLVGYQDINVIPPEQQLQLGTSPTAF QAILAGSGRACEMSTWAFGSFGPYETGKELVDPEGESAWLPADFDDNKYGWKRDPNWK LGTCIWAQHGVWDPNTDELLQGDYFSKDPKTGEPLNYDVFTNTYYMDHYRAYRDAIRS VHPDCILLNQPPVMEVPPKLKGTEDDDPNMVHAAHYYDGLTLLTKHWNRMYNVDVIGV LRGKYWTPAFAVKIGETAIRNCLRNQLKYLRDESFKCLGDHPMLFTEIGIPYDMDDKT AYKTGDYSSQISAMDANHFALEGSGSNGYTLWAYMTQNDHEWGDQWNGEDLSIYSKDD LELPGIGSTSLNGSTLTLDRNSPSFSQSSSSIDNEGKPGFRASEAYIRPSAIYTSGDL LSHGFDLKNCKFTLSLYGEKPTNQEAPTEIYLPEFHFPASEIDVTVSGGKWTVETREE ASYTIQILKWWHGEGDQDIKIQGVKRKASSLVISPEDDTYLEQCQQNCSVM TSTA_004400 MAPLRLHIEGHKFRDPYNREITLRGINVAGDAKYPKNPDVPSHI ADGFLDADNVSFVGRPFSLEDAPIHFGRLRKWGYNTIRYIFTWEAIEHSGPGKYDDEW IAYTIEVLRIAKQYNFYVFMDPHQDVWSRFSGGSGAPLWTLYAAGLNPENFNKTQAAL VQNTWPNPSEFPKMIWSTNYTRLVCQTVFTLFWAGRDFAPKAIIDGRNIQDYLQEHFI AACKHLAQKIHEAGDLEDEVVIGWESINEPNRGLVGYQDINVIPPEQQLQLGTSPTAF QAILAGSGRACEMSTWAFGSFGPYETGKELVDPEGESAWLPADFDDNKYGWKRDPNWK LGTCIWAQHGVWDPNTDELLQGDYFSKDPKTGEPLNYDVFTNTYYMDHYRAYRDAIRS VHPDCILLNQPPVMEVPPKLKGTEDDDPNMVHAAHYYDGLTLLTKHWNRMYNVDVIGV LRGKYWTPAFAVKIGETAIRNCLRNQLKYLRDESFKCLGDHPMLFTEIGIPYDMDDKT AYKTGDYSSQISAMDANHFALEGSGSNGYTLWAYMTQNDHEWGDQWNGEDLSIYSKDD LELPGIGSTSLNGSTLTLDRNSPSFSQSSSSIDNGKINHLNIKNAIEYPSISHQSSNI SSEPEGKPGFRASEAYIRPSAIYTSGDLLSHGFDLKNCKFTLSLYGEKPTNQEAPTEI YLPEFHFPASEIDVTVSGGKWTVETREEASYTIQILKWWHGEGDQDIKIQGVKRKASS LVISPEDDTYLEQCQQNCSVM TSTA_004410 MSAKAALKAVKSALDSKDFELAASKAKDLVDQDSTNYHAHIFLG LAQDKLGKPDAAESSYLAASRIKENDKTAWQGLITLYEKQGSKKVDAYRDVVLKLGHI LAENGERDRCVDVVDKFIKFNRQHGNRTQQKQALHIQLPTCPLYPALEGRVPHPADTY SRLIELTEAEEKEFINREIGERRTRLGAKIDQVTTEVKCEALSNPELEQYYRGLIDWT NDDGIRHQTEERLLQRAYDFLLVLPHDQKFGKLDEVIHLARDMVIIKHTFLLAWKIEL EWKDVEDFSEWDPVLLRDFAEFFPEDGLSKVIQGFLKGELSPFPTETELRESAEAESN DVKAEIAELAVEDRLILMSNGLEQSADSIIAHRVMAQLYLNLEEFASAVEVARKGISI LKDVTRKTGLSLQQTTDYVNITLATALISYQSPRNHPEAKSIFESILKRKPKNTKCLL GIGLILEEDHDYEEAYNFLQRARERDPSNIKIRSELAWCTALNGNLQDGLEMLQDVLS EIKNDESQTGEFRAEILYRIGHCQWEIDPSSAARKNRNGAYASFLASIQADLNYAPAY TMLGIYYSDYKKDKARAKRCFHKAFELSSSEIEAAERLAKAFANKQEWNLVEAVAQRV VDSGKAKPAPGSKRKGHSWPYAALGVVQLNKQQYTKSIVSYQAALRISPGDYHSWVGL GESYHNSGRYIAATKAYEHAEASESTLSKSDGDNVWFSKYMLANVKRELGEYEDAISR YEDVLAIRSNEFGVMIALLQTLTESSYKNLETGLFNDAAKSARRALKIATAMAKETPN VFNMWRAAGDACAVFSYVKAKSWHVSASLLKSLLTIDAPNDAYDILADTDDVGSKHAL FSDSEEGLPPLSDLCIYASILAYKRAVYVSANDVHGQAVSWYNLGWAEYRAYRCIEGE TIAKKDKRFTKKFLKAAIRCFKQAIELEAGNSEFWNSLGVVTASLSPKVAQHAFVRSL HLNDRSAQNWTNIGVFYLIHNDLQLANEAFTRGQSADPDYAPAWLGQGIVALLFGDPS EARALFRHAFDISTSSSTLTKKQYTLSLFDRLLEDASISNELSQLIQPYFALQQLHFQ EPSSLSFEHLSALFAERIGEYGQAESALGIVCTGVEAEYEVSESSSSLIRFALANADI ARVHLANHEYEQAVEKAELALTLSGDDNLSTPDPGMVTSLRLSSHLTAGLAYYYLKSM DQAIDMFRDALHEAENDPDVVCLLAQVLWAKGGEEERNVAREQLFDCVEKNPDHNGAV TLLGAIALLDGDEDAIEAVHADLETMRTRDDIEIHDRTRVVKLLSAISAMGLSGDSDM PEAERKVVEANRAVMLAPGLPQGWMELTAATGEVHPATIAVKTALRNVPPNGGLDAVD LCKAYVQTGTIGDSLRAIMVAPWKMDGWDGLSENFSDIA TSTA_004420 MSSTEEHIKSQLLSTKSLPVSPAWISNFLASLSQNQQRAPPSAL AQTALFRILTTDFTKTLSTSTHSKLLPRDIFNPSVKERRISGPVPVQVLDVEDIGSKQ VERGETIRGREIVRNVNVDGDNSANDETANNGSRSARNTTSNGPHRLILQDAAGTKIV ALEYKDIDGVSVEKLCIGAKLVLKDITVARGIALLTPETVTLLGGKIESWDTTWRQKR KEVLLAKIEALHAEQNRGNANGDEMEE TSTA_004430 MSTISSPRLSTTSSHATSISSRRPSIDNSLNPNASTSGLGINNH TGSSINRAVSPAQRRNRAALRDYYNIKSSTGDEATSSATGAPRSTDASELFGSPSITV TSELDNPDFDAQKYIDQLLATSSLSTVLKAENTLVGDIRTLDGERKALVYDNYSKLIR AVETIGTMRRSMEERGAPLTMTKTLGPAVAFVAETASGLIKEGEELRRRVQDAKSKAT GNKTAEQETVEWALGTPDRLRQYLREGQTEKAQEDWAEIDHLLQSWKGVKGVEELRAA CEEIMKDQEKESVHVYGRCHDSILISQAKALSRECSHGHLSPNRMCSKLSYIRKLSYA V TSTA_004440 MTEVIQPKTVSSLVTEFLDQPPSCLEFCPKDPNYFVVGTYLLQE HKEPAEEKKSGEGEEEGDEGTAPSSVKQTKTGSLQLWHLDITAPKLQHKQTVPLSHAV FDLKFHPFRHHILGIAGSNGSISIYSVLSEDGNNYNIGIQHIWTTSAEHANNSTLYFT WFPQDWFPLQTSPYTDGFAASFANGTTRIYLLDAERENRHDIAQNKGNYNFIVKEHLP ERRKNIEPWFIALAKYRNPSVMGGSESFMFTGDDMGNLWTQSFTYPEDRNSSGDLEED FMICDYRDTDDEGLRHTAGVTAILPLPTVGMVKKMPILLTGSYDEYIRVYHATFRGAI LAQKRLGGGVWRLQLIGDPTTTHTTTGASTITEVQFLVLASCMHAGTRIVKVNWKRPQ VGANELGSWDIEILAQFTENESMNYASGIWKGGETSATTGRELVGVSSSFYDKRLCLW KIQI TSTA_004450 MSDLKTLITELHKSLDQKKLTNAVEVLSRAKRTLLQQNVLFPSA DTPAQTLSQAREILELGAITSLRLMDSASFIRYYQQLQPFYDFEQEASLSSSSQQQRD AAKTSQRSKITGLYLLLLLSSGDTSQFHTVLEGLIVEASLEGRSVEDDPFIRYPVELE RSLMEGSYDKVWRATKSSEVPTEDFGLFSNVLVGTIRREIADCSETAYQSLPISNAKD LLFLESEGAVVQFAQERGWSLKDGRIYFPAQTDVVAPAAEIPGTVEPVQGGHRPEKGI VLASASVIENTIGYARELETIV TSTA_004460 MYLYSLFLGALALLSTPAIVTAADTTQHEVVEQLQRVPDGWVQG PRPSPSMLMQFRLAMTQPRAGEFEQHAINIATPGHELYGKHMKRDDVKAFMQPSADVS NAVVSWLRSEGISEDLMEIDSDWAKFIVPLKQAERMLNTTFYIFHDEDTTVFRVRTLQ YSVPSKIYQHIKLIQPTTHFGRNKAHKSFVYDQREIAVVTQVDCNVSITPDCLRELYK LGDFVANPDPRNKLGISGYLEQYARYDDLEAFLEQYASKAAGANFTVHEINGGLNDQD SNADSVEASLDIQYGISLSYHVPTIFFTTGGRGPLVPDLSQPDPNASSNEPYLEQLHY LLDLPDEELPAVLSTSYGENEQTLPESYTNTTCGLFAQLGARGVSIIFSSGDEGVGQA CLTNDGTNRTRFNPIYPASCPFVTSVGGTYRINPERAVPFSSGGFSERFARPSYQEKA VTSYLSVLGDRWQDLYNPEGRGFPDVSAQAHAYVVRDHGSFIQVDGTSASAPTFAAIV SDLNSVRLANNQTSLGFLNPWLYALNGSGFTDIVDGGSTGCTGKKSRSGETTPYVPHA SWNATPGWDPATGLGTPLFDALSRLALEA TSTA_004470 MADIARLTENLPPETKEEIAKNDLPLKDRFFRYFQQEITALQEQ MARLADTSIVAGERSDATDHCLAGIARLSNEVKDASSYIPTYDQRIYAEAIKALQDKL SETRAAVQPRAKFSFKTKKNPSAISLSDAAEIAAQGRRIIPGYLSPEVSSQDSSRNPT PLYSSTPVNEVETLQLRPEIAPTSSPAILDEGKDTSALEKKSNNIRRPTFSNASSVSV DQHYGLHIMLPASASSASVPASITSLRHCVVDMSIPTTDGKPYASLTVNGVKESLIIC GQVDGPAHVTGVEHSTIVLSCRQFRMHNCTDVDVYLSCTSNPIIEDCTRIRFSRIPKT YALDQNHPDGTDKWNQVEDFKWIKPEQSPNWSILDPNDAVSDEIWAEMVPGGPCWSLE DILRATKVMRE TSTA_004470 MADIARLTENLPPETKEEIAKNDLPLKDRFFRYFQQEITALQEQ MARLADTSIVAGERSDATDHCLAGIARLSNEVKDASSYIPTYDQRIYAEAIKALQDKL SETRAAVQPRAKFSFKTKKNPSAISLSDAAEIAAQGRRIIPGYLSPEVSSQDSSRNPT PLYSSTPVNEVETLQLRPEIAPTSSPAILDEGKDTSALEKKSNNIRRPTFSNASSVSV DQHYGLHIMLPASASSASVPASITSLRHCVVDMSIPTTDGKPYASLTVNGVKESLIIC GQVDGPAHVTGVEHSTIVLSCRQFRMHNCTDVDVYLSCTSNPIIEDCTRIRFSRIPKT YVCPPPFPPPPKYSQSD TSTA_004480 MAVNRLRSAFAVPRKGETFELRAGLVSQYAYERKDAIQRTIMAM TLGKDVSALFPDVLKNIATTDLDQKKLVYLYLMNYAKSHPDLCILAVNTFVQDSEDPN PLIRALAIRTMGCIRVDKMVDYMEEPLRKTLKDESPYVRKTAAICVAKLFDLNRAMCL ENGFLETLQELIGDPNPMVVANSVTALAEIAETAPETRALEINSNTLRKLLMALNECT EWGRVTILNSLAEFKTTDVKDAEHICERVVPQFQHVNASVVLAAVKVVFLHMRYINAE LAASYLKKMAPPLVTLVSSAPEVQYVALRNIDLLLQKQPDILNKELRVFFCKYNDPPY VKFQKLEIMVRIANEKNVDQLLAELREYALEVDMDFVRRAVRAIGQTAIKIESSSERC VNTLLDLINTKVNYVVQEAIVVIRDIFRKYPGYENIIPTLCKCIDELDEPNARAALIW IVGEYAEKISNAGDILAGFVEGFNEEFTQTQLQILTAVVKLFLKRPEKAQGLVQKVLQ AATKENDNPDIRDRAYVYWRLLSNTTDPNAAKNVVLSQKPPITTTIQSLPPALLDQLL EEMSTLASVYHKPPEQFVGQGRFGADAVQRAAIEEQLQNARENPLAAAAAAAAVSGAT PPSQSQANAENLLDIDFDGSAPASAQKEPPSGMSGLEGLAGTPVRTESPATTAQQSNN LDDLLGVFGNDSTNNNAGGSSNFAALGGFGGSTTGGGFGDDDLMNGFQSLNANGNPTS PPPPAGGKKTNDDLLGLF TSTA_004490 MPRRPIIEESSDGEANSSSNSIPPDDRLEEALRDTVANIFRTGK LEELTVKRIRLATESALGLDEGFFKTRGDWKVRSERIIKEEAERQEEAREHEETHKSP APSPEPPKSKMKKAVKRESEENTSAKKKRRKVSSEVESRETTAQPVEDEEVEGKEAKS ASPQPPSEEAAAERGEQSESEMSVLLDEEPPKKQRPKKAATAPKARNPKTTSKPTTDS DPDQAEIKRLQGWLIRCGIRKMWARELAPYDTPKAKIKRLKKMLEEAGMKGRYSLEKA RQIREERELQADLEAVKEGASRWGTGRGQDESGSEGRPRRRLMKGRQSLAFLSDDGEE TD TSTA_004500 MNATAGSSGSNTLSDGQKLPPGVVLDKDGKPCRTCTSAASWRAM LKQASSSGGIAAGAAAATSTTSIPPPPPPTQTDCPPDVEELGRSTWTFLHTLTATYPT TASTEKQTQMRSFLGLFSNLYPCWACAEDFRNWMADPSGKNEPRLSSRAEFGQWMCEA HNAVNRKLGKKEFDCRFWEERWRTGWKDGRCD TSTA_004510 MSSPTMLTKASFESKSSRAKGLAFHPTRPWLLVSLHSSTIQLWD YRMGTLIDRFEEHDGPVRSVAFHPTQNIFVSGGDDYKIRLWSLQSRKSIAVLSDVRTV SFHHELPWILSCSDDQTIRIWNWQNRSLIATLTGHNHYVWCAQFHPKEDLIVSASLDQ SVRVWDISGLRKKHSAPTSMSFEDQMARANSQADMFGNTDAVVKFILEGHDRGVNFCA FHPTLPLIVSAGDDRLVKLWRMSDTKAWEVDTCRGHFQNASACIFHPHQDLILSVGED KTIRVWDLNKRTSVQSFKRDHDRFWIIAAHPKINLFAAGHDTGVMVFKLERERPAHTL HQNQLFYVTKEKHVKSYDFTKNTESQPLLSLRKLGAPWVPLRTISYNPAERAILVTSP AENGTYELIHLPRDGTGAVEPTNSLRGQGNSAVFVARNRFAVFSQANQTVDIKDLSNS TTKSIKTPTGTTDIYFGGSGALLFITPTSVSLFDIQQKKQLAELAVSGVKYVVWSNDG LHAALLSKHNVTIVTKNLQQLSSIHETIRIKSATWDDSGILYYSSLNHIKFSLLNGDN GIIRTLDQTVYLVKVKGRNVYCLDRNAKPQIFEIDPTEFRFKSALVKRNYDEMLHLIK TSSLVGQSIIAYLQKKGYPEIALQFVQDPQTRFELAIECGNLDVAIETAKEIDRPKLW SRLATEALAHGNHQTVEMTYQKQRLFDKLSFLYLSTGDQEKLSRMAKIATHRNDFTSR FQNAIYRGDVEDRIEMFKEVDLYPLAYVTAKAHGLTEEAESILETCGLTEDQIKLPTI GESQQTPVPIVPTYKANWPTKAAGHSAFEKALLGDVGGAEDETALDVEEEEDIVAAGQ DILEEEEEDVAGWDMGDEINVEEENDFVNVESADAGASISEADLWARNSPLAADHVAA GSFDTAMQLLNRQVGAVNFAPLKSRFLEIYTASRTYLPASTGLPPLVNYVRRTVDETD SRKFLPIIPRDLETIANVDLQEGYAAMRSNKLEEGVKIFKRILHTLLVNVVSSESEVE QAKKIIATAREYILAMSIELERRATPTDKPEDLKRALELSAYFTIPKLEVTHRQLALM AAMKLAFQNKNYSSALSFANRMIANGGSAKLLEQAKKIKAQCERNPHDKIEVDFDQFA DFEICAASYTPIYSGSPSVSDPYTGAKYHEQYKGSVDRIAEVTEIGAPASGLRLFVSG L TSTA_004520 MPAFTCGCRQGATKRVGPTNRISGNLNSIRGFTSSRTTIATLDD VRLLSSLTCPHDKFHDACPALLSSTYVVSVNRQKIELFWERHPRRLMLYPEQSMGKRP LTRTASVASGSSCPGGRRPGPPPKRTFVPSTEDPIDHGRNFTNDSKEENIYYGDISPE DAMAQDAGYEADVEIVRPYAIEEPDEETDQTPTSTTTPKLLESTEQWQKELLNSLRGL YCDSDSTDTPPLVRHKRGRKRKTDFSMTAYPDFHSPPQPVRDWDADMGGGNILLSPKR RRRKSARSGEDIGVSHGSLLSSEYASTTSSPPYLSPINHENERPVLKRELSAKDRMDI D TSTA_004530 MPARASTRQAAVKANKAFSQGAGAKRRGSSSSQIPPKKGKKDVK PNEGNNYQKPSVTEEGQEIKPSEDPTKAQATVNEQPTEAPSKKVGEPAQEDGVKEVEQ REEPEKGANGTATAEEEKREQPATTESQTGIRVSPEREAALPSSILEKGIIYFFFRPR VNVEDPHSLSDVARSFFVLRPTPKGARLEDGPIADDSVNCRLLMLPKKRYPASGRERD MGFVEKARVPLKTIRESLMTKETYETKTRGERTTPEARPYAEGVYALVKEGRNSHLAY ILTIPRHLGDVQSDFGIQGRGSFIMQSKNPEYPGPASAQLPKGPEYPEKVQEKFKGYR WIPTEPEFLDYQNAQFLMIGEAHGHLGKAGEAHIDDKGTKEDPAQELDRLEEENEERV NSLSGDHSIFQDLGADAEKYESLPAEWE TSTA_004530 MPARASTRQAAVKANKAFSQGAGAKRRGSSSSQIPPKKGKKDVK PNEGNNYQKPSVTEEGQEIKPSEDPTKAQATVNEQPTEAPSKKVGEPAQEDGVKEVEQ REEPEKGANGTATAEEEKREQPATTESQTGIRVSPEREAALPSSILEKGIIYFFFRPR VNVEDPHSLSDVARSFFVLRPTPKGARLEDGPIADDSVNCRLLMLPKKRYPASGRERD MGFVEKARVPLKTIRESLMTKETYETKTRGERTTPEARPYAEGVYALVKEGRNSHLAY ILTIPRHLGDVQSDFGIQGRGSFIMQSKNPEYPGPASAQLPKGPEYPEK TSTA_004540 MPSSIPLPREAIKLFKPGYAHLLNLLQNSLGLDPLTLVNTGLLL AGIFTFLRYLFTRVIVLWVTVRINDDDQLYDSLMRWMSDHQFMNRQFRSVKAISASKS TWEDEEEALKSMIRNGNKNILTEPDPNNLISYRTIVGRSPISLQPFQGTHLFRRHGSW ILFQHLVKRGSELVPNVKETGYIQLKCLGSSFAPVRGLLEEAQLYHLERTKTTTTVHR AISNVRDMIRWTRFSARPSRDMSTVIFDKRAKQELLQDINEYLHPHTRRWYANHGIPY RRGYLFSGAPGTGKTSLTSALAGVFGLDIYVLSLLDPNMNESALMRLMSEVPSRCIVL LEDIDAAGLNRPASEPKPGHARRNKIGNTAESTAPSVTQGSVQGIQNGSAATSVSLSG LLNAIDGVSSQEGRILIMTTNSPESLDKALIRPGRVDMHIAFELPSKVDMQELFLSMY RDDTVSVIHGCEVNTNKEQDKTDNRHLESLAVRFADSIPERKFSLAALQGYLLQYKRS PEEACSKAAEWAEKKLAEDDE TSTA_004550 MSHTQSQRYLSTRGASYGLSFEEVVLKGLASDGGLFIPEQIPSL PAGWETEWRDLSFEELAFRIFSLYISTSEIPSDDLNDIIRRSYSTFRHKQRTPLVELN KDKKLYLLELFHGPTFAFKDVALQFLGNMFEYFLIRKNKGKEGKDRHHLTVIGATSGD TGSAAIYGLRGKKDVSVFILFPKGKVSPIQQAQMTTVLDANVHNLVVEGTFDDCQDML KSLFADPDLNSTHNVAAVNSINWCRILAQISYYFYSYFQLTKQVGSEPVRFVVPSGNF GDILAGWFAKRMGLPAEKLVIATNENDILDRFFKSAGHYTKKPIRGKAAEGGLPQDGV KAHEEGVKETFSPAMDILVSSNFERLLWFLTFDSESGSIDERRQNASKQISAWLSELK SKGGFSVTEAVLEGAKKEFESERVSDEETLEIIRKIYTSSFPANLGPGSAHSSKTGGY ILDPHSAVGVGAALRSIERSPGAYHISLSTAHPAKFGNAVDLALRGQEGYDFSEVLPQ EFVGLEQKESRETPVAARLGWQGVREIVKAEIEQELQGLR TSTA_004560 MVKAGLALLAFAAPAFAAVIERGEEGDKAAGSYAPPPPPVPPKS TYAPPPQPTHSEPQPTHSTYVPPPPLSYSTSEPPHSYTQPPHSEPSHSYTEPHYSYTQ PPHSEPSHSHTEPAHSYTKPSHTYTKPTHTEPAHSYTEPPKPKPTPPPKSYTKPVVPP PHTGTGGITKTLTITTTECPISSKTVVSGTSTIVVPITETHTVTRTIVTVVPTGTSTP NTPPKEGGNPPPQEGGNPPPSKGGSPPPSKGGSNPPSNGGSNPPPAEGGTTPTESTTT PPVYTGAAAAIVNQQPVAGLMVAALGAFALL TSTA_004570 MPHSISSNDSAPRDNGDDEILPDAPATENNDTTEEQKAETNIRL EDLFADDDDDDDEFPASSAADVKMASSPPPALTPTPAVAPKGPSGIDPEVMLAFYQRL FPFRPMFQWLNHGVIPSPDMVNREFAVFLQNEAVLRYQSFATADLFRKEILRLNPTRF EIGPVYSTNPRDRKSRPGTQMKPVAKELVFDIDMTDYDDVRTCCSKANICHKCWAFIT MAIKIVDTALREDFGFEHILWVYSGRRGAHAWVGDHRARTLPDDRRKAIAGYLELVKG NDKSGKRVNLKRPLHPHVARSVKLLEPYFNQTTLIDQDVFLGESQAERLLGLLPDKKL SEALRRKWDSTPDRTSTNKWNDIVTLAKTINGLDAKALKDARYDIVLEYTYPRLDAEV SKKMIHLLKSPFVIHPGTGRVCVPMDGRNIEEFDPLSVPTVTELLAEIDEYDRENPPP ASNAEDGSSDRKIQDYEKTRLKPYVDYFRSFVANLIKDERPVKRERGAEQATNDAMEF TSTA_004580 MASPTSSDGQEAVVTTSTSTAIKNNTKSLRFYVIIVTLCFLSVL SALENTVVTTSLPSIATELELGNSYVWVTTVVFFNSLTVSNSAVVQPLFGQLANIFGR RWVTMGVIVLFALGSGLCGGATNAAMLIAGRAIQGMGGGGFNTLPNIIVSDLVPLRER GKYVAWFLTTYFVGIAIGPWVGGAIVDSSTWRWVFYINLPIGGASMIMVFIFLQVQYD KGMSFIEKLRRIDYGGNFLLISSSVSILFALTYGGNLYPWRSSQIIVPLVIGFTGIGI FVAFENTKWAGEPVCPPHLFRNRTSAVVMIVTFIGSALLYWVYFFMPIYFQAVRGSSP SVAGLQVLPSVIVSVPASIAAVLLLTRFGRYKPIHLAGFTAITLGLGLFILLDQTSST AEWVIFQIINSLGNGIIMNSLPPACQAASQRTESDQAATTAVWSSVRSFGNIWGVVVP AAIFSNTFGQRAGQIADPVTRELILKQFHSGNAYADANAVFLARLDETTRNEVVAVYE DALRFIWQISLALAGFSLVLVLLEKEIVLKTDL TSTA_004590 MTNLVTNSILPHQAEGQLSSNGITAFESLSDVHSIFQIINAIVS NQSYGSKATNTEDWINRVPGRGFIDPSTGMDFPSLQKLGWTQSISLDDGIKRTVGWYK ENGMTWWGDLQKILNI TSTA_004600 MTDITTPNETPEKNAVGLLLFLLRQTCVNQSHYSKLKASSSTTN SVSVYVSVVDSKSYFEYGRQDALIGDIFPTDGHTDCQCPTCRVNKLLMVLYRTSFDQA SRIQSTTYYVFIEFSDIVRDNDSSWSKGLKLADEETKSFVLDLVTSHRQSGYRRYYDG LEVRDIVERKGKGLVILLYISPVYFSPLCITDLDVGLPGMGKTSTAETVAIKVGKPLF AISVTDVGTAAKHVESNLRRVFTLETTWQAILLMNSDANHQPNCPLASLCIHTFTLPI KYCDSDDESRALAIFRNFLESLDRNGKHEVHRIKFDGRRIRNVVTSAFSLARARGARK LEKDHLSKVWDNNITGGFNEAVISAGRGLPRDQSQMDRQ TSTA_004610 MLALLLLPTISFALAAIWLGASVREKRLVYHKNNVTFTGPDPVY LVDERQLDEAVSCPSSRPQAKGTVLLVHGTGMAPQINWEYTLVPPLIHEGFRPCYVAV PHRLFDDAQISAEYISHAIKKLADKNDTQISIISWSAGALITQWTLTFYPETRSKVKR HIALGPDYRGSWSMVPLFYFNMFTEAVVQQIPWSNFLNTLNRFGGDIARVPTTNIGSS TDLIVQPGFYGEGWPMFKDSWRLNGPQARNIDLFKLCAAKSLMHRALPHVVSHDSLLW EPASHQIIFDALNNEETYVGSADSVTFDHCRGGQANHLPPGSETRHSEIMPELVDYAS KMPVKGWPEVPLRDYALLE TSTA_004620 MNCPPNISKIISPATSGIVDLRQVRDSPSAFLRAQIEDLAKNPE SLRNLPHSTTIYHELLRPEAYRSGTVSSGGSLYYEAQALLFEGADTTGLCTALSHIDL ANSVSQDDAPGLYEVQKLPYLTAVLRKSPRMSPDVAYPLPRVVPSGGATIDKVLYLTE TSTA_004630 MHFASADSSPRHRSASNGIRTGLNPFLYVPYESWYPVIGSGDAT PDFPEHGEQGSLFTPIRELTSLRSSIMNYHDENGRRCHAYHVGSYCGPNDERAMDHFD LGHHLYYIILQGELYLAPIPKDPQRVLDIGTGTGIWAIDFVNFHPSAKVIGTDLSPTQ PSLVPPNLHFEIDDCCDEWAYSINSFDFIHVRGLYGCVADWDKFYKQALKHLKPGGYI EQVELSVEPKSEDGSIDGAIFEKWGKVSVQAGEAFGKSLTIVGFVDVVEHRIKVLVGP WPKDPRLKELGRVENDVGDKHIRMETGRGRPVPYGDKTGSTKLKISCIPGFVEFFNYH STVTYGRKPSGIPQPKPSEQ TSTA_004640 MSPIKLLLTGATGYIGGTVLTQLLNSSIPEIKELSISVLIRKPE QAELYASKGVNLITFDGLEDVECLRRVAGEHDIILHAADSTNPSAAEALTLGLADTQG STKKYFIHTSGTSSLGDRPVTEQLIENREFSDKNDDIYSYLKQREAVESYAQRATDIK TVEVGEKVPGVDTLIIKAPIIHGRGTGFFNQKSFHIPVLIKGAVAAGHAQYVGDGAGI WDYVHVVDLAELFELLVAKILKNDTKGLLTGRKGIYFAGTLRHSWKELAESIAQHCYE AGRLESPTPQSISLQKAADIYTGGDEHIAEVGLASNSLTVADLAREIGWTPKMKETDF QQSLLDDINLLFGSA TSTA_004650 MPALPGGFARIYQMVGYHHVLMILIALAIILLSLLLAGCSSSSP QIPTIFLISIYYEKYTPTFDPAQVDPGVSTAIANIIGGATMEVRVGFFGICISPDNGA FICNANATALAEVVTVDQDPLNVIWLAERFKDTVVFPYLIIVALVLAFFCFILLATFP GWHEEIDASGSEREVRPFPSRPVSQVALTLIGIASVFVLVSVLWQHTASVAASSMVQN LANGSLKSGVGSSAMVLGWFGFGLLVVVTIGLLVMILSISILRRLTDDE TSTA_004660 MGIKGLHGLLKSIQKPCNLKKFDGQTLGVDAYGWLHRGTAACAT ELALNKPTTRHVDFVLNRVRMLLFFGVTPYLVFDGGDLPSKSGTEADRFKKREDSRKL GLELQSIGRTAEAYQEFQKAVDVTPEMARQLIEELKRMKIQYVVAPYEADAQLVYLEQ QGIINGIISEDSDLLVFGARRLLSKLDQHGDCIEINRGDFAACRDISLIGWIDADFRR MCILSGCDYLSNIPKLGLKTAYRSMRKYRDVEKVLRVLQFEGHYQVPAGYLDNFRKAE NTFLYQRVFCPTAQRLVTLTVPDPGMNLDEMPYIGADYDPEIAIGVACGDLHPVTKET IKYTHFASRLVPGLMRRQTLASSAELKPKRSIESFFTPRRLPLTELDPNSLTPSPTQQ RLLERHANSSWLPSPVNVLGLTRSNSSTSLSRQFSGTPRAVERESFLARAAKVSSTPT ATKRPRLCSDAGDDALPTPPEQARSRFFTGSSARPSPSSQKFSLTKKARRSTFGVFSD ELAEDIMCQLSSETFESLNSNEKVESEQSSILSTSTEAINTPEGAQTSADECIEEQSC AVVESDTVVEDQDTKSQEDSVGSDKQSVSIDENSELFNQVMEAQVQTQNGSLLSKFVF KGTTSSPSISSPSVLEKPSPLRMAASQSRSPPRPATQTINRSGSLRRRLTPLQRLGQS ALARSKSMDTFMTQRMLAKAAGDSGYESDLTRDMPQEAHLKAANHQGSEDQIIPCSDE EEETEESLNDSEPPKTLDLKRFSFVPE TSTA_004670 MPLKNLNKIQKKLSKKRGKLNALHEESRNAKILRRASAREDRIA RVATSAMIARQSFLDRVLHFQECLEEATEPIVLTDEDIAELIQKWIHRSDDEIQELQE ERRKGRPPSKREENLKLRTVTEEKEYRSGFWMPDITQEAVRQQLKLWNGEWSSLSAIK FIRFLEGGSKQPSTFPPKGLS TSTA_004680 MLSLRAFTRSAPRAFARSYSVAARPSTIRLATAIQRPSLLQKSF VRCQYPSFSTARILREPAGEVDLELSGKLEEELSHEQSSGELEESAAAIKSFLENSSW TVKDVAGEQEVVLTRKFGNEKIRATFTVSDLQNVAEDEFDSLAESDYENVNQAAPGEP ISTRPEDRIAPSDREFDEDAIPGFPARVNIAIEKPGNGALLIQTTASDGIFEIHEVSH FANADLAEAETAEKDWLRQSLYSGPAYGNLDEELQALFDRYLEERGFNAELANIIPEY ITVKEQKEYTRWLETVKKFVSA TSTA_004690 MADDANIRQEILNKTLQEVALEDEDGSTSPCVICLDAVSEPAIA IPCKHDNFDFLCLASWLLQRRVCPLCKTELTAIKYDLQSPEGPKLYILPSHPEGRTVA DPHIPRGGYFNRQRPRRRPFQHRVPYIPDTEDALARRRHIYRNQLFSLRVGSNRLSQY RELTPELFNRDENLVSRARKWIRRELQVFEFLNPDGTEESHGRPSRPGEQRLQNRRAN NAEFLLEYIIAILRTVDIKGSAGQAEELLQEFIGRANARLFLHELNSWLRSPYNSLPD WDRNVQYRDTSGSTSTSRSVSVAGDAVDSASDRRSASGSPRVEMGRVVKHRRQNEGDR YQRDDPAARARRLQHARQRYQPD TSTA_004700 MSGIGPKQLHNMAMPPVNGMTSVSHTITNLKRWSVSGKELPAVS QIKAIHVYDFDNTLFSSPLPNPQLWNGSTIGYLQTYEGFSNGGWWHDSNILAATGQGA DIEETRAWAGWWNEQVVQLVELSMKQKDALTVLLTGRGEDNFTDIIKRIVGSRKLDFD LICLKPEVGPNGQQFASTLVFKQTFLESLVSTYSHAEEIRVYEDRIKHVKAFRDFFTS LNERLQTQGDRKPLNAEVIHIAEGTLHLDPVTEVAEVQKMINEHNLRYHDSAQNLTKS PYGRLKIRRSVFYTGYLIDETNSNRLISDLLQPALPAGLAEGNEVKPLANIIVITPRP APKSIINKAGGMGKTISWRVTGLGHWDHKVWAARVEPVSKNESYYTETPVPVVVLGLR RGARPVDANRIQKWQPVHPESALVFDAVVGERVVLRVDEDTSDGDWSTYHGNKKNKRR HPVGHRDEDMPDSARNSFDSNPYQALNEHAPYRRSPHGGRSQNDSSHRGRGRGGRGSG FGRGRGSSRGGGRGRGRGNDNASRAYHGYRSLDEQRMGSDNGNESQSNANGGVPLMNY TSTA_004710 MKFTSAATAFGVINMALAAPQFQPSRVEPSGFPEPAFREPSRFP VAAAKRQFEFPEPSGAAAPGFPGFEGPSFERPSSFPVKKRQFEFPEPSGFPSFPGFER PGAAPSGFPIKQRQFEFPEPSGSASPGFPGLEHPPSFERPSSFPVAAKRQFEFPEPSS SATFPGFERRPFGRPSSFPVAKRQFEFPEPSSSALPTPPFPRPTFPGEPSGSAFPVPP FQKRQIEFREPSGTVTLPSGFPTPPPFTAPTPPAFPSGTAPGGFQGLVAKAFGA TSTA_004720 MASTHNIEHGPRHMVHSHAHEVDIPGTVDLNAVEGDDTGYGQAL YPVPTDDPNDPLQWPEWKKSSILIICALYSFLGNCTLTGPSVYISIYAEEFGITPTKA SGLVSYPNLAFGFGSLLLVPLYLKIGRRPVTLLSMACFVGGLIGASRASSYEGLMVAR VFCGLGSGVCESLPVQLVNDIFYLHERGKRLGYYTICLCLGATGPLYAGYMLAGGYSW RLFFYVVLAFAGALLIAAFFLVEETNFKRPHENSTPSASIADEKLQTSAHTESVSQAG IPARKPYISTLRPWSSIDPDAQFFVTMLRSFTYFFVPAVFWVITTYGIYIGLGAFSFN YVFPIKITAPPYNWSQTNSGLLALATLVGYLLAFPFTSSSDRLAAYLTKRNGGIREAE MRLGVLLLPMLIPPAGLIVFGYTAERNLHWMGYFAGVAMDQFGSYFYFTFVLAYAVDS YNANTPEMLIAMNLGKQAISFGMSLYVLDWVMQRGYVVVISGIFCGILLANNLIVVIF MIFGKRIRRYTSRSWLGRLHARTQTKHMTH TSTA_004730 MVPYLSGQLTVEDEDTIAKSVGRLIKYWKHYGAAEAIILRGYPY VLQAIELVQLHCNAPCRDSATIQAYTEAQDGTRPRVPMGNAEGKVIQALHQCVPGSAM TTTSTTTSRAVSSTTKATSTLTTSSTPVSTGFPKVNGLNFTIDGKTNYFVGTNTYWLA FLNNNSDVDHVLSDIASSGMKILRVWGFNDVNTVPPSGTVYFQLLANGTATINTGADG LEKLDYVVSSAESYGIKLIIPFVNNWNDYGGMNAYVNAFGGSQTTWYTNTNIQAAYQA YITAVISRYRSSPAIFAWELGNEPRCNGCDTSVITNWAKTTSAYIKSLDSNHMVTTGI EGFGLDAGSDGSYPYTYSEGTNFTALLSLSDIDFGTLHLYPNSWNEPLNWGSSWVSTH GTACASIGKPCILEEFGTTYDQCTYEAPWQTASLDTKGIAADMFWQYGDMLSTGQSPN DGNTIYYGTDTYTCVVTDHIKAIG TSTA_004740 MLLSISSSSNSGTSPRYYYPALTTTFHLLFLLLILLFSSPSKAQ FTPNFPLPLPTTHFGRDPNIILYKNEYYLFSTTTDLLYFRASDLSGPWRPAGSVLPAG KGSIIQDKGDPNQPWAPTVIQYNDTFYCFYCVSTINTQNSSIGVATSSSLDKGTKAWT DHGAIINTLSGANADIYPYNVSNAIDPSIIIDPTDNTQQAWLTFGSYWDDIFQVPLSA DLLSVENADNPAATHLAFNVSFPAEEASWISYRAPWFYLWYSKGYCCGLDINNLPPAG DEYSIQVGRSLHVSGPYLDKQNVSLAEGGGSLVYGSNHNGQVYAPGSSGVVTTSSGRD VLYYHYLNLSIGVSDGDAIIGYNYLDYNDGWPTVSSRRYDDVRDVKSAGSHVLMTRYT LYLYIEEFR TSTA_004750 MATPEPTSPSRATSAAALEPSDSRQNSARLNRDERIRVLTLRDA GFTYLQISQQLQISYRQVQYTCQSQQATPKKARGNPPKLSEAEVDHIIEWITSSKRTR RMPYYKVIQELNLSIGKHALARALKKRDKHKQVRLAWALEHLNWTTEQWNRILWSDET WVTSGFHTRIWVTRKAGEELEETCIRSSPARKRGWMFWATFHGNNKGPCLFWEREWGT INSERYCQRVIPIIDGYIRLLRDDIWLQFMQDGAPGHASKETLEELHSRGIYPIYWPA FSPDLNPIEAVWNWMKDWIQEQYPDDEQLSYDRLREVVRAAWDALPEQFLKELIDSMH ARCQAVIDARGGHTKY TSTA_004760 MEVWSGSINIDTNSDLKIKFTTSSQRRENILPKDARLSLRSLVN PSLIPLYVRAGPSVELHSTTEETSEWLKSRLLSGIWLDEDDGGVLEHFSTIQCPVGLL VGVTASRSYTSSASNVSDLLIYGILSSGSDESTIRPPSPPDSSSLIGENGVYEQYSRI KRELRIYAAPLATSFAQRVRDLPSPRSSVAGDDTVSDNSKDATFANFLPDLRSPSPKR KRILSLFEGAAQHHRRVRQREMMPHLSLIKVKEEADELGSPALGYLDLRRARSLSIGG SQLTKLSESVAVGDRRPGSSKGMINRRDSKPKLTASQSFTNSESMRSQSVVPSPTTNF VKPAPRNEGPSLLEPHSSFPLDGETIMTRNKDLTTRTILTCMRLYGYNRKTSRSTKPS TTLSDTCEPSHEPDTHHQQRENSIIPDYSSIPAEEEEFKAMYHATYRAATFALRHYLK MASAETKPPVLAKDTATNVIDGILKLFCDDQLKPSAVDKSL TSTA_004770 MATQGLRTIIILSFILAIGFLLVILSAAIWQQYLTLLVVATYVL APLPNWICSHCGNPDDFIESSGNAIVDFGRFLTGFLVLMGMALPAVLAHCGYIGIPAM VMSIIGGLLIYGTIISFSTFFQEQEEF TSTA_004770 MLIQPLTELGSKPITNVQAPQILAIGFLLVILSAAIWQQYLTLL VVATYVLAPLPNWICSHCGNPDDFIESSGNAIVDFGRFLTGFLVLMGMALPAVLAHCG YIGIPAMVMSIIGGLLIYGTIISFSTFFQEQEEF TSTA_004780 MQGLEFMVAYDPLQAAAQSETQFAHEPSNIWVIHKQMRQKRPGM EDNVVVLSTYYIVGDSVYMAPAISSVIGNRILSAVTSLTKLLNVASPLPIFTPSYGHT YMPPGPKAADAPQAVASQQSQQSKESTPMPDTQATVKSSLSTANVKDTSYQDTRNLME AFNLLSRYGDEYMDETPLTGEPGSFILSKATETAAGTRRTGPKTSSMHAPAVPRRLGT PVVTDMSTPSRGED TSTA_004790 MSTLTQDKPTVVCVFCGSVEGNNPVHMQTAKDLARAFHEQNVHL VYGGGTQGLMGQIARELVRLSGPQAVHGIIPKALVKVEPGYNQPPSSDGAGEEDVKSQ EGAGKTHERVINADKRTEIIEAEYGMTTIVPDMHTRKRLMATKVLEGGPGSGFVAMAG GFGTIEEVMEMTTWNQLGIHKVGIVLLNPQGYWDGVLQWIRTAVREGFISSANGGILV DVQEVKDVLPRLRDYRTSVDRFQLTWGEE TSTA_004790 MSTLTQDKPTVVCVFCGSVEGNNPVHMQTAKDLARAFHEQNVHL VYGGGTQGLMGQIARELVRLSGPQAVHGIIPKALVKVEPGYNQPPSSDGAGEEDVKSQ EGAGKTHERVINADKRTEIIEAEYGMTTIVPDMHTRKRLMATKVLEGGPGSGFVAMAG GFGTIEEVMEMTTWNQLGIHKVGIVLLNPQGYWDGVLQWIRTAVREGFISSANGGILV DVQEVKDVLPRLRDYRTSVDRFQLTWGEE TSTA_004800 MFRNNYDNDSVTFSPQGRIFQVEYAQEAVKQGSVVVGLVSKTHA VLVGLKRNAEELSSYQKKVIEIDTHMGIAIAGLSSDARVLSNFMKQQSLGSRMTYGRA IPLDRIVTQIGDRAQTNTQHYGKRPYGVGLLVAGVDDAGPHLFEFQPSGMTQEMLACA IGARSQMARTYLERNLAEFADCSREELISHGLKALRETLSQDKELTIDNTTVGVVGLV PKESATPSGTKLEKFKLYDGQDIGALLEALDGAAAEGEMEVDTQ TSTA_004810 MDTENRLWRFQKPEWLNNSTIRTAGVYVSGALFSLGFFFLIDAS AFSASPRNGSNVHVKFVDWIPGICSALGMLVINSIEKSRLSADSFSYSGSGVAWKARF VLFLGFALLAGGLAGSVTVLVLKYVMKNYPLPTLYFGIANVVANGLVMLSSVVLWISQ NIEDDYTYNLAL TSTA_004810 MDTENRLWRFQKPEWLNNSTIRTAGVYVSGALFSLGFFFLIDAS AFSASPRNGSNVHVKFVDWIPGICSALGMLVINSIEKSRLSADSFSYSGSGVAWKARF VLFLGFALLAGGLAGSVVSILSLILFCGLF TSTA_004820 MDRGLNELLKWSVENSEASRQSIANINDDPTSVPPPTTRGLNED ALRALMGGPSDADLMKESMAALLSDEVDLENKMVAFDNFEQLVETIDNANNMEPLGLW SPLVGLLQHEEADMRRMAAWCIGTAVQNNQKGQDKLLVLNALPTLVSLATSDSDSKVR RKSVYALSSAVRNFQPNMDEVMSHLPAEYKTSDHVDAGDMEAIDTILDKLRGAPI TSTA_004830 MEEEVAALVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHHGIM IGMGQKDSYVGDEAQSKRGILTLRYPIEHGVVTNWDDMEKIWHHTFYNELRVAPEEHP VLLTEAPINPKSNREKMTQIVFETFNAPAFYVSIQAVLSLYASGRTTGIVLDSGDGVT HVVPIYEGFALPHAISRVDMAGRDLTDYLMKILAERGYSFSTTAEREIVRDIKEKLCY VALDFEQEIQTASQSSSLEKSYELPDGQVITIGNERFRAPEALFQPSVIGLESGGIHV TTYNSIIKCDVDVRKDLYGNIVMSGGTTMYPGISDRMQKEITALAPSSMKIKIIAPPE RKYSVWIGGSILASLSTFQQMWVSKQEYDESGPSIVHRKCF TSTA_004830 MEEEVAALVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHHGIM IGMGQKDSYVGDEAQSKRGILTLRYPIEHGVVTNWDDMEKIWHHTFYNELRVAPEEHP VLLTEAPINPKSNREKMTQIVFETFNAPAFYVSIQAVLSLYASGRTTGIVLDSGDGVT HVVPIYEGFALPHAISRVDMAGRDLTDYLMKILAERGYSFSTTAEREIVRDIKEKLCY VALDFEQEIQTASQSSSLEKSYELPDGQVITIGNERFRAPEALFQPSVIGLESGGIHV TTYNSIIKCDVDVRKDLYGNIVMSGGTTMYPGISDRMQKEITALAPSSMKIKIIAPPE RKYSVWIGGSILASLSTFQQMWVSKQEYDESGPSIVHRKCF TSTA_004830 MEEEVAALVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHHGIM IGMGQKDSYVGDEAQSKRGILTLRYPIEHGVVTNWDDMEKIWHHTFYNELRVAPEEHP VLLTEAPINPKSNREKMTQIVFETFNAPAFYVSIQAVLSLYASGRTTGIVLDSGDGVT HVVPIYEGFALPHAISRVDMAGRDLTDYLMKILAERGYSFSTTAEREIVRDIKEKLCY VALDFEQEIQTASQSSSLEKSYELPDGQVITIGNERFRAPEALFQPSVIGLESGGIHV TTYNSIIKCDVDVRKDLYGNIVMSGGTTMYPGISDRMQKEITALAPSSMKIKIIAPPE RKYSVWIGGSILASLSTFQQMWVSKQEYDESGPSIVHRKCF TSTA_004840 MLQERLRVLVVGNGGREHAFAWKLSQSPSVDIVYVAPGNGGTGL DAPSKIVNVAVKSDDYPGLVAFAQKNNVNLVVPGPEAPLVDGIQGYFQAVGIRCFGPT KAAARMEGSKAFSKDFMKRHNIPTAAYENFTDYEAARKYLDSVNHEVVIKASGLAAGK GVIIPTTKEEAHRALREIMLDHQFGAAGDEVVIEEFLDGDELSILTFSDGYSIKSLPP AQDHKRVFDGDQGPNTGGMGCYAPTRIASKETLEQIDRDIVQPSINGMRREGFPFVGI LFTGLMMTKNGPKVLEYNVRGGDPETQTLLPLLSKDTDLAEVMVACTEHWLDGVSISV GPNFSATVIAVAGGYPGSYAKGTPITLDPVPEDTLIFHAGTSLVDKVLQTSGGRVIAA TSIASSLEEAVRRSYVGISTIHFDGMHYRKDIAHRAFRDAAKKTEEGFTYASAGVSID AGNDLVNKIKASVARTRRPGSDAVIGGFGGTFSLAAANPAYHPQSPTLIGAIDGVGTK LKIAHAVGIHDTVGIDLVAMNVNDLVVQGAEPLFFLDCYSCGKLDVQTAADFVTGVAE GCYQAGCALIGGETAEMPGLFLDEAYDAVGAAVGAINTSGPNARTLLPDTSSMKPGDK LLALASAGVHSNGFSLVRKIVDHSGLTYNSAVPFTFTPPSSSSLSTKPQTLGTALLTP TRIYVKPLLKALTIPSSQHTTSTAAIKGMAHITGGGLIENVPRMLPPTLSAHIDVSSW PLPPVFQWLKRTGNVSSHEMSRAFNCGVGMVLVVDSDCVDAVAKVLEQEGETVYRVGE LEVRGSGKDEGCVLAGLESWDI TSTA_004850 MFRTSLRSASGPIRCASCLRVPRRHVRNHRYYSTPAKEDEQDGE SNAPPQVASVRFATFPEETSTSTSTPTSNDSTENANQSKSPDKNDSDGVVISKVYTSD PSTGNADTSKSHSKNGLATSDRSDISKVYTRDIFKRTKSSEKGKPDQKESDGTQPDEA GKSDKNPPKKKTTQKKKKSGEKNPPVTGKSARKKTALTPRQAGKKSKKVKEPEPKKAP SPKPVLEALEAHTGSLLKEDIDFKALDYDTPPVPTLSFGLDRVLFNPGVYHLRDPRSR VYNFDPALGTIMPVTEFDFKSLKEYITSSKDETLIKLARNTNKKYVGSSSSMTGVLSH FHYLLSQWRPLKQDIISRGFGESETNFTRLLRGPSAMFLHYKDGVYAIDADKEFDSAN ILMNLGKSMEKQLTLPKEEFERYRRSAPNKITPEEENALPESFHYSTLGDFVMRSQLD AYDPRLPGSGMFDLKTRAVVSIRMSVLDYEQGLGYEIRNRFGSYESFEREYYDMIRAA FLKYSLQVRIGRMDGIFVAFHNIERIFGFQYVPLSEMDYALHGQSDSELGDREFQYSI KLWNEILDRATAKYPNQSLRLHFETTADTPAKMMVYVQPVTDADIRRIQEKNKAQIER VQQQMLYPETVTQGDELALEDDGSDGVAEVVAETTDTMTEANAVAEAADEAVVATAKV NAADTANTTIEKTERQAESTTDKDTTFFTTEAPSTPETASQETSSQEAPASKPNPIFV IGFKLNRSEKLLHDLKQQYKQILRTCEESYIRPKLFGMEINIQNIVNGRVIKRPTSLK PHHTWQVSYTIREFKIGTGQLWNQFEGMRKRRREALSFGKRGDEENMTPYILNLRKLA MQGKKFREEQDRIDREKGIVVYEELKAGSSGPSFSGSGGSGTV TSTA_004860 MAETNVGKRERRKSFTFFHHSHQHSSSPTTTTVPSVSLSHDRSA SDGLSTVKRPRRNSGFFGSRDPSPRGTTPNVLRRPQTSESEVMALDTNKQKRKSQQPS RRASMFGSFRSLQSMEDELAGQRSRSDSIDDNDVINSPLTQTKKSLGHVVLHHGEVQT AGTMWRKRNHYLVLTDTHLIRFKSQHKAADLFPTINVSSGRATPVNHRQSIVSVASAQ DHTFATYSGDVAAIALNSIVSVSGVEDGRQTNIELSYLDDRTNKAAFMAIQLTDPEEQ HLWLVGIRSASQSARAAEPFTFETKTIDYVVRILEQDRDYDPENFNLFRVIQRAPTKQ NMRSSTDDLGKWSATMCYLAIGLHRIHLIPLYRGSSRSSLVSLSEMDLGASLGLMALT SLMVHSGDDRFQLAFRVPLQSATVVNLASVHSLDIALWIRQRTEFLRPLWLHQPYEII GPAEVADEDLIPSPELVEDFGCFDRTLVAYSASYNVDTSNIRYTVDLECEDAPCFRLL PPASKRRSQYNALELLALMRALRYNESFISISFSGVNLDVLQNCRDRFEADWTAFLTR AGAQVSIAGQDRLSLLSQEIRALALKSKRLRRLDFSFCLSRTPISDRGARDPGCGIPE AIFPLCRRQLTNVDWIVLNGIKLGDSDLDYIVDAASQRTSHLRALEVGECGLSVHDLD LILSTLTAQETTLEAVNISGIQGRLSPELFQQQIGYFGQIRKINLTRVARTSGPEPLI APETLLNWRLEELSLSQTAVNKETVDSIAAYLASDRSSSLRVLRLDQCGLTGGDVATF LRCMTRPADKARDMHLHVSENHLDTNYSLLFDAIADNNTPTHLSMRMIDFKKEDHFRE LIEAMKKNNTLKYLDISKASLPYDAGPETCEALQLMFEQNETLEELDISGEYAHLDVA RFGIGLNQALTGLKKNKSLKVLRIEHQKLGLQGANTLASVLEENTTLREIYCENNDMN LQSFTVLVNGLQHNDSVTFLPVMNTDRHQSLERVRREFVNVKRDTSHQSGPSSIRRSL HAAIAIGQPSGGHKLAKSNLAARSKDAAQMLESSASEPVDQEVEATLQSLNRKWDIEV DRLRQYLYRNYCTLNGIPYDADGYQDLDAVEENDSAEASRPATSASLGVMISELEKLD INLSMDEMTMQNIFQSNSGNSGNSTDSERDALVMMENSSGSSSPVVTMSSVQSTPTID SIKLMDSLRPLSPASSASENKPTTQLKEYAASSSSSPQLSLPVPAIFKVPPPPSIKNG SSAASIRSNCSSNMSTSTAPRAPSFRSTGGTSSLSASSLRKLLSTRPSSAMNGMRQIS GGYSSNNSSMTGSATGLAASDEPPRIIWSPPKVDL TSTA_004870 MPPPSNLRAQVEPPEQVTSEAVKGFATGALRFGAVSILAHMILI MPHPFTFNNAAPPTTASTTGPSPSPSRYSPSGIRNTLFYRPLSSLSESIGPLSRIYRG LTPQFKIFIQIAAMTLGGTIWAERRVNEYLSALRKLRRAERRVAVQE TSTA_004870 MILIMPHPFTFNNAAPPTTASTTGPSPSPSRYSPSGIRNTLFYR PLSSLSESIGPLSRIYRGLTPQFKIFIQIAAMTLGGTIWAERRVNEYLSALRKLRRAE RRVAVQE TSTA_004880 MALPRRTVSISRITNETKIQVSLSLDGGVLLPYEESDHFPCPET AQDKAAVAKGVIPDKNAPHSTQFTSSQQITINTGIGFLDHMLHALAKHSGWSLAIRAK GDLYIDDHHTTEDTMLALGTAFTKALGARTSLARFGRGDAPLDEALSWAVIDLSNRPY AVIDLGFKREKIGDLSTEMITHGLESFAQAAGVTLHVGCSYGSNDHHRAESAFKALAV AIRTACARRTAGEVGAGDVVSTKGVL TSTA_004890 MHTQLPLTALFAVLATATSTPNLHPKPYHSTGRITTRSTEVTAN QILAICPKSATCNNAPAAGECATAAAAAPFISDAFITYGIDSAAEQAALISLMAFETG DFKYNRNHYPGVPGQGTRNMQSPIFNEKYASSISEFSPAYASAKGNVTAVLDLLLSDG KYDFGSAAWFLTTQCSQAVRAELQSGSLSGWQGYISECVGTSVTDDRKGYWDKAVKAL GV TSTA_004900 MRRGVVLFILVNVLILSFLVRSVFTLLTLLVEDASADAIHRSDI PSPNSSLIESRPQIIPKIIHQTYINESIPEHWIEPQQSCINLHPDYEYKLWTNEKSRE FIAKEYPWFLETFDGYRYPIQRADAIRYFVLAYYGGTYIDLDDGCQRRLDPLLSYPAW VRRTKPTGISNDAMGAVPQHPFFLRVVESLQAYDKHWFLPYITVMYSTGPLFLSVVWK EYMAESFGMDRVRVLMPDEYNRFSWSFFTHHVGNSWHGADAQLIFWMCRYWVLLTVVG FTLAGCVGFCMWVVYRRLLLLGHRYRYTRLAPGGISVSKIRRRPFARMPRFFRRISSS SIYSDEESGRKEGAYSE TSTA_004900 MRRGVVLFILVNVLILSFLVRSVFTLLTLLVEDASADAIHRSDI PSPNSSLIESRPQIIPKIIHQTYINESIPEHWIEPQQSCINLHPDYEYKLWTNEKSRE FIAKEYPWFLETFDGYRYPIQRADAIRYFVLAYYGGTYIDLDDGCQRRLDPLLSYPAW VRRTKPTGISNDAMGAVPQHPFFLRVVESLQAYDKHWFLPYITVMYSTGPLFLSVVWK EYMAESFGMDRVRVLMPDEYNRFSWSFFTHHVGNSWHGADAQLIFWMCRYWVLLTVVG FTLAGCVGFCMWVVYRRLLLLGHRYRYTRLAPGGISVSKIRRRPFARMPRFFRRISSS SIYSDEESGRKEGAYSE TSTA_004900 MRRGVVLFILVNVLILSFLVRSVFTLLTLLVEDASADAIHRSDI PSPNSSLIESRPQIIPKIIHQTYINESIPEHWIEPQQSCINLHPDYEYKLWTNEKSRE FIAKEYPWFLETFDGYRYPIQRADAIRYFVLAYYGGTYIDLDDGCQRRLDPLLSYPAW VRRTKPTGISNDAMGAVPQHPFFLRVVESLQAYDKHWFLPYITVMYSTGPLFLSVVWK EYMAESFGMDRVRVLMPDEYNRFSWSFFTHHVGNSWHGADAQLIFWVCQS TSTA_004910 MAAVFGNGGQGGQFPLEQWFYEMPPCTRWWTAATVATSVLVQCE AVSPMSLYYSLPAVYIRSQYWRLITTFLYFGPLNLDLLFHVFFLQRYSRLLEESSGRS PAHFSWLLFYAMSSLLILSPFVSLPYLGQALSSTLVYIWSRRNPDTRLSFLGLLVFTA PYLPWVLMAFHFLVHGTVPKDDICGVVVGHVWYFFSDVYPSLHGGHRPLDPPGWWRRI FEGGSSNTRTDAIRDTQAANINQDIAAAAAPEVR TSTA_004920 MPPPRGVLNTLEAPGDYDVTSTVHSDTYPAIDPTKLDFSGKAVI ITGGSRGIGRAIVLAYAKAGASFIAAGARSGTAELASEVEKAAKEANRPPPKYLPLSV DMSSRESVEKAAAEFEKEFGRCDIIVNNAGIFGGFQLIQDYDPDTWTNIFDVNVRGPF LITRALLPLMLKTGIAYVVNVSSAGALLTNRTLSAYQISKTAATRLSEFINEEYSEKG ILSFSVHPGNVITDMATTIGVDKSPEFKHIFVDTPELCGNTLAFLTSERETGLEGGLS MSHGTCLNSLQKVTQLSRRTSSELQ TSTA_004930 MPPKTGRGHPIISASALGSPSASRARPSSRAGRDEEPTITRIPQ SPSTSKPKPRPFTTAKTTNKVTKRPSAGKAPRPSNIQPGDPTPTGKRRRYKPGTVALK EIRKYQRSFDLLISKLPFARLVREVALDLLPAEVGAELRWQSHAIQALQEAAEAFLVH LFEDTNLCAIHAKRVTIMQKDIQLARRIRGMWGGLG TSTA_004940 MSYPPPYNNDNGQYPPPQYPSQYLQQIPQNAAFTRSPVTVPQSQ PQYNVGNNVQYMNYTNSFGYSPVSLPPYVQGYGHPSPSQTLAHQRGLALPQPPQQQQQ QQQFIYQQQQRQQQYNTSVIQQHQHLQQHLSQPSPQLSQSPQQQNQHLQRQQYGSPVV QQQFGSPIVQQHPRQSLSQPSPQPMPQSSPQLNQQVQRQQQYASPTIQQHQLQSLHPS PQPRSQPSPQPIQQIQMQQQYGSPTIQQQLQHQQEQRIPQSLPQPSPQQNQVQHLPHP SPKPPPQPSPQPVVLPSSRQTQQRQLNSPVAQQPQNPQQQISQPSPKPRSQPPQRQYQ NNLNSIKPPSPPPQPVHQDPPVLFVNPSDTFTEPIRPTFARGILAGAGPSSINQVSSS FTAPSAPQQSTAPVATLTTTVSQPVVSNKSTSSQGQIKQEPYNPSPLAASAPSSKENT MTPSRQNSQSRKAPAQSASPFIRMPQVVISSLADIQRTSTKTPAKTPMVAPHKVQKPQ KSSPADGDVDYQPLLLALADEYLNAAHSNGTVLALSKNELDLKEYYKLVATGLGCLEA AQTNFRMPPLTEALTRLRFARILIEETDNDLAAETALSKGNKLLDLKYTMQQLLARML HKTNPKAAAKAVDKMIEDVETYKQTPWEYAFRLLRISLALSSSSHQDFVIAIHNLQKL TNLANRNGDKLVVVVASLIEALAHLQQSTSPDSVEQAQHAVAVARSHQLDPRVQEIPQ IGSMLQMVDISCSLLEYDLNQASQKLQVMQSMMDQRINDSRWREDGSFLVPLNTQMPP KPTEIGDILRVENGKLSLTLHWLPQHDLYALCYFLSSMTLGSKNSHDGRKAEKYLQEG LRMVRGNFKAPEEIKESVVAASKRLEWRRILYCNILLHLTFLACARTDWESASQSLKE LRGASADLGSALPESISCLMEYAAGVIAQGNGDLAAALAAYDSPLLSLPSTTNRTMRN DPRRDTAILAGLNTILILREPSHPSHSRLDEVLALVEPQCLSSSNKYIQAAYYLVCAT VHNESTIQTKQYLQQALQSATAIHNNQITCMTLTFMSWKYFRGVVGEQSEKSARAGRA MAKKANDRLWVTVTDQMLAETLDRQGKADEACSVREEADRLLMALPAALKRTEYL TSTA_004950 MAFISIRQLRYWLACIMGGRSFSFFARLKVSKAIKENPVKETTV KEREVSPERRLENNPPQEPKCFIMDLPTELLVLISAHLDPLSEACLALTCKRLLLVSG RSFLSESLRFQKDFAPLFHHYRASQTFSGERWKLIERLEDGRWLACSKCLKLHLRTAF PARELRSSPTARVCSLGESAGVVDLCPCIKMTFQDKVNLIKHLKDREVLTKMPSAIFG SRGYDERYLWHSCTVAYESTEFKIDIYPELNDAEQLIIRTEYRMTTVPHTLGKQQHIT TRLGCAHRSIDLWLSSVCQALYCHRHDTFCRACRRISTCGTCGTVLKCPQRRAHLSAD NDKATYYFWTLRCLGNSTQMPDGEFAAQRMHPISPHISIRNCPWTLWMHPPPIHAPSL DSDILQPALEDNSVRFANQMYSSLQLGQV TSTA_004960 MSLLSRFSPQAKISLVHCEENQVLLTAKHHTKSETEKQIKLIDI CREATPSTCNLNPLLFNGHLQTGWTTIKETNEIPIYYKRKIFEQEIPSLAGQFAVDFV VPAYPKTTGPEATDEARKYTLPSGLPARTAFFSQEELAALPSDDTKPMLVALHGLTGG SHEVYLRAALAPLVLDRESGWEACVVNGRGCAQTKLSTPLLFNGRATWDVRQVVKWLR KTFPNRPLFGIGFSLGANIMVNYLAEEGENCLLNAAVICANPWNLDATNLALRTSWLG SEVYSAHMAVSLVNLFNQHVDELIHHPRLKVDAMKDVKYIHEFDRAVQCPLWGYPTEG AYHRDASSTDSLLAIRIPFLAINAEDDPISVKAAIPYDEFKQTPYGVLLTTSWGGHLG WFELGGCRWFTKPATNFLDLMAKTIDFSVTPKPEKGKEGLYGESLANGSIHKPPQVPV FYPVNRKLSMTIGN TSTA_004970 MAPTSSKGPSKGTSKNSSKSSSSSAFKVNKSKSKSKSKRPSPKE VKSQSRSAPNRQKKTKAREYTEKELGLPALNMITPVGVQTPKGKKKGKTFVDDQESMM TILAIVNAEKEGQIESKMIKARQMEEIREAKRKEAEARAEKRKSKLENIKDELRNKKK KRSNDGPTEDKKSTSKPREKRKSVSFV TSTA_004980 MTINPTYLAQRTRTSINWADAKARVRKSYREWLRASPEIQTMYS LNIPVSVIRTKIRQQFEQHRYVSQINVVDVLLYKSHAEFQETLNYWKQLSHVMKYFRA EEEPAARLPPNFITGFLEGRN TSTA_004990 MPASVHEDQDQSMVDVDQAHENGETVIEHGENRITILSGATETA ASFQFKEEGHTLGNALRYVIMKNPAVEFCGYTIPHPSEAKMNLRIQTYENTTAIEALE KGLDDLMDLCDVVTDKFTVARDSFNAERADQMTA TSTA_005000 MSTAVAPATAAPLTSHVDRAPSPKNHHSLAPTSAMPSSRMPSGT QEKSSPEGKKTPNSNAAPKIIVKKEPPSSPTISSQTRPRPRKLDLSASTLSVRGPAGP MTARDGLGLHEVGLACLSPGFQTHDPERQEQLQRSIAVREQQRSIIESRLQKSAKGDG SDSNKSSESNPFGSLKIAKKRPPPGLSIVPPSASQFANERVVQSAPLNKTFTGRHDPM PITRHLIGHTANLPSPSHIHHVPAQQTNNRLPPLSDVFGSDLASRQDRERNGPFPGSG VNSSQSTTTASTIPPFSSSPGHNGTSQQRPREYRSAEEAVQELSGGREELLPRIIHYN GHQQPATPPSPYSNGNVGSTSGTQQTYAPPPSQGTSRRRTRSEYEQDNGSPPLGTGPD MRFRNGPGPAVSSYGPFGAGRDSPETQRKKKEEFLSLCSRAWDLFHS TSTA_005010 MAISDALNKRLRARRDEEDDFEDEVSDEMEDIDKDDESNSDVDR PNSESEESAELEEGSEEEDDDDDDISDNQSTASTNDKKALQSEFRQISFGALAKAQNS ISKKRKRGEEPETDDKTQSTLNDIRERLRKAREQKLGLTRDNETKNNNSGGHSKPKPP TRSSKHAPTVQSSKMAVSRKRIVIEPPSNATKPRDPRFDPAVSSSSARRNSTSNAYTF LDEYRASEIKQLKDQLARTKDPKQREELKRQLTSAEDRQRTLENKKREREVLREHKQR EKQLIKEGKKSQPYFLKKSELKKEVLKKKYESMGSRQRTKALERRRKKIAAKGKKDLP WARRGVE TSTA_005020 MAQANTNMPSPRFIVEMSTDSPTMPFSQIKSPLKVNGDHTAVAG MRNTNPYNLRSRRLQQGMEQGGWSDGQQMAYASTGVHMDPSGYNNMSNASNPYANSGS VLQNLNNTTNFGWDYTPNTFYQTPAPIMNPQWLQMQFAQQTMKTQQMLGAFGGSAFMN NTRGIHQQIRPMSTAVLPRDSVTKQFGMTPEAPPATFAPDATAETNNNAQSTPSVPIK YVRTERPPVEPPQTPLPSAAYLEQANKEPLDLHFMQPLLIILDLNGTLLYRKRKSFPP KFIRRPALDYFLERLTSRHHVMVWSSSRPETVEAICDEIFSEGQKKKLVAKWARNKLG LNPEQYNAKVQVYKELNKIWADEKIQASFPHKKHRAGKAAYNALRYAPGLDELKEMTG FIAKKWDQSNTVLIDDSTLKAAANPYNILQVPEFTNVPNQDDTTVLKELLLKIRVLAK SNDVSRRLRTLGPEGIQIRREDVLSSASSSSGSESESEKSITKGLNFGIRYDEFRKEE RETGEPVVGPGEIFETDNKIVPVALPDVSTKNQFKQRAKSQKARRKTMKKHKKKHASS E TSTA_005030 MSSKQILPSEEIIQQYGSSLVGKTILITGVSGDSIAGELAIQLS AAKPHLLILSARAEDRIAPIVEKIKAMAPHVATKFLKMDLGDLNDIKTAVDTTLHDIS KINHLACVAGIMVPPYGKTKDGFETQFGVNYLANFLLVKLLLPKVRAAGVGASITLVS SSAARTGKIDFEDIGFSDGRTYEPLTAYCQSNAAEVMFVKILAENWMVKESGPSALIL VVCNHGLNHSQSLLDAELSSVSEGGT TSTA_005040 MSFGKIYGSTGHGRTIPSIVAAKANGLDIEVVETKASKTPEYLE IHPLGKIPAFVGANGFKLHEAMAIAIYITSQNEKTTLLGTTKQDYASILRWMSFANTD LITRLCSWYLPLLGSIPYNKKTVEENVAATNAAMKVLETHLTANTFLVGERITLADIF VAAIIRRGYATVLDKKWRSEFVSVTRWFNTVINQGYWKESVPESTFVEEAIKYTPPKK EEKPKKEAAPKPAAAAKEMMRKSQMSSRQYSNNDTRPVAMPWFWEHYKPEEYSLWLVD YKYNDELKLTFMANNLIGGFFARLEASRKYLFGAASVYGENYKCLIRGAFLVRGQEHI PAFDVAPDWESYEFKKLDHTKEEDRKLVEDLWAWDTPVVRDGKEYPHVDGHVFK TSTA_005050 MALQRSTYLARTAQGVYGAIQRPSLLHSSTSPILCASSQFLRQK QQRNNFSTSIRRHAEELEMVRAAPEIDFNNFQIRPARIVPASPAYFSGSPKFIDHQIR LERLLEKYAQVPTVQAAEAPRMAWFKLAQFRDLLGEPIPAKKYKNFQKVLQRLNRIQP SLLPEEVREALYSYVRPGNPYANKPAPAVVDEFGRARGKGKRKESSAVVFLVEGDGEV LVNNKNIVDAFPRVHDRESALWALRCTQRLDKYNVWAKVSGGGVTGQAEAITLAVARA LMVHEPALKPVLRKAGVITVDARRVERKKPGHVKARKMPTWVKR TSTA_005060 MDEGTNQREQQYHGYYQYPSVPGHTRQVVQQQISPVQSPESFRR STAVQSVHRNRAQPPPPGRGGASRRRIHRSSGTAGSHQGSISSTDPLQNVALQFQQRP FSPEPLRHVQSQQIGYPTQQQQYDTAFMYGFDQSGTAQTAYGMSLPESIGGLPSGGAR FHVSQQYFPSPDDAESVSQFLSTQEQLAAASYQQQQQNLLDRRLDTSTSMTSFNPTGS IGNMQQPVEQDMANLEEGYNRYRQVLITVFGYTQRGHLNEASRLLLDLSAWLIDNAQD LGLFRDDPNTLTKHRQLWNEFNICWLSLCQKQKDLTEALLMNGHHHRQPLQALPATML NADTMERMGQELIRLCDQVEPHGLVDYQMGIWEEEILDILGQCLDLIES TSTA_005070 MTSSRPREIYLVDVARILLRGIKREEIQRGPVFALPGSVNAYKE FEGTITVNSEEEGGVAIDTALGITLFINVIDVSATMALVEGSGSGKIQPGESVKAIIF LKDSVAIEEGQGLRIRGTGKPIRNRD TSTA_005080 MESHEVNNAALYNTRRRQGSIGGSQVLDRIIDASNFDRDEVDRL RKRFMKLDKDNSGTIEREEFLSLPQVSSNPLAPRMIAIFDEDGGGDVDFQEFVSGLSA FSSKGNKDEKLHFAFKVYDIDRDGYISNGELFIVLKMMVGSNLKDVQLQQIVDKTIME ADKDGDGKISFEEFKHMVESTDISMNMTLSEI TSTA_005090 MTRYGGMGRTRPKKLTSKASIPIVREDQIDVIDEDIQAALQQVE TGVEKAEESEFHLQAAINAVALGKISEANIPTPETVLSSIRYDELYPPTFSQPATYIR FSSTVEDCCGCLYNLDEEDDVYLKIMNEKRGPSTPACTENQFEEVMYFFEETAQMKQP FASVDSPPVLPFAEIEECFDAAVEEHIKRFAKDIYEHWRKRRMSVGNRPLQVSLKFET GQETDDGDPYVCFRRREVRQIRKTRGRDAQSAEKLRRLRKELEDARQLVAFVRQRELA RKEMFNIEKQVFQQRAQVKEMKRKLGIKDDDEDLINQKASNQALGLPVSMTDISKPKK KPEVPAIQRAVPPPQLRISQRSGAHAAAEDLQLLEEVQAEKENEIIRDIKQNLQKHLK WNEGFVDHTTAPLTPSPKRTFEAAFRPAITTQLPTPPSSDASDTNDAVALDNLHPYFR DRIATLDMDDDTTKLPSFRRRVGRGGRMMIDRRNMVVRHKADIDPVILDRFKYDDDED EDEDIVFERDKYDIQIMQHRAVMAAKAREVAQMQAQAQAQAQAQAQAQAQAQAQAQAQ AQTARQMAGERNAPAGATMGSNPGIGAVTNAST TSTA_005100 MSSTEHFPDADDFQRQTDGFMQWLSQQTGVTISSKIEVQDLHHQ GSGRGVVARSDIQEGEDLFHLPQRVVLMVKTSPLNEILADELKNLGPWLSLVVVMIYE YSLGERSNWNQYFQVLPTKFDTLMFWSGEELSQLQASAVIHKIGKKDAEEDIFEKIIP LVRSHPDLFPPVNGVMSYDDDAGAQALLELAHRMGSLIMAYAFDIEKGEEEESEGEDG YLTDDEEQLPKGMVPLADLLNADADRNNARLFQEDGALVMRAIKPIKTGDEIFNDYGE LPRSDLLRRYGYVTDNYAQYDVVELPLTGICHAAGLDNIESQEYPHLKLLHELEILED GYCILRPSAEDSLTDILPDELLALLKSLTLEREELQRLQSKQKPPKPILAAREARILL DSVKSKLSQYGTTVEQDKAILQQFASTSSLSTSERRRKMAVEVRLGEKEILQHVSVML QDFLTAEEKGAGKRTTDGTGQKHQKKAKHN TSTA_005110 MIAIGLEGSANKIGVGIMLHPKNGGPAQVLANIRHTYVSPPGEG FLPKDTAQHHRAWVVKLVKAAIKEAGISVDDVDCICYTKGPGMGAPLQSTAVAARMLS LLWGKDLVGVNHCVGHIEMGRQVTGATNPVVLYVSGGNTQVIAYSSKRYRIFGETLDI AVGNCLDRFARTIYISNDPAPGYNIEQLAKKGKRLVEMPYTVKGMDCSFSGILAHIDS LATSLGLNGPDAAALDESNQTEINGDGDADASGKITRADLCFSLQETIYAMLVEITER AMAHVGAKDVLIVGGVGSNERLQEMMSLMARDRGGHLYATDERYCIDNGIMIAQAGLM AYSHGFKTPIEESTCTQRFRTDDVYVDWRD TSTA_005120 MGNSPSKSPPGNSHAPLAGSHNVPGQERRVNRRTSINALSGTKA SAADPSATRESATGQPASHGVAAGQQQRPQSRNVPPQTTQPIHEVPDRTGGRRFDGRH SAREAVEASSPVQVPAATNRPGRDEGYVPHESSANPANTYYSASHLQRGPPRLPLPIG DANATPGSPVIAPVDSQVDSVPFELENQIPQATISLDDPHVDEDEVEDEMQAYAMHGP GSKAVPTVIEWRGTGDRVFVTGTFVNWEKKFRLHKSDTEPNVKSTTLHLRPGTHHLKF IVDGDMRASDDLPTAVDFTNHLVNYIEVVADDIGGQRSRRESERAMKAMVPAGVHPPQ VLPETVTAQQNQEALEKDEEPEEEPEEEIPMGNFRGTVPQFLIDIDREEEDAEYQRAA NVIADAPTPPILPLFLGRSILNGTTPMKDDNSVLNYPNHTVLNHLATSSIKNGVLATS VTTRYKRKYVTTILYKPTGDVM TSTA_005130 MSGKRLLDALQLLSVAKSVARKHLTIRQRQLDVYTRTSSLTKGL KQQVDNLVITAQAASALARRFDEKRPTASYSGDQATGSVNESFINRTSESDASQSSQS QPHDVKAVIPESTLSSEEARKLQRQAEFQIPAKQADPETLKSSDGVTVSNAQDTFYTP SLSPKIGLSSLPRVKIPQEGNIAQGTDPHVSSGPINSDVFHTPKNESEEPSEEALQAV FRSPRVAGLLLSKKKRNLYNNSEDDVAKHGPVRKETISNISEQPIIREEHVTSTVGTL PKDVQLTIIKEPLAGAPITKEIAYQMSESRVPSTRLGRIWQYGGLATSMAFGAFGESF RRATGSSDGSNGSIMFSAGNMERLVAKLSKMRGAALKLGQMMSFQDSRMLPEPIAAVL QRVQDRADYMPASQRDKVLVDNLGPKWRDLFESFEEIPMAAASIGQVHGAVLKKDGRR VAVKIQYPGVADSIDSDLNNLSILLTATRLLPKGLFLDKTIANARTELAWECDYEREA ECADRFRKLLKDDSASFVVPEIIHEASGKQVLTMERMDGIAVTKIKNFTQEQRDWIGT EILRLCLREIVEFRFMQTDPNWTNFLYNAEKNRLELLDFGASREYPDEFIRTYVDTLI AAARDDRERCRELSLKLGYLTGYESQAMVDAHIDSIMTLAEPYRDSAPDVYDFRDQTI TDRVRSLIPTMLRERLAPPPEETYSLHRKLSGAFLLCAKLESRVPCKEMFKDVIGRR TSTA_005140 MTQIDCIALENEILELELRLKNARSRLQKTHENVAHEESPDSGS TDIKYQLSPFVHSLLLISDSALPLGSFAYSSGLESFLAHQKATPQSIPPIPPIVSFRK FLNLSISSVSRTSLPYLLAAYRSPAELEILDNDLDASTPCTVARRASVAQGRALLGVW EKSYRPSYLLKGNHNNTNKATNRLSAEAQSAVAAIEEFSEALKTSGFDNISLGPNGHF APLWGAVCAAMGIEMGLTAYTFMLNHAKAVLSAAVRASVMGPYQAQTILASDEIRDLI NERIRHDFDTKPEDAGQIAPVLDLWLGRHELLYSRIFNS TSTA_005150 MPAVEATPVSSSESGLIKMEDRKRPAVHDQNDAAPPSKRQATSV NGNKPHPDADMPWKDDLERFTKDAIWRQMQEFKREKVTLESKVKEMTKAATYHQDHLR IIDAWFKQLIDEVRILFGDNNVNGKDHAPFQTSLLFEDDENFQKHLKNRSSDIKDTVS KLIAKAPQATQELSELQRQLSKKLAEEKVTIVELEKALSERQQFEEQLEAASLRYMMA EKKLDRARSMTVAKLEKQYILGAQRPGGDGPSSATREDTATPVNGATPSPEKNADLEE AYNKTLALSQKQREQLDTLEAENAKLTSEITALNIKNSKLTDDDYAHTDLFKQLKLQY EDVIKRVNHLEALNVQLREEAGKLQAERAAYRNNLDAEKQKEIEEKDAQLQKAESDLA RIRSTRDELLADQQMRKATQEQESTAASKAQELAAAREAQIESLESEVERLRIRVDGL KDGNAEHIEGLSVEDLRIKYLNLDRQYAMLNKELTSMQTVCKKYSSLASQKVADISAL EEKAQRLAAEKSKADQKYFAVMKSKEVRDAEVRTLRLQNLKSSDIISQLKDAETATRS LVANLEKQIAEGKEALTSVSNKYRASQQQNTENILTIDGLKAQVAELKSLMSAKDSTL ASTSAACRKAEAEVESLKSTLSDTKKSLENWKTKSLGNSSSEYEMLRTLALCTVCRRN FKNTAIKTCGHVFCKDCVEERQTSRSRKCPNCNKSFGSNDYMHVTL TSTA_005150 MPAVEATPVSSSESGLIKMEDRKRPAVHDQNDAAPPSKRQATSV NGNKPHPDADMPWKDDLERFTKDAIWRQMQEFKREKVTLESKVKEMTKAATYHQDHLR IIDAWFKQLIDEVRILFGDNNVNGKDHAPFQTSLLFEDDENFQKHLKNRSSDIKDTVS KLIAKAPQATQELSELQRQLSKKLAEEKVTIVELEKALSERQQFEEQLEAASLRYMMA EKKLDRARSMTVAKLEKQYILGAQRPGGDGPSSATREDTATPVNGATPSPEKNADLEE AYNKTLALSQKQREQLDTLEAENAKLTSEITALNIKNSKLTDDDYAHTDLFKQLKLQY EDVIKRVNHLEALNVQLREEAGKLQAERAAYRNNLDAEKQKEIEEKDAQLQKAESDLA RIRSTRDELLADQQMRKATQEQESTAASKAQELAAAREAQIESLESEVERLRIRVDGL KDGNAEHIEGLSVEDLRIKYLNLDRQYAMLNKELTSMQTVCKKYSSLASQKVADISAL EEKAQRLAAEKSKADQKYFAVMKSKEVRDAEVRTLRLQNLKSSDIISQLKDAETATRS LVANLEKQIAEGKEALTSVSNKYRASQQQNTENILTIDGLKAQVAELKSLMSAKDSTL ASTSAACRKAEAEVESLKSTLSDTKKSLENWKTKSLGNSSSEYEMLRVSILFRVVTIA PANSTLDARTMHGLSTQLQEYSHQDMRSCLLQGLC TSTA_005160 MVSFTSSAINKSGKKFAPKAAPRRAPAAAARAGVSDRRPSATPG LEAQKAHAPPAAITSADTPQSAQVTEGPRIEDAIDSSAVAAASPQPVTSLSAATETVQ PVAPQEGPIASKETPVPIQVPVQSRHERRNDVADTQLLDVHRAGISNETNIFMPPVEA SIRALAQVTETTPIPTPITRPTTRTVETRESINRNECTTESITVTATSEESTPGAGAA SSTTPKPSASQNKRGKSTTSDSATGTDASKPKKPRGKRKREPTPEESENIEITPTVVK MSDLCKDLRTGKKSRREMELRNLEQVEAERKEKAREKARNTPVKTDAENGSSANGGTN TTPTKPTQSGPRMRIVNGEIVVDSASLQIDQHAEAREIGEGEDVVESRLNRKINQATY GKRTKAESWDEELTDLFYRGLRMFGTDFSLISKMFPGRSRRQIKLKFNNEERKDPERI KQTLLGPSEAVDIQTYSELTNTVYEDVEVIQRELDEDKKRIEEQHDREKRQQDELMRN PSGITNDGVVSSIENNSVKKRRSISKA TSTA_005170 MKGICSVYILLLLSILSTALSETPPSPNAVRLSNVQTLTLRAGR QTSHRRVLPLPQLKCVGPEQGYDYDEEDVQWSCSANLPSEFKLGSTDVICEGYRNADD KWILKGSCGVEYRLLLTEKGEERYGRWRQSSTSPKSGDENNGVGKAIMVIFFIALLFI IIVAITGGPNRDGNRGRRPRNTNRGGGGGDGDDDDPPPPYDYQPQSSRQKSDSGPGFW TGAAAGSAAAGAAGYAMGRRHDRRDDRQTRAGSSYTRRYDYDPEPAPGPSRSFSPGRS DTGFGSTRRR TSTA_005180 MSQHSQYGVPGVPAQSSLSFTQGFLLGQLSVVLLIGAFIKFFIF GEAPAPPSRGLASRTASHHRSYSINQGDNNANNNTNNGSSPRTLREKPSTSNVLRPVP SSATNTRSILRKTYYNAIPTQLSHTKQGRHRIQHSTHQPESLDWFNVLIAQTIAQYRQ TAYLLKDSPTSSILDSLSAAINDPQKKPSFIDTIKVTDISLGEEFPIFSNCRVIAVDD SNSDGGRLQALMDVDLSDDNLSLAIETSLILNYPKPRSAVLPVALSVSVVRFSGTLCI SLIPASTPQSPSSAPTPDAGNLNMRSLFQHISAELNGTAPNPTATNPSGKKGVPKTNL AFSFLPDYRLDLSVRSLIGSRSRLQDVPKVAQLVEARIQSWFEERVVEPRVQVVGLPD FWPRMGRTGVRPGDDVEAAAAAAAASVSSRSGGGPVEATTLSGSAEEAIIDDSPVRPG LRFRGSATATAGRSDSSFEEIPRASPQTPLDIPGSMPRVVRTP TSTA_005190 MSAVPGIVEDHNRTSNSDNNNNEFQEPVHHAPITSSNSTAESTL ASPSQLSIDDGRWGEQTEGDPVSRHGAMEDFEEMRRELSRLSLQRTKSQASRRSHHAA SRWRSAISTKSKTKDVEKDDEIESTTEHEHDDFDLGEFLMGGTLEPRTTAGEPAKKVG VIYKNLTVKGVQASASFVKTLPEAIIGTFGPDLYHIVQHFFPKLRFGKPPATRTLIND FTGVVRDGEMLLVLGRPGAGCSTFLKTIANDRSSYAAVLGDVSYGGISAEEQHKHFRG EVNYNPEDDQHFATLTVWQTLKFPLITKTKKGDRGSVPIILDALLKMFGISHTRNTQV GNEYVRGVSGGERKRVSIAETLASKSTVACWDNSTRGLDASTALDYAKSLRIMTDISR RTTFVTLYQAGEGIYELMDKVLVIDEGRMLFQGPAKEAKQYFVNLGFYCPPQSTTADF LTSLCDPNARQFQPGKEASTPKTAEDLEAIFRQSDVYKRILDDVALYERQLAESQCED TKRFQATVGASKSKTVSKKSSYTVSFPKQVAACVKREVWLLLGDKTSLYTKFFIAIAN ALIVSSLFYGESLNSSGAFPRGGVLFFSVLFLGWLQMTELMPAVSGRTMIQRHRDYAF YRPSAVSIARVVVDFPFLACLVSMFGIITYFLSELDVDAGKFFIYLLFVYTTTICITS MFRMFAALSPTIDDAVRFGGIALNLLVIFVGYAIPKSTLLHGSIWFGWLFYVNPISYA YEAVLTNEFANREMDCDPSMLVPQGPGVVAGHQSCALTGSSVDQTRVSGAAYLETSFG FTRHHLWRNFGVLIAFTVLYILVTVIANEMMTFTGGGGGALVFKKSRRSKKLAQAEKK SADEEDGAVTSQPVQANAASDDKVMNSLSTSDRIFTWSNLEYTVPYGNGERKLLNGVS GYAKPGVMIALMGASGAGKTTLLNTLAQRQKMGVVTGNMLVDGRPLGADFQRGTGFCE QMDLHDGTATIREALEFSAILRQDREIPRHEKIEYVNRIIDLLELEDIQDALIMSLGV EQKKRVTIGVELAAKPSLLLFLDEPTSGLDSQAAFSIVRFLRKLAHAGQAIVCTIHQP SSMLIQQFDMILALNPGGNTFYFGPVGPDGRDVVEYFADRGVVCPPSKNVAEFILETA AKPVIRNGKRIDWNEEWRNSTQNQRLLEDIDKIVKERSQIPASEDAANNPTEFAAPVT TQIEMLTKRVFLQYWRDPSYYYGKIFVSVILGIFNGFTFWKLNNTLSALQDRMFSTFL IILIPPIVMNSIVPKFYMNRSLWESREYPSRIYGWVAFCTANVVAEIPIAIVTSVIYF VLWYFPVGLPSDSSSAGYVFLMTMLFFFFQASWGQWICAFAPSFTVISNVLPFFFVMC NLFNGVIRPYASYPVFWKYWLYYVNPITWWIRGTLSAVLPAVNVDCAPQEVTYFTPPD GQSCQSYAGNFVSRIAGKGYLLPDSGSGECGYCAYATGTEYMHTLNVHDDDKWHCFGI FLAYVIINWVLVYFFIYTVRIRGWSFGMSWLFGGIGAVVGGVKKLMRRK TSTA_005200 MRRYLVAGLAATTVWSGLSYVVFPKMRSRFCRPKKLSNALLVPA EDKTRRS TSTA_005210 MVQFTSFFLLGVASLSALIAAHPGEGHNAEAAARAAFLQSKSLQ SRSIANCLSSSLKARGYEARNIARREAALQKIREARGLRRYMKARDLDTLLNRTHHSN LTGLTPFTDPDMLFHSNGTCVLSEDVTQGPYYVTGELIRNDLTESQKGVPLYLDIQLV DSSTCDPIPEVYLDIWHCNATGVYSGIVANGNGNADDSSNLNTTFLRGVQKTGPDGVV QFQTIFPGHYTSRANHIHVLSHPANETIVYPNGTISGLYSAHASHVGQLFFDQDLISA VEDTDPYSTNTQTLTENSEDSILAQEADSGIDPFVEYVFLGDDVSDGIFGWISVVIDT SEDSTITPAAEYTAEGGESNDNSRPF TSTA_005220 MIDIKSRAKLNQAYFGFNGQEKSFRGSFDASTTSVHTLEISLPS DNLLLACQRVSRTPSRRQMRLFTPLKSTISTACASHHGMLSAVLRRRQLLSTRSSGVY SAFRQRRVVHTIFSNPLVGNTDGWRCNNTNPFLQPRVVTLNQKRRNGSTNSPPDKPEK ELKLKPKSKLLSRLPVPVHENIYTVPNILTFSRLLAAPAVGYLLVHNHHTAALSLFAY AGITDLIDGYIARRYNLQTVVGTIIDPAADKLLMTISVVCLALNGWMPAWLAVLILGR DVGLSISAIYYRWISLPPPKTMARYWDFSLPSAEVKPTAISKVNTALQLLLVGSSIAL PVLPESLAGAWGLYNGLVGLQLLVAATTVWSGLSYVFSKDAVKILSDKEIQQRIARAS KNDKK TSTA_005230 MAYEDRDDAAIKAALAESKRDETTPRTDFVDLTGDSDDESKTLT PQRNVIDLENEEEDEDLKLAIALSMQEMQHSPPSGRLNGNEASNQRANDSARLKDDRP VDTPSAKNLGIEATPPPASGFGIPGLDRKKLEEERLARVAKRQAESSISPPPLKRDTK VARRDFLPYQNGDFDGPRGLPTSASLKKSGGTRASTPESASLVKPSSTPSPQFPKGVV KKTWVFGCPRNNNDIKIEEVLQTADLELAVLSAFQWDTEWLFSKFRTPGKTRFLMVMQ AKEESTRLQYQQETADMPNIRLCFPPMEGQIKCMHSKLMLLFHPDYLRIVVPSANLVP YDWGEQGGVMENTVFLIDLPKRSAQDVPDTPKKAFYEELAFFLQASTVHNNIIAKLSS FDFKETSRYRFVHTIGGSHIGECRRRTGHCGLGQAVSSLGLRTHEPISIDFVTSSIGS LTDEFMRSIYLSAQGDDGSIEYTLRTSKSFPTKAKQNTEATDIQRTTGSEWKSRFRVY YPSEQTVVQSRGSRRCAGTICFKENWFVGPKFPRNVLYDCVSRREGLLMHNKMMFVRP EKPINLPEGSNCAGWVYVGSANLSESAWGRIVQDRSRGEPKLNCRNWECGVLVPITES SVSSSSSDEEKKSSKDEDITQTFGSTVPVPMRVPAPILGDGLRPWYGAW TSTA_005240 MKAYYYDNAPGDQREDHDSGRPVTESHLQSLGVIYHHFPSLTSV NALASERGYKNRDEITVSPEKMGDVYEEKVKSFFSEHLHEDEEIRYILDGDGFFDVRS RDDDWIRIRLEKNDLLILPAGIYHRFTTDGKNYIKAMRLFQDEPKWTPLNRGPEVDVN PHRREYLKKTTAVA TSTA_005250 MALRIRSTVLSRISHHANNNFRLACQKRLLATSSDARPYDVVVV GGGHAGAEACAAAARSGARTALVTPSLSNIGVCSCNPSFGGIGKGTMMREIDALDGVA GRIIDKAGVMFRILNRSKGPAVWGPRAQIDRDLYQRYMREELVSTKNLSIIEAKVEDI VVSRDDNEQNSHSAGRIVGIRLDSGQVIPTNRVVITTGTFLGGEIHIGMEAYPSGRMG EAATHGLSKSLRQAGFQLGRLKTGTPPRLDKKSIDFSSLEVQRGDSPPNPFSFMNKTV QVGDEGQLTCWMTHTNEATHQVIRDNLDKSIHIRETVKGPRYCPSLEAKIIRFKNKTQ HQIWLEPEGFAPNEVIYPNGISMTVPVEAQEAMLRTIRGLKNVHMLQPAYGVEYDYID PRNLKPTLETKLIGGLYLAGQINGTTGYEEAAGQGILAGTNAGLAAQGKSPMVLTRAD GYIGIMVDDLITRGVSEPYRMFTSRSEFRISSRSDNADLRLTAMGREASVVSDERWNH FNDTKSQIEELSRLLENTRYTSNQWSRMGFQTRVDTSYRSGLDMLCVEGINIDSLIPH ITSPSGTTYTAASFDSEIKKRVTIEAQYAPYVKRQSLMAEKFRREERMLLPSNMDYSA VHGLSTEERQALERVRPENIGMLRRIEGITPSGAVRIMMYLRKGRLVIEDDVVREMAS TSIALVGRYVQYNNLSKSSYQTGTSLGRREYNLGKFPSLRVIWRNLPAMSRLLQSLSR QSPPFKKRIPGDFLQTHTHTPSLRSISSSKNPPFPTIATCPDPTCTCAPTPTMPEDLP IDHSRPLNATMAPYTQQILIATGQTDWSSRIEDDGAGASWGSLVRGLKDMFLRGGKYA DPYNNLVVTNSSFKPTSQASSPYASAFLFPAFKYVPKIPISAVSDAHTEPNLESFARA YLLPQKLHSAHAHITESQRQLLTRSPEYASQYFPDALDIKQSPTILICGHGGRDMRCG IMRPVLQAEFERVLRRKGFTINNEDGKNKIDGPAHANIASISHIGGHKYAGNVIMYIP PALMTTSSTSNKTVSDPSPLAGKGIWYGRVEPKHVEGLVEETIFNGRVVEDHFRGGIG MDGEIYRL TSTA_005260 MLLSARSCLYRQSLRGPLINLNRIDARTKLAAIPSQIRFSRPAS TSSPSPRLDIRAIDKKWQARWAETERIKLEEIANGQRPCSGVGSSKNDRPKSYILSMF PYPSGTMHMGHLRVYTISDVLSRFYRMRGHDVLHPIGWDAFGLPAENAAIERGVQPAK WTAQNIERMKEQLRSFGPGFDWERELMTCSPEFYKHTQRIFLMLYEKGLAYQAEALVN YDPVDKTVLANEQVDANGFSWRSGAKVEQLKLKQWFFRITAFKEELLKDLDSLSGGWP DRVLSMQRNWLGKSNGAKIKFAVASKHSGNRDVGVFTTRPDTLYGVEYIALSLDHPLV QESAQSDAGLKAFIEEAASLPSDSKVGYRLKDVYASNPLQTIDKESPHISEELPVFVA PYVLSGYGEGAVMGVPGHDTRDLAFFKENLQPEFISMVIQPEAQVYEDSSVVPAHDAK AFTNEGYLTSRCWKYQGLSSKEAARQIVSDLERIGRGETAESWRLRDWLISRQRYWGT PIPMIHCASCGPVPVPVDQLPVKLPEIEGDCFKAQKGNPLESVADDWLHTECPQCHEP AKRDTDTMDTFVDSSWYYMRYLDPKNPDEPFSPAVARPVDIYIGGVEHAILHLLYARF IYRFLTQTEFFPELAHTQPSPAAPAVAEPFRTLLSQGMVHGRTYSEPSTGRFLLPSEL DLTDKQNPLIKGTMVRPNISYEKMSKSKHNGIDPMICVDKYGADTTRAHVLFSAPIAE VLEWDEAKIVGIERWFGRMWKLVSDAATTLSQMKSGGVELTIENIQNQPHAFPKLPNL INLSDADIEALLATHETIVSVTNCIEKNPYALNTVISDLTKLTNTLSSSRSSSTNPEI LYTCISSLLRLLAPIAPALTSETWEILHSRILESADVGSINMATTTWPTPLLTEAEAN SLRSRGGQNVGVQINGKLRFNVTIPRLISGATTTPSSEVQIDEKTWIIDQILATDEGK MWLKEKNDWGKRKRVIVVPGGRVVNIVF TSTA_005270 MVSYSSLLLAFSAIAGSLAAPAESIVKDDIAKRGPSNFVINATN ATSSLARRSAINYNQDYTTGGTVNYYHSSTGFQVNWSTQNDFVVGIGWSPGSTTSINF GGQFSVSSGTGLLSVYGWSTNPLVEYYIIEDYANPPSFGTQKGTVYSDGSNYVIWENT RYNEPSIEGTSTFNQYISVRQSPRTEGTITVQNHFNAWGKLGMNLGSLNYQVVAVEGW GGSGSASYAVNNN TSTA_005280 MASAGLLMATVFFDMWKASRKNGSLRSDGRQTFFDFIRPSEVLT LSFTAAVLVQGVVLVIIQSMQLSPSIGENCDTSGQILFPALWIVGLVILVFGVETLYR GFQKNRFAPRKKMALYICWAVVALLTLFTWIPTAARRSTFSKCRFGLLTIVIPWSDVA LVITIVLIVLYTLIASLIATQLLRSRGIDAMERLAATQMVYYLAIATAVFTFFLPFWV KNTLQIPRGITPMMAVVSINVIGIVVSFFQLLFRSYTESMTIGHEPSKKFRWDNGLKR KISSPIPIPQGEYTASDSGVQKEPSKRRSYFSRMNIDKSLPPTPTVRQKSTNPKASYS IFPTKASGRRPRYPASSIYEDDIFLIPPRPAFAAHNRNSSDVSHATVQIGFRLSNLDP PPAPRDTYRESRLGPAMGTASRTDSRFLSPQTFVPNANNNHISATSLEVPVTLRSPAT ERPDVTFPPPSASIPSSSSPRVSRARSSTQPTPTPTITSAGPRSPAVYRKLDDLQKML EVDLQAAQRAAADHEAWPLRNSLPDILPKSTYHPDE TSTA_005290 MFINRDARHLIEVRESPGKGLGVFAKDNIPRGTRILAESSLLKV ENDNPDAKKILQAFEDLTPSQQSSYLELHRYTFDSDKQILEAQMGQTWDEMAEMHRKV LNIYTANSFPSIYLLGSRFNHSCVPNTTHSYHPSLDKETFHTIQDITAGEELLITYID GSNWDRSRRQKYLQKWGFQCNCPVCEDTPQGRAKEEKRLELSYLTDEFQDLLSLETEE SLEEALKLTQKLAAIQKSEGLVGHELRYSYVGS TSTA_005300 MGKVLMVLYDGGEHAKDQPGLLGTTENELGIRKWLEDQGHTLVT TSDKEGPDSVFEKELVDAEVIITTPFHPGYLTAERLAKAKNLKLAVTAGIGSDHVDLD AANKTNGGITVAEVTGSNVVSVAEHVVMTILTLVRNFVPAHDQIRNGDWNVAAVAKNE FDLENKVVGTVAVGRIGERVLRRLKPFDCKELLYYDYQPLKPEVEKEIGCRRVDTLEE LVSQCDVVTINCPLHEKTKGLFNKELISKMKKGSWLINTARGAIVVKEDVAEAVKSGH LRGYGGDVWFPQPAPKDHPLRYVEGPWGGGNAMVPHMSGTSIDAQIRYAQGTKNILES YFSGRHDYRPEDLIVHKGDYATKAYGQRK TSTA_005310 MRRFLFPSEPWVLPFGRNSRGLRITSHNGFGLSPRYYRIVQPVP QQEFRRCRFLCQGRSQRGSTIIDDLSLSSPSVALGTDSTIYALSTAPGRAAIAIIRIS GPACLQIYRALCPRARDPKQRMATVRALYDPTQAPSPNTLLDPSALVLYFPSPRTVTG EDVLELHVHGGPATVKAVLNAIEKCNDVIKSEHDNISQSSSFIRYAEPGEFTRRAFMN DVLDLPQIEALGETLNAETEQQRRLAVRGANTTLSARYEDWRQQLLYARGELEALIDF SEDQHFDESPQELIGSVSAQVAILRQQIRFHIQNASKGELLRNGIKIALLGAPNAGKS SLLNRVVGKEAAIVSTEEGTTRDIVDVGIDLGGWYCKIGDMAGLRSSKNEREKIGAVE LEGIRRAKQRALESDVVVVVLSVQEGEGGSPSQLTVDPEVVEGVHACQEHGKSIVVAI NKTDRLPGSVNTRDEAVASLSKQVQALFKGLTDDRVFSISCREAERGTELGSLTTDPG NIQSFLQGLISVFEEIARPEGIHENDMHDISYWEDSLGVTHRQQSNLKQCLEHLERFL YETKTTENNDTDMVNAESDVDIVTAAENLRFAAESLAQITGRGGADVEDVLGVVFEKF CVGK TSTA_005320 MAALRAFIDAPFASAANVLGASLDEVKLIGSFLLSYPLAAILKR IPDKDPWKKDLFIIVVSLFYILGLYELWDGLLTLLYSSVATYLIAYYIDGSLMPWIGF IFLMGHMSISHIYRQIANDASVVDITGPQMVLVMKLSAFCWNVHDGRLPDKDLTDAQK YAAIREFPSILDYAGYVLFFPSLFAGPAFDYVDYRRWIDTTLFEVPPDTDPSKVPPTR KKRKIPRSGRPAFKKMVMGILWILAFVQLAPYYPFSLYLGDEFKAYSLPRRIWQLYML GLVTRLKYYGCWALTEGACILSGLGYNGFDPKTGKVFWDRLENVNPWGLETAQNTRGY LENWNKNTNHWLRNYVYLRVTPKGKKPGFRASLATFSTSAFWHGFYPGYYLAFVLASF VQTVAKNFRRYVRPFFLSPDGSKPTAAKPYYDVASWVITQLIMSFTVAPFVLLSFSGT IAVWGHVYFYGIVGVASAMVFFSSPAKGYLIAQQKKRSRPGIARKVSVEAPVLGLPSD LEQEVEDAIKEISAEIEEMRKNGAITSMPTPQELRALVEEKLKRK TSTA_005330 MDVVAAVSGYLTRMVSVGDSSTAGSSSSKMKILLLDSETVPIVS TAITQSALLNHEVYLVDRLDNQAREKMRHLRCICFVRPSPTSIQLLIDELRAPKYGEY HLFFTNIIRKSSLERLAEADDHEVVRVVQEQFADFIVINPDLCSLNLEFPLHRIWSNS PDVWNSDALQRATEGVIAMLLALKKNPLIRYEKNSLMAKKLATEVRYQLTQEEQLFNF RKTDTPPILLILDRRDDPITPLLTQWTYQAMVHELIGIHNGRVDLRDVPDVRPELQEI VLSQDQDPFYKKNMYQNFGDLGGNIKEYVEQYQAKTQSNMSIESIADMKRFVEDYPEF RKLSGNVSKHVTLVSELSRRVGEDNLLDISELEQSLACNDNHASDLRSLQRIIQQPSV KAENKIRLVALYAIRYEKQPNNSLPVLLDLLTAAGNVPQHQVNIISRLLAYHHSLQAP PVAGGFSDLFESASFFSGARDRFRGLKGVENVYTQHSPRLEATLQNLIKGKLRELQYP FLEGGGHTRDKPQDIIVFMIGGATYEEAKLIAQINASSPGIRVVLGATTIHNSTTFFE EVNDAVSNWPEPTPGSAAGRLRRELGR TSTA_005340 MAGGTAVLEPTYHGYVATTQDALILFEACLTGVLPHVPRRPHDR ERNHLVRSGNGVTWSPSRILGNFLVYRELDKPFPPGEKKRAMKKGNRRPVQASRPGEP YPRSDSNGQSYSPTTPGSNFGDRPQQSDVERALVGSLVDSYGFKNSGLVKKTMSVTVG GITHHLVSYYSVEDVMKGILSPPSMHEELRYIRPRAELISKQSFRAPIDDVETGLENT SDPSQAIYGYRTAMIPTPTYGIQNTHSYDYMHSSPYGSHPSQPAPISSYASGPLPPQS ASNPYLPTPSGVSQLSVKQEPDQNSYRSGSYGTAFDTMAQNHLPTTLPPTLNATGMSN SLDRHRHQQSSSPSIYRNTSMSARGISTDVTSPTEPHASATPYSRNSFGMPPQMDASN HQSFEQRNVAAASFDPTVPRREPNAMPSFYTGAADRQSYYPGVAHSNYPTAQPISTWT TTAPAQPQM TSTA_005350 MEYSNEVVAKIPCPNAGVPSLTTASDVATLEFLRTHTSTQRVTL ADSWDTMSTLERYKVTDRVVEMEKELYTVQFPAYGSLYRDSVPHDCHYHPLPPNLDPT GLFYIGPSCSRTVWHRNSKDISEPDVGPCLIFASFGYFQLLTHMKRPLSGSLFYPAQD QQPNHFSQSQSAEEYKYLLEKVIQVLLILSQDSHVLEGTSPVIGHTNLHLGNIYVSRE DPTLIEGIIDWQSTQVAPLLIQARFPGFLRPPKTYESGTEVPSLSTSFDELGPSEKAK ITSENELASRSKYYEMSCLAYNKRVYNAMELDRRLWGPFTCCQLFFKWQLGSTTKLSG SHFQDWNVFDLPENCTQEELQGYDEQVVQYQDRLYLWDIAKTQLCTADSGWVPMFIIS PSLPAQSTLVSRACLLLAALFLGHSCSPELLRASREGSSATSPLVVRFFLTVYLTIHI IIAWLILDFLSHINLALILRIEGSRTLGYS TSTA_005360 MDHISYFSNVDAELLHRYRPGGYQPIALGDTLKGGRYKVLHKLG WEDYSTAWATRDQREENYVAVKVSISESESYRENREASIMKKLETIHPCPQHAPWEAC KIIAKQALTGLDHLHQLKICHGDLHTRNLVFPVPCMKDLLEDEVIQILNKPETSFVES KDGEPIDGAGVPKYIVRPAAYHFSLTRSVLLDLSIKIIDFGESFCETTIPRTVHTSLV FKHLRSFSEIAQIIVLLFELFVAHPPFDNLMRPAILVDQMREMASDTIPERWREVYTT TISSANTSRSWDELLANYHALSWAVERQ TSTA_005370 MPEHMKKRVAVIGAGAAGMSCAVTLANHPDKFDITIFETAQHVG GQATAIDLDKSKYDASWLNDGVQGGSGIFRHTFNFFRHYGYEPQEVKLQISFGKGREN FWTNVFPSPLVDSCSAEIKKLGRVLKWIKYSLPLTGILPVQLVLRLCRFSTDFSNKMV LPLLALFLGTGNQTPNVPSALLERLFDDPNMSLWDYDPDTLLPNLPTMYTFPNLGAFY RDWAEDLRSKGVKFKMHCEVEIVQRDKKKVVLRTRRNKLDEHSRSKSNVTRILSETDS AEDVEEEFDELVLCTQAEDTLKLLGRHATWKERWVLGGAKYYNDITVTHSDSKYFQSI FESKYRDELCAEPTSTKRKDQISFATSEPQRRKDGWIGFQPMYYTHAYPTHLDRIEMG FDCTNYQYQFREDIEDGRPPPEHDRHVFQTIFLNDAEKHLWTIDDINKDKIIERKWWR QLGHRWQHYLRVIPGIMFINGKNRTLFGGSWTLVNMHEVACVSGIAAAYRLGAAYDAF DEFATDFFSMYLLLTHGVRYKASKK TSTA_005380 MEIFGSPPTNINALSPSSAARDPRVQLPTTTDPPGPNNPPKQLV WLIFGATGHMGRSLVKAALLHNDLVAAVGRTFEDSHESMQRMQTENESCLGLLCDVRV RETVSEVIDHAIAHFSRIDIIANCSGYGVIGACEDQDDYDIRNQFETNFMGTLNIIQL SLPYFRDRKAGRYVIFSSTSGALGVPGLGPYCASKYAVEGLVESMLYEIDSFNIKVAL IEPGHVRRDDVNTKLTPRTWDDPPAQLPAYGHFFIKPTSEPYRTHSSPAAHARRLLLW LGDKQPVSAVKAAELVWQLGHCSFPPLRLLLGSYAVDSIRDRLRCITEEIEDWKYLSF PLPGEAVGGPNTEQKKSPAYKSDENEDGPATATSN TSTA_005390 MASSKQLPSHYRALQLDTLENGFELKSLPVPSPVHGSAIVKVEQ AWVFSYHRDIYNGERHYDFPKPIVGGSGCIGRIAETGPDATLLHPGQLVYVDSVIHGR DNPDDLFLSAIHSGSTEGSQKLCRDVWRNGAFGELCHELGYTTQQLAYLGYLLVPFGG LRDIRLEPGETIVISPATGGFGGAGVQVAIAMGARVIAMGRNERELARLKQHINKGTP TARIDIVKITGDEKVDTASLRAFGPTDAVLDLTPLFAENSTHLKCALSNLRRNGRAIL MGLAGPSFPMDPWSFIGRNIALKGKLMYEREDILQFVKMLEAGLFPRGEEFVNAKTFD MEDWKMAFDVAAEHTGIGKVVSIKP TSTA_005400 MEPPPKRRRPPLSCTECRRRKVKCDRKQPCCHCVLWTRPCVYVD KANLSQLTHQQILVGWSGPETDGQPIDGGPLPISRENEDVLPGNVIPSPTSAGDKAII DIVTRLRAVEQLVSHRTGLCDVDAPGLTTDDPQVAKQDYISLNKSKLFGESHWSGASH VFRGISAFLNAESDDAEENEATRRLKLEMRSIIQKFKVISRNTKPSRPGSILSAQEIG IPSKQLADQMTELYMSRFESVFRILHVPSFRSEYEEYWRDPLQASDTLRLKVHLVITI GYSLCEPPSNQADPLRHETLRWVHFAQNWISAPLEKSRITISGLQIQCLLVLARQVLY IGGDLIWVSMGTIVRTAIQMGLHRDPKHFKNMTMLHAELRRRLWATILEMNLQAALDA GVPPSISFEDFDTEPPFNVDDDHLFDRVGILETLGSEVTTDTSLQLCLLRCIRPRLEI LRCLNWAKTEDIQEKAHSLSTSINKINEACRQCWFCIKDYSEGSRVFKRNFADLLLRR FLLPFHRFLIDHAEERSLVYSSRKLSLDAAMALLSPKPSAEFTRLTMLGGGFFKHRLI HISIVLSLELLNEIEEHREDRIMDEPCRYRRLLIEEVREAQRQWAERIKLRETNIKLH MKLSMLLIQAEETGHNISIQQRMGQSGKASLESCFAAVQNRALPDYGRGEGRDDLFEI EEGFQDIDALEFFNFDDIWEPMGADVERGIGFNLTFV TSTA_005410 MAPVGIVDRFKMLYMKQTYITPPILSANTAAVLSVLATLGYVVP FYISKTTRPSPSLSRDAPSVIRSRVRAVTMACIASTIFVLFLIALKADENPLSLALKL LGWWPIGIVEIVKSLLLTAVLFSGPLFERGVAEDGWKSWVRGDGLSETLRSWIGFRNY VAGPITEEIIFRSVIITLHLLAKISPGRIVFVTPLYFGIAHIHHFYEFRLTHPDTPVA AVLFRSMFQFAYTTIFGWFAAFLYLRTGSLPAVILVHSFCNWCGLPRLWGRVEAGVPI GPPQMRAKEDTEVSPLQVAGSQLGVSWTVAYYALLVSGAVEFYYLLWPLTESKLALAS F TSTA_005420 MSRPSSSVSPRRRPLHERTPSQTNSNEASPGRRSKRRDDIDFYS STPYPTKPAQVLLPRPGIGQDYALHGAYGVSDVSLVSTETDHTATAPVNVVDHAEHQR RDVSGSSTWDFSSSVDLGYSSQVWDNDPQSSLSSFPTPLIPSDDDNYDAGGVDVAYED KATSRLQTGMQQQQQQYHHDIYGGEFTEDILREPEDEHEDEEDVIALPGPPRASIKPV RDSSPANESVEEYSSSSENFVTYDQTSSPNVVPIGAPSSPNFVSLGSSSPNLVTYGSS SPVAGGMNHRSDSTSSSSNSMGTVIHRHNNATGWPIPVSAGASSQPASFTSSPPEQLL RGYQSASSLALSGGETQLSRSRTTSTGSGRSDKSLSDTASAVVQYPQIRAPSYASSYA ESSIQPAPLQVRRPSRSISERSVTRWNPTLSPVPAQSVPDPSTPASDANAERKQGLGL ISASKSASTIWRVEENDEHLDSVSNLPRSTLRHIPSSLIGSTESRPGSSSSTNGFLNV LPNWVRLYYLGNNSQLVQNAAMSILESSRPSTAASRPPSPHRYISQNPNPIGAVSRPR RHPSNEKAVPAPIRVVSDHPADPRSHWKPMPEEQQQQQDQIEESAEATGGNTSTQRPF AKASSPHLHPDKSHPNPRYTWVAPSLDSRQEPTLGRRNIQKYAFCLGFVFPLMWIIAA FLPLPPQPKPIDEEQQDAVVSQPGAQYRIAQYEKKRYQNARWWRNVNRVMIPVGISII AIIVVLAAVGTTVGL TSTA_005430 MPTTAPEKPRPGTSSKSFFGRKLYKDRQTDDGYAASLNSTAETQ SITGSLAGSRSSRYSTRGSISSFDADEAAAVAALNSNAGIITSIPFDSMPSDSKTPIP VDYLPKQEANARREPSPSHLGKSGADFHQYQAWDSRPTSSQSSATPTMTGPRPPPHAS NLTMTSSSTGDRGTRYQQWGRPGSSAANNSFTYASGSYASADSSSASRVSLDQASVHS SQSSSATRTSYFSDSNSSRTLTTSYSSGDRNTSYQSGGRYSNAQGWPTSQQPHVVTPS PSISSVASGDYLSRPKDDRMVDQLFLELMQKRGWQNLPEQAKRQMLAYPASKKWTLVH QDRLTELQGEQRRRNNARLTHGYDGGSHSVIGRADEEGSPEWYVKKVMDDTITSKQLA SLSVSLRTQPISWVKAFVEAQGQVALTNVLLKINRRKTAGPGPVVSSADKDLEREYDI TKCLKALMNNKYGADDALAHQQVIVALVSSLLSPRLQTRRIVSDVLTFLCHWGDGQGH QKVLQAMDQVKSHQGETGRFDAWMRIVEVTIDGRGKMGSLVGASEEYRSGGVGMENQL MEYAVATMMMINMLVDAPQYDLQLRCHIRAQFISCGIKRLLTKMEGFQYEVIDKQIER FRENEAIDYEDLLQREASSAKDSVEGEVKDMTDPTQITDAINAKLRGTRAGDYFLSAM QHMLLIRENSTGEDGLRMFQLVEAMLSYVAMDRRLPDLDLRQGLTFTVQNLLDKLHTD AEARHAYDESLEARQVAEAAIAERDEMKAQIELGADGLVKKLQKQIDEQASVLELQKR RNEALESELNDLHRLRANELQRNELETRELYLMLRDAQDIAASNAKKQAAENKLGETD PAQMRGILDRERLLERLERQLERTKTQFKLEGKVWNQDGPSDRLRELREQMEGVLAQP EEEFEKKTRQTLTHSTLGSVTRKKVPSSEQEAQHLPEVSETDEVAEEKVTLETYERPR MNPMQATGLLGEIAAKVRKYDSEEEAEGVKAEEKAETAPEKGPETKDDAAAIPPPPPP PPPPPPPPSSGAIPPPPPPPPSSSGAIPPPPPPPPPPPMSAGGIPPPPPPPPPFGMAG GIPPPPPPPPMSPGVPPPPPPPGPPGAAVPGAWRANYMSQQNQSYGPTITMPSIRPKK KLKALHWDKIDTPQVTVWATHAPTNEAKEEKYTELAKKGVLDEVERLFMAKETKILGG GSGAQKRKDKKQIISNELSKTFQVALAKFSQTPVDELVRKIIHCDKDILENDVVMDFL QRDDLCNIPENTAKLMAPYSKDWTAPDAATAEREQDMNELTREDQIYLQTAYELNHYW KSRMRALALTRSYENDYDHISSKIQEVSKVCDSLRDSVSLMNVLGLILDIGNFMNDAN KQAQGFKLSSLARLGMVKDDKNETTFADLVERIVRNQYPEWEGFQDEIGGVAGVHKLN IDNLRQDAVKYINTIKNVQSSLDSGNLSDPKKFHPQDRVSQVVQRSMKEARRKAEQMQ ILLDDLAKTFDDIMTFYGEDNTDENSRRDFFSKLASFLQEWKKSREKNIALEENRKRI ESSLARKRVNPTLANGGGTDSPISPTSSGAMDSLLEKLRAAAPQAKDQRDRRRRARLK ERHQVRVASGQKMPNLLGAEADDGEDASIISTADTTSGDLLSPQDDKSMNGHESVSEG EDIADRAANLLQGLRSNNTDTDEERTRRRRESAEDERRARRLRMRSRNTTTNGTKDST EGLLPSLKETSVPPDEQQPPPTPAIVVSSESGEPTTDNNDNNNNEREKEDNNAMDGSS PDRPVEISD TSTA_005440 MSGTRYSRVERVQPEIAGDGPAPHCMLIMTELSQQVYYVAHSNL QAFVRQILVGNDVSNEHASIIAKCLVEADLRGVDTHGSNRIPSYMKRIREKVLNPAAI PQLQQVTPAAAIVDGQNGFGFVAAHMGMAKAIEMAQTFGIGLVSVKHSNHFGMSAWLV QQAIDAGMMSLVFTNSSPALPVWGGRTKLMGVSPIACGAPAGKERPFILDMAPSIAAR GKIYKALRRGEKIPTDWALDGEGNPTDDPEKALEGVMLPMGGPKGSALSIMMDVFSGV FSGSAFAGNVTNPYDPSKPADVGHFLVAIKPDLFMDLEEFKSRMDYLYQRVVGSEKMA GVERIYYPGEIEHITRERRLVEGIPFTVAEIMGLNEEAELVGVEKLSA TSTA_005450 MGSSLDMVKTLLIPAFISLSIYLLLSHLLLPFFRRYRQRYANYL PLSTISAQTLPIRERIADALMHFFLPTASWRRRLPRFMRSSTGPEDEDDDSSVDHNRP DNNDSISIFDEEGENMAEMNMSASRREALEASRRRSFRSDEQVRLSRDLEEGFMDDSD DDSIRSLQERR TSTA_005460 MNRSRNLWSQEEDNTLRRLVEACEKDKVDWRLIASYLPGRNNKD CRKRWHYRVAATMNLGPWSQTEDELLKMGIHRHGTHWSRVAQVVGTRNGDQCFKRWND VLDPAIDRSPWTRDEDRRLLLAIGEFGRAWKQIVDTYFPGRTGLDAKNRHRQLTRKRK REHRPSTKTKTIKQEPQQQQQVFTPAVQSPLPRLTPASSSPSLSAVGTPSIIIPSQQH IDPSPQNQQVHLHLHDQVLGRDDTGTERSLSTPPGLSSSYCWDMPVEGLFSPSLSIPT PTPATPYSSCSSLSTGTYEPSLSHFDGEQQYENRELSMHGLPVHYPYYIPAHERALSV QQHLDFLPSHAHTSSHPGMVVSSPFHAQGVLPAHHQPYTALDGIVM TSTA_005470 MLASTRCPQWRATRQYIHRPSSSPVASRSYSTAASTPKHRVWIR LTVVTLTAAAIGAYFRSRQDTLDANLNPLTFSRYKLASREQVSSTNSIFTVEPAAAAT DNRETYNLAWQTGVWSVMFKQPQLQIGRDYTPLPPVENANEQDTKDSLRFLIRRDPHG EVSRYLHSLQQGAYIEMRGPQIECEIPPEVNDILFIAGGTGIAPALQAAYIIFRRTVE GRRPKIHILWANRKREDCAGGISDTSNIIQQKSTRWWPFHKETTAKPSPAPTTQGAIV RELQDLKTRYPGQVSVDYFVDEENTFISTKEIKAYLDSVQPSPDTKKMIIISGPEGFI NYMAGPKVWAHGYELQGPVKGIIQQIGAKDWEIWKLRVTLHRRSLRTAIQLRRSFTSS RSSLSQDVFHTQLDNPDVSAILSSMKSKDSVPQTLTEKIVQKYAVGLAPGKFVKAGDY VTISPHRCMTHDNSWPVALKFMSIGASKLHDPNQIVMTLDHDVQNKTEKNLQKYRQIE EFAQRHGVEFYPAGRGIGHQIMVEEGFAWPGTLVVASDSHSNMYGGVGCLGTPIVRTD GASIWATGKTWWQVPNIARVHFTGVLPAGVTGKDVIVALCHLFGKDDVLNHAIEFTGS EETMRSLRVDDRLTIANMTTEWGALSGLFPIDDVLKGWLRGKATTAAMGLADGPYKTL AAQRFTHERLEELFANALTADKGAKYAKELFLDLSSLSPYVSGPNSVKVATPISELEA QNIKVNKAYLVSCTNSRASDIAAAARVFREAAEANGGKIPKVADGVKFYIAAASLPEQ QAAEDAGDWQVLLDAGAEALPAGCGPCIGLGTGLLEPGEVGISASNRNFKGRMGSTDA KAYLGSPEVVAASALSGILKGPGWYEQPEGWTGVIRGEGDGIKEEDRTLTAEEALEKV IGQLDNLIADGEQRFGLSEQEETTSEEKKDDSALTELYPGFPERISGEIVFCDADNIN TDGIYPGKYTYQDNVPVETMAQVCMSNYDSSFSTIAKEGDILVSGFNFGCGSSREQAA TAILAKKIPLVVSGSFGNIFSRNSINNALMGLEVPRLVERLRESFGEGNVPTRRTGWT LTWDVRRSQIEVREHDGTTWTHRVGELPPNVQEIIAKGGLENWVKNAIGV TSTA_005480 MSPVTFSADNQGHPPRDSLELASLASTSDAGSAGRSSFESSQSG ISSSRKLSLEADDPLSEQAGLGSSRTRPNRSYSVSSAFDFGSNLFPLSQTAGGYAPLG APSVTSLERYAGLRDASLEKHKSLTYLNGLSLIQVNFNAGSPGAALIVWAVAGLLAWT GAASYAELGGAIPLNGGPQVYLSKIFGEITGFLFAWCAVFVLKPGSAAIIAIIFGEYV VRAFIGTEVETISPWINKGVGLAGVLFVTILNCASTKLATLVGDLSMFFKFGALLAVT IIGIIVAITGLSSKGQANQDWKNTGWFEGTSTDISDWAVALYAGLWAFDGWDNTNYVT GEFKNPNRDLPRAIHTAMPVVIICYLMANVSYFFVLPQATIAKSNTVAVQFGAKVFGP VGALILALVVSGSCLGALNATCFTSGRLVYAAGKEGYLPAVFGKIGFGRSNNTMGGSR LQRRSWFHKAFSWLCGGSAAIGYTPVNAMLFNAAITAVYVAVGEFGTLLTFYGVAGYT FYFLTVLGLIVLRIREPHLERPYKTWITTPIIFCCVSLFLLSRAVIAEPLQTLIVVAF VAAGVPAYFWMMYRRDGTIKWPDWKFWKSR TSTA_005490 MAQAESSKAAARNGLHASTAGAPPDYELPWVEKYRPVYLDDIVG NTETIERLKIIAKDGNMPHVIISGMPGIGKTTSILCLARQMLGNAYKEAVLELNASDE RGIDVVRNRIKGFAQKKVTLPPGRHKLVILDEADSMTPGAQQALRRTMEIYSTTTRFA FACNQSNKIIEPLQSRCAILRYSRLTDGQVVKRLSQICEAEKVEFSEDGIAALVFSAE GDMRQAINNLQSTWAGFGFVSGDNVFRVVDSPHPIKVQAMIKACWEGKVDSALDILNE LWNLGYSSHDIISTMFRVTKTIQTLSEHAKLEFIKEIGFTHMRILDGVGTLLQLSGCV AKLCKINMKPELFVPSNKYWHPPDASAINNLDLNLGSDGVFDFIFDSSITPDERYGRY NYCNMPHVRRREYAVASAEYELVYVEIIHRHHKRTPYQSNTFPLENDTWYCNDTQLYH YAQPSAGQQMSTNTYWQIEETSAFDLFPVSGFPGTCIFPQITREGLQDSWQHGRDIYE VYHDLLGFLPGELDQRKVKFRVTNNEITSHVAGMVIGGMYGEGAAVPLHVQKAGVDSL EPQYPCPGGQALFNNIKHPNSPSSEQWKTHLEKTKELMESLDSISGIPSDADDWHTSY DHYFDNLSSRLCHSKPLPCKQEQQSNDAKCITRSQANSVFRLGQWEYSRLFRDAPSSL IASAALYGVFIAEIAQHLREQIRFLDEDIDQSGRVLYRHNVAHDGSISQILSLLQTRQ MVWPGMGAEIVFELYRKRPSSSKESGLYVRVLWGGQVLQSSNPELGDMKFVDLEKLLG YFDSLVGRKAESVADLC TSTA_005500 MPPTRRNLFPSHLSRRPAFNPAQDSTSDADNTSSNNDTKHHNAQ DTDSGPMTPETTNTTTALLLNNGDIIARDKNGKFQLDIPILPPIPLDEEDGEDDAEDE ESGAMEGIEGDGTRPSGGSSEVIGKDKDKLEDLVEMMYRNRNRHLSGEPELLNLLQQS LHNKVATLEEDNWMFEPEDDPLV TSTA_005510 MTSKIDKTIARQQEKIAAGSYYEAHQQLRVIAARYLKQSNYDAA AEILTGGAKALLRAGSQQGASASGGDLAIMLVVEVYNKAEWEIGLDDAESRGRKKRLI ELLREFPPDEPSRKRYITEIISWSSKFGPLERGDPELHHAAGSVYAEENEPYDAERHL ILGTTDSAETLAKLEFEWYTADDAHTAGIYASRAVIPYLLTANLRNANKALLIFTSRL SAAYPSLASQQVSSTSADVRIYPSLPLLNFLSLLLIAVQRGSADLFRDLTRHYTPHIE EVGIWDDALATIGEMYFNIKIPRQGNPLLDMMGSMFFGGGGGGGGGNQRGQSRRVEAA PASAELD TSTA_005520 MNSHIFDIQPLHRFSGSNAAIRRPREIAYFSYDDEHNFRLDESS MRYYYPPQPSQLPLDLSAGFDTFQKLDDAPDEHLDALLDTIVALEQNTEKKCEADIVT WRGMMTKIMTAPFDMLNGFEMNATYFQVENNTYKNAQKEIQRNQRMPPGMPSQDMMAY WGYKFETVSVIPDTWGETSREKIEGRIHEIVNNKAQYCSVVRTGFGKVKLVIGGEVDA IWDCKPVRKEDPINWVELKTTAELFNDKEKLKYERKLLKFWAQSFLLGVPKIIVGFRD RDGMLLRLEELETHGIPGKVKREGRGSWDGNICINFTATFLEWLKTIVNTEGTWRIRK REKSPVIEVFKVEESGNGGILSSSFVEWRTKQ TSTA_005530 MRIFRPLLTRSQLAIMQPLITVVGATGTGKSKLAVDIAKRFNGE VINGDAMQLYRGLPIITNKIPLHEREGIPHHLIDFIGLEEESWRIGLFKKECLRVIQD IQSRGKIPVLVGGTSYYVQSVLFNDALVGEGAENGVSDDNGQQSERQRKEGEEWAILD APVGPMMEKLREVDPVMANRWHINEVRKIRRSLEIYLQTGRPASEIYEEQMRLRQAAI DNPEGIVAGQLRFQTLVFWVHSEREKLYRRLENRVDTMSEQGLIAEAQSLSEYAQEQA AQGKQIDLSRGIWVSIGYKEMEPYFTALRAGNYSEDDLKNLKQSCLECVKTSTRQYSA SQVKWIRNKLWTALADIGATNRLYVLDSTDPGAWDSCVTEPMERIVQAFLRSEQLPEA KTISKLAEEMLREREQNYTKGTIPPAQALKQMTCEMCKKTLMGQEQWDIHIHAASHRR AIKAAAKRARNMEWLRNHPPVEVINAQPP TSTA_005540 MVPSIEVHGLSYKFQDGSDGLKDVELSLPSNSRTLLIGANGAGK TTLLRLLSGKKLAPTNTIAIGGKDPFKDGLEGVTYLGMEWVLNSIVRTDIDVPTLLAS VGGDAFPERRDELIDILDIDLGWRMHAVSDGERRRVQLAMGLIRPWQILLLDEITVDL DLLSRSNFLSFLKRETETRECTIVYATHILDNLAQWPTHLVHMHLGQVKQWGPIESFN EEVPNRSTENSLLGDLVLHWLKQDLQARGPRNPKSGQGRTYSSAEGLGGYGLEKRPPK E TSTA_005550 MVRVATEYISVGGNRHAGAADWDVRSGVFAYGADNNVALWEPKS HRGVHALLVGHRDKVSVVRFVAYPQFKSSLLITGSVDHSIRVWKPVEQSSSYTCVCEL TGHTGSVNTIGVDNGSNVFASGAADGTVRVWRLDFQDGQVSGRLVQTMPMIPRFFPLT LAIQVLDASDPKKPMVMAVGGTTNNVYVFSAQDTTAQEIDFIRSAKLSGHEAWVRSLA FRKFDNEYILASASQDKYIRLWKVHRGEIASTLPKDEDDMALGGDEPTLSNKAHTFSA SGVTYSITFEALLLGHEDWIYTVNWSPTTEKAQLLSASADNSLTIWEQDPTTGVWVTM ERMGEFSVTKGSTTATGSTGGFWIGLWSPDGKEVVCLTRTGSWRAWTHDEETDIWQQR LGISGHVRSVNDIQWEAKGAYLLSTSSDQTSRLHAEWLRFGESSWHEFSRPQIHGYDL NCIDTLGPARFISGADEKLLRVFNEPKQIAQLLERLCGISQDEEELPEAANIPVLGLS NKAVGEDITLTDDQLGGQGADQREQQAAPVSLPMHQPPLEDHLARHTLWPEHEKLYGH GYEISAVSVSHDRSLIATACKASSIDHAVIRLYDTKNWREIKPSLTAHSLTITSLCFS PSDQYLLSVGRDRQWAIFKRDEQDPSTYSLLKSNPKAHSRMILGAAWAPSSGQQSIFA TAGRDKCVKIWRETASSDVFECISTITLKTAVTAVSFHSEILSDGFLLAIGEETGQIS VQKVATDSLEAKELVTFDTTSSPSKSITQITWRPKRSLTFKNNGDEEGVLLAVASEDS SVRIYRVAHV TSTA_005560 MVSNPDTENPSQPDETISISVEFTGGLEMLFANERKHNLTIPAK TNDGKQPTIGWLIQYLVENLMQDTRKELFILDDHVRPGILVLINDADWELEGEEAYDI QPKDNILFVSTLHGG TSTA_005570 MDTLLTADVVANSPRFRRKSSTFVDAIHDLPEKVELAPAQLYST ESGRLFHSGRIVIITVGLPARGKTHISVALARYLRWLGVKTRIFHLGDYRRATIGPGQ DMPDDYFFINASASSVLLRQKIVKKCRDDIAHFLSEENGQIAIYDAVNPIAAGRRSLA KEFAKQNIETLFIESKCDDPRIIEENVRRVKISSPDYVGWNADDAVKHYLGRISARIP HVETMEEKDLNYIKMINAGERLIINNRSFGYLSNRIVFYLLNLHIKSRHTYFARAGIS LDANSYKADSSLSEQGRDYAKKMTETLLQHRESERQAMKDQGVSDAVLKPLTVWTSTR LRTVETAKYLHEKGYSVRQRSQLSQLNPGVCEAMSEQKIRQEYPEEVAKHEMDPYHHR YPRAESYHDLAVRLEPIILELEREKNDLLIIAHESVLRVLYGYLMACNAADIPFLSFP RNEIIEIIPASYQNEARRIPIPGLPEETIPPSPEDIKIPVPASGYTTPKVGLGSPITG LSTPQSGFRTPQEPERLTQQHVEDVV TSTA_005580 MAGLSSSLSFLTDNSVAAAIKDAYGSFSERRAALGLPNPGTVDN IAREVQKEVLLSNFMFTGLRADLTKVFGMAPLFRMSHAFAMGSAGNMPPYNFSAMYGT PKVFMQGNLGSDGGLSAVGNYRWNEKFVTKSNAQIMSGSAQGILQIDNDYTGDDFSFS LKAFNPSIFEGGLTGIYVGSYLQSVTPSLALGFEAIWQRQAITSRPESTLSYCAKYRG ADWIASAQLQAQGAIAASYWKKLSDKVEAGVDMNLQFAPSAAAMMMGGPSRDGTATVG AKYDFRASTFRAQVDSTGKVSCLLEKRIAMPISLTFAGEIDHVKQQAKVGLAVSLEIA GEELMEQQEKAESLGAIPPPF TSTA_005590 MSQNRSGVFSGLRMGEVVREKVQDGLTGETKEMQYTQCKIVGNG SFGVVFQTKMSPSGEDAAIKRVLQDKRFKNRELQIMRIVRHPNIVELKAFYYSNGERK DEVYLNLVLEYVPETVYRASRYFNKLKTTMPMLEVKLYIYQLFRSLAYIHSRGICHRD IKPQNLLLDPNTGILKLCDFGSAKILVENEPNVSYICSRYYRAPELIFGATNYTTKID VWSTGCVMAELMLGQPLFPGESGIDQLVEIIKVLGTPTREQIRTMNPNYMEHKFPQIK PHPFNKVFRRAPHEAIDLISALLEYTPTQRLRAIEAMCHPFFDELRDPNTRLPDSRHP SNPPRDLPNLFDFSHHELSIAPELNSRLVPEHAKAALEARGLDIDNFTPISQEEMRAH LD TSTA_005600 MADYDRRNHGHRGGGGGRKRRYRDDDDFDRRPLRRRYEEPLGSR VRRQLLLIAESPARRVEDDVVYIARMVSDNTDDVDVCDAFFETVIQLTLEQPFKIPFI AAVVLVINTLKPDFAAEVLKKISSAVQDAVTAGVWRDVKLLVRLLGCLQGILEGEGVF VVLDDLFSRAVDLQTTSSEDSLGLELVKIILLTIPYVMASSATGFETQATALLEKTEI IASTPHALEALVTPFTFDSEISEPLQSFIGVLQTQLQNEAANRNWELKCLPRPWKSLE LPRKKQAVEGEGEEKPEQSLSLENAEKHALPAVDVPNPVKNGPNAIYPEVYFSVYLEQ EIETVPGSGEITSTLLRDTLVDTINILDFNRMAVAKFLIDVDCYFSPEIFVKRATPFD RLREFPSDRPTWKPEDVAVDAVFSQLFQLPAPEHKLVYYHSVLTECCKVAPAAIAPSL GRAIRFLYRNLERFDVDLVSRFLDWFSHHLSNFGFTWKWTEWIDDLQLPEIHPKMAFI TGALDKEIRLSFAQRIKGTLPEPYQPLIAEGKEKDTPDFKYTLETTPYCKQGSELMQL IRKKAADEEIEPVIAEIETQAKDHGVEDPMVPSTDAFVTSICYVGSKSLSHVLSCIER NKERLLAIGPRSAPARRQIITSVMEYWVDQPGIAINIIDKLLNYTILTPLSVIEWALL DHIDAGKILAKAHIYEMLSATVGKVTNRIRQIVAARTQLGLYEPQLSVLDETLNREKA DMQTLFTLIEDSITPVAAGSNDELMERSEDDPSTRDENEIIRRWAVRWRRVFQRKAAV EAAFVADAMLNATPLGTMPPTPPPVEDAAEEMVMEQSEANGDMDIADIS TSTA_005610 MSLDSQNPFNTSDPHARFVNTSSLDLLLIEIVPMAERITHDLLL PSPSTDGTTTITKIEDDELREATFYRLEMLGYRVGQGLAERFSRDRPRFTDNLDVIKF ICKDLWTILFRKQVDNLKTNHRGVYVLSDNAFRPLTRMSMAVRSEAVARAEAFLWFPC GLIRGCLASLGIDATVQAETSELPGATFQIKSNQTKT TSTA_005620 MVKAVVLGASGGIGQPLSLLLKASPLIDELSLYDVVNTPGVAAD LSHISSPAKITGYLPADDGLKKALTGADIVVIPAGIPRKPGMTRDDLFKVNAGIVQTL VKGIAEFSPKAFVLIISNPVNSTVPIAAEVLKAAGVFDPKRLFGVTTLDVVRAETFVQ EYSGQKDPSQTTIPVVGGHSGDTIVPLFSQAKPAFEIPADKYDALVNRVQFGGDEVVK AKDGAGSATLSMAYAGFRFAESVIKAVKGTKGIVEPSFVYLPGVPGGEAISKTTGVEF FSVPIELGPEGVANAVNVLENTTETEKKLLEVAVKGLKTNIEKGIDFVKNTPPKL TSTA_005620 MVKAVVLGASGGIGQPLSLLLKASPLIDELSLYDVVNTPGVAAD LSHISSPAKITGYLPADDGLKKALTGADIVVIPAGIPRKPGMTRDDLFKVNAGIVQTL VKGIAEFSPKAFVLIISNPVNSTVPIAAEVLKAAGVFDPKRLFGVTTLDVVRAETFVQ EYSGQKDPSQTTIPVVGGHSGDTIVPLFSQAKPAFEIPADKYDALVNRVQFGGDEVVK AKDGAGSATLSMAYAGFRFAESVIKAVKGTKGIVEPSFVYLPGVPGGEAISKTTGVEF FSVPIELGPEGVANAVNVLENTTETEKKLLEVAVKGLKTNIEKGIDFVKNTPPK TSTA_005620 MVKAVVLGASGGIGQPLSLLLKASPLIDELSLYDVVNTPGVAAD LSHISSPAKITGYLPADDGLKKALTGADIVVIPAGIPRKPGMTRDDLFKVNAGIVQTL VKGIAEFSPKAFVLIISNPVNSTVPIAAEVLKAAGVFDPKRLFGVTTLDVVRAETFVQ EYSGQKDPSQTTIPVVGGHSGDTIVPLFSQAKPAFEIPADKYDALVNRVQFGGDEVVK AKDGAGSATLSMAYAGFRFAESVIKAVKGTKGIVEPSFVYLPGVPGGEAISKTTGVEF FSVPIELGPEGVANAVNVLENTTETEKKLLEVAVKGLKTNIEKGIDFVKNTPPK TSTA_005620 MVKAVVLGASGGIGQPLSLLLKASPLIDELSLYDVVNTPGVAAD LSHISSPAKITGYLPADDGLKKALTGADIVVIPAGIPRKPGMTRDDLFKVNAGIVQTL VKGIAEFSPKAFVLIISNPVNSTVPIAAEVLKAAGVFDPKRLFGVTTLDVVRAETFVQ EYSGQKDPSQTTIPVVGGHSGDTIVPLFSQAKPAFEIPADKYDALVNRVQFGGDEVVK AKDGAGSATLSMAYAGFRFAESVIKAVKGTKGIVEPSFVYLPGVPGGEAISKTTGVEF FSVPIELGPEGVANAVNVLENTTETEKKLLEVAVKGLKTNIEKGIDFVKNTPPK TSTA_005620 MVKAVVLGASGGIGQPLSLLLKASPLIDELSLYDVVNTPGVAAD LSHISSPAKITGYLPADDGLKKALTGADIVVIPAGIPRKPGMTRDDLFKVNAGIVQTL VKGIAEFSPKAFVLIISNPVNSTVPIAAEVLKAAGVFDPKRLFGVTTLDVVRAETFVQ EYSGQKDPSQTTIPVVGGHSGDTIVPLFSQAKPAFEIPADKYDALVNRVQFGGDEVVK AKDGAGSATLSMAYAGFRFAESVIKAVKGTKGIVEPSFVYLPGVPGGEAISKTTGVEF FSVPIELGPEGVANAVNVLENTTETEKKLLEVAVKGLKTNIEKGIDFVKNTPPK TSTA_005620 MVKAVVLGASGGIGQPLSLLLKASPLIDELSLYDVVNTPGVAAD LSHISSPAKITGYLPADDGLKKALTGADIVVIPAGIPRKPGMTRDDLFKVNAGIVQTL VKGIAEFSPKAFVLIISNPVNSTVPIAAEVLKAAGVFDPKRLFGVTTLDVVRAETFVQ EYSGQKDPSQTTIPVVGGHSGDTIVPLFSQAKPAFEIPADKYDALVNRVQFGGDEVVK AKDGAGSATLSMAYAGFRFAESVIKAVKGTKGIVEPSFVYLPGVPGGEAISKTTGVEF FSVPIELGVYTQVADIFWGAYC TSTA_005630 MAAERSNVSADLVWQITRNQNAFLVQRNNAGGVRFSRDPLNPVN IHSRKYAGYANDKALGLQSSENGGVVLIAKNASNTQNPAKNIRTLTYGPNTSSRKIYK SVASNTAKNGYRADLREVAVARVSAIRRSQQPKKDAPAPKLRGTKAKQAAAQE TSTA_005630 MAAERSNVSADLVWQITRNQNAFLVQRNNAGGVRFSRDPLNPVN IHSRKYAGYANDKALGLQSSENGGVVLIAKNASNTQNPAKNIRTLTYGPNTSSRKYVP LVLSELEDIQFSFSYICLPGFT TSTA_005640 MAYLLYSLTFMVLVVGTALYLTRSRWLPLIEDHVPDYVYDRLPT TFSGDIEAGFTSSEFDLTNNVLEGDSRSGLDNKAKREIRRIMKRRGVDFDEARRLYTE QRFAKNNIGPDGRPKDPKFVSFS TSTA_005650 MSGARHWEQDKEATVYIGNLDERVTDSLVWELMLQAGRIVNVHL PKDRVTQTHQGYGFVEFISEEDAEYAARIMNQVRLYGKPIRVNKASADKQKTVEVGAE LFVGNLDPMVTEQVLYDTFSRFGTLLSMPKIARDDANLSKGYGFVSFADFDASDAAIA NMHGQYLMNKEVSVQYAYKKDGKGERHGDQAERMLAAQARKHNVQPQTQPLPPQLMGQ APTPGMMPGNPVAADGARHIAPGGPPDFAAGRGPIPMQASQQPPRPGPPAQPLSAPHP GLPARPPPSQAGFGGPPPPGFVPPGFNNAPQQPGFPPQPPAGFAPPAGFGGSMPGAQG TPPPFPPGFQPPGYARAR TSTA_005660 MVQFSEETKERISKVIEVSRIAVHYGYLPFIVYLGEQSNDSTIP WYIINPMANSSPGYTYSEPKPTLFKYFPSAADPTFASTCTN TSTA_005670 MSQRNGSSTSIIETVAGLTAGVVSTLTLHPLDLIKTRLQIDRIS RTRVGSSLRIFNEIYKREGGLRALYRGLTPNIIGNSASWSLYFLFYGNIKDVLAQARV KRVDDSDGKGQKLSASEYFLASGAAGLLTSILTNPIWVIKTRMLSTGSKAPGAYPSFI AGATQILRTEGIRGFYRGLVPALFGVSHGAFQFMAYEKLKSYRLRSTTAGENQKGEFS NIELLLISGLSKTFAGCITYPYQVLRTRLQLQAYNADAADAAARSTMTSSTYYRGVWD ATKQIWAQEGLSGFYKGLGPSLVRVLPSTWVVFLVYENTKAAMYKY TSTA_005680 MMDATVPMSYRFSNNELRVLEPPRFMDSHVESTLPYYQNAAPVA YASHIPSPPYEVSGHVMNGYHNSYHQPHLFNHPSSGPPPQTHTHAHVQPLHSQLHATA AAQAHPQARSLPFHHPQQAATAGRLSTEHTPIHSPSPDVHPVSRRMPHVQSARLTPQT AQQQQPRVIDDYRAGTSPAIAPPITTTTASGSGNILTPVSPSSNTTVVTIPHRGNEPS TSAKDINFSTEVDILMKTIQSHHSVHNSSSSTSTPTPSSVTSAGTPPPHHHHHHHHQF STNQQPHFSSAYQLGITNYPSYENTPNANTLTQQQQSQSGGRLVSDSSDGSTKHKRKH PCTFPGCGKLFTQKTHLDIHLRAHTGIKPFKCSEPSCGQRFSQLGNLRTHERRHTGER PFTCEECGKAFAQKGNVRAHMFTHAKAKPYTCQLDGCWKQFTQLGNLKSHQNKFHATT LRDLTTRFANMSNPEIMTPADRELWTYFASLYKNSNKGIKGRGKDRKIACTKAKNGAH TSNGNGNGNGKNNSRSARSTTTNRNGNGNHQHLPASGSDAGSSDYYSAEDGEDDELHE QQQRYMHHAMPSAHHGGHASLLGHAVHHREPLSMYSKSDR TSTA_005690 MYNAHRGMVPAPNNRLNELLEQLRQEFESQSRSTGEFEHQLTGQ IQEMEMIRQKVYQLEQAQIKIKTEYENEIRVLRHELESRGVQTVPSHIGGPTHAGPSQ APPALGHGTNNLFGGIMANQGGSGPGLAPPPPQDQQPPQHGLQQPAPAAQQGPPQPPQ SSYGGYQPGAGVNGYGPPPPPTASPGPGKGRGRAPPGPATPQQAHQLNYPAPGASPQI PRPTPTGPIRERPGNTLANWNPDDLPATQKREGPDWYAVFNPEVQRVLDVELVHHLVH DSVVCCVRFSRDGKYVATGCNRSAQIFDVATGQNVATLQDESVDKDGDLYIRSVCFSP DGKYLATGAEDKQIRVWDIATRSIKHVFTGHEQDIYSLDFAGNGRYIASGSGDKTVRL WDVLEGKLVYTLSIEDGVTTVAMSPDGHYVAAGSLDKSVRVWDTTTGYLVERLENPDG HKDSVYSVAFAPNGRDLVSGSLDKTIKMWELTAPRGMLPGTGVKGGKCVRTFEGHKDF VLSVCLTPDGHWVMSGSKDRGVQFWDPNTGAAQMMLQGHKNSVISVAPSPSNNLFATG SGDMRARIWRYSTYNGR TSTA_005690 MYNAHRGMVPAPNNRLNELLEQLRQEFESQSRSTGEFEHQLTGQ IQEMEMIRQKVYQLEQAQIKIKTEYENEIRVLRHELESRGVQTVPSHIGGPTHAGPSQ APPALGHGTNNLFGGIMANQGGSGPGLAPPPPQDQQPPQHGLQQPAPAAQQGPPQPPQ SSYGGYQPGAGVNGYGPPPPPTASPGPGKGRGRAPPGPATPQQAHQLNYPAPGASPQI PRPTPTGPIRERPGNTLANWNPDDLPATQKREGPDWYAVFNPEVQRVLDVELVHHLVH DSVVCCVRFSRDGKYVATGCNRSAQIFDVATGQNVATLQDESVDKDGDLYIRSVCFSP DGKYLATGAEDKQIRVWDIATRSIKHVFTGHEQDIYSLDFAGNGRYIASGSGDKTVRL WDVLEGKLVYTLSIEDGVTTVAMSPDGHYVAAGSLDKSVRVWDTTTGYLVERLENPDG HKDSVYSVAFAPNGRDLVSGSLDKTIKMWELTAPRGMLPGTGVKGGKCVRTFEGHKDF VLSVCLTPDGHWVMSGSKDRGVQFWDPNTGAAQMMLQGHKNSVISVAPSPSNNLFATG SGDMRARIWRYVEFLQ TSTA_005690 MYNAHRGMVPAPNNRLNELLEQLRQEFESQSRSTGEFEHQLTGQ IQEMEMIRQKVYQLEQAQIKIKTEYENEIRVLRHELESRGVQTVPSHIGGPTHAGPSQ APPALGHGTNNLFGGIMANQGGSGPGLAPPPPQDQQPPQHGLQQPAPAAQQGPPQPPQ SSYGGYQPGAGVNGYGPPPPPTASPGPGKGRGRAPPGPATPQQAHQLNYPAPGASPQI PRPTPTGPIRERPGNTLANWNPDDLPATQKREGPDWYAVFNPEVQRVLDVELVHHLVH DSVVCCVRFSRDGKYVATGCNRSAQIFDVATGQNVATLQDESVDKDGDLYIRSVCFSP DGKYLATGAEDKQIRVWDIATRSIKHVFTGHEQDIYSLDFAGNGRYIASGSGDKTVRL WDVLEGKLVYTLSIEDGVTTVAMSPDGHYVAAGSLDKSVRVWDTTTGYLVERLENPDG HKDSVYSVAFAPNGRDLVSGSLDKTIKMWELTAPRGMLPGTGVKGGKCVRTFEGHKVS DRLANAVAYADFDFRTLC TSTA_005700 MPLKSILVTGCSGGGIGSAIALLLARKGHHVFATARNTSKIPEE LTSLSNVTVLSLDVTSMPSVKEAAKAVSESGHGLDVLVNNAGIGYVTPILDMDIEEAK RVHDTNLWGSIRMIQAFKDLLIASHGRIVNIISIGALLNLPWHAAYCSAKIAMANISE TLRLELAPFGVNVVAVMAGTVATKFDANCTEFTLPSGSLYASIKDYIAGWVTGTAKPP GGSVDEFAQLVHEDIVGKGRNGVVYRGENSGMTAFVVNWFPRWIVDKLLVSQNSGLPA LAKIVS TSTA_005710 MDVVLEVFDLLIGDRLYAAVLPTTLTTSLSPALSTFIEDANNTL AIFGDASSYKYQPATTYLYIEPSKYAYLSAWPRDNIYRQAFSLFLITWLFGVLLYFVF SSLSYVFVFDKKTFDHPKYLRNQIRMEIAQTMESMPIMSILTTPFFLAEVRGYGKMYD TFAEEPFPYYSVLQFPLFLLFTDFCIYWIHRGLHHPLIYKRLHKPHHKWIMPTPYASH AFHPVDGWSQSVPYHVFPFIFPLQKWAYVVLFVFINFWTIMIHDGEYVANSPVINGAA CHTMHHLYFNYNYGQYTTLWDRVGGSYRKPNEELFQRETKMGKKEWEKQAKEMEDILQ TVEGDDDRHYLGEGEKKKTS TSTA_005720 MTSSPDIQNLVMVIKTMTNAQMKDALRSEGLAVSGVKISLQLRL IEYIERLHQRGSLDQYDRIARTLYTIAGIQWPGTSTTTTTYPSQPSYASSRPAASRDT YSSKMPSRPFSSGPLVFKESPFYKILEPLSPTVECKVRESTRDTVELKFTFSATTAQK LQEEPNLRVMVFCAAESGLNGFTRSDIAFPHQVELKANLDDVKANLRGLKNRPGSTRP ADITNYIRKRAGYTNLVAMTYALTQKKFYIVVNLVKRRPVEELIATLKSRNKITRDQV LREMRSRAHDADIVATSTVMSLKCPLSTLRIEIPCRSISCTHNQCFDASSFLQLQEQA PTWTCPVCNKSTSFESLQVDQYVEEILHSTSTDVEQVTIEPNGAWHTERKEEPAKNSQ ASPGTDDDFVEITNMVTPKLKRETTSEPRPTLLSTPMTNTQNGSKGTTPNLPRSSQKR PAPQVVDLTLSDDEEEDELPIRLPKRQALGEFVPRSASQNSFTNGYTHVEDLRSSTGQ DSLSLSPGRQSNIYFDL TSTA_005730 MAKSIRSSTKKRNHAKLRSTVFGPVADARTERLSAKLQELAAQP LKIETSKDSSEENTKTEAGVEDESDKMVIDESAATKSSSTKQRSNRVRKQIKRKPRNK MVFSKPHQGKQQRKTQKKN TSTA_005740 MAGTLSKWTLFLVNALIPVSVLLFCSGYFPYKPILPGAAEQSDW EKAPPIFDKVILMVVDALRSDFVYSNNSGFVFTQNLIRTGAALPFTAHASSPTVTMPR IKAITTGSVPSFSDVVLNIAESESMSTLVHQDTIITQLKNGLPGKMLMYGDDTWLNLF PNTFDRFEGTSSFFVSDFTEVDNNVTRHVSPELARDDWSVMVLHYLGLDHIGHKAGPK SSHMIPKQREMDGIVENIYNAMLSESHLESTLLVLCGDHGMNEAGNHGGSSAGETSPA LTFISPKLKTHAEKVQLKVLDSPIEAKEFEYYRTVEQSDITPTLAGLLGVPIPLNSLG VFIPEFLGLWENEMDRLTVLLENTAQIQKVIKMAYPKFFSNDKIFKDVSNGNGAHLSS SALERLENELIAAGMSESADESSQKAYYRFLHSAQSLMSGAASSYKLSMLYSGTLMAA FACLVSGVIAYCTLSTSQTSSTVFLFVTSMLHGSMMFASSFVEEEQQFWYWISTAWAV YIHLKSTSKFGARILSIRSIAYSLSFIAAGRFVRRWNQTGQKFAGEPDTVQYLTSSQP MLLWALVLLTYIVNCQELIRSAPFRDIFGKLLWTILSVAVSLAAIIFKVSFTAADAPE LLYPLMLRITKWGFQFSLVFQARIVFIGITLLVGIFVFSSFRSVGIQNVQRKRLLHEA LALFLITQSRATNIPLFLLFKVQASIVELVDLNSIETTLNLILMQHVAFFAFGGSNAL SSVNLSTAYNGVSDYNVVVVGLLTFISNWAGPIWWMSETAINQRRMSPVEATNRVALL SFSTTIELLAVMAACTVLRTHLFVWTVFSPKFLYSIAWALANHLGMNLFATCSLSL TSTA_005750 MEQSLLETLFSTVHLIYHRNKNQHGGTAWWKWLSILRRCLLKLI GSTGNEKKCMNISRYLHTRVIPMGYVAFSTVVADGQFSTLGTVLLAALAQTKRVIMPL TLRQRRYHPVKSKVALSLTAAHIVDSESDLGIPIRRDVEPLQGKEVPSIPMINEKRST QQSENEDMELPPSAKSTTTKVRKSKSKKKKSANAIDDIFGALK TSTA_005760 MATFPSLTKTWHTVPYPAISPLKPLLSAADKTILITGGGGGIGA GIARAFAAAGSTQIAIIGRRQDVLATTAKELEASFNGLRVIGCVTDVSKKEQIDAAFD LVVNKFGPIDVFVDNAGYVTTGTVTELSNDDAWITFETNMKGSIYTAQAFSRTARKDH AVVIDVSSIAAIMPPLPGAAAYSTSKLAATKIWEYFAAENPNYRVVSIQPGQIETDMA KKLGLTGRDHVNLPSQFAVWLASSEADFLHGKFVCANWDVEELIARKEEFKTTDLGTI RLVGLPSF TSTA_005770 MRTVNFAGLPTPNTESRPSKLQSSEPSILASPFSGARGNSGLDS GLVEISYFPPKGRALVVEGVSSTVSHLAVASLFSRRNLGSIQGPYLSELKSLGKFIVA FTDLRDTQRALEKVQLTHPEWRATPLLARECAQESKGLIGSISDFEGQISIKVIIHGH LSDIDLSQVNSLVQGLVKGFGALVSFKALFLPAGNIKEFVAEFCDTIDAANAVAVLNG AIIEDLEFEAKHVRPDVPSTSGSLLQQFMNPRGHISPKISPSYKIGGCARDHSYVLSP TGRSIIPMEEICDMMEMLSPVQKISSLDSIHQHSDGRLSDLRPKHPQNIVDVNRIRLG QDVRTTIMLRNIPNKVDLSLLKAIVDETSFGKYDFMYLRIDFANNCNVGYAFINFEDF VEARAGHTWNCFNSDKVAEVSYATIQGRECLIQKFRNSSVMLEDPSFRPKLFYTGTGP LAGTEEPFPGPNNMSKMRRSVENAEQVGLFAPRMSREGRRGQSIRPDLPTPHRHRASP PHRQGLSGMDPMASPLALRRGT TSTA_005780 MSPSDSDYLDQLIPSIREYSYGNQTSQLLRSLSRFASDKEQEIE NICNTNHQEFVTSVNQLLQIREGTVSLTSEILDLNQSIQSSTEKLAEQKKALVESRSH RQNIDESLRALQDCLEVLRLSNQVHDLLGKKNHYAALRALEELQNVHLKEITQYKISE LIQRSVPATQRAIAEAVMSDLNTWLYRIREMSQYLGEIALYHTNLRKERLKERAQNLK YLEQFKLNSAIELVSDEHEEYDLLQNEDLQVDFTPLFECLHIHRSLGQMDRFRAEYAT TRRRQKELLLPSSITLIDADGASLHTLLEEMTGFEIVERSTMKKIPDLRSSVDVEELW ESMCHTAAGLITAALPEVDNAESLLKIKNLIALFMQAMDTWNFSVTVFDKLLLTLFGR YADLLKTRFSDDFQEIVSTDDYMPMPIQNLEEFDKVINVSWYTPEKPREEQTFPCVLP FSQMYPLCCIDIRNFLNQFYFFSNDDFPHADVIDETLQKALDDLLSNEVCETLVERLS SQYLGQIVQILINLEHFEMACQELEALLVAARSQSSTAGPVSLKATEKFRNNKKAAEK RIFEVVNSKIDDLIETAEYDWTPTVEPEEPSNYMQTLTRFLSNIMNSTLLGLPTEIKE LIYFDALSHAANEILALPLSPEVRKINPYGVTALAKDVEYLATFVDSLNNPILKENLD ELQQTVNLLQSDNADEFYDISTRNKKYGRVDAMNGPILLEKLTRTVSSPVKNEKFATL SSRFGMK TSTA_005790 MEQATQSTGQQQNRQQAVYDIRNGGHYGASAALSAQGYAPVAEL YTGAWSNVNQGLQGNSRDILTTYWQHIINHLETDNHDYKIHQLPLARIKKVMKADPEV KMISAEAPILFAKGCDIFITELTMRAWIHAEDNKRRTLQRSDIAAALAKSDMFDFLID IVPREEATSHAKRSSQAGGAAGTTGASSSTGAAGHIPPGQAGVQHPHMGAPEYALGQH ALAQDQDYRNQPGMYPGAVQSDPAAYGQPQPQMFDGMYTAYPHLPPQQ TSTA_005800 MDTNATQSLPNGLDEDQYIQQLLIEAQARLQSSSSADKSLTSIS EISQDIPKVPKLPASAALKPFIEQNDEVATINNSQFPLPSMVDPAEKSVSRVSNSSSK QKEKDNAGSDWFNLPKTRITTELKRDLQLLRMRNVLDPHRHYKKESGNAIPEYSQVGT IVEGPTEFFKSRIVKKERKQTFVDEVLAGEQQSGRFKTKYNQIQVAKTSGKKAYYNRL RAKRRR TSTA_005810 MALSTIFSRAQEAATFLRERLDPRLQKPKVAIVCGSGLGGLADA VHEQPRVEIEYSSIPYFPRSTVQGHAGKLLFGFLGTQTSVVLMVGRSHYYEGHSIEDV TFPIRVLKLLEAETIILTNAAGGLNPEYAVGDITLLNDHIFLAGLSGLHPLRGPNVDE FGVRFPPLSDAYDLSLRRCVHTAWQGITKSEKRRLHEGVYAFVSGPSYETRAECRLLR QLGADVVGMSTVPEIIVARHAGMRVLAFSLVTNNAVLTPVPRGDDHLLQSTSSGDLDT ILKEGKADHQEVLEAGRQAAQDIQRLVVQVITDVFEKNRDV TSTA_005820 MLSRTFFRPAYTAFQFIQAGRLTRQLTTTSEQALPSSQSPTNTI DLIQEISQLAQDNKTPETPAEAPKSNAESSKSSETDQVKQWPQRIKDVAETSRLPRSV QALYLRPLRRKAQHGLPVCDLQLRSYSVRNVEFFADFAIRAAYYLNLPVSGPVPLPRI VERWTVPRSNFVHKKSQENFERITLRRLIQIKDGHPETVQLWLAFLRRHAFYGVGMKA NVFDFDDLDVGSGLDATADDVDKALEPYFSQFGQRYDAKQKKSIVEQINSERFTNPRS PMNEVRKVHYMSILPPTKMRPPPLKLRSELQVLPSIRYRPCPGRIQFHSRRRTVYTSA TADASRNRVIFSGIQPTGIPHLGNYLGALREWVRLQNDADPMTKCIYSIVDLHALTVP QEATQLRQWRKESFAILLAIGLDPSRSMLFYQSDVSAHAELMWILSTVASMGYLSRMT QWKSKLQLPEDTDLENDNAKSKLRLGLFSYPVLQAADILVHRATHVPVGEDQKQHIEF TRYTANSFNHLFGNLFPSPEGLISPARRVMSLKDPIHKMSKSETDQKSRILLTDSEKD VQTKIKSALTDSEPGISYDPARRPGISNLIEILSHLEGPNGRSCEEIASELQSTSIKA LKDRVAATINAHIQPIRDRYLEIVSRENGYLEDIAEQGAVKARQNANETMKAVRFTMG L TSTA_005830 MRTMWRNTLTLFLAIQSMHTVQALSLAKRDTAAVLALDVSRNHV SDPVARDMRRKRSLTVSQALNNEETLYFCNITLGTPAQSLRLTLDTGSSDLWVNAPNS TSCSGRVDQCTSSGTYNTSSSSSYRHVSSDFNISYVDGTGAAGDYVTDTLTIGGVTIP AFQFGVGYSSSSNVGVLGIGYTADEVQVNRDQKAAYANLPLALVNHGLINSNAYSLWL NDLNANTGSILFGGVDSGKYTGELETLPIQKIDGEYAELLIIMTGVALQNSSGGQSYS SQELPATVLLDSGSSLTYLPETIVEDLYNDLGVVYEASTQTGYVPCSMMSENINITYT FTSPSIPVGISELVLDNGSGLTFNDGTPACVFGIAPASDSTAVLGDTFLRSTYVVYDL SKNEISLAPTRFNSTDENILEITNGTRAVPGATSVANPVTTVATGVGAAWTVSPALIT STSSSGVARSMASDIPRHLGLGAAGAGILLAL TSTA_005840 MPRIPTRLVLKAYKENPLLLDLLKECRTLENARNELRWLREGAI AKFPPPGTSSKGQDVYSTHTWQRELRKMCDDRSKGKPLQYILGDQPFGDLDILCRKGV LIPRGETESFTFHTRDVILEAHSAKYLGKSLRILDLCSGSGCISLLLHSLLASHFKDL TIVGVDVDPRAISLSQKNKLHNIRRGLLSPRAQNEVCFLKADILDSIESGKRSFLDTL QPHFPGSNTQSTWDVLISNPPYISTSNFCNGTTSRSVRKYEPVRALVPPIVHSPFWKE LGFEHVAREDIFYVRLLSLIHQLHVKITVLECGDLEQARRIMTMAEVLSEKVGANGRQ CVYIWNDGCAVNDNDGGARAVIIESLL TSTA_005860 MLYCFSTVTELEHEVHVKKVLERLRKAGLQAAIHNRIAALLYRL TRLDVPFVWGAACQEAFDRLKVYLVSAPVLAHYRPELPTRVETDASDGVVEVVLSQLQ DDGEWHPVAYYSHTMIPAEQNYDIHDKEMLANVKALEEWRPKLVGLQHTLTRREGADR ENNLEHRHQTLLPREVLDSEIVQELGIPGIAAMDASVDIISRVILTNRDAAASDVYQT LVEKHKDWSISDGRLLYQDRLYVPDSGDLRARLLDEVHRQPSIAHPGKNKMKELVKER FYWETWSTNVDRYVDNCMTCKRTNTRRDFPPGLLQPLPVPTRPWQHVSMDFMTYPADK TISGVNTVPQDCHRTGLGQLWVKHLYRRTGAPDTIVSDRGLQFISEFWGEVCKILGIK IVLSTANHAQTDGQTEIANQYLSQRLHPYAEGPFTIIEKVGNSFKLDLPEGLNVHPIF SPDKLRLASRSEPFPGQVIDPSPPVLVNGEQEWEVQEILDSRLVGGSCITAYSG TSTA_005870 MNTFTLNQASSFFLFFFFFILITLIDEARSPIAVVYDARARPTT LDSQGDNGAKQETASEQHGPIESSQASERKEQRLTFDPEDLAQLEGLEVEDLAEDLNL QDFVELAGRDPKMLYKFMADRWDNELNSFKESIDRMKKACERKLETKDDALSLLINER DKLRQTLERMTIRYTSLIDSGTAPSSSKGPKIPDGKKLTNSNKSRYESWKIDVQGKLR ALAHQYNTPESRILYVKLMCEGDAADHLMARMRDDSPDPYLDATDMFEHLDTIYLDAN REINAKAEFRQLAQKSLRFQTFLSKFNLLAMDAKKSRIKWKEELYHRLNPEMKRAMIR EANDSTVMYADFVKECTMVANRLEQIAREEKSAPKDSKDAKDNRDTKSKTSDSGKGVG KALRVNLSDKEFEELKEARLCFNCKQPGHLNRNCPLRKKNTTEIKWVEVLEDKTEPAK DEA TSTA_005880 MQNHSHPREALIGAVGFARHTRRKEVEVCMTSLHEIDKRIDELK GPDSPDHPEIEEIRKQLPKQYWEYADVFQKSKSDELPPSRPYDHKIELVKEQELGYSP IYRLGLEELEAAKE TSTA_005890 MRAASATAEDSLVMGLIEDLNGHLQEAVHQLSAELTTARNVINT QQGLITTLNARLESLETYVNALQSRQILPLDPFDTTREVAAHGPPPRAASTGGLASTP IQLDAAPESRAINSTAPQPQPRYQNPTKATKQAVQPPEGPKKVPVTAAKTTKQPETTA KPLTKPAPTKWAAIAANNTQSGGWKTVQYKKQASAPSKALSITDLKPVSTRSKEERRL IFRRRYPKDAPTALKADVLLALNRALAKAGFPDFVRAVDSGYAASGALTVLLERGTRS STLVPVYNDTLLAAVRQTDPAVISVEISEQWHRVKVQAVPVDRYMYNDQGLALAQEEI ELGTPYRLKREPTWLKRAKTIQASNQRFATIVITVGSLEEARTLINKGIKFGGRHHRV APYWESNPESICPRCCGIGHSSFMACGGRPPRYAICAGDHEAIEHSCTVVDCRVGPAK PCQHTVIKCVNCEGAHEATSAKCPRAREARQRAVRRMRERSLQDLIPSDETFAAVPEG PWEHVEVPMGHGHEVFDAELVGVATALEWALERQPLGPIWVLLDAQNAIDRLRSTRPG PGQALVLRAHKAAEKLALRGQPVTIQWVPGHSGIEGNEQADQAAKRAASKQTAPGFEH LSLAHVRRACTEARRAAVSEWAQINAVQGRHRDGRVYKMPRGWNLDPVAGKAPKRVAS VMDAQGPETTSFLSVAVSTKKTKCEEEEERGKLALSLEALQIHSVMGWRPYGESGLKR QNSSRYYQLKTGHAPIGTYLYRIGQRESPECQACKEPHETVRHVLFECRGRRAGRRTL YQALKKAGVPLPTAAEEDPEARLFAEPRATQGLLQFVAEANLFNDKERTAREAKSSDA WGWDTLEEGGLGVTLEDE TSTA_005900 MPPQKKGGLTVVKRYKALGPIWVFLDAQNAIDRLKSSRPGPGQA LVLRAHRAVEKLAMRGQLVTIQWVPGHSGIEGNEQADQAAKRAASKQTAPGFEHLSLA YVRRACTEARRAAVSEWARINAVQGRHRDGRVYKMPRGWNLDPVAGKAPKRLASRYYQ LKTGHAPIGTYLHRIGRRESPECQACKEPHETVRHVLFECRGRRTGRRALYRALEKAG VPLPTAAEESPEARCDGSALAFGLASLIDWESFE TSTA_005910 MLRRAKRLQPIFDQFCSQNGHDHLMLDQEEWRQIEYLLWITQPF FKFTTALSQTKDVTFHVIFSIYNKLFDHLEASIRRLQRKKVAWKKLMLSALHAAKEKL SVYYRETDKVHGDLFAIGTILAPQNKLQFFNNKDWGPELRDQYRKSFETYAEPSLGVQ TSKIDALFAPDFNQSVSSDEATQYLESETAPITPRTFWKEHEHKFPALANMARDILSI PATGAGVERLFNSARDVCHYRRGSLNSTTIQDLMLFMCTSRFEVEEKELSLMKQYLSN EEQQALDEEKDAQQLQDTLEPISDNEDDGLEISIDQPATQQLSKRAQGKRRMSMVSNG EQEYQEPISSDDEAVLPLPDTQPRISGRNRKRTRREDDQFLHY TSTA_005920 MSQFSDSFTPSLYESNSIDTFTNIPQDNLTPNLSHSQQSFNSDN DYSTFRTPAPPPPPSLQRVGPDRKKSYVLYTTMSKTEFVEWWLKTEYGAKKRIRWDSN RRFSSMWDSFDQVAQASDGKAKLMCKKCGNILDHPHNNEHGTSTMARHLKGSQCRNLS ANRTKQKGIMSLVQDMPQRLTTTPVFSQQAWEEKLLTFITRAKLPFQLIEHSEFQELI EFARLASSQPNIPSSRTIRRRLQDTIKIRQQKMLDTLPSGTKLSIALDCWTSPFQQAF MAITGYFIEDKWNYREILLGFEPLHGSHSGANLSAVLFDLLQQYKIIDRVLSITTDNA ANNVKLMESIQDSIQSNQISTDTAIIRVPCIAHVIQLSLQKLLGQMNANPKNEIIEIN WSEARSQSLRARQQKREIADTLNKVSL TSTA_005930 MPRVSQLPEEVLANLREDRLLSYMEEFDKADPEAIFEKTYIEEE SVKEAEENLNTPGGNQKEELTRLKKEVKEARREAKQNADNIIELQGERDQYMQAFAKL HLQTGGRGDSEEGTPRPTLTPKSTKLPKGAKLSDGVNPTFESWLIDVEGTLKSNADYY PNAKD TSTA_005940 MGRTKEQAAADFTAFQRTIPSSDIVIFSDGSRLVDGRAGGGYIG FQAHHQFLRSSLSYGHGKEVFDAEAEAALAGAQAAIAYPTAQFATNLWICLDNLEVAT RLLSPSTGSSQEATTHKSGSIQIRWVPGHTIIPENEAADLAAKEGAASIPPSSYKSSY ASLKRYAKTQSLSAAQSQWEKVAPQSYQDLEITTSPKRPGELQLNRLDLGRIIAARTG HGDFADYHERFNHDDAYLLCRCGARKAPLHFFFCHIAKRLSPSASWAPF TSTA_005950 MSEPTNEPTKEPPNMSTNESTNEPASPKQYSTRLTRDDRIRVQT LREAGFTYEQIAKQLNITQNQVQYACQSSQVTPKKARGAPPKLSEAQMDEIIESIFSS KRNRCMSYHKVIKALNLNVAPTTLAYSLKKRGYIRCKALRKPYLSPENRRQRLIWALE HIQWTFEQWKQPLWTDETWVTSGSHTLIYVTRKAGEELDDTCEKEWNSINAERYCERT VPLIDGYLRLMRQEGLYLQLMQDGAPGHSSSFTKEELHSRGIYPILYPDDEELSYDQL REVVRAAWDAVPESFLIQLIHSMQARCQAVIAADGGNIPY TSTA_005960 METPFDQAYESRDKMLAELRRYALSQGYVITTIRSNPGKNITIG CDRGGEYTDRINALDGAKRRRTSTRRIGCSFRLYGYVHSRGPQADGRWRIKVKNLEHS HELEGDLIAHPAARTITSEQRITICNQLDEGIPPRQIISLIKKSDPTLLIIPMDLYNL RKAFLREQLAGRTPIQYLQEQLLIHKWKFAFKQDIEGCITFFMFAHPESIQYANQFNR VFILDCTYKTNRYEMPLLHIIGVSPSNTTFSVAFCFMQNEQEESYKWALKTFFSWLES PMFQLPVLCTDRDLAILATLRDDYPESPHLLCLWHINKNIAAKVKEYFATSEAWDEFL SGWQSLVNSPTEHEYEARLLDFDKKYQSVSPYALRYIKETWLIYKEKASTGDILTVWG RVRHAVHKQIDALVYEVRHDQLNSLIFCQSFLYSQINQRASHYAINRVHDQVNIAKRA TSLAPLPECSNSFTRTMGLPCAHRIARLLEKKHPIPLTDIHPFWRQNIAPDNRSEYLP LLEPRLPIPKAKRSDKKGGNPKVDVEKGTPTATATGKRKAPSKCSNCGVIGHTIRSCK VK TSTA_005970 MKPFRGTPRDLEAWLIKWEEIMLQGKKKSMSFAQDTEDWASRFL EAIRPLDEAWVTSFEHSIDPKIDDKSLTYKDLSNGFRRLIPRLRTKRQSYIIKGSFAT HLGKSDDSEEENEESLQRGRAVERGRRRSRSQSFEGGQRSGFAPDKRGGPEPTCPACG GMHFIDFCFYIFGYKAPQEFEPRLWLQQRADRWLNSNAPLAKEGQAPSQRKERSHSRS QSQERREPITQNTQNEVTFQGETASSCKSTCYIRMEKDLAALSINEYPLKHSALLDSG SSIHVFNEIERFVNFRKATAGDFLWAGTHQVPVLGYGEVDIEIHGLGGRLQILRLYNV AYCKDFVANLVSFQQLRKHGIWWDTRRGFDCLRNEKNQVLAYVKEREGQFVLEHIAND HPLVKMAFMIRRHRFNSWTVRKERKADAKRWHARLGHPGPQAIEHLATTTKGVLPLYS VKPVEGQKPDESYDEKKEILWNDLAGFIWDFYMTSHKSVEILETLKWFFEYLKKHHDV SPIKIEIDNEIFLHRPEIKEWLITKHVTIEPSTANNQGQNGATERSGGVIKDKARAMR NGAKLPTDLWSEIYRASIYLYNCTPKFMYNWKTPYNRFHTYVALQNGICIQDQKPQLA HLKVYGCKAYALTSEYQLKKNRLQRFNPRAWVGYLVGYDSTNVYRIWNPSTGRIVRAR DVIFNEDEVFSGDIQDIKDDLLHVSVEELTILLNKIDIRVQSGEVEDNANFGDEMEDL VFDGNRHNDERMTTTGSVTGSGFEDSSQLDSDYPSGPSLMPGEGLLEGIDKYAYPTPP DTPPSALLAASITIVHENDLNLRQSSEHAAGTSTGGVRPRGSLVQEATTKEGPRGALD GVGTVRSRGSRAEFGDRPRGSPNLRDSMVGLREAHGRHILEDGPRGSLEKNGVGFREA PVKTVAAALTTQRGELNPWRFAFLAGTRHRQYEVNTVKFDHSVLVRDLPPAPKSHREV EKHPLGWLFEEAERDHLKSHDPSGSWTTVPIGKAKGKQILDCMWVYVYKQDKKGRLVK CKARLVVRGDQEKRDDTRDTYAATLAARSFRTFMAIAARFNLELKQYDTVNAFVNAIL DEEIFMRMAPGYRESGKIYRLNKALYGLRRSPLLWQKELTSTLVELGFKTVPHEPCCM LKSGIILFFYVDDIVVAYKKSHQPEADSVMNQLRAKYKISGGGDLEWFLATYIDKIAK LADTRQTDDTPMAREELLPYEGMATYKSNHQYQRKVGSIMYVAVSTRPDVAFAVSRLS RFLSNPGPKHHQAANKVLCYLERHRAYALRLGGGEDYSVSTDASFADNTLDRWQANKQ DTVTTSTTEAELLALAQGVKEGKYVLQLLLELEIRFRTPTLHVFCDNQQTLGLLEKDA PRLRTKLRHVDIHNHWVRQEVQKGDIQVHYMPIKDMIANGLTKALSKQEHQKFLNQIG VEDIDSYLTPQQKDMENPDIEELLSLNDVPDNL TSTA_005980 MLSNIATKYKLNSPEDWDDWQNELLNRARANDLLPILQGTERPI KKPVRPKIQDYLPESQNTTQNRVGAAQGTRQNSAQSTQTTATEDITDDRTEQRARNDF NLLSGRFSVETSTKSLNG TSTA_005990 MPRELSTSVVDAFLYLARRVKNTPTNEQLAQRLAKVELHVEKTQ KEVSQASREITTTKSNTNRLVEAMCHPTSPGTRTAKNSPSFSHVTTSSESYVQAWGLG LSSGGSLPSTPYPSQEDLEVYLEHTDPNILNPIRRFPDKVVEKQDTTIAHRRIAAARI LPSGDIILLLGTVDDVDQLTRKKDWIRAFGNEACIRKRTWGVVVHGVNTNINPKQPQF ITTLTSENAPVFAQLPASMNVTHTGWLLSEYKIKEQKLTNTHLGRQHNVSIYDKAANL QQCFKCQMYKYIARHCQHQICCAYCAGSHDTGDCPTPKEKEYAKCANCTAENVHIKDP AKRLNTKHFAYARECPIRATCLTGAHQRRTYSPQYHTPVIRPGNI TSTA_006000 MASMELALAALRSADPGEKPNISLVARTYGVSQSGLYKRFHGVT GSKEEQYDKQRILTTTQSRALIKWINQLTERGLPPTNSMLANFAREISGKEPGKNWAS RWLKAHSDKVISRYSTGLDSDRKKADSAYKYALYFELIGRKIQQYNLGPEQIYNMDEK GFMLGVSTKRKRIFTRRKYEQGGYKQHLQDGNREWITTIGCICANGTALAPSLIYMAK SGFIQDSWLQDYDPQTQRCFFAASESGWTNNDIGYRWLVDVFDKETKSQASRGWRLLI LDGHGSHVTMKFIEYCDSNRILLAIFPAHATHTLQPLDVALFSPLSNAYTKQLDDFIR DSQGFTRLTKRDFFRLFWASWNEVFISKNINSAFRTTGLYPFDPEIVINKFNKKITSR PSSSESGASIIPPEDWRRLEKLVKTVVNNIYDEKAVQLRETVSHLSTQLILLQNENQG LKRALINAKKPKNKKQPLLLGLPSEQDGGALFMSPTKVQQARDIISQKNDEAAQKQAH KDDKKLQQQLKKQAREAEKVKRAQIRQEKREQREQEAAEKQRLKDEQELAKLADLQLQ NDVISTPKASKRPTKQISRQAKPRVQPEAHVEDNEVVVTTNRRGRAIRPPARRVIPQA VRDGSHHVTYDHILYKHITKLGSQLQEAQDHQYSADTDNVDALEDEYENLKEQLKPEG TPLCHGRVNRRT TSTA_006010 MYWLVKYDGLQRSCPGLSKVQRPTRQGKILFELQLCDLKRPICQ QCARLKFSCPGYGHDLDVILRNQTVAVVSRNRRKDERKRQSRSSAQVMSSTVHKSLAQ SVELRALTFLFTSSTILSKKDSRSSHGHLDFLRHLYDKTASDSALARATTWFAVLVLG LCDSGSKRLYCPEMDRLMSRALQSISNALKNPSDSITNETLTAVILLAHGDYLQYKRP QAITQTDIKMRMLVHQDGAEALIKKRNRSNFQDDTSIALFDAVRHNAVGLAFAGTRPM DQNYAMWTLFNDDKVRQLCDSYTFATELDACCLVILSLKNDIAREDVNTYTKLQTDLS SLLERLICWSRSLPNEWVLGLSTGQNPEIKSLDVCYLFNDWYLLQLAVRHLLKELRLK TMGPVFYASDFSDELDLIDNIMASESLFISTEQSISSDPHVADKLGEGRRLFYQTVEH LELIISDALEKLVLPHTISLCYRNVLTWGERER TSTA_006020 MFVSRITELGNKSYTGSEDKAPKSLYIFHCSNAIESKMSGFKYL NKLQDKRVLVFGGTSGMGYAVTEALLEHGAQVTISGSKPEKLENAVRRLHSSYPDLKE GQISTRLCDLGSSDGLEARIREALHYASKGGEHKIDHIVFTAGDTVDVAGGITGTDIH VINRLMGVRVLAPVLIGKVVATSDYVNKSSATSFTFTSGTAHLRPRPTWPIMAMLSGA LQGLSSGLAVELAPIRVNVVNPGFFETELVRGRPAEVLEMAKESSLTKRLGKPEDIAE AYLYFMKDASADGTAIISDNGKILSS TSTA_006030 MAVPQFAGMSGRKLSWSISTIATLGFLLFGYDQGVMSGIISAKP FNTVFKATEDDSTMQGTVTAIYEIGCLFGAMFILWFGDWLGRRKSVMLGAAIMILGVV IQVTAYTGHVPLAQFIVGRVVTGVGNGINTSTIPTYQAECSRTTNRGLLICIEGGVIA FGTLIAYWIDFGASYGPDDLTWRFPIAFQIVFGLGIIAGMFFLPESPRWLFMRERYQE GEAVIAALLNEETNSHHVQLQKTLVLDSIRASGQMGKSTPLSAVFTGGKTQHFRRMML GASSQFMQQIGGCNAVIYYFPILFENSIGQTHTMSMLLGGVNMIVYSIFATMSWFLIE RVGRRKLFLWGTIGQCGSMILTFACLIPGTPGPAKGAAVGLFTYIASFGATWLPLPWL YPAEISPIKTRAKANALSTCTNWLFNFLIVMVTPVMISNIKWGTYLFFAIVNACFLPV IYFWYPETARRSLEEIDIIFAKGYTENISYVRAARELPYLSDEDVERMAIQYGFGPAE VPSDSGEKASAHSEEFAETTGTPKQGEEHVSKMV TSTA_006040 MDKDVPIDNEVASDDDHGSNIIDVDESTVEEPKPKSTSSGSTAG KRVLTSTQPELTIKTPNAQFFGAMPRSDDDAPQSVIHAPPGFSDFVGKTGSNHRQNDG QSTSVTGDANIKETIVNNDRETDKKSVNSPASPPPITTAIPPVKDWSDRATPRAQTRQ EIEDFDRRHSSSLEDIPEANDADPPVASGASNSPVAMITANADPTPRKRYSFPNTTVH TPKDETAALWTALDECWTFCNTLANLSSNHRERLFNSTSKGDMQELAWKSCWKLCRRL YEGRADDYASQVDPTLDLCRDFCQALFEVRARENEDADSVLRVSFELNNHLYNASDHN LPPMFRERTLDFYITLCHRLMKQRMHLEEETDSLLHACWSLAEMLFSIRQSKRQGKSP DEELLGSAIQACWELCDLFREGWTQIRPDRGTPRPSQISFTEALQKAKESPVMIVDED TASQLNPETPTTIFEDTATISPDDAPIPNILVLGHAQAAQASQNKWSSGSSTLSGRSR TSEHTSSTNTVVTSAEDPNIIRLKLLITKAAMDTGYQRGGNQTLSSFSKSLSSDSFGT LPWQVSLLEQYKKLVTTDPAFRVVGAPGMAGAVDVARAVQSMVQNGQYTWLRDLYRLV FGFHVEEAVGRRNVTIQA TSTA_006050 MLPSGRTVKRQRPTDASQDAKVSRSKRLKTTSEEVLHKGNAAVA SSDKKKKSSDWSLELMFRGNIFNIDPVFSVDEKYLFFSRGDAVQVYSMATQRPVKTLT MKDSSHVTGLHLVPSDPQHLYISTLSGRLIQWDWDTGRETINRANFAKVSLFGIVPLK VQDEQKERLAYFAVKSKGSRHHIVVNTEWAQRKDSADNVVLDTANTINHFKVVQGGQF IVACARQQLIIGTLSPKSKEKGHYNDYEWREYRIPVKQITCLDVRETQTGVSGEKSTA SIDIAVGDASGVILVYNDVLGSISREGASGLPLLQRLHWHREAVASLRWSQDGNYIIS GGKESVIVFWQLDSGRRNFLPHLSSPIRSITISSVGALYAVQLADRSITVISATELNS VATIDGLHLPSTFDAIVDTKDRGVARSERLPAILHPLQPERLLLASPGGDSVNLTFLQ TFNIQTGLNISRQALARTNVTILNRGPEGTPIQPPNVEFMDLSVNGQWLATVDSWSPP RQDVEASVGPLLPEDPQLQRRTEIFLKFWHWSETQGIWELATRVESPHLAPSGEALQI LSLKARSDRPEFVTLGTDLLVKVWQPTARYTISTKSQPRSNSMEHTWKNLTSMDLSYV GCDASAGAMVFSEDGSILAVGIKNTVHLIDTRQWTVYCSRQVFPIDSVRSVEFQGRFL VVLSEQSLAVWNVVDDVVQTPAKSALTSSGSSKHIALAADSSSDTFAVVTRAKGANLP GQSSRKSTYNIAVYKTSTMTLLSESELEKPPIKFLADANNGGYIAIDAAANLWRFSHP SRNTQALTVSTEASVQHAAAGAGLDSIFGRAGQKTLEQTRQQQPITPTLKSENLGGIF DRAPPFALPPATALFKDVINALVSSS TSTA_006060 MIIPVRCFSCGKVVGDLWERYLQLLDDGVPDGDAMDQLGCKRYC CRRMVMTHVDLIEKLLRYNSSEREKAKTQA TSTA_006070 MVYEWEGKRDICYQMYIVDKKGLDEIMEYMRNTYQFAPSKRAFQ TQFKRWGFPSKQNPAHKNTQLVARIKQLWERNVCQRDMLKILNDEGYDIKERELMRVR AKNRWLLRVPNGMKSQTTIIQSPTETSVEEGVLSLQHEMYNGHNGFPGSDNQPLTEQT PVLTSYSPNLSPEVVAKRNERLERLKAESAERYATKKRRRRTRGWAGLPADPPGPPRF PSETTLDESKQYLNLDSAMYRQIRDEFQRICQEAGFLKKTIAGPERWQEAKNRLIRES PHLRSVFYVDPSMPDDTQLEAKNLALDVVCTDVTKRMRTLETRMTIAEAKNALGINPE ESRQIRHAFYSTLKADHFTSKLEAGDEHWNELKQRWIQGSELLQQILAPGLSDPNHNT KVKAIEVLCRDVMKRLRDDQAKQDPLKKRASSAKQMKARNQSSIENATSNAYNHGISN LASEALASASMASNDLGEMQIDPSLLQAANDTSLVRALQQDSDPAFNYVDTLLQNPSI PVYFRISPQSQNHTASRTWIGKLNSSSLAELHNLVQTKYPDSVVTRVEGVEKDERGGD ALLLIEEDDELDAYLSHMHGKKASFLICLSPKV TSTA_006080 MRRSSGQTKDAVLAPVARGGRHVPLILPRSACLFLYTHSPRRIP HRIYVSSSTGSKVNMALVARFDRACTLGDGDDAAFWEAGDLSIDILEGIIYQLVMFAN TAHKSWRDHHSGKSSPDSYRYSSSLSSQVALDTPSSEPATPVEVPLLVLPKRRGHQHS GKSSVKSAHIRKPSRDERSSTSIDLSRSSIELEGLGIYTNLERDTRFQQNRHSVSNLH RSISEISPSPSIRLGQTYVHPRRQLPTPSSPLGIPQRHSVDGGYFSTINRSMTGFTSP TLSIPDDEHEDNDSNSFDEADTPKTTSLELRRSTPIILQRAQTDTALSRASSWKESEF LSSTELTSHAAAVRAARIAFAEKEASKARKLEEQQKKKTQQRDERRHQRSVSHMVKYI DLEEDMAPDQVTSVPPLPGKPITPSSALGPPKLPAATKHNWNKFLTWGKTRYYKAKRK VSMPVPTFR TSTA_006090 MRRSVLRANPAAQCLRRPSVRTEHALSSPLYRRHLTSTRQLQEE PRPSFKGQLYESTQQRLKRERAEQERFLQYQTQSPGSRYAALMFVLVFFTTGGYYLGS LKPAAPSTSSTIPLSETRPVKHNTTTANLQAAWADFVNIVGKENVSTEEFDRMSHSGS EFSTYSPKDNEKPFIVVYPSTTEEVSRIMKICHERVIPVTAYSGGTSLEGHYAPTRGG VCIDFERMNKILAFHKEDLDVVVQPAVGWEDLNEEIAKEGLFFPPDPGPGAMIGGMVG TGCSGTNAYRYGTMREWVASLTVVLADGTVIKTRQRPKKSSAGYDLTKLFIGSEGTLG LVTEATLKLTVKPKSESVAVASFPSVQHAADCARRVVEEGIQVAGLEILDDVQMKCIN ASKSTRREWTEAPTLFFKFTGTPSGVKEQINIVQKLSKSTGSKTFEFARDDTEMKELW SARKAALWSVVAMKRDPGDHVWTTDVAVPISRLPDIMDKTKKDLTESGLLAGICGHVG DGNFHAIILFNDKQKDLAEEVVHRMVVNAVEMEGTVTGEHGVGLIKRDYLPHELGETA VDAMRKLKFALDPLCLLNCDKIVRVEPAAAGEIKDW TSTA_006100 MSLTETKNLSFVLEGIKKVKFEERPIPEIIDPYDVLINVKYTGI CGSDVHYWEHGSIGSFVVREPMVLGHESSGVVSKVGSKVTTLKVGDQVAMEPGIPCRR CEPCKSGKYHLCINMAFAATPPYDGTLARYYRLPEDFCYKLPENIPLKEGALIEPLGV AVHVVKQGGVVPGNSVVVFGAGPVGLLCGAVAKAFGASKVIISDIQQSRLDFAKKYIA DGTFQPARVSAEENANRLKEEHDILAGADVVLEASGAEPAVHTGIHALRTGGTFVQAG MGRSEINFPIMAVCGKELNFKGSFRYGSGDYKLAVELVATGKVSVKELITGEFKFEDA EQAYIDVKAGKGIKTIIVGL TSTA_006110 MPRHRKQSNASSTSSIPDRNQELESMYDYLAKVILIGPSGTGKS CLLHRFVKDEWRVLSSQTIGVEFSSKIVKIGTGSRRKRIKLQLWDTAGTERFRSVSRS YYRGAAGAVLVYDVSSYASFAALPTFLMDARALASPNLTTILAGNKTDLLVDGDETEM EETEDEASTSIANSVSSSRNTSMYMFDAASSKTGAGSLRSVTSTNFSTAGTRLTATKA SHGRQVSDLEAIEWATRSNIPVAVEVSAFSGQNVEELFTRLARIILTKIELGEIDPDD PQSGIQYGDSGAWGMAASDAASVRSGLTVDDSASQLHGRRKRNAKRSNNSSWMGSAGE WGDVFRITGSTRGGCC TSTA_006120 MYRPPPGPPPGWTAQQQDEINDNPPPYHNWQEQVPDTSTLPPPP AISRFASETGNASGDDADRAKEFCNERPLWRPVRPSEAVYTSTLNGDIRPGQPIEYRG TLDTPSRGHWRIRSDKNCRDCSIISLLPLYYAMRDSPMVTERPKTIYFEVTINKYHRR PFGGDASGFSIGFVAQPYPSWRSPGWDRASIGVFSDDGCRFVNDNLGGKEFTSAFRTE ETVGLGMRFNPPGITSTNAPSQRLVLDATVFFTRNGVEAGSWDLHEELDSEAEGVYGL EGKFDLYAAVGIFGGVDVEVKFDPAKWMARY TSTA_006130 MNEPDEPPIHRLGIRDRIHSYANHLLPHGSTSRRDTSILPITNQ QPKNQDGPHSSTGPIGPGAPTKAQPPQHVTYDPSATKGIPVDTHDGEKTSALDSDEPR PGFFSRAFTSVKLIILSSWVNWLLLFVPVGIALGGVQRSMGNDGPISPTVVFSINAVA IIPLAWMLGYATECVATDMGDTIGALLNVTFGNAVELIIFIIALVADEIAIVQASLLG SILANLLLILGMCFLFGGLRFREQLYNSAITQMSACLLSLSVISLLLPTAFHASFSTL SNADAAVLKVSRGTSVVLLLVYILYLLFQLKSHSYIYESTPQHVIDEESHPGVLADIL NSSSSSESSSDDDSDASSGSHTTVKRIRRALRKKRRRKSSSASKDTTSVPSLPSFVRT ASSGSQVIDDTSTHTSGHKVSAKSNGQESVTPERPPLSTESSSEVMTRDFTVDTENRT AEKKRKPRYTRSKDPMRRTSEEEGIIEEDIISQSTPAPGDSGEGASQKRPFNIRNISY KPALPRVLTPNVFTLSQAQPDSQLGAPAPGAGGNKSLRRTNSLPDRLNRPASGPAVLA VATAIPSAQPLPHLVSKKRVEIKDDPAEKPKLDRITAIVLLLITTALVAVCAEFLVDS IDYLVSTTGVSEAFIGLIILPIVGNAAEHVTAVTVASKNKMDLAIGVALGSSIQIALF VTPVIVLLGWILNTEMSLYFSLFETVSLFASAFIVNYLMLDGRSNYLEGALLIAAYVI IAVSAYFYPACENTSTVNGGTMTGQC TSTA_006140 MIYELIADLKGLSLVSTETYTTMAEVAQPRRSRRFSFSRRPQSI AVTEEPKSHPPVTTIGFPIDAIPLNQDNQQERPTSSWRNAFRRSQSVDRTNSRAPSSD RRASRRLVKKQQPGRDTSVSSQAPTLPNVPLLSNISTRIQSRRASVSNSDRPEAVEVP HTDLPSQYGYDRISSNTRHGQREQQKNDQPSEKDLPQAPATPTLRRVGSPFYLTASDK AAATSYDAVASMEQRPSLDTTQDDSMIPHSLRVGRSKMESQDRATSPIQGNPSYHSLP RYSPSDAPAQQVNYDSISSLVKGLNVSKEAVSEQRLSVDVDKRNERIKNLRPLKTMSP RAHHAKTPSSSSASSYGSSAFSAMGEPATPVSSNASTSNFETISSNNSKKEIPRNTMS PKHDKYLAKIFVICCHCNYWHDVPSGMYAQMNPQVHGLPDHLVRRVRRRNRQHQNNPY SQNDRLLRQDRRLHPRLRSSVHGASMRWQNHVAPVGLRWFI TSTA_006140 MAEVAQPRRSRRFSFSRRPQSIAVTEEPKSHPPVTTIGFPIDAI PLNQDNQQERPTSSWRNAFRRSQSVDRTNSRAPSSDRRASRRLVKKQQPGRDTSVSSQ APTLPNVPLLSNISTRIQSRRASVSNSDRPEAVEVPHTDLPSQYGYDRISSNTRHGQR EQQKNDQPSEKDLPQAPATPTLRRVGSPFYLTASDKAAATSYDAVASMEQRPSLDTTQ DDSMIPHSLRVGRSKMESQDRATSPIQGNPSYHSLPRYSPSDAPAQQVNYDSISSLVK GLNVSKEAVSEQRLSVDVDKRNERIKNLRPLKTMSPRAHHAKTPSSSSASSYGSSAFS AMGEPATPVSSNASTSNFETISSNNSKKEIPRNTMSPKHDKYLAKIFVICCHCNYWHD VPSGMYAQMNPQVHGLPDHLVRRVRRRNRQHQNNPYSQNDRLLRQDRRLHPRLRSSVH GASMRWQNHVAPVGLRWFI TSTA_006150 MSLSPIDIISSSSYPIPERFERGRIDSNILYGGLTLVQNEDTTR PSTPKAKSKRDTNKQGTSKSNDTKVSKRKRGRPRVLDKDENAAERRRTQIRLAQRAYR SRKEATISSLSQRLSVLDAAIREMNVSVGNFRNVLVGSGLLLQQTPVTYGFQKLLQKS DALMKLAKESSDYATANGSPVDESASNHSSLGEGGDALLMKQSPQISEDLEEFNFLLY GANDNASSMDVGSLFQGYDLDTVNQQQPPTTQTDDPGMQMISSYSTTESYPNSTSWLQ HNIMPTPLTYSHNESSLARRLARQCAEYGYRLLTDPNTDPKDILYTYRFSLSFRSKQA LTERFWNAITSATLTPNAVSSSSSSSNSSANTSYSTTPRYTLGNAGMHYSRLLPGNQT PPTATTNLYPFSKFIGPWPFHQGALSHDCTTLEEIVAARGMGGEWFDSNDVEGYIREK GIHVNTHASFVTLPESSVNLLEHLNENENERRGDLIDPSLKSVTLSSQDVSKDTKTKK KRQSTVIFDVDMFLKELISRGVCLADKAGYRKEDVEECFRISCYSVA TSTA_006160 MTKFANLPRSASKPLECGLEGHALLHDSYFNKGSAFPSHERRDF GLHGLLPPNVQTLEEQVKRAYQQYSTRPDDLAKNTFMASMKAQNQVLYYRLIQDHLKE MFSVIYTPTEGDAIQNYSRIFRKPEGCFLNIHDVDRIEHDLKQFTENGTNEDVDYIVV TDGEEILGIGDQGVGSVLISVAKLVLTTLCAGIHPARQLPVVLDCGTDNETLLKDDLY LGLRHKRVRGEKYDRFVDRFVNSAKKIFPNAYIHFEDFGLHNARRLLEKYRPQIACFN DDVQGTGCVTLAALMAGLHVSKVKLADVRIVIFGSGTAGTGIADQIRDAIATESGKSK EEAAKQIWCIDKPGLLFKSHGDKLTPAQVHYARDDSEWEDHNQTDLYNVVKKVKPHAL IGTSTKPNAFSEDIIREMANHVDRPIVFPLSNPTRLHEAHPNDINEWTEGKALIATGS PFPPVEYNGVKKKSRNAIIPPASPESV TSTA_006170 MRTSLATSALLLLSPIVSSLAVPRTDLLSLEEREELAAVVIPDV VDLEKRRGGGGGGKGGSSGSSGSSGSSGSSGSSGSSGSSGSSGSSGSSGSSGGSKGGS SSSSSSGSSGSKGSSSSGSKSGKSSSNGKSGAGSSGSTSPSSNTGGKTSAGSGTSRSY GGGSYYPGGATTPFAAGSRSSSGITPYLFAGGALGIAAFAAFHYPFYGYPYGAPYYYH HPWNQTQQQTDNNNSTGNYTMNVVCYCEQYSECGCDDNKNATFLNELIGDPPHNSSVV TITKNGTNETAYVNGTLANGTTAADPSISGTSSNLAFPWTGTWPVVVLVSGMMYAL TSTA_006170 MRTSLATSALLLLSPIVSSLAVPRTDLLSLEEREELAAVVIPDV VDLEKRRGGGGGGKGGSSGSSGSSGSSGSSGSSGSSGSSGSSGSSGSSGSSGGSKGSS GSTSPSSNTGGKTSAGSGTSRSYGGGSYYPGGATTPFAAGSRSSSGITPYLFAGGALG IAAFAAFHYPFYGYPYGAPYYYHHPWNQTQQQTDNNNSTGNYTMNVVCYCEQYSECGC DDNKNATFLNELIGDPPHNSSVVTITKNGTNETAYVNGTLANGTTAADPSISGTSSNL AFPWTGTWPVVVLVSGMMYAL TSTA_006180 MAEELEGLTGALEEKTNVCGTTDEEEEVDVDVDVEVEVDQGVGV GVGVGVGVVDDEDFGEMHVVLIEPVFGLFIDVGMISNAEQRSTSNCNEWTTAEYLTSV DSTITQ TSTA_006190 MESPSVSGGDISSHAGGIDSPEKPGSIKNNSETHTTSIEQSVKT FRLFEILRSGDTTAISKALREAKDQSASGGPGGTTILHLAIQCADLQVVEYVMASGAD IDVNARDREGNTPLHLAAQLGRDTVVQSLLDLPQIDDSIANYRGQTALDVARTPELFE KLQLARSLFIDTKTKQIQDMVVRADYEGLEKLLEEPRVQGNLDVNALELVTDTVTAQS GGTLLHEGARKKDTKLLQILLLHGADPFRRDKKGKLPQDVTKDDKTRAIVKKSPAAII AQRGIQERAILGNAPSQAASSSRAVSAEVTLAGKDAREMKGYLKKWTNYTSGFKLRWF VLEDGVLSYYKHQDDAGSACRGAISMRIAKLHMDAQDKTRFEIHGKSSVKYHLKANHV VEAKRWFWALNNAIQWAKDEAKEEEKRRTRDAEFLQAKIHNVENRTPEGPVSELTSVS SKLNVRGGPAVTDPRSSFTRVNTHTSRNTVEPPGDDEASAYGSYAQSVAHTDMNRAAA PSGGLDGEADYEDYNDYASSHDAQPSNKDAFYITAQSAKLQLDLLSNVSASLLAEKHK NPELTVSDPAIDQALSTYESAVCSLHDLLANLMKISRDRDAYWQYRLDKESDTRKMWE ESMAQIVREHEELQLKVGESEEKRKRTKKALKEVLEKNTNGADVERVPFRGNAKEKDI FEEAETGPETADYSTQEATVNNVKQVKISDGVSRRQTISEYIDLAESDSEDEDEFFDA IDKEEVEVGAVLSSERLVESETVQNEAADLRAAKLAAIESAYKGYEDPVRTRLNMDKD DRPKLSLWGILKSMIGKDMTKMTLPVSFNEPTSLLQRVAEDMEYADLLDMAADRTESL ERLLYVAAYAISEYASTIGRVAKPFNPLLGETFEYTRPDKQYRFYVEQVSHHPPIGAA WAESPRWDYFGESALKSKFYGKSFDINLLGTWFCKLRPITGEEELYTWKKVTSSVIGI ITGNPTVDNYGPMEVRNWKTGEVCYLDFKARGWKASSAYQVTGKVVDAAGVPRWSIGG RWNDKIFARPLSGHEGTISEHDESSKAILLWQANPRPSGIPFNLTPFVITLNAIPDRL RPFLPPTDTRLRPDQRAMEDGEYDFAAAEKHRVEEKQRAKRREREKNGEEFVPQWFQR AKCPITGEEYWHSTGEYWRCREVSDWSNAIDLEMVSEYTCSRCIQALKPHIHHRMTLS TFRRLPSQASRRCNFSTTTGPRSSPVRIHNGSNGSQFKTIKNSFSVAVDNTTATTTRS SLLLPTKQVARNVHSAAAIVTPPTASRETAALRRPDNLFHHYSSSPSSIIRKRAAFIK QNAFCPHPSHQQTRAPLGTSKDDVVASATLPPAHSHFECPDCGVPLYCSEEHWMDDYE AHLEVCDTIRQINEDDHDLVSGRFFPEFSYPGPQDDNFVVNMTNWDTFLYTREFEAID NERSMRQVTRMLTYPVTIASIIHELSPYTVHKEGRLTTEGLKSFSALRYTLHPPKQGE GKDIRGLRLKAPPVRIFILGARAESSLPREVWLQLTHMFPNALINLIFIGPESMANRD DEFPLPERTPSNPFGGIVEDRLGPQMKITTYVDYFHTMHDAQYFYPYDPYFDCFMLFH PGLGHPASSHEWAETLPKLLETKVPIICTGYTQSDLERDINWVREKCAGEFDVLLEPG ENRFRSLRWDLNDMDPSDVSAGNWGVWAFRGKRYVICSVTVRGMHAN TSTA_006200 MAFPFMRSFILLFLYRYVRLVVNLWSFYTFKPIPIPESPKLTAE DVTVIVPSLEGCGDELVETIRTILDNRPHELLLVTIEANRKDAEKMLKAMPAYLNDRI RLFTVTHPNKRRQMTRAIPEVRTAITIFADDDVSWPSTALPWILAPFDTDERYGGVVT CQRLRRAANPTFSEKIWNFLGALYLERRNFDCAATTHIDGGVPCLSGRTVAYRTKILQ DEVFTNDFTNEEWWFGKYQLNADDDNFITRWMVSHGWETYIQYHPEVEVKTTLENNPK FLKQCARWSRSNWRSNLTSMFAERHIWYRQPWSTYAVHLTTLSPPALLGDCLLIYLCH KATESLDGDAHWFAMRALGIWMFISKFIKLMGHYRRYPADFLLLPVSILFGYFHGAIK IYAAVTLNVTAWGSREGADANDAERMRKRSDIEREKQARRMASMYPLNKVTWPSSGYA PIA TSTA_006200 MAFPFMRSFILLFLYRYVRLVVNLWSFYTFKPIPIPESPKLTAE DVTVIVPSLEGCGDELVETIRTILDNRPHELLLVTIEANRKDAEKMLKAMPAYLNDRI RLFTVTHPNKRRQMTRAIPEVRTAITIFADDDVSWPSTALPWILAPFDTDERYGGVVT CQRLRRAANPTFSEKIWNFLGALYLERRNFDCAATTHIDGGVPCLSGRTVAYRTKILQ DEVFTNDFTNEEWWFGKYQLNADDDNFITRWMVSHGWETYIQYHPEVEVKTTLENNPK FLKQCARWSRSNWRSNLTSMFAERHIWYRQPWSTYAVHLTTLSPPALLGDCLLIYLCH KATESLDGDAHWFAMRALGIWMFISKFIKLMGHYRRYPADFLLLPVSILFGYFHGAIK IYAAVTLNVTAWGSREGADANDAERMRKRSDIEREKQARRMASMYPLNKVTWPSSGYA PIA TSTA_006200 MAFPFMRSFILLFLYRYVRLVVNLWSFYTFKPIPIPESPKLTAE DVTVIVPSLEGCGDELVETIRTILDNRPHELLLVTIEANRKDAEKMLKAMPAYLNDRI RLFTVTHPNKRRQMTRAIPEVRTAITIFADDDVSWPSTALPWILAPFDTDERYGGVVT CQRLRRAANPTFSEKIWNFLGALYLERRNFDCAATTHIDGGVPCLSGRTVAYRTKILQ DEVFTNDFTNEEWWFGKYQLNADDDNFITRWMVSHGWETYIQYHPEVEVKTTLENNPK FLKQCARWSRSNWRSNLTSMFAERHIWYRQPWSTYAVHLTTLSPPALLGDCLLIYLCH KATESLDGDAHWFAMRALGIWMFISKFIKLMGHYRRYPADFLLLPVSILFGYFHGAIK IYAAVTLNVVSTSNFLFLLFYVVAVAGFQSQ TSTA_006210 MRTQLRRTATILLSHRLPLSISSPTSSSGVRCFHCAIRTWAIAH PITAHGPPPKAPTPSAEFRNDPRRAGLERQKPSQQQESQTSPSTSSPESTTTRVSQAK TSPLKSRFWKDVNVKESSDGYQILLDTRPVRSPTKAILTVPNTKRHLAEAIALEWDFL TSAQQALKQHLIPLTSLTTRATDIVQEDELGQQRIRQEIARTAMRYLETDTLLCWVPE RGIHNPLSSAAVAPGEETLRDKQVRVAKEIINFLTRTIWPGVEIKPVLDENSILPTPQ DETTLETIRNWVSGLPAYELAGLERAILASKSLLVAVRLVVEWGEHFSSLQETLHQQY EEGKQVSRFGVEEAARASSLEVTHQTEMWGEVEDTHDVDREDLRRQLGSVILLVSGDR K TSTA_006220 MSTASNRSSGINRKLTDLQNEAKHSHSRSLSSTRRRLLSSADAY SYALRVAFLSHLLQPRARRTQTVTQTPTHTRRSSSSFQDLLGDFVRLRDSKSTRFPHG FIAELEKRLTGILMGKERRKEYQDPVVIRSFAVFLNALKDPSFKRRMEQDRRVEDLVL IFFSNATKELGKGKDHQDDSWSLMVDRHVALFVRLISLILKEHDWAKERPELTSRLAV LESKLLAHDQDLAETNGSTKTVETLAPVSYDVKDMPLVQLVARIFGISAFQAQDDIDR NKNEWTPKAALQDLKNYQAHLTLKTGKTLSRDDFPDDESYEIWKRGEGPDLSQMMLAI VQSNPELAKSTPGATLPQFISQDSVNSTFSDLSPTTSNRSNRISYIIEQPIDLSSLSL VDEADPDHVYTFIPSDPRSLYRAILSRALMYDLQDQKLEATEATSDVPSLKLLSKQST ELLNEICLRWRIPASSRVVLFLDVIREKFVDQQIDINTVDAAFTFVKQVPTQEGKKRS SVLASVIFERNRWILPDISLMRDLLSALQEALLRELYDVMMHCYDPKPHPIGPVMYIL DNHVRLDPQFEDTPDKLGAFSKYVEEGLTEKAKETYQRLLDEAIPADHMQWEAYNVAQ LGDSIKKLAQKITKRYKNNPVIMGVNPLNILLTCILPMYANDAHEIVLRLLEREKEQG IEIPVQDGFDLYKGLSEVRRLHMNALPDVPFSIPVEKLLSNFVWRWIQDTDGKFMEWV EQAARQDNFSVRSDDSNELPPDDKRHSVSAIDIFRSFNQVVDDMKNLQWEDILQYAKF MTALSKSIGRAIARYCEILEQNFTKEMDRLSPDQEASLAQSRQEKFMQMAKEAWANRE KIEPFQFLPESLVKLNNIEYAIGQLDKLEKEINVDGCADVIAKNTPPITQQKIRKATT YVFTVKIVEGEDLKACDINGWSDPYVVLTDEYQKRIAKTHIVYRNLNPRWDDSVDITT KGALNIIATVWDWDAVGDHDYVGRTSLKLDPAHFSDFLPREFWLDLDTQGRILIRVSM EGERDDIQFYFGKCFRTLKRTEKEMTRKITEKLSAYISHSLSRRALKAMLNRGLTISS FLSRNRTGHTSTMPTQEEIETALDPLFTYFNDNFEIMNKTLTSEAMKMVMARLWKEVL VTIEGLLVPPLSDKPSHQRPLTQQEVDIVSKWLGLLLTFFQVPDEETGEAHGVSLDIL KSPKYHDIQSLIFFYFESTDTLIRTSERMASANAARQQMNKNKMLTPSGPGSIGGPGG MLGVPVRRAKSVMFVRNMGTMRKAKAEKWREAQADPNDDMILRILRMRPEAAGYLRDR SRQKERLAAAAAADAIVKQSLVASSGGRMAGTLGGRW TSTA_006230 MLSRRLVTGRMAQIASRHAHPRASFSQFRALRAQTEDDDPHLNG NYPNPPAVKRQFRDPNVDWWDKQERRNFGEPVHEDNDVLGVFSPEQYTHVTSNKAFLS IGTFVVGFLGLCGLVSLYYPDKPSVPRTFPNGGLEKELGGSGAVRAHKTGEDTW TSTA_006240 MQNGTPNGTSQHDEHVTPVPSENLRVSPKPDAVETVVAFMQTPG EQKVDGIVDTHTEEPPSKKRKLAEGKRSTPRPISPPWKKAGVDGPTSFFEGGRRKSSR TNAIPLDLQPASAKRQTRGTQKDYTTKPTTPAVSSPLSLTPVRTTGSGRRSLGTKTGS NGMVSNAEKKETRRKSSSRLSLSGATNVESSLPATNSAKSRARTQISTKAVVNGGGSR HTRRNASISTAESPSISRGLDTPVEGDAHKIGGDLKVPRLRLKLKKGSVSVQHPGHVV PPKHYPSFRDWVQAADVLAGENVISTSEDARQEAVKRLRVLEAGEPGGILSPQVCSAC LPEPQEEPPIQYSHHDHLVAHALYFRKLLDQEHKRHRHTARQLAHWCAEVWRKRNKRP EDILREQVEETKAKRRQVVRDLQKQFDLVRAEVDRVRLARWEEERKAENQQALNQAIK QSTMLFEKRRQEILGVGSDMDTFSEDVDEESEDDDEDEESITSDADEGNMSSSESDDE SENVVDDDEGLTAEELRLKYANIPDTIFTNHDSESESSMRGTSDDGRTEGDFASQNAS GAPSVMPPDLDDVDPLLLDDSDESTDMEDDMGDSDDMSDEDSDEDEDSDASDDGPGLL GFLSSRDRLVAASANIPQPEDLDEQDAEEGEAQVDENIEMEDADEVSLIPTAPVEQAE KADNAENEGQQAQEHLNLEAHTTEDNDVSKIEAPKEEEDRKAVAERMDTEPGFTARAE DGTHEIHHSGDASSQASPGTVATKPSEPESMSSYEPQGDKQSVTESPVPAGLKTPIPH LLRGTLREYQHYGLDWLAGLYTNHINGILADEMGLGKTIQTIALLAHLAVEHEVWGPH LVVVPTSVILNWEMEFKKWCPGFKIMTYYGNQEERKAKRRGWTDDSSWDVLITSYQLV LQDQQVLKRRSWHYMILDEAHNIKNFRSQRWQALLTFRTRARLLLTGTPLQNNLTELW SLLFFLMPSDEDGAGVEGFADLRNFSEWFRRPVEQILEHGRETMDDEAKQIVHKLHTI LRPYLLRRLKADVEKQMPAKYEHVVTCRLSKRQRYLYDGFMSRAQTKETLASGNYLSI INCLMQLRKVCNHPDLFETRQISTSFAMPTSVSHDYEAKDKLIRRRLLYQHPFDKLDL DFLNLAPISREDLSTRLVQDSSRIMAFGPLKTLRERQYKRTNWQMGFDGSSVRSILES MDNAARKKRMNELESALYFESNRHGRRPVWGKSLIKFLTIESPYNGVATRDSRRISKL EQLANQSSVLASMINSIQDRSQSMEGYIQRFGCVTPAAVAAGTTEAAITPVESRYFDP KMRYENYDPFHEAQMRLSIAFPDKRLLQYDCGKLQQLDKLLRELQAGGHRALIFTQMT KMLDILEQFLNIHGHRYLRLDGTTKVEQRQMLTDRFNNDNRILAFILSTRSGGLGINL TGADSVIFYDLDWNPAMDKQCQDRCHRIGQTRDVHIYRFVSEFTIESNILRKANQKRM LDDVVIQEGGFTTDYFTRVNDVRKAIDESAPDDQQDEASKAMDRVLDNRGGGGLAVTS TRVFEQAEDKEDIDAAKNAQKEMEHADDGDFEETGTTTAQILSGAGTPSVVDGGEKAE DTEGKEAETEPNHIDDYLVRFMEWNLRDEPLVLPPDKAKKKSKRGKEHRIRRR TSTA_006250 MKTSIPSDVWEKKKALIARLYKDEEWPLKQVIKQIRTEDFNPSE TQLRSRLKKWRVTKPSRQIRKKPAGEQGNNNDEEDEEDPSEEMSPMERRNNKSDMKKN TPTTSAAMAIPTPPQSQHSQSDNLAITREWYPTDVWVQSQQEQQHIPPQQTVVVTQPE AVATQAWTASIPSPSPITATTPERHPSHGAVSTPAVTQYATHGLSAYDLPHPQPQQPS PTNSIPPPQTTHVLSPAYVSPISPTYAMAPISYPQPAPPTATHWPTGNDYIEPDSNPA AAMTMQPSSWYTAMYDAANTTAVTANAAYYQRAVNPAAPVAGYNPVMQHMPSPQEMAT SYQVQAHAHAQAQARPMTAPGYHGYDEGVSVRPWRRATASHYTPEYVPGVVRVDRQGR QRKPLPDRKKESVTEQMDIMAAQQQQQQKQQHQQRELEHLQSMQQSMHTAIQPVYQHP HHPQQHPHYIAAGHSMIPQDMYAYAGHEHILQRPMGH TSTA_006260 MRAIQVSSYVKGPLDLKITDLPTPNPTSDKYLIEIHSAGTNFFD ILQIQGKYQHQPPFPWISGSEFAGTILATPTDPKGIYKFKKGDRVFGAAQGAYATHIL APETSLFPVPRGWSFADAAGLFVTAPTSYGGLVTRANLQAGEWVLVHAAAGGVGLAAV QIAKALGATVIAAVGSEAKGQVAKRFGADYVVDYRADKNWPEQVKKLCKQNRTGNGKA GVDVVYDPVGMIEQSLKCVAWNARLLVIGFAAGTIEKVALNRVLLKNVSIVGIHWGQY ANFERETVPVVWKGIFDLIDQGKFQSTAFTDESFIGLESVPRALKALGSRGTWGKVVV KIVDDKGKL TSTA_006270 MTKPVVYVLDPYHEHAVVLLQLTKSVDVVLWNDDHKADWRQHAQ EVLVRSETQLRVSDFAQAKQLRIVVKQGVGCDNIDLNAAKAGRGRGTQYSRLKQRICG RVHSRSSPLPERKDSTLDKLLRSSDVVLLHFPLLKNIRGLIKERELNMMKQTAILINA ARGGIVVENALLQALKEKKIWGAALDAMEVKSPTLDAYRNFYGLNNVIITPHIGASTV ENQINSGIAAARAVGCFRREEQCSWEVGMNIIYCEQVNTLETFCMK TSTA_006280 MGASEDPQHIIKAYSEVMQDIERTEIDNVAEEIEKVSRVRQDEE EWIKAQKKYLWKLDYILLPAVTILYFFEYLNRGNVGNAKLYGWDAGHNSEKEAVGLGA KSLSSSGLPLVYADEPLPVSSGALEDLFGMRIVYYLSLWYHRTELGMRVSWFLGPTSI AGAIGGLIAYGIGRIRSDTPAWKWLFSLKPCLFFGWDYSVGTGCQTAQIRTHASPAQL PTGGWMRKLRLSPDGAMISSISGFLQTIIRNLGYTEATKANPMTAPPYASAFILMFLA SYSSDHFCNRGRHVTILMSISTIISTIAYALFATLPEANLHGKYACVCIAVACVYATY PPTQAWVANNFGNETKRPISMGLYTALGNLGLRRGISFTWGLSIATAVLALASHMLLE AIDKRRDKKYRRPVPGQSLDVSEFADSAPMFRFIT TSTA_006290 MPRYARPIKAGDMIWAPAGTAHWDGADDGSIMTCFVIGLEASTH RFIPQVPLQVRLGMA TSTA_006300 MSSQDYKFEGWMGDSPASVNGAMEWREFKPKEWEETDVDIKITH CGVCGSDLHALRSGWGPTNYPCCVGHEIVGIVVRVGSKAEGGIKIGDRVGVGAQGESC LGRKGPCEDCASGNERYCGRHWAGTYDGVFMNGDKSYGGYALYNRTPSHFVVKIPDSI PSAEAAPMLCGGATTYTPLKRDGCGPGKSVGIIGVGGLGHFGILFAKALGADKVVAIS RKGNKREDALKLGADEYIATDEDEGWAEKYNRSLDIILSTVSSAKMPLTQYVGLLKVG GTLTQLGNPDDGKLAIPAGALILRGAKLGGSVIGSPGDIRELLALAAEKKIKPWIEER PMKDANQTILDLNDGKARYRYVLVNEW TSTA_006310 MVRFPYRSRSLQNFHGWPDALLALSQSTAMMKSSNGLTVAPTRI GITKSNVGYTNTSASILKFQNVLQADIRSQNLLDMNDDLKFTDFAGSSIDGEDAYVSA SARAHGRSLCSWQFPDTRHLILGPVIKKCWKMQYEDVAEVLDDLRYLKERPS TSTA_006320 MQPISSISRRTLSQLMSSSLRPIATSRLALHSSHLLSSSNRNYT QSSAMATEMTPVFAQDAAPPAGPYSHAIKAQGLIFASGCIPCDSAGKILETGTLQEKT ALCIQNVRAVLAAAGSDITKVVKVTVFLTDMADFADMNEEYSKHFAHKPARSCVAVKQ LPKGVPVEVEVVALQ TSTA_006320 MATEMTPVFAQDAAPPAGPYSHAIKAQGLIFASGCIPCDSAGKI LETGTLQEKTALCIQNVRAVLAAAGSDITKVVKVTVFLTDMADFADMNEEYSKHFAHK PARSCVAVKQLPKGVPVEVEVVALQ TSTA_006330 MSPARVFEPTHKDNDLQTLTSALDALLVTVHQLSLREQELQRRV RLAHDEYRKLADRVEGGLTNVEQSVLEQIRPTDSQPLPKLDPSLKPLDVVDNLQEHGH IQRQLLDVVVAGIISSRSFDGDVRTDDNASLEVTSNNPCVVAAKARRSSLFERDFTTS NGVKGSLRCPYAKPSQATTNGHVNGTALTTVNNIDGQSSNTKCAFDPIKADQSTTAQD RASNTGASARSSAARCPIRYMDDHSPEELAKYFEMHKHEIPRSHAICVSRFQRNQSTM RQIDAKYGSLVNMIQGLGERHKPLLPESGQNGSSVERVEKWAEDVSSKSPHPATLSTV EEDEVLGDRVAGDEEDRVGHFERPLREIRVGESPSRPWGIPVPILNHNQPALGSAINS PAAPIHVPELKTPKLTNSDIPSAVVQLHDTTSPTPVRPKGKCPFDHTAFMKKPEPTAD ATLKEAGSPMYQQHMNSNARAQEDKVDNAYTEPKVQTSAPPPPSAPASNNPSQMVFNG PVFFGYSAEETAVLMQQLSSGK TSTA_006340 MSQQITAQDASNTAQESGHATSHPPRTTELASLKIRLRSALRQF PDFPEPGILFEDILPLFADPTLHEALIRSLELHILETYHEKPDVIVGLDARGFLFGPS LALRLGAGFAPVRKRGKLPGPCETESFKKEYGEDFFQMQADAVKKGQKVLVVDDIIAT GGSAAAAGNLVTKLGGTLIGFVFILELDFLKGRDKLPAPVFTLLHGQEGKV TSTA_006350 MATPEPTSPPRATSAAALEPSDSRQNSARLNRDERIRVLTLRDA GFTYLQISQQLQISYRQVQYTCQSQQATPKKARGNPPKLSEAEVDHIIEWITSSKRTR HKHKQVRLAWALEHLNWTTEQWNRILWSDETWVTSGFHTRIWVTRKAGEELEETCIRS SPARKRGWMFWATFHGNNKGPCLFWEREWGTINSERYCQRVIPIIDGYIRLLRDDIWL QFMQDGAPGHASKETLEELHSRGIYPIYWPAFSPDLNPIEAVWNWMKDWIQEQYPDDE QLSYDRLREVVRAAWDALPEQFLKELIDSMHARCQAVIDARGGHTKY TSTA_006360 MAAPVVLILGAGPRIGASVAENFASKGYKVAVASRKGENKINEK GYLSIKADFTNPESIPAVFEAVKAEFQTAPSVVVYNAATLTPPPVQDSVLSIPSERVT GDLIVNTVSPYVAAQQALAAWESLPKEAKKTFIYTGNALSSNIIPIPMMLNLGVGKSA SSFWVGVADALYSAKGIRFFYADERHEDGKFKGMAVDGPAHGEFYPQLASNDGGVPWH ATFIKGKGYVKF TSTA_006370 MTSSKRTPVYFLGIGGPNFIGDTKHPAYFKLAEVGQEITQKVQP KAVVVFSAHWQGGPNTIQINTAEKTDLIYDFYGFPPHYYEVEYPNRGSREVAEKVMER LSAKGIDVEPVKRGLDHGVWAGFIAAFDPKKNPLDVPIVEVSIFGSEDSDQHYRVGEA LQSLRDEGILIMGAGMVVHNLRDYRSIMNTNKVMPYVYTFDEVLKEAVTVAAKDRRSA LSTLMKQPLARQANPTFEHILPLHVAAGAAGEDVGERLWTLPEKSLSWAQYRFGSVC TSTA_006370 MTSSKRTPVYFLGIGGPNFIGDTKHPAYFKLAEVGQEITQKVQP KAVVVFSAHWQGGPNTIQINTAEKTDLIYDFYGFPPHYYEVEYPNRGSREVAEKVMER LSAKGIDVEPVKRGLDHGVWAGFIAAFDPKKNPLDVPIVEVSIFGSEDSDQHYRVGEA LQSLRDEGILIMGAGMVVHNLRDYRSIMNTNKVMPYVYTFDEVLKEAVTVAAKDRRSA LSTLMKQPLARQANPTFEHILPLHVAAGAAGEDVGERLWTLPEKSLSWAQYRFGSVC TSTA_006380 MSSTTEIPGHKPIVAQDAIAEDSSTNRLLDHNQTILQQQFTDKA NIANFQPTSSTSSESKRKVYYFAYGSNLSPTQMHLRCEHDPSTSGRPLAIARIDRWRW LICERGYANIIPPKEWRIGRQSDVVFGVLYEMTPEDEYLLDGYEGVDHSAPASQYGNK IPTAIRPREQDNGAYNKWYVPAKVTKWLVTEEGQEEDVTVLVYVDEKRVTVGPPKFEA IKESLELGMPRSWVESVMRKAIPES TSTA_006390 MPQQFQQFQLPDGRNLDYCVNGPEDGIPLVWLHGTPSAGIPAPN LVTACAKKGIKVIALSRPGYGGSSRNKGRQVVDTVADIKSLLNHLGVKKCLVGGWSGG GPLTLACAARLPTCLAAVSFAGVGPYGVEGLDWWVGQGEDNVEEFSQALKGEPQLRQF CESHRKEFLQSDLDGVMEAMSTLLPPCDNATLIQNRDTIGQNMIDMLQEGLKHNADGW VDDDLELLKPWGFELSEIRVPVVLLQGTEDKMVPFGHGKWLAEHLPQDKVKAHLLEGH GHISIFEGIDRIIDELIAVANL TSTA_006400 MRTRCQCPNIPGLSSPTCLRWVLLALALWLVRVQALKDHEIRDL RLQAEQMFYHGYDNYIKYAFPEDELRPLTCGPLTRDRDHPERVELNDVLGNYSLTLID SLSTLGILASSNDTGSRAWTHFQNGVQEIVRLYGDGSQGPKGQGERARGFDLDSKVQV FETVIRGLGGLLSAHLFAVGDLPVRGYNPSPEAADYAKAWDKSAFSSSKQPKGIAWSN GFLYDGQLLRLARDLADRLLPAFYTPTGLPYPRVNLRHGVPFYENSPLSKIYPGQRSD NRKKYTTRFQSRPEVTETCSAGAGSLILEFATLSRLTGDGRYEDLAKRAFWAVWLRRS EIDLIGAGIDAETGNWVAPFTGVGAGIDSFFEYAYKSYILLSSGEPPEFDTSSPWSLM DNYFISLEEEHHSPGFYFQVWQDAQDAIKHHLYRGHGYQHPHYVQADLQTGATRAFWI DSLSAFYPGVLTLAGKIEEATEIHLLATALWTRFSALPERWNVATGEIDNGLGWWGGR PEFIESTYYLYRATKDPWYLHVGEMVLRDIKRRCWTRCGWAGLQDVRTGELQDRMESF FLGETAKYMFLLFDPDHLLNKLDAPFVFTTEGHPLIFPKSGSTASSPAKSEIENVTDT CELAPVPPPFSFSSTAARPDIFHAASLARLHLMPTRNEAGGALFDFAQDHPSVTASDL FSPSNYTFYPWTLPPELVPYNAMSSPMSIRPTLDISFPKLPGMIIGSGSMERVQDGVL LKSIGGLRLGMVQDIPLGIEDGSTGIYTDLFRIQVINNLPLGRDEKVYLSREIVFDVL SPNDPHFSRVRDPVMLDVVIDVESESTQSKNKNDTKSSRHQKSKQEQTIIRQESPTLS DDGTFLNGQISTASSVRTALAALMGHISTILGDERGNNLDGDNESHYSGNVQSSLFKR KTSSGTSTRLILPAINSIGAGSAPIPDIVDAAIFSHNGKPATTRLTWSKIYLADELCD HRLPADVPREYQVLVIKRGGCSFSEKLKNIPGYPNSGSSNDSNRLQLVIVVSYPEHDA GSMFSFYHEGKEAFHASDEQHFYDEQYYQRPFTSTNPNLMQARAALSSESRLVRPHLE EIQMTSSGLPRREPISMIMVGGGEETYELLRSSTGLGVRRRWIVKSQNVPIGNLYII TSTA_006410 MGSSLAASASSDSVGGRIHQHIVSPTMPPSAYDVSYPKSHSLSE LGNHSRVEPIQRDSVYGNGFIDEIYSTPYNLHSSASGTMAEYYSPLGGGRTWNSVPAP AMTAELEQSQNAIFYTTDVPSFYSTANTLSSEDLTGNRLLPVPTSSGPPSGHGSSTTS NFRDAEGTTSGQAFMTGVDTGNVYFSGLKSLSSSRAKSISTSIPFSRITDSALTMAPA TSFPRSGTADSSDLEDPLIMSMNGIKGSQDDGYNKTSKSPVYGYRLRDRHDEYVTRSD SGSYSHTRTFLHSLSNVRGLSLSHQGY TSTA_006410 MGSSLAASASSDSVGGRIHQHIVSPTMPPSAYDVSYPKSHSLSE LGNHSRVEPIQRDSVYGNGFIDEIYSTPYNLHSSASGTMAEYYSPLGGGRTWNSVPAP AMTAELEQSQNAIFYTTDVPSFYSTANTLSSEDLTGNRLLPVPTSSGPPSGHGSSTTS NFRDAEGTTSGQAFMTGVDTGNVYFSGLKSLSSSRAKSISTSIPFSSMYDTSGMLSRE EKPEVHSPSQNLMRYSGITDSALTMAPATSFPRSGTADSSDLEDPLIMSMNGIKGSQD DGYNKTSKSPVYGYRLRDRHDEYVTRSDSGSYSHTRTFLHSLSNVRGLSLSHQGY TSTA_006420 MYHSSHFMKTEDRSVMPQMPSSSSTGKHRGMKRDTMRASPGRHS TCPPDPDSRNRTQARRRIQLAHFDQSSVYGVEGEKSDAVGKLLMVRHASTARTLPTLT IASS TSTA_006430 MSVLLETSLGDLVIDLLVDVAPKACENFLKLCKVKYYNFSPVHS VQKNFTFQTGDPIGPGSPDSDGGSSIWGLLKGRTHKTFAVDMPPKLKHTERGTVSMAT VPSPKDPDERLAGSQFIVTLGDNLDYLDGKAAIFGKVVEGFDVLEKINEVFIDDNGRP LKDIRIRHTVVLDDPYDDPPGLEVPPESPLPSKAQLATVRIADDEELDENIDEEAMEK LRREREARAQALTLEMVGDLPFAEVKPPENVLFVCKLNPVTQDEDLHLIFSRFGPILS CEVIRDKRTGDSLQYAFIEFENQKDCEQAYFKMEGVLIDDHRIHVDFSQSVSKLSDTW RQATVQKRQGGGFGGISSLERKRQYRTAEDRGRPDRYGMVFDKDEMRRPPPSRDEKPL RRSHSRSRSPKIRGPAPRRFDDQHRDDRYRDGRNRDRDRDRDRDRNRNRDRYTPDRRD YDRRR TSTA_006440 MTSVVQNIIDKIQGNKGEEGPREPSAEELQQLRQKYEAANQGHV FKFYDHLKSAEKGQLFQQLLTFNPNRINELAEIALHPPQTSSDGPVKLEPLPTSATAS MLDSAQEDLERYYNEGLRLVSENKVAVVLMAGGQGTRLGSSAPKGCFDIGLPSHKSLF QLQAERISKIQSLAEKKHNKKAVVPWYIMTSGPTNQPTEEFFQQHNYFGLDKANVKFF QQGVLPCISNEGKILLESKSKVAVAPDGNGGIYQALITSGVREDMRKRGVEHVHTYCV DNCLAKVADPVFIGFAATKDVDIATKVVRKRNATESVGLILQKNGKPDVVEYSEIDKE TAEAKDPEHPEMLKFRAANIVNHYYSFRFLEGIESWAHKLPHHVARKKIPCVNLENGE TVKPEKPNGIKLEQFVFDVFPLTPLDKFACIEVRREDEFSPLKNARGTGQDDPDTSKQ DIMLQGKRWLEQAGAVVVTEGDNAGVEVSPLISYSGEGLGFVKGKEIKAPAVIEKESD A TSTA_006440 MTSVVQNIIDKIQGNKGEEGPREPSAEELQQLRQKYEAANQGHV FKFYDHLKSAEKGQLFQQLLTFNPNRINELAEIALHPPQTSSDGPVKLEPLPTSATAS MLDSAQEDLERYYNEGLRLVSENKVAVVLMAGGQGTRLGSSAPKGCFDIGLPSHKSLF QLQAERISKIQSLAEKKHNKKAVVPWYIMTSGPTNQPTEEFFQQHNYFGLDKANVKFF QQGVLPCISNEGKILLESKSKVAVAPDGNGGIYQALITSGVREDMRKRGVEHVHTYCV DNCLAKVADPVFIGFAATKDVDIATKVVRKRNATESVGLILQKNGKPDVVEYSEIDKE TAEAKDPEHPEMLKFRAANIVNHYYSFRFLEGIESWAHKLPHHVARKKIPCVNLENGE TVKPEKPNGIKLEQFVFDVFPLTPLDKFACIEVRREDEFSPLKNARGTGQDDPDTSKQ DIMLQGKRWLEQAGAVVVTEGDNAGVEVSPLISYVSLCPLICFDSG TSTA_006450 MLLSRRACYKCGNIGHYAEVCSSAERLCYNCTYRCHESNACPRP RTTETKQCYHCQGLGHVQADCPTLRLNGGANGGRCYGCGQPGHLARNCPTPNIQTGAG RGSGAPRGNFGGSLRGGFGGYPRAATCYKCGGPNHFARDCQAQAMKCYACGKLGHISR DCTAPNGGPLSSAGKVCYKCSQAGHISRDCPTNENKPQPSADKATPVAAAATTTTPAA PGATSTAVATSPVAAPAAPAAPAAAIDGSADVVAAAPSAAATTTAAA TSTA_006460 MPASEPSDDSDLDDFIIDIDNLEAHGIGVADITKLKANGYFTIA AVHGATRRTLLKIKGFSEIKVEKIKEAIQKCLPTANGFITAMELCHQRKKVFKISTGS KQFDAILGGGFSSMSISEVYGEFRCGKTQLSHTMSVIAQLPKEMGGGEGKVAYMDTEG TFRPERIAQIAERYGLDAESTQENISYARALNSEHQLELLNTLSKEFAGGQYRLLVID SIMNCFRVDFSGRGELAERQQKLNQFLIRLSHLAEEFNVCVLMTNQVQSDPGASALFA GADGRKPVGGHVLAHASTTRVLLRKGRGDERVAKIQDSPDCPEREATYVITNGGINDP DKV TSTA_006470 MPKKSSTAITEVPGYAVLPVKLPPASSSFPKPVTHYVYLRPHEP RIPDADTARSLFLVNVPVDTTELHLRHLFSSQLSAGRVERVHFEGVFSRSNAVTTHAP TTNTAETLSSQQGKKRKRQDVVTSQELETQLRSAGLPSTWDRELHTSGTHAIVTFVDR PAMEASLKAVRKFASKERKSPIIWGEGIEDRLPALGITRYRKHASKIRYPSADELLSI VNNYMALYTQWEETRALEVSRRAAEPDEDGFITVTRGPRFTDVAREDEVKELVEKQRK REEGLGDFYRFQTREKRKERQNELLRRFDEDKRKIEKLKKSRGRIMPEGM TSTA_006480 MGSSLQPGEGGGFLNPTLPSPAPSTATASTTTPSHLPRQRMHPL KPGGAKESSLINYVDGKILRINRRHAKKFSSVIDDQGEDGDKEKDNDEEQSKGYESFK EVVEDVEDVIDVVWVSGTPSIQIPYLISLAGLVNTYFPDYPFQPKPTFRILRKLDIIF ASLLVGEDVESGIPLSGFEYTKSIVSVTEKVRIKSIAEASRIAVVEKRVKDDESHGGE DESENEDDWEDGMDIDGNGDESGRTGLWEMEAARVYERTIQYLGDELGKGGELVV TSTA_006490 MSFQNYEYQNQQDGAASAGAPAPADTTMTGQADPSPAPFAGTPG EPSAAATQQGGDGKTTLWMGELEPWIDENFIRNLWFQMGEQVNVKMIRDKFSGSNAGY CFVDFASPAAAAKALSLNGTPMPNTTRAFKLNWATGGGLADRGRDERGPEYSIFVGDL GPEVNEYVLVSLFQSRFPSCKSAKIMTDPISGMSRGYGFVRFSDENDQQRALSEMQGV YCGNRPMRISTATPKNKGPGVGPGGMGMPGPAGIYPPAAMGGPPMGFYGAPQPMNQFT DPNNTTVFVGGLSGYVTEDELRSFFQGFGEITYVKIPPGKGCGFVQFVQRHAAEMAIN QMQGYPIGNSRVRLSWGRSQNNSGPAGTPYRPAPPPPIYPNMGMPPAHQYGGGFAPMK E TSTA_006490 MSFQNYEYQNQQDGAASAGAPAPADTTMTGQADPSPAPFAGTPG EPSAAATQQGGDGKTTLWMGELEPWIDENFIRNLWFQMGEQVNVKMIRDKFSGSNAGY CFVDFASPAAAAKALSLNGTPMPNTTRAFKLNWATGGGLADRGRDERGPEYSIFVGDL GPEVNEYVLVSLFQSRFPSCKSAKIMTDPISGMSRGYGFVRFSDENDQQRALSEMQGV YCGNRPMRISTATPKNKGPGVGPGGMGMPGPAGIYPPAAMGGPPMGFYGAPQPMNQFT DPNNTTVFVGGLSGYVTEDELRSFFQGFGEITYVKIPPGKGCGFVQFVQRHAAEMAIN QMQGYPIGNSRVRLSWGRSQNNSGPAGTPYRPAPPPPIYPNMGMPPAHQYGGGFAPMK TSTA_006500 MRYTNWDVLLFPERSKIPIQEFRTQCCVVSDKDSPYLSAPYFNS QLFYSQRSLGQLPVLTCFVPSLPTQSPFRISIHSWEKPHPSRILESLMHPDDCILYEV RVYTDNVCVAGTLFGPRTSWPHILVSHCATEVDKNGNHELLRFPQFHPEVIDAYVWDA SETHGRIRIVVSEGFSRPNRSPPFERVKDVVVFSFQHAPLNVLENCGIAWPNPYMWNQ LPANVFRLQMNYGGGYGGLGGYIDYDGPKDNEDLHGHSPTRHDTARYEPNRVAGMTGM TGFEGNYQNTFSNVPQWGHKSINPTTAQAPPPMMNWPNFTADPRFNLNPTNFGGLQNN PGFMGSDPFIEPGLAHRLRQTQRSIDDISMPDAGPISVSSRAMSSIAGMSFEHSHQAS LAASRDDDSYSLSYCDSMNPAKIHTTASSTQQTAVPSMPPVSRPSAARQARSESYSKN ASRAVSQVDPKDVRQISGSSVRSGQTDNVIETVTTRKVIVSPKSHVKGKKESKGPSRK SAHAESEPASEQSSREVSKSGASHPHVTVRTTESVVQVSSETKRKRQSRLPDEVSQTS ESPTKKMSRFEKERQVERDPRIEKDLHLENEKESSTAEDDDL TSTA_006510 MNLQTGKETNEGNMLQPNSNPGFIPVCEPATEFLPASAQITQVE PKFAASLFSGFAGPFTPVSFSNMTLPPGTGFSSYSSDLCPWAPRISVWNTTSQNTSTS HVDQRASSKAVELQGQCARLTAAISVEQKVVPKAPKFLGYDDADYGHGAGALEEGEVR SECDSSADHRNERDGRLTPVDVTEFAIKSGPQLSEIDTRSLTPEQVLFYFGVLSLQQT QLEPVFEYTQEANGGHWSAKLTMYRDSLKIPALKSLAAAKVEICRVALSILKARYANW NVPDEPSGNLTAFSWRWGGLLNAYAKEMKLPCPEHTKYIHQKGYRYQVDVGTVTSFGN RKFYGTEDQAIEAASHEVLYLLLIRELKELHEATIAALKPEDKRVSALPPQPDMPITA SNKVLKPVHTATQTNRIPKPARLVRKRINGNVLADTASNLLPVVNPRINTDRAHDEPK RTMKWKTTPDRLTKAIAHLKTEREKYERVCNMLGLSSRLEIKENFKRESVPPSYTVWA TFRSDPFLTRAGQVGIVNGHRGTEREAVEMCCRNVVDYLIDLVQDDFDLEREEQEQRW KLENFGEIRKKELGLVGVDLTR TSTA_006520 MNQINIAGMAGMNAMPGGPVGGVPMMNTQSAAPRSEPPMTQESV YVQLNTCIYDYFLKRGQFDAARMLLNDDNIKLDTDDLKTSPNHMNGVDGDTSMGDSKD EKIKIPDDFPRPRVPMDSGNSFLFEWFSLFWDIFAAQRKRPPINPMAQHFVNSSQGLM RPREHQNQNLLRQPAQAQAQAQAQMMMQNHMVNMRRNPMQNMNHPLKTALQNNASGMN PQQIAQLQQNKQQIQMQREHSDMDMNGHRPQSPSSADNAPSPSKRPRLDIQQGQPMPP NGRVQGQIPPQLNTQMLIQNGMNASRMNAAQFQAFQQQQAGQAAAQQKSVQVYSQNLL LHHSRSAMNNQGMQNGLMNNGLMQNQTDLMSQMPDGQGGMQMMNGQFYNGEMAGMGRG GTIPPNGNGNHALQDYQMQLMLLEQQNKRRLMMARQEQDSITTRPDGQPMPPGQPGLP PGTSPQGSRTGASPNPSEQMKRGTPKISQTGLPASPSTADLQGRGSPASMNFNPGQMG DMSGNFYNNMRPPTSNQGGFNPQMNQAMQQGPNGRMPTANWQNPGGPQGQQPMVAQQS PATQAAPTPQAQNSMPPPSALPQGTKPPSPQQGVAPPTPQQANKPAPKGKKDTEKNNR KVCYPDLFTFDMSVNFCSASQRRERQQPMPTLQQPRRLRPNLPQHQPHLLPSRLSIRT LLPKMESMQRRLHSSSLHRLLRHKLYSNSPILMSEPLVISACQTHRTSTLTSARLKTP RFWSTLTLILSSTPMLMQRVLDLIQVVLHIPQMESRQARMACKSFFFFAQTKKKKHVF LSACVPLASS TSTA_006520 MNQINIAGMAGMNAMPGGPVGGVPMMNTQSAAPRSEPPMTQESV YVQLNTCIYDYFLKRGQFDAARMLLNDDNIKLDTDDLKTSPNHMNGVDGDTSMGDSKD EKIKIPDDFPRPRVPMDSGNSFLFEWFSLFWDIFAAQRKRPPINPMAQHFVNSSQGLM RPREHQNQNLLRQPAQAQAQAQAQMMMQNHMVNMRRNPMQNMNHPLKTALQNNASGMN PQQIAQLQQNKQQIQMQREHSDMDMNGHRPQSPSSADNAPSPSKRPRLDIQQGQPMPP NGRVQGQIPPQLNTQMLIQNGMNASRMNAAQFQAFQQQQAGQAAAQQKSVQVYSQNLL LHHSRSAMNNQGMQNGLMNNGLMQNQTDLMSQMPDGQGGMQMMNGQFYNGEMAGMGRG GTIPPNGNGNHALQDYQMQLMLLEQQNKRRLMMARQEQDSITTRPDGQPMPPGQPGLP PGTSPQGSRTGASPNPSEQMKRGTPKISQTGLPASPSTADLQGRGSPASMNFNPGQMG DMSGNFYNNMRPPTSNQGGFNPQMNQAMQQGPNGRMPTANWQNPGGPQGQQPMVAQQS PATQAAPTPQAQNSMPPPSALPQGTKPPSPQQGVAPPTPQQANKPAPKGKKDTEKNNR KRITKKGAAAANANTAATPSSEAEPPPTPTPSTPITPQHPNSFTKNGVNATTATQQQP TSAPAPQIVQQQPDPNVGAFSDLGMPDASNFNLDFSTLENPEVLEHFDFDSFLNTDAD ATGFGFDPSSITYPTDGVETGTDGL TSTA_006530 MSSTTKAARIGEEIWKTKIDKVNAELVTLTYGTIVAQLCQDYDG DYLEVNKQLDKMGYNIGMRLIEDFLAKSNTGRCANFKETADIISKVGFKIFLNITPTV TNWTSDNKQFSLVLDENPLADFVELPDDDRAQDQLWFSNILCGVLRGALEMVQMQVEA HFVSDVLRGSDTTEIRVTLIRYIEDEMPPDDE TSTA_006540 MSGEKRPASESFNPTSQLVVKRQKSNSDLKSNAVTLRSGQNGTV VQAIPRTSGLAAPIMELTGHSGEIFATRFDPTAQSIASGSMDRTIMLWRTYEQCENYG VLTGHKGAVLDLQWSRDSRFIFSASADMTIASWDIETGQRIRRHMGHEEAINCVDISK RGQEVLVSGSDDGYIGIWDPRQKAALDFIETDFPITAVALSEAGNEIFTGGIDNDIKV WDVRKKAVVYSLIGHNDTITSLQISPDSQTLLSNSHDSTVRTWDIRPFAPADRSVRTF DGAPVGLEKNLIRASWDPKGQKIAAGSGDRTVVVWDAKSGKLLYKLPGHKGTVNDVRF SPNDEPIIVSGSSDRNIMLGELGK TSTA_006550 MATPQSQIAAQPRSANDDADTSSFFEDDERPTWRKKAGRFVKVL KRTKNQARRTDATDMTMSVGGSPPSGVPSAPDVGDNNNDGAPSASSSSPPTQRRRRYI NGWVRYPGKNSSNPSSITAPPSKIKDAYRIAIKDKFVRKHLVVCAVSGLLLLMMISLY LVYAINFTTIDGQTYHIFFILLIMILAMVFCHSFARTCLLATKIARYGSTGLHRIPSV VGPLGYAQPAQPIHVVLARDEEIVIEGAAADANNNNPSAVTGTKLTPPPPAYGLWRSS VRINPNLLYWQRVESAPKPETSPQANNSQTSTSNSESSSSSSSSTSTAATTTATTSTT TESNQPRPPSYASDDGVGYVVHAQPRITISHYSPPPAKHG TSTA_006560 MTPIITLEEHYLSSAVRNASKTDHYAIFPPHIVSKLNSLSTERI QDLDKGHVSLQVISHGPGDQPPEICKASNDELASAIFRNPTRFAGFALLPMAEPTIAA EELERCALIDNHTKGWFYDDQKFWPVFEKAQELDVPIYIHPSYPEEGLAATQYKGNYD DNIAIALGAYGWAWHADTALSILRLYASGFFDIYPKIKLILGHMGEMLPFQLDRVAAV SSCFGKNRPFKEVWTSNIWVTTSGMFALPPLACLLQTMPIERVLYSVDYPFSANEKGY EFLEEIGKSGLIKEGEEWERFLYKNAQELLKVKV TSTA_006570 MVIHPSLRNIGQASFFMIMVQAMCPPAGIETIFIFMAISIWLGM LLAWGWGVIVMKAAYAARPASVYQAQLQHMQQVATQRANQSGLPVATEARALVYEGYM LDARVSAVFLVLGILFVYVISLIRIKNPKFVFFHLFGIIVIDIFITIGPLLPSFNGTL SNLIVVPSSIGLGLAFVASLLLFPQSTSHITLDTMEKIVDMLKIPLTATLDGPVEKAK ESEELAGLKATEQGLLGQWNELQPMLGFLKLDLSIGRWSDKDITNVAEDLRKAFIGTK NLLNFKIARLSHTLQSQKTLALPLPGTASSEDNGEDAESTETSLKEKIKRPTDVGFHQ LTEFSVFLKAIDDSHSAEIQNETVAMLHENSAEVIQASLEALDSVKELFNTVNTARIF SRLSQETQEQHVKRSESSLENLRAARATFVQKTTEQLLETNAELFDAEGKVKELKMHL RHRFRVVVFGMIFEEHILGVVDGIIPLLETTASRYRDKTRARIWFPARIRKVTKWIFG RAEKAPVRADTQYADPDEIVDQSEVLQEKLGIAKGIGKRPGSKLGRGISGFYRFLVSP DSLYGIRMVVVSIAVAIPAVIPHTAGFYYKQRGLWALIMAQTTLLAYMADFTYSVVAR AIGSVVGAVLGLVAWYIGSGNGDGNAFGLAAIIGVLLFPMIYARVILPPQFLMPVVMG AATFFLVVGYGYDYGHIAAYGLPGYGYTIFWRRLLLALIGLGASIIVQVLPRPVSATR HVSRALSNSISTLCDHYALLLSSLGESHNPGLQAVTGQLSIQLSGSLSELDVLITLIK IEISTTNFDSAALRKIKDLCVELNYSLGRLLYITSSLSIEYQELLSREVGLRSHHAIG DVMAVLGVIEQSLKTGDALPEVLPTPLVKRCFDHWVKADMGLIMSVTKARDEEYRKFC TALSSYLRFLAAVDDLVLVMKETLGEAHVVSRELLHQI TSTA_006580 MADAPITLRTRKFIRNPLLARKQMVVDVLHPNRANVSKDELREK LADLYKANKDQVSVFGFRTQFGGGKSTGFALVYDSHEALKKFEPHYRLVRIGAAAKIE KASRQQRKQRKNRSKKFRGTAKTKGPKKSKD TSTA_006590 MESITETKDVLRLIAQYFELVELRSLSIPSSSVLKNSITQNRIY NEMFNEELLSPVIPPATYRLRLLKRLIFVIESDPRWDPEEDEIIEPLIAAMIDLMSQP AEESSSMSLDTEEPQLSFVSYTVPQAELQERKQVVTFESRGLIYGSGSTGFRTWEAAL HLGTYLSSTSCGGSSPVSVQGKRVVELGAGTGFISLLCQKFLGAAKVLMTDGNSKLVD VFNRPCLEQNGFGRSNGSIEGRQWVWGDPLSTNGTEQQFDIALGADLIYDKAIIPLLI DAISLLFSSHGVRQFVISATIRNQDTFSAFLNACRPDFDIYHITFVLILGSTILVTIE SNIPSADSSLEELKSTPSMQMEKCLPISA TSTA_006600 MSTNTVPPEKKRKHKNKEHSPSKKRKHAATTASDDVLLQMDIHS SPKQQSNNRIDKSHVVSITDAATPDAGSPFRLINATMYLPLSPISISSTHAAASLIAE HLAPLLLTYYPPFKGIVLAYSNGSISESPPAPSQVNSSHNSNSSHLNLDNPKPLTLAL TANDPQKGQILEGWVNVQSEGFVGAIAYNLFSVGIERKRVPKTWKWIPPGADDEEEDP AVSDKKGGYVSATSGDEDGSRANNNNKVTFDAEKEHFTPLPKRVSRKPITLPDGDTNM LGEEFGEYDDDDDEDEDSNTTGYFQSVSGHRVRGTVRFRVRDVDVIPGADPDRGFLSI EGTMLSPEEEARLEEEERNGPLPAHRQRDPYAVTGGVSSVVVTSQTRTPQNDVTEIRL DTTTTTAKSGSTEDKKKEKKSKDKSKSKRKE TSTA_006610 MSSVLSFIGWAVLPNYVTSLLQNLYYGLTIRAGDPRPQPGTPRF DKHRRRIFIFVVTLYLFYTIYESFYEVRAAGDFYSVLGVSPSADERTIKSRFRRLAAQ HHPDKLNHQQQTLSDSSFVYLKLAQDTLLDPAVRFGYDRFGPQVNEWRNSSTVRDFLY AGLKQSLTQYIGGLGTILVLNWVYWSAWGRYWRFYTFAALVVLELVLVTQPFSLVISS TYIPTFLRSYIGLSKNQTAQVLYLLPFQMISLARRVSITVHIFISQIAPLYVSRQTSS ASGGGLKPETLQRLGHVAQLSRMTDTEASRLLELSLSPFRGDRESVSVLRRGMKEGLV LGSVRSASEVQEAVAQVQRRRGGSVV TSTA_006620 MPSPPSRTIVLKLSSSLLSRFPHKSPSIDEKDVKIKDASSPPSS ASGEAGLQASSFDAASDAASTPAPAAADGGKAKKNGAASSRGTKRTLDKTGDPTSASK PRSRPSAAKKRLKLDDKPLDSTKLAPSGHKLGPKANQGAINAGLRALDRSGAPCRKWE RKPFQLKSFTGVVWGLSSWHTPKPATQQQTTGESSGAEEVNGVTPAAVNGDTDSVGKA NPLSSAVPSEKSNVGEGDVSSLPPSTAQSPAPAIAMTA TSTA_006630 MVSNKALIYKKIPTHSPIVGEHLQVESLPDFDSQNVPAGGAAVK GLYFSCDPYMRGRMRVPERTSYAAAYEVGKPITAYALVQVLRLAGDNEESLTTGKGVT IKKGDILYGLFEIAEYSVLSKEWLEHPFVHKIDNPHNLPLSNFLSILGMTGLTAYSSL YEIGNPKKGETIFISSAAGAVGQIVGQIAKREGLRVIGSVGDDAKLDFIVKDLGFDGG FNYKKEASVLEALKRLAPNGVDIYYENVGGEQLAAAIECMNVHGRIVACGMVSQYSVP PEDRYGVKNLTYIVPKRIRFQGFLVSDPDFGPKYVKERNERVSKWLVEGSIKSKEHID TGIDKAGTAFVNMLEGKNFGKAIVHVADPE TSTA_006630 MVSNKALIYKKIPTHSPIVGEHLQVESLPDFDSQNVPAGGAAVK GLYFSCDPYMRGRMRVPERTSYAAAYEVGKPITAYALVQVLRLAGDNEESLTTGKGVT IKKGDILYGLFEIAEYSVLSKEWLEHPFVHKIDNPHNLPLSNFLSILGMTGLTAYSSL YEIGNPKKGETIFISSAAGAVGQIVGQIAKREGLRVIGSVGDDAKLDFIVKDLGFDGG FNYKKEASVLEALKRLAPNGVDIYYENVGGEQLAAAIECMNVHGRIGMAFFFSFLFCF AAG TSTA_006640 MPTAPRRYASVTANDYNTSPTFVHRLIPYLPDYPEYSTADTTSS TTAAAATTSSTTTSHHHHPPQLQTTTSIRRDSTSVPATDADMQMNFGAPSASWRRSSG LPSYSRQFANIPEYAGAATTTPTNAVGAGSGLPAVLLSSASSPSQSSSPFRDPSFFVP SYLRNSRYVARLEAARRSKLAAAQREQSSTHLQQQQQPSLSASSSHVNLYRMAPSHRG MTYDIVEKEPAVTTTTPAAAAAPSTAAASEDKPSPLPSRWNENDRHEGLDLTNDGMEV RYMGHVHKPDHEAASVRADYPMPREGGIYYYEATILVKPKDAVIAVGFSSKNASLERL PGWDNDSWAYHGDDGKAFIGEGQGQGRPFGPTFGVNDTIGCGVNFTTGSAFFTKNGIL VGIAFKDLQNSKNIAFYPSIGMKRHNGMHVRVNFGQQPFMYDIDGMVKKEKLAVVSEI KQTSTANLQPPLDEDRLLQELVAQFLTQEGYYETARAFAEEVRQESVALDNGQPRSLY EHEPGEDIDTANRQKIRAAILEGDIDRALKYTNAYFPKILQDNPHILFKLRCRKFLEM MCKCSDSSNAAAAERERDGAEEAMDVDDRYSDGEGMDTEVPTSTAKVHDTTKFHELLT EAVQYGQQLRMDYPSDEYGGDKKYLDDIFSLVAYPDPRSSVHGHYLDASGRIAVADDL NAAILISLGKSSTAALETLYSQTEVLLNELSEEGGTGAFINLRTDLLMNP TSTA_006650 MDLQNHDNKNANNSDQADEGMQHGRTSATRTNHLTANLTTITST HIPPTPNRLAGGNVIIPYSTRNTGSPISDTLQCCCGRRDCAYLKHNNVALGDLEKDLE TAARLGQALLQRHETYVSDAEADRNRMLLEMERLERDKREIQSANARIVEENRHLLEQ LETLNNAVATSDNEIRSLADRLQQAQLEMRALAASAARAADLEIQLNAMEAEQMELQQ QLILTQEDEKSAVQRWKKAETTLRDLQDQLDRLEYEARMEREEHAQIIERMERRRAVE RELDSAAGRLKGAAAASAVMDRNSNRNATTPVVSKFVRDILQDNANLQMGIVELRELL ESSNQEVENLREQILHHHHHQPVENDSEQPQQNASRLDQELNAKESRPISQEFHVHHH YHSPSSSVSSKKEKQPIRRAKKRRPPLMASGMHSPRSPSSYHHAHPSSSSVSTIMSQT SVSIPPSSSQRYSVHPSGVSSLASSPISAYQPSSIFDVVDQSELSRPSSPESPAMLMS PKRPMWRGKRMSDASSRSFSMPSGMSLAGDSDFGENDKFDMLDGDEDIFEQPTKTSDE MWLPPAIPEEQEDVTDKSDNQPAKEEQTGDAPGPSIINNVFDETTFDPMQQHHTLRKS ASYESLLSVSGMDIHTLRDRPSQLLAGFESRYFLPRRPPQRVVTVATEISSPVISTTN ITADKTTILSTSSQQKSSHSLLARVAAKSSISAINPETTNTPPSNTASTPIPTNTKPT TITRKVGGWVMGKWGIAPTPATSSSSSSSSFSSWTNSHHLIHQAQQSDAASISSSDTA TTSTTTTQTKTRPHSKPVMRGFGINQKGPIPGFVSSTALPAKNVVIHADVLDRELLDE SLKE TSTA_006660 MATEYKLKDIKSLRDIPNLEKVESEVEGVQDGKVLVVRLDDKFH AISPRCTHYGAPLKLGVVAPDGRITCPWHGACFTVATGEIEDAPAPNRLNTFEVYEKE GAVYIKGEEGAIKTGQRDPNLKCSASTDEKVVVVGGGSGSFGTVQTLRELNFKGSVTL ITKEPNLPLDRTKLSKALIADASKIEIRPAEWYSNAGIDIVHDEVTGVDFNAKSVTTH SGKTYPYTKLVLATGGVPRSLPLEGFKDLGNIFRLRFVTDVQNILGALGEEKKKVVII GSSFIGMEIGNALSKNHEVSIVGMEKAPLERVMGEQVGKIFQGLLEKSGVKFYMNASV DKATPSPASPDKVGAVHLKDGTVLHADVVILGIGVKPATDFLANNPSLPLEKDGSIRT DETFSVPSLNGDVYAIGDIATYTYHGPGSEPETGSPVRIEHWNVAQNAGRAVARSIVN KPSSPRKQHKAFIPVFWSALGQQLRYCGNTMASNGFDSLVLKGEPENAKFAAYYAKGD TIVAVATMGMDPIMVKAAELMRRGNMPKKAEIERGVDLLKVDVPGRVVI TSTA_006670 MRAAYNPARLSTALRLSVRTFSTSYPKHVRGDKTDPRFSSLGQL IEDKFAHIQEDYERPKNAIVLAHGLLGFAELKLAGDLLPPIEYWHGIKNALNMKGIKV ITTTVPPYGSIEKRAEALVEDIAAEANGQDVNIIAGLDSRYMVSRLRPTEFKVRSLTT IATPHRGSVIADHFMERVDAHVTRLRKLSKSVDRITSEAQAFNQLTRKYLADEFNPNV PDVEDVRYFSYGAMFQPGLFNIFRPFHRILEEVEGPNDGLVSVASSKWGGDSGYKGTL VGVSHLDLINWSNRIQWMLGELTGNKRKFNAIAFYLDIADMLAKEGL TSTA_006680 MAATIKLDKPHSHFTNLDHITGRVILQLHTDTAISAIHVKLEGE SRTRLSAPRNDQNKKKTEIEVHKILYKVKAVFPSPDIAQHSSPNAAFTLAPGVYEYPF EFKFPFNNACSNQNSMMTNLSMVGLRVEVARDTYAHVKKTLPPSLSTFPGEAEIKYYV KTTVVRPQFFKENIRSIAGFNFLPIEPPRNGNPNEETYARRQHEFMRTGFPPAVKKGG LFRKQSSTPLVPLSTADPVRVSVDARLPNPPILTCNQPIPLRIIVTKKSPTTEPMFLQ LLQIELISYTNIRAHELVRTEPLSTLIISRSNMNVLIGPASDPMGKEWAVDASLWNQL PLPPNVAPTFETCNISRHYELEVRVGLSHGPAGRTMPQIIVVPLRLAVKVYSGIRPPP ELLEAMTANMKLRETQLEQKSKYDDDNPPPQPPRPSTNQPAELDSHVNNVGDDDAPPS YEDAMAELISPIDGPRGEYHHTPAPAFQGNRNISGDTKMPFPDSHNGHNEDDHHFNHE GDEDGGEITRSFSRDSSESIDMLPQSPRLRPISYADSVFEGKTSDQTQTNPNNNSTYN DNPASGTQQHSQQPPQTFPTFPTSQTPQPRRVISTGIPNRRPVPNSSQTQGNNPS TSTA_006690 MRLQLNILRHGLPATRILWTVRSQSSSHLIDTTSSAVTSTRQPN TAYGNGGCTVAQLLEDVNEVVPLETSVGSVGENEDLGGQWGLEDYVVEVNGFECLHFM NVNGLLRDGDEVVIRSLSVDDIRARRLSGRLQVSTDGRRLIDGVPFGRPYLQRSYSSR PPIRIPPRKRRRTTLSSWTGNLQDEDGNDELQTFTAGPYENRLTPYDAASDQGTVIRH RTNLFDDGDGDDDDDDDMDYEEADELDAEIKSLWAEANAEAEALLESPDFQRFRATRA GRMSRMAHRDLLPTSSPARLSVVSTPRTNLARGQDGEASAKSTKSVHFEADISNLTSR LSEGNDSGSDKLSHASSAVSSNTPDSSIESGDESSDEDFSAEDSGADMSDESSISEES EFESEDEEAPEQQKSKAIVFTPPGEGSRKTRNSNRRKKLRSRLQKLKSLGELPPEANF NDLRNWEATHGKRPLTEVTDLGVDKRETRPKEQSEIEIKRQQLLRYLASGGVDVDGHS EKENIPPRYRNGKEKVDNQESVEKVKEGPAAAKALPVASPEKNGVDEETKTSPNEAKR RKLDLSSTKRLLFGSLGVRTPKNKEDEDTLRTKLDESRIEHTAPEKPAEAPVENGVEK DNIDESWQQKIVLSATECVYDDIELTAPPFPFKQRWDHDACYHIRQRKNGGKKNKKRK QRDWQEEDAYDSYQDADGGVTLNYDDQPTITEKKDQANTSKEDAADDLPELPSDPDAL PIQTEDKVTVGSIIAFKHLDMSKATNWQPKISDYKVAKVHKIHDNGTLDLLLAKRYRE VRNQVVVDGDGVRTYSGFEMPNDDNDEVEDDGIRSVSFTDLIEPKMIQAAVVKTSDQS QKEESLANGDQNETSLLIHPPSTPSVPVNFAGNKAACVDGGENDDEDVTAAEADDELP SLPPVAVSSQTRLEITHMVHDVGFRSAIDSELGRDPILERLDKPKDSAIDMQSDANVA DSKVSGSNSEFASSPPDIGPEEQDGEDPENGSQHDAPDESQAMDEGDNMAMDMDDAGL QMDVDDDFVMNDHSEFNAGSQAGDDSDDGVSLPRNDATAKRHNNDVAAHAMASSMAEG KSNALSGAPGVPPSGHASPRVVKEEPGQRVKPSERGADKSFLSTTVRAGSRSFTDPVA LDNGSDLDDPLSDYSDAYSTVLNPFYERDAAATKNGKAKAKCKNLPSAQQDPPTRSSL SKREDHIPSSTNMLKSNQKANKGSQYTPKPIEKKGSNTKSDLGLSWLDDNLSDASDPD FPDIEVLWASTAPTQKEFPPIKMEAEAASQQPKSTAHPEESAASLPPVSQSSSNNSSA KGHVSTATKNDTSAVKEKQPEQDQQRADTGSSPKRDNQKNDNDKGKNSNNEKPKSPSP FVSTLDFDSHQDINDNADIELDNDNDDEDDAYQHSADNSSHPDFHQQEEESQDHTIPM VDLTVSSPLESPDEGSDEDFAKSQGLPRGPGWVKKNVPRTGRQTRSSTGVGNILSSSL SPPPRRRRFTQSQF TSTA_006700 MMAGSQPLIALQSAQNSAGIQTLLDAEREAQKIVQQDRTKRVKE ARSEAQREIDEYRKQKEEEFKKFEAEHSSGNKKAEEEANKEAEAQLAEIKVAGQKSGD KVIKDLINAVTDVKPDTCLHVYFIVNQQSLVRDVQTDNHQVHYWEHSSIDSFVVREPM VLGHESSGVVSKVGSKVTTLKVSDQVAMEPGIPCRRREPYKSGKYHLCINMAFAATPP YDGTLTRYYRLPEDFCYKLRENIPLKKGALIEPLGVAVHVVKQAGVVPGNSVVVFGAG PVGLCTKYVPRPV TSTA_006710 MSRASKVTLAATTLATAGMIYFVHWSQEADRAAMHAGVERDEER QRIKRERQADFEMQRRLEEEYRKIQNVSEGQNDNTSRGLGHGS TSTA_006720 MTILKSVPSSTEAVFPYINHDPATLPHSIDPFTITTASGFMPYQ IGPTELPPVFKPLTDLLERMPVLKLDGKPGLLATYELGKAVKGFPDLTDEIDKVLTKD GKKDLYMLTAIFRDYSYVASAYILEPCWENWCKNPEGGYGLGREVLPHTIAGPMWRCA EILDLPPFLSYAASYALFNYTLEDPSRGYEYDNLRLIRGFEHGLDPKSSEAGFILTHV DMVKETAPLIHGAVQILDGVEHDSRRTAVNEGFREILRAMEVIEASMEDMWKNSKPAD YLTFRVFIFGITSQSMFPNGVIYEGINDNHPMYFRGESGANDAIIPLLDHLLQIPMPD TPLTKILHEFRAYRPRPHREFLSYVANTAEKLNIRDFAVQDDETAILYLKTLDHVRSF RWRHWLFAREYIIRRTAHPTATGGSPIVTWLPNQLSAVMDLMIEIYDTYIKDKATATT VDGPALNDSEPDLIASYKKQIVPMMEQVHEQKEKLAREVERWCKERGV TSTA_006730 MAIKRKATLDDFLGQTVSKTTTTTKRLSLGWGAWEKYYQHGRDL ARNGKYANAIEVLSEALLQKNADSMKIMDSRSAVYCKMALYEKALSDAKHMIKAAAKD ERGYLRAGKALLLDQKPEKALDVYAYALKNLGEDHPQREAVAKMHTKLTTKMSSLKQD PIFALNHDCLLEVFKHVPFRQVVSATRVSKAWHIFIKDHPSLWLNISFRGSRPPVSSE IVKACIRNSQNRVKTLQLHNVRDPLNAARQASQCPQLEHLDLNLPLTEHHVFYHFRHK HTLKTLILSEKIPLSDNMLWTLAELPNLERLEVYQVVWVGEPQVSDVGTVPNLKILTL NMKRTTQQLGSPGWYKPFWIPGSVPAMATIDDLHTRDTYFDVIPKLEELRLGAAFERE QPESRLQLCLDDICHPNLRLIHLSNITLYGTWACPDTVEHLCIIDCAKHPARSTNAPL RLTELKTLVLHRLDWLDHDNLALILEHNGGSLETLQIEQCDNFYANDILNVALANPMA VKNVKKLHLHGMRLYQLDNAALFPLLDLMPHLEELHIPNTSVTGTLIRRILENRMVPI ELLNLRGCSEVSPEAVEYGRAGGLKIIRY TSTA_006740 MEAHNLDAASNYINNLLLARGLLRNGKPINFAHPDNGEEGSDAT MAQIINLINDLVVRRDREAEHRENLASTIRTLRAAESQQVDDIEKLKTKNAELKRSVA LAEGQQRVIKQNLSSAETTVRQLKEQMQKLKTTIQQVRAQCANDVRKRDMELQKLKTH LSDRQRGKREGLGVTTININRTADIIHKLEPDVNNPGYSLKQETTEFLTQLCQSLSDE NDTLINLSRTTIQTLRELQGLSDAPDGNTSAFNMSQTVIQGGAVSTIPTNVMALSAEM DTVLDHLRTLLTNPSFVPLEEVEVRDEEILRLREGWEKMEVRWRQAISMMDGWHKRIL DGGDSIDLDELRKGMSLDSGLGRSIADDPSPLYDEDNELRDDDQEVAKKEEEEAVEPQ TKILKPTLAKPPIRALGERNNNRKSRASNRKVSFYEEAMDVESDQPSDKDESLQVKAH ASETATQRSSPRQSSQILQKPKLSIQEKLAAVEAEAKEAAGDVAAHVSKKRGRNKPDL GKKIRGRRRSTLTNDELDQLLSGPSEQ TSTA_006750 MSFKFPDSSPPSTPDKPQSPFSNISTTPAGPPPSSSTFRDSRAG SRSNVNFSESAFSQSGNFGGNESLFSSISSSGAPSFLDSKSSVMSGSFGNFGQQAGGF RVVNGGNNLKVRNRKPHGLSQMTTASDDWEDEEDVGEGEEQEDAETEEDDDDYDDKDE DEYEDEGEDEEDEHEDDDEQDKDEDSERDMQMDATTDLSGMKKGFTDPIFTGFGQSTA PGQKRIYSNPNNAKRAKIDEEWAISSPQASKDTIGPRKTQSQFPSVARDLTSRAKAPA VTEPGEVVIQTDYYIGALLDKLQQNEEDDAEALVILSEATAALTDFWGAACEQKRKQT GQYRPHDNFGPGDNASGLENATVVASLLLQLHHPRVKGSDRRNFKSSSNGQSLALVAS IPKSYTPIPKVLTDWLNANHLGSLHEIEALQQTSPNPTASPHFWAIIQAGVLRGRFGE VAALLRSADFNYAHSALEDGFSQPGYRGMQLQTVQKSVNKAIQMLEASPINLDSEWDI LGLDWTAYRKRIQSAQIELHELAEGDKPPQQAGGTRFEAAQFGAPTPSFARSQSGFSF AQSSRMAESRVPWSIYQGISDIYGVILGRPSAIMSVAQDWIEATVGLTAWWNGQEVSN IAVFGNRDEPTEIDRQDAYIRRLNDCFGEVTDGAQMKSRPNPLNKLDVAVACVFEGNV VGAVKLLKTWSLCIASAVAEIAHGGGWLEVSTSNIPGFLNQDDLMVLSYGQNGNASLD QISKDDVLDAYAEALGCRDQFEYDGTVRKGWEMALEVLSRMEDNKNTRKKVSRLLEKI PVDTVAQVDQVITLCVDLGFEDEGRKIAANFGEKIIAEGQTRADCDYGLAMICFARAR SVRKVKEIANNLIAYSLQQSRAWPPEEQLGGQLRALVQNPKMCLASIASADNEAAQII QFYASGYATLRRFYDHRDEPVLAKGRKSHLRPLARKRAAAQALLAVIRSSADSIYGGL YDPERDSAVLVDNLMALLGEAVLFVNEKPSILSIEQQSNILAAIEDLETVTPRVYAAA KEFFYECLNEYIYETTGEPRKSSSSPTPTGLKKSASGLTASSSFSMIDSHMADSSMTH ATNNTIASSGVLVPKPDAAYEYAKPIERVWDWRSGLAESSAASGGASENEDGDSIKPD KKLLRVLRLRLAQEMTWR TSTA_006760 MAAVQLNFSIRTSRSVKSVHLVGSWDNYSRELPLSKDTSKSGAW TGKFRFQTSVLQLGGRYWYYYIMDGYHVSHDPAVEYTTEPTTGRKLNILDVPGGNSKS SSGGRSSHARRESVDIPKGRALSPSKIQHPKPSKPYASRGIREGDYFPTVDELTDRFS HSRLSDDYDSYSSSPPSSVGSSLSSRTDSTSPSSLSSLSDPATSVCRCERYGITRKGD RVKLDCGGSRCGYSDSSDGSDCSSESESDSEYRAARRGARRQGIVVRR TSTA_006770 MADYEEVYEDEYYEDEEEGITSEDCWTVISSYFDTKGLVSQQLD SFDEFISSTMQELVEEQGQVTIDQTITPNEDEIDPVVIRRYEIKYGTIMLARPSFSEI NGAATLLLPMEARHRNLTYASPLYLNVQRRLTEGRERKVADRDGEGLGDENEEQKPGG TYLEWEEKPLVISEEEAKGCYIGRIPIMLKSKYCLLRDLSEQSLYNWNECPYDSGGYF IINGSEKVLIAQERSAGNIVQVFKKAPPSPTPYVAEIRSAVEKGSRILSQLSLKLFAK GDSSKGGFGPTIRSSLPYIKTDIPIVIVFRALGVVSDEDILNHICYDRNDTPMLEMLK PCIEEGFVIQDREVALDFISKRGSSQTSMSHDRRVRYAREIMQKEFLPHISQSEGSET RKAFFLGYMVHKLLQCALGRRDVDDRDHFGKKRLDLAGPLLATLFRTLFTRVTRDLTR YVQRCVETNREVVLNVGLKPATLTGGLKYALATGNWGEQKKAMSSKAGVSQVLSRYTF ASTLSHLRRTNTPIGRDGKIAKPRQLHNTHWGLVCPAETPEGQACGLVKNLALMCSIT VGSPSEPIVDFMIQRNMEVLEEFEPLVTPHATKVFVNGVWVGIHRDPAHLVSTVQSLR RRNMISHEVSLVRDIRDREFKIFTDAGRVCRPLFVIDNDPRSENCGSLVLNKDHIRRL EADRELPPDLDPEERREQYYGWEGLVKSGVIEYVDAEEEETIMIAMSPEDLEISKQLQ AGYAMPEDNSDPNKRVRSVLSQRAHIWTHCEIHPSMILGICASIIPFPDHNQSPRNTY QSAMGKQAMGVFLTNFDQRMETMANILYYPQKPLATTRSMEFLKFRELPAGQNAIVAI ACYSGYNQEDSVIMNQSSIDRGLFRSLFYRTYTEAERKLGLQTIEKFEKPMRSDTLGM RKGTYDKIEDDGLVAPGVRVTGEDIIIGKTAPLAPESEELGQRTKAHTKVDVSTPLRA TESGIVDQVLLTTGNDPDLRLVKVRMRTTKIPQIGDKFASRHGQKGTIGITYRHEDMP FTREGVVPDLIINPHAIPSRMTIAHLIECQLSKVSSLRGFEGDATPFTDVTVDSVSRL LREHGYQSRGFEVMYNGHTGKKLKAQVFLGPTYYQRLRHMVDDKIHARARGPTQILTR QPVEGRARDGGLRFGEMERDCMIAHGASAFLKERLFDVSDPFRVHICDDCGLMTPIAK LKKGLFECRLCNNKHRISQVHIPYAAKLLFQELAAMNIAARMFTDRSGVSIR TSTA_006780 MAGIDDMFKCLMVLSQKPVVVQGSGKRKLEQPNHDPNAFYKAAK LDANGDVKGKGKAAAVDDEPEDESEFAGPELPPDFEEDVPDDEEGRFFGGGMAKETAQ AMDYLDRQDQDREAAVEKIDIAWVRRLALNFEKRISKNAELRAKFENDPQKFMVSEAD LDADIKALSVLSDHPELYEEFAKLGCVSSLVSLLSHENTDIAIDAIQTISELTDEDVQ AEQNQWDSLVNAILDADVIELLAQNLSRLDESQDADRSGVYYVLNVLENLASQSSVAE KIGQDANVIPWLLSRIQQKETPVGQNKQYSAEILAIILQSSPKNRIKFASLNGVDILL QLLSSYRKRDPEKDSDEEEYVENLFDCLTCVVDDEEGKAKFLEAEGVELAHIMLREGK LSKQRALRTLDHALSGQTGAAACDRLVEVAGLRTVFGMFMKKQENEAIEHLLGIFASL LRHLPGGSAPRIRTLAKFMEKDYEKIEKLIKLRREYASRLKPVESGIEQERQGLDESD QEIMAGEWLSRRLDAGLFALQTIDVILAWLIAEDDGAKTKISILLSGRDENISLIRKT LEDQVKDLGDEDEGEKDLKDMLSTLLHFVQ TSTA_006790 MPPKRVVVQSGRRDQEQAGLFGATYKEITNPENATIVRSIVIFS AAVAFFHSSLSEFLIPPL TSTA_006800 MDSSTLYELPERSRIFAQALELKLPPCDFWILRRLMFCTLIRQS LPRLWGIYLNLVHHRFEDETTAAKAILKEGAENWLKEETPKSEDIKWIEETKSRWTPM IGGDWVPANKTWIECVAEMGELTEIRDHVKQERQKAYITYAFLTFSADLPDMSKVRWG EAIWREFGLDQYQDPALLQQAYYHALHEGFKAAGVAGTSIQFEVFWKHYRNNTLFEWM KRSQPMNAAVFEHCGARFVSRLPASSSAMAKPASGNQVMSVSQLLNQ TSTA_006810 MTASLLTLDVKGAFDAVLPGRLIRRLRNPRNRFGYADDAANLAI STSLATNCEALSDSLQEALNWGAAEGITFAPDKYELLYFSRHKADQEPTCTPLVKAGS ITISENTKRLYLRWLGILFDKKLTFKWHVGETASKALTVANALRSLGNTVRGVKPHLL QQAVSACVLHKAYYGAETWWPGRTRPGPSQTSNRVGEHLEKLTKIEWPFLQLSAYGVL IPITHFEDAQNRSLVMADKPAVLPAINPLQYAPWHPRESRENAQARIGAPMGRTKEQA AADFADFQRTIPSSDIVIFSDGSRLVDGCAGGGYIGLQAHHQFLRSSLSYGHGKEIFD AEAEAALAGAQAAIAYPTAQFATNLWICLDNLEVATRLLSPSTGSSQEIFESFRTLAA AWPLRKRLPHTKGGSIQIRWVPGHAKIPENEAADLAAKEEAASIPPAPHKSSYASLKR YSKTQSLSAAQSQWQKVAPQSYQDLEITTSPKRPGELQLNRLDLSRIIAARTGHGDFA DYHERFNHDDAHLLCRCGARKAPLHFFFCHIAKRRAPRPPGPPSEVISFLLGTAKGAQ KLATWLAETHFFEDICPRQPLLST TSTA_006820 MDNIQEPRASRLFERLSQLSSYTWDRSIEPYYSTYDHWHIFGHR HSTEYDSSASTTTPSGSSLLARSSPRIRMRASPPSAHSESNAGCQTLVPVVARVSTHV LRLEREFRLLKSMARASDPQNNHHTIIPIELIRLSDDSEDADPLIASIFESPGPNILP KCIPLNSSFFSAMGECGEGAQTPIAVFLDFAINACDCLQHLHHGQKIIHGEIRGDTFH FNHVTGVVKLFHSGNGAGSFDNILGGGWGSFSKDPAVRNKLQFIAPEQTGRLPAEPDS RADIYALGILFWMMLVGKPAFGGTDPVDIVQSVLGNRLPTVTSQRMDVPDAISDVILK MTRKSAAERYHTGSSVKRDLSQIRQLLESGNIDDLKTLQIAQHNPSSFFTLPSHMFGR SSEFNTIIDVVKKVSSYQELMLAKATVQNSSGLYTLDSNSSISGSYLENVEVDSVSSS SGSTTLKTKSGSRSNQAKLTVSQDSHSTKEIPDNHIPRNSNMHGHVDPLNSLRKNWVA QSFRRNGRCEVITITGEMGIGKTDLLNRIQPAVREVGYVSMARLDPAQKIPFEPFRGI LASLLSQIFSEDDVTTEYHSCVRASLQPIWPTFRKVLELPEHLLSLGRQTVRPESLNV SATPQISSTNGELHRSELHSDSGSDNNPSQSDFFLSNAASKNLRLTETFLRILRILSQ YKMICICIDDIHFADDESLEVILNIVKARHSCVLILSGRPSELRSEGIKSLFEIGTPR VTRIVLNPLEEDEIMGYVATTMHQDPNSSVKPLVAVIQEKSRGNPYYMRIILSTCYKK NYIWFSGKNNRWEFDLNQIFTEFLTSSAGEELGSSFLQRSLEKLPAPARAILVWGSLL GTTFSFSLLQKVLTSPLLSLDAESNGQGNGNSSCIDLVRRSEADLVDGLQFLLQEHII VPWETDDKFRFVHDRLVQVAPTLKESDTVERMHFIITEAMIKSAPDSESRYALGHHIA LASRLIEESVASRIEYRRVLWDAAQLAAHTGAGSTALWLFRHCLNLLQANPWDANAPD VDLNETLSLHVAAAEAFWSQGRVEQSKKLLNQVFLNSTSPIHRSRAWVVKAKIHSQNG NHRQAMESLLTCLDELGVHLREPTTFEQCDVEYEKLKACIDVNFYPTVVQEDVNMSTI GTVMADAMVVTYWDDALAFYRMAIEMVKLHVDRGGFIHVIIGCAHLAMVAFSRFRDFD TAVRLSDLAQSLADSCTDTWLKHRAAMIHNLFVSHLRVSMESSLPVLETLIESSLLSG DPYVTLMSLSSMAMTRLYLGHDMAQLEMFCDESPMDIPEWPSDTRAGATIIGVRQVTR SLQGKTAWQSAEDVMSDDNHESYEFIAFLESHASNPDRPRNIYHGLSMIPLYVFGHHL KAIEVGKSLLDTVPSLWSIRVRYAAIFYLALSLLTVRLQNTMEDAQEDLQTVLELKDE IDFAQRACNANYGMWSLLLDALIYEVRSDTTSALQAYEAALDHCHIHGWPLEEALTLE LQGEFFIRRGIKRVACSVIQEAITAWSAISATGKAAQVAEKHEWLLKTIKSLKNVDVG CQTLEEELAVNPTATQDIAESHNIIEHDKQQLWIAKKRKAQSDRLLDISSVGLDIIDL SIILESSQVMSSELRIHKLLTRMVEILLEACNGANLAIIAKDFEGIGFAVAAVGDLEH GNSSYVDGLPFSEMKDNIAQHVSHYVIRTNEVVLIQNILEDDRFPNVTDTYKTKYPLG RSVIALPILHMDNLIGVIQIEGSPSSFTQRNVVVLELLCSQIGISLANAMLFHEVNKI SASNVTMIEVQKRALVQARQAEEMARIAEAEAIHNIKLKEEAANAKSTFLANISHDLR TPMNGIIGLSELLRETNLSKDQGEYMESIRICADTLLALINDILDFSKLEVGKMKLSI VSMNLKDTISEVVQALFHANRDNKLETVENLDQIPPDLVVLGDPVRLHQIIMNLLSNS YKFTPNGSVSISGKVTRAGKRRIRLECSVSDTGIGISDEQKSRLFKPFSQADSSTARS YGGSGLGLSICKAIIEDVLGGTISLESTLGVGTTVTFHIPFHKAPRFTRERDQLPLLI TTTEAASEREIQELPQSMIRDLKVIPRGQIRVCIAEDNLINQKIAVKLVTSLGLQCEA YSDGRQAVDAVRMHSNEGKPFHMVLMDVQMPVMDGYDATRELRNDPDPDVKEVLVIAL TASTIEGDREKCFHVGMNNYLSKPVKLATLRGMLGNYVSVMS TSTA_006830 MLREILIVGAGTAGLASAIALRKNLMPRNADIRISIFERKEQLS TSGGAVSLTPMAQKLLDELGVLSELDNLGSEGGIQVGSVELFSLRTSRSLGQVRFTDE DGNNYGHFVARRVMRSSLAIAMVAVIEKLENISIHYNKKLVDGYPDENDANRVTLRFD DGTTATGDLVLGCDGVHSPTRTQIVDPQNVAEYTGISFIQSTFDACTMKSPFYFQTTA MNLSRQISLLTTFCDQNRDKIFIAAILPVSQHVVDQHRAGSGMEFQLTSRAMTTALRY MVRERSRQCTQPCLREMIDKPRDWMLYPVYQVQAGRKWYIDRILLLGDAAHAMPPRDE SSTYALEDAIFFSQILTRYYDSPLQDAFRAFEDLRRGLIDKAFDASRKLWQESRDMGL LPSQIKELITPISSSLEKSTDAPKTGTFVTTAAIPVPTLDSFSDLSVYSLAQRFADN TSTA_006830 MLREILIVGAGTAGLASAIALRKNLMPRNADIRISIFERKEQLS TSGGAVSLTPMAQKLLDELGVLSELDNLGSEGGIQVGSVELFSLRTSRSLGQVRFTDE DGNNYGHFVARRVMRSSLAIAMVAVIEKLENISIHYNKKLVDGYPDENDANRVTLRFD DGTTATGDLVLGCDGVHSPTRTQIVDPQNVAEYTGISFIQSTFDACTMKSPFYFQTTA MNLSRQISLLTTFCDQNRDKIFIAAILPVSQHVVDQHRAGSGMEFQLTSRAMTTALRY MVRERSRQCTQPCLREMIDKPRDWMLYPVYQVQAGRKWYIDRILLLGDAAHAMPPRDE SSTYALEDAIFFSQILTRYYDSPLQDAFRAFEDLRRGLIDKAFDASRKLWQESRDMGL LPSQIKELITPISSSLEKSTDAPKTGTFVTTAAIPVPTLDSFSDLSVYSLAQRFADN TSTA_006830 MLREILIVGAGTAGLASAIALRKNLMPRNADIRISIFERKEQLS TSGGAVSLTPMAQKLLDELGVLSELDNLGSEGGIQVGSVELFSLRTSRSLGQVRFTDE DGNNYGHFVARRVMRSSLAIAMVAVIEKLENISIHYNKKLVDGYPDENDANRVTLRFD DGTTATGDLVLGCDGVHSPTRTQIVDPQNVAEYTGISFIQSTFDACTMKSPFYFQTTA MNLSRQISLLTTFCDQNRDKIFIAAILPVSQHVVDQHRAGSGMEFQLTSRAMTTALRY MVRERSRQCTQPCLREMIDKPRDWMLYPVYQVQAGRKWYIDRILLLGDAAHAMPPRDE SSTYALEDAIFFSQILTRYYDSPLQDAFRAFEDLRRGLIDKAFDASRKLWQESRDMGL LPSQIKELITPISSSLEKSTDAPKTGTFVTTAAIPVPTLDSFSDLSVYSLAQRFADN TSTA_006840 MGPPNHTLADRVIGHNRAQQAYSESCSQNSHKEILREIQSLRIS ITTPDPTKDPSYADVACTPPTRQLSNIWILSLFHTTLTTITDTLYCTIDTSKMADDES NRMSAGSIRTAVEKEIRNMENHMNWRCRAVTVDPKNTNRIRIACRDEAEHQLVKKVAE AKIGAGARVLRDELYPIKVTSLAVCNHAFHHNPAVWGEDHSIFNPCRWDDPTIATKSR LLMHFGLCGRQCIGKTVATTNIYKLLSTLLRQFEFELASDLERMNVAKGLYKGQIPEM VSVGISDLKGPLLVKAKNR TSTA_006850 MAYSVRWGQTKGRLSASVRNIDSMRRHLSQRRSLLATNPVRRRH HIAQHIGARFFSRHYAPSAQPETPDDLKTTIDNILLKTEFETKRDIREYLRKWQEQNL TYQDPVRGPGTSNANLPQSIKWTGNMLNDGQAVYEDAVEQTHNSLNEAVDLNINTDDN YEVADILEPGDLVGFYSGTTMATPAVYIRSVERQKQYYTLRGKWRVASDKDIDIVIKN FAPKELTDALIPYLPDTAVTPDINIQQISEGGVPRSASAPLMAMLQQFSEAEKKLYRD NAKRLDNLYALIASPDKRVVYTLPEIAAKALDISIDDVTPEALFMTQKAIQQSPFLIL ADRSSIFSDRYLVRPKSFADCFNTVIGWVREHEDHLCRIALYQPSDFKGHPLQKFLQK AQKLILQSRSIRSPTTMSSVGPSAQQFEADSLPDGRAYQKVVTEQFDHSDKIILEYLI HFSIPPHRINETSARYAAIHIMRSTGLYTAIELQRGAIPLLLQELGIFPAWENIYALD HLLALPGHNIDTDAEKMMEAAQIAASDPHKYQNDTMADMRVDWGDLPIYCIDDPGAKE IDDGISLEPVAGTDDTFWLRVHIANPTAFLPPHDDPISENAARRLTTVYLPERHYPML PSNFTTQSFSLAPNRPTLTISAKVNTNGDVLEADITNGLARNVIYLTHTGLRNTLDGH TKGSQTYIVGKEPPSDYLEKVISESPAFTEEQTKTFNIMQKIMTQINTKWRENGGLTW PDSMGQQNLSIYSGKPIPPFSVHPDKLEWEGGYFQGDPSILLPVSDIDPYDVPNLSRK NLVALVMRFACHIAGRWSADRNIPLVYDGTYFHPEYPPLTPEKLKNFTANDWLRFAPP KGYSSSSVLPHGGLGVDAYTKVTSPLRRYSDMVAHYQIEAALRYERTHNKKFNSTEPD AAASLPFSTDKVDLILENMKAQYPLIKSVQTLSQTFWVCQFLFRAFYFGECEDLPETF PCLVRQQIQGSSSTDSNYVRAYAADNLPFGIRVQLFVTEEFRDLDTLSTVEAKIVAVN MAKHVVEMEVVRKVKGWERTGDWA TSTA_006860 MAGDDPFAFLATQEPPKPLESSKSVRRLNWGGQKTGGDQKSKWR EKLFSKDRDAKQAEATERQLDDFLGLNRPKPISESLPAIPSVSDPIFEPDTSQRRDLS TPPSPPKQHATLSPQASTQASPPKPRRRKGLRVTFTDRPPEVMGEGGDESEEPTVEIS RKKGRPMKAVSKSHIDDGGYEDLQDRRPTLPELHLDTSFASGATASEPEKAPAWKPLL ISPQDQDFLMALNSGEPGSRLSFRASPDSKSFAQRIHARMQEEEGLALQHRLRQEDPT SPTEEDDEPQHRQQASLPTRPSFTSQRSQLSQQSLDVDVGTPTLSLRTTPVPAVHDQI KALRREDIPEILSPGGSSSSSLSPTGDESKPAYPTSEATHPQSTPPPQYQVAPPPPVI TEPAKPSSAASAPRSAKMTLRSVANAMGDTAYQEFTAYVERFSSLYGLAAENVKPLME TSFAEWVRASTWWFLRGRSRLESAVRSGRNPETVEATQAVVDLGKAWWISTQIVPKHP EVTRYGRMSIDTLVAVVNTTGDHRMAGLVNIHQKILNHLRALTVSMKRNQILSAIGSN SHVNDHPLDTNIWVRYPFFAPDVAAAVSGSNRRSMLVDSSPLASTPEMMLVGDTSRYF SYGTMFVEVSLTGDEEDDTTQYAIQCVLSIRRDKSDWYVLAAISSQSELVNILIQGDR KNGPTWDDVQWQVKARTMTVKLRRGFQLNVAFQEKDFKMLWNIVQYTLKTEASLKPEA GEKRIFHDTLKMFQYMDSGTPKAFPSEPSPLCRMALFEKTVTWTEGTGTRKAHRGFRL SVVTSPQTKTLSGVQHTLGHGAPIVFGYLRGENGSPALLLKLKEDSRARSMVLTFDRI EQRTLMHSLLLGMLAGDNEITYPNLPIRSYSIEEACDPVTGGPGMSHLKFNAGAVSVI DLDSSHLEHNFGHQVLSQNLRALVSTDWGTVTDRINLGPGEMKIGLDINSNTTFTMYR ARQEDVTVAIAENLVEKELPDRLTQFLEASKTKPTIRRFDFNSIESLHKFEELMTGFR VVFDGTATSFAIARRRMVVPIHKKWEASGVRLQLVAQNKTIQLVAFFGNDFSHGKCMN FVLRGTDNYESSSRSGKFTVRIIDAKFALPKRNEEEASGFVCLDMLDYASEHDDITIG FESEQGKETLMEPADHLC TSTA_006870 MPAWFRTGTYADGIDAQIVAPEREFVDKISRDKPRTSHVTGYRV KDRRPLISHLIRDLALATSGPTIPSYYYRLTLFFRIVSALEEKNLYSLIESTTNSIAL TYGRLTMIHPARPATLAVHADDVVNNVTDVAPPIHLSTTFRYHKNPEQLIPAAERNYE EDPSSLEPIYSRVAAPNSARFEAILSSLLKGHALAYGSGLAALNGALVLLNPKSISIG DNYHGCHGVIEIFHRLTGLKKLGLDCPAEELQAGDVILLETPLNPDGTAFNMEHYAQK AHSRGAFLIVDSTFGPPGLQDPFEFGADMIMHSGSKYFGGHSDLLCGVLATKRKDWFN QLFSQRLHLGNNMGNLESWLGVRSLRTLELRVQRASKNATNLVSFLHSALTQSNASAG SDAEAVSKVLSEIRHASLQTEPWVKRQMPNGYGPVFSIIMKDADLARRLPSKLHYFHH ATSLGGVESLIEWRAMSDSRVDRRLLRVSVESQNLNLRFDSSTPQQRSQPHLTTYSLH HKSVSTHQRRGPHINNKQSSRREAKKRREKKMPTFKILYFASASSYTGKSSESLPAPL PLSGLFPLLEERYPGITKTVLSSCSVSVGLEYVDIPSSTEGEGDGTTSAGKEVVIGEG EEVAIIPPVSSG TSTA_006880 MATGFLTPRRQGSFLRNDSSASKESFSDNLSTNVLASPLQAYKY ENNRRYHAYREGSYWGPNDEQDAYHQRVAHHLFTLVLQDRLYLAPISSPRHVLDVGTG IGLWASNIADANPAAQVLGTDLSPIWHDDATIRPNLTFEVDDCCSNWTYLDEGRELFD LVHIRCLYGSIKDWRKLYQQAFDHLEPGKGYIEQVELSLIPRFWYTNTADEGAGNDYC SLDLESTSHTEELNSIFATWYKFWQECSRQTGKTWFVADQMAGLIYETGFDNVREIRY ILPLFDCLEGMTSITTEGTTSIGEELMGGYSDYPVPRLQEIARWFRQFWETGMEGWVL AVSTRYMGWTADEAKEFVAETKRVLVEQESRVYYELVVIYGQRPSDSGI TSTA_006890 MFKFLRVSKHGILGVSYHIFRVAEFITLIAIIGLTASFVSSLVD ADVTPPSILVAILALVSLTEVYIIITSILFYDHKMPFIWALCTDSLILAGLIVIAVEA GKPLSYLRCSDIGSSNSAFMFESTLSNLAGVLSAGSVFSKFIASARHVCIEMKAIWGL IIANCIFFAFTVLTGMILSLMDRRAKRVKNNNDF TSTA_006900 MDNSWFMILFCFLVFLTSSTNAAFIDFENCLESNILNDPGQLQF VPKYFHVVYDPAPGPNPLNITVYGNVTGSAGEPAPAPGSPLWNDNTNNAGKIPNIVNN DGNQTYTTLFTTLDVLTFTPYENATEFCRNVTQGSCPLGPVFNVNGRDPSQLRAFSIQ HDLGGSYQLTSLATTLRIKSGDAQGTDLGCISGIITPDLGGTLKGVFAFLPLLVLVLL TLANILASTYSPWGSTDIYQWTSNNGRDEDHLRLVTPGFADCLQYIQFIVLTGALSLN YPGYYQPVVSRGAWSILMFNQSFASHGTGIDPIIDGVYVVNGTYGLDRLRQYVGLHSI RDVWPGSIIWLLVILVAVTVLTQVALGSQWIYHRMARVPEEDLRSKNIPFTIGNIIRI TFNFFLLPMVSLALFQLVVAGKSMAYSVVLAVILLLILFVFALYIIHLIISARPRAYL FDDLPTVLQYGPLYNTYRDEAAPFALVPILITVLRAVAIGALQPSGVAQVVLLAICEV AMILLLVAFRPYASPTSMNLYQFVFSLVRFLVVLLCVAFVPSLGISEQTKGWIGYAIL ILHGGMLLFGFFLNALQTLIEVVARLAGAGGAEGGATRGGLTKVFGARQLSRRNPRSS TRQSMGSEAAMLANMDERSSAQFGGSRARSISGSSAMLLNRTAVSDGRVSIAYDAGSA HGGPHSRTNSGMYTPTTPGASSAFSHHAGYQPMGTPKSGSVIGFKPEAMDPYYRPPRP RTTTMDTRQSGSEGRVPATSGSDTEDAGIESAGQPLPAHLGTARDDPDLDDGRPNRKD YAVREVDFYYRVRGPALSHTGTRKLKTGPADPTGPVSSASGWFRRLLGGKTKEQGKGF EVIRSARAPPAGFSPAEDQEYHEPYRDEPESQSPEVASERGHSRNVSGATTTSYHDSD GAEEEKDEEQTESFQLPQIDAGGSIELPSRVGSRTSTHSSNRPPVPRKSSRRYGPHDP LDEVTPALVPIPGSPDSATHPSRRVPSSDLLHPASESEARLPFAASEAGSKTSQERHG STASSTSTIQRIASADPGRPSGMGYVAHHRAGASIHQLDSEHPGSTAELVEQSTDTES SHEGSAVSRRSAT TSTA_006910 MSETQNKDEVLAPAKQPATSQTLAQIRSFVAGGVGGICAVVIGH PFDLVKVRMQTAEKGVYTGAIDVVKKTIAREGLARGLYAGVSAPLVGVTPMFAVSFWG YDLGKTIVSSLSAVPVHNNTPQYSITQISAAGAFSAIPMTLITAPFERVKVLLQIQGQ NPPPPGQKPKYSGGVDVVRQLYKEGGLRSVFRGSAMTLARDAPGSAAYFAAYEYIKRA LAPKDADGNVTGDLSLTAVLTAGGAAGIAMWIPVFPIDTIKSRMQSASGTPTIGGTIR AIHASGGFKAFFPGFGPALARAVPANAATFLGVELAHKAMTKMFDGPGDFV TSTA_006920 MVRRPARCYHYCKNKPFPKSRFNRGVPDHKIRFFDTGRKKATVD DFPCCIHLVSSEYEQLSSEALEAALICANKYLVKTAGKESFHLRIRVHPYHTTRINKM LSVAGADRLQTGMRGTWGKPVGKVARVNVDQILVSVRTTDRHRLVAIEALRRSMYKFP GRQKVIVSKNRGFTNLARDKYMQLRNGGLVRNDGAYVQFCQRKGALTESIQRFPEFFR LAVSL TSTA_006930 MESKRDISPLEIDSGKNPVYDTTIRAPDDQKSGDPGQTISGWRW IAVVLAILSSTFVFALGNTITANIQADIIRNFDAVTKLTWISVGLVMSASATVLLRGK IFFQFNRKWTYIISVAIFEVGSAVCGSSPSMNALIVGRVLCGIGGSGLYIGVMTLLAA TTTLHERPMYVASTGLAWGLGMVLGPIVGGGFSKSSVGWRWAFYINLLIAAVCVPVYL FLFPNIDPRKGTGFMNRIREIALVAAFLTSVPFSPESWLFLSVASLGPGTVARSSALY AIFTTAERRILSIEFFKSRTMLVLFASTSAAGTACFVPIYMIPLFFQFMCGEDAFGSA VRLLPFIVLCIFAIIVNGGIMSAFGLYMPWYTIGGALMLIGGAFLYTVNVDTNVAHIY GYSIITGLGTGMYLQASFSVAQASVKPQQFASASSFITCAQVVGTTIALAIANSVFLN KAQENIIHILPNISLQEVLSVISGTSSLVSSLPADKQTALESGIVSAMGHTYILVITA GSLTVLLSLLMKRERLFMQAGAAV TSTA_006940 MFLNRHNKGMIKYPGPFLASLRNIWRVRDAYVNGGMRPSYVALH RVYGDVVRLGPKALSFASPAAVDDIYQPEKNMAKGHKKENIFSTRDIHWHTRYRTLVG PGFKIVNLAPKEKEVDELIKKLLRNLNDAARSIDKSSSLIDLPLHLQHFTFDAGGVFA FSQPYGFLDQKTDLDGIIQSVRVGSTHLNRVRITIWDMTVYFSFIAPPMAFAKKYLPA QRIEEQLNNPKAMGQHHDLLDQFLEAHKNSPDIVTRNEVVDLELIVVVPASEAVRTAI AALIYYALKAPVVLTKLRREIDSLGLGFSPCPFMQVPKHAKCRNMYVKLEMDKTELKT HPGYMAISLY TSTA_006950 MSGKSFAMKSSILLLSLAAATTLAAPAPSKSHIVHERRGVLPAS WVEPRRVDGQAKLPVRIGLTQSNSDVGHDLLMDVSNPYSPNYRKYLTVHEVNDLFAPA ESAVSAVRNWLEGAGIASDRVSQSTNKQWLQFDGDAEELERLLGTEYYIYTHASSGRT HLGCEEYHIPKHLSEHIDYITPGVKTLEVRDARPAELKKRSFGLQNPIPPLLKDLPET IETIIEQLFSSTLCDSVITPDCIRTMYNITEGTTATKGNELGIFEDLGDYYAQKDLDL FFTTVYPKIPIGTSPTLKGIDGGSAPTTLTNAGPESDLDFQISYPIIWPQNAVLFQTD DANYEANYTFNGFLNNLLDAIDGSYCTFSDYGITGNTDDDPSYPDSASNGYKGSLQCG VYKPTNVISISYGGDEYGLSANYQKRQCDEFKKLGLQGVSVVVSSGDSGVAGSDGCLG DDGTIFNPDFPSGCPYITTVGATYLPSGTSPNGDNEVAVSRFPSGGGFSNIYPQPSYQ AEAVNTYLTKHTPPYKSYNTSDNTDIGANGGIYNAGGRGYPDVAAVGDNVLIYNAGRP TLIGGTSASAPAWGAILTRINEELLVKKGTTVGFVNPILYAHPEVFHDITSGNNPGCG TDGFETAPGWDPVTGLGTPNYPALLALFLGE TSTA_006960 MTPFFLVSLLLALLPSIRAASTFSPARPPAQPLAVRSPYLSAWQ TVGSDGGNGGYLAGQWPSFWPGQTLGWTGLIKVDGTAYCWLGNPANVPFVNQTSYSYT STSSVYVQSVEGKVQITVTFLSPITPDDFLRQSLVFSYINVEVVSSDGKEHDVQLYTD ISAEWVSGDRSNVAQWEYGTSGRISYHKVYRQTQLAFSEINDQTEYGNWWYSTTADKG YTYESGIDVDVRGQFSNEGKLKNTVDTNYRAISDKWPVFAHAFDLGRVGTRSTSRLFT IGLTQEDAIQFDGAKGVVPLPSLWTQYFPDEISALHFFQNDYEVAKSLSSSLDDKVQS DASAVSDDYATIATLALRQSFGATQLVGTNETQYVFMKEISSDGNINTVDVIFPAHPA YLYTNPAYLKLVMAPLFENQEAGQYPNRWSMHDVGSHYPNATGHTDGNDEQMPLEECG NMLIMSLAYYLASKDLDFLTKHYKLLDQWTQFLVDEALYPANQISTDDFAGSLANQTN LALKGMIGIKAMSVIANATGNSLSASNYSSIASDYISQWQTLGINSAANPPHSTLSYG SNNSWGLLYNLFGDKELGLNLVPQSVYDMQSTFYPIVNGKYGVPLDTRHTYTKGDWEA WAASIASESTRDMFYSDLANWINVTPTNRALTDLYDTVTGDYPGIYFINRPVVGGVFA QLVLELGLHP TSTA_006970 MKITTFHAALFTLSASGALAANTTETTLATFDTAYDDANLSLST VTCSNGENGLVTKGYNTISDLPTQDVAGSLTVKGWNDVNCGACYSLSYKNETVYVLAI DSAIGQFNVAQKVLDKLTHGQAQEFGSVSVDYEQSA TSTA_006980 MVAIINTTPVAGENEQKSSVDHLSTVLETLTACLNSASTSLPSP VQTEETSLSPTILPPADGISLLDTKAELLLSYLQNLVFLVLFQLRGRGKNDAQGGVSQ EDIVKKLTELKVYLDRGVKSLEGRLKYQIDKVVKAAEDAERAAKTSSKKSEDNDGDED SSEYYEDASNSDEDEEASESEDEDIDEMAYRPNITAFSKDVQKPNKEVKTTTSKDRKD QPSDGIYRPPRIKPTALPTTESRDRDRERRPKKSSVIDEFVSAEMSSAPIAEPSIGST IQRGGRQVMSQQDRAREAERRTYEETNFVRLPKESKKERAKRRAAEGPRSGGFGGEDF RSLGEGADRIARLTKRSAGSRAGALEKSRKRAFTEDGPRGDGAAVGQSFEKRRKKVEG WKK TSTA_006990 MASMELALAALHSADPGEKPNISLVARTYGVSQSGLYKRFHGVT GSKEEQYDKQRILTTTQSRALIKWINQLTERGLPPTNSMLANFAREISGKEPGKNWAS RWLKAHSDKGFMLGVSTKRKRIFTRRKYEQGGYKQHLQDGNREWITTIGCICANGTAL APSLIYMAKSGFIQDSWLQDYDPQTQRCFFAASESGWTNNDIGYRWLVDVFDKETKSQ ASRGWRLLILDGHGSHVTMKFIEYCDSNRILLAIFPAHATHTLQPLDVALFSPLSNAY TKQLDDFIRDSQGFTRLTKRDFFRLFWASWNEVFISKNINSAFRTTGLYPFDPEIVIN KFNKKITSRPFSSESGASIIPPEDWRRLEKLVKTVVNNIYDEKAVQLRETVSHLSTQL ILLQNENQGLKRALINAKKPKNKKQPLLLGLPSEQDGGALFMSPTKVQQARDIISQKN DEAAQKQAHKDDKKLQQQLKKQAKEAEKVKRAQIRQEKREQREQEAAEKQRLKDEQEL AKLADLQLQNDVISTPKASKKPTEQISRQAKPRVQPEAHVEDNEVVVTTNRRGRAIRP PARFRD TSTA_007090 MSEESYSFPPYLRVLPEWRVILCQQHGSCFTQQSLQQHLRKRHH LKRYEQVHIEQHPEFSLIAKTIDTVVQPSDGTTEINGLPIIPGFTCHIENCDYRSRNT DCIRQHYNQEHGWRKSQGVMPWYQVYLQTLFSKQQDIQYFTVELAHSIHTSHDLHTNT PGYAPAFTTNDLPSLDTLLQEYCTFQTQNSVSYTVNDSQHVSEITPWLRTTGIHIHLT GLDLETVGDLYRLPNRDEIRLDLICASVDRIWRKTEQLLHHNHAGEIPRLSRRNARLL NTFTRGEVSQNPIQPLQNPQSRSRYIQTWQKLVCYWSRVIDDQALPNSLFQPTEGQKT AWNDIMTAAENLYHQQESEPDNDEALHRLQQEMDEQTLMFCLEIIQQSIPLRAFDSIL VSFAALLFWMPAKKQWMTVGNYTSFLSQLIYNCQIWILALSILEQQHYPTQDLGDIIV RHRDRWLLNDTKGPVAELLENRLYAFQIAMSEVPPAQVRWDREGQVITFQDVSLSLLE LSQLIREGISTAQAIFEQELCLSGPSRPATEIPQFDLNNLMDNWDATQAGASFLTDSR NHAYVVPYQDWLFRRVSQDAVLFPTFWELGADQTWRISQKMVEQYEATIQRFLEALLV PFFIGSGQQARRTEFLGIRWRNTLLHTRDLFLHDGQMLFILDYHKSRHRTNASRWPAR FLLPEVGQLVTQFLILIMPFRQWLQHKVQTAHSRTSIPVYDYLWASTTKPWSDNHLTQ TVIRTGEQILGKKIHIRAWRQITVGIAIKKFRTLASQFIEDSLDNEDDLIEDHSGSMA AVFHYQAAHTPHTGNQIYGGTVNFRAGLTDAGLQEFRQASEIWHQLIKQPSQYSTSSL LKRRLPAVSTQSSQPANVNTEWEWDESSSKRVRSEATESTLVQRFHRCHEPRQSQQRW TMEQAQTILKRMYGPEAQYRTSNQQQALQYIIQGSSQVVAVLRTNEGKSLLYLLPCQL PGARTTVVVLPLLVLKQDMLLRCQNAGIEVTIWNQQDESRHLGSSPLILVSVEQAVHI NFRTFLLRLQLANQLDRVVFDECHLTLTASSYRKRMALLPTLRDIQCQMVFLTGTLPP IMMAEFEQTMLLSKARLIRSLTTRRDLSYQVVSCPIDQDFFKFAIPWIQQERTQLDSE ERAILYCQTQAITEEVATILECPFYHASSGTREEKAQTLETWRNGNPNWIVATSAFGM GIDHPRVRLVIHLGAPSSLIDFTQEAGRLGRDQQGGRSIILLPSSWSVSKSGRPGHVI SSDVQAMHAVLDQPNCRVAAMSSFLDGAAVACSAPDPLCDQCRFRQENPESSSTDPTT TSSPSPEQNVDCDLTIGSQMRIQQIQQESRQLQQYEDSLQALRGTCVICRILPSSSAD KKKHSFINCWNPRRQDFLEAKKRAQQEGKQFQGWMQRYAGCFRCYNPQVVCSQQGQGT CLYPDLVMQACWAIYQIKAWTEGLLPGLGGEHVQSNEAAYMLWLGQKRATFGVEGSNA AWVAYHIFQQLLEPAKGSV TSTA_007360 VLDLLFLQIFSSIGKSALIVNIYNASAGCSRAGEAAKALTTLPE VYFPQTTILVGNLNLLHNRWQPSLQRSPTTFAEPFINWLDLQGLVLISDIDCPTYERG NMLDL TSTA_007370 MAQKPGGQDISNRVGEHLEKLTKVILIGARAVLPVFRTTPKPVL YRESGFSPPEIELDQIALLATVCLRRLDPYHPLRRCAEQIASNG TSTA_007380 MESSVGKENPFSQDSITQDNNISHIQTHSQSDKTALVIHMNKEN ESMNAITPTECIDHLKFLAAVAELQRSIRSSDGLFGINEIGELADMLNDADIRARMEE KRWQVYVSRAVDRFREWWVSLPKYKKQPTVMSLKRYEMNPLTLDDNIGISLSEPELPP LDILMVWHSFMLNPRAYLEDCFRQAKMNLWATPFPWDLVTQCISMDDEKRYYYHPSEK AHQQWNIRTGRKWDNLEDPLHHALKCPFCHKKTRVLWTKARITMLDPTRASLRGMTPG FENADGYADKSFSISCSGCHEQLDHDYLSFIKFRIDNIALIKQHLPLPGTLYDPNGVV KTSEKGKVKWPQLTPNYLFLDSGREFGDRMGNRANECRSVRQLADLIYEHVYRHNRKI QVLDMDSELANSDMFDLPEDMFNTSKGKGRGTLRRMMSRYWGNSSMFAIDLVGAVIRQ GSFVQKMQKLNWLHSRSVSALHPLMEKFITKYYIFWKIIVDNPSQMVVPTLDVDLVWH THQLNPKNYYTYSIKTTERRKPVRFIDHNDRIDEGRLSDSFEWTVRQYRKVTGGELYS ECFCWYCEATRGKRLFEKLSLSSKNIKELDQPKNEKNDTTSSLEENVSTHISPHNAVR APDQVPRRSRQRLERIIREHHRRDAVNGKGLKTNKNQNERTTLFELFEKDPPLHHDVY INNPACLSMGKDAVGNCIGGSCSPSMAAGSCGGSTFTSLCASGAKKHGTGFGYMQYRG AGGVGGGGGVGCGGGC TSTA_007390 MLANNFQELVTSLPLSRVLLTVGLIIITLWIVSRKNVDPREPPE IKPTIPAVGHIINLVRNGLSYYTKISLEHRDLPIFTINIPGAKSYVITAPSLMLAVQR NSRNISFDPFLDVAASRIAGCSPATCHALLEKKRGGLGVNQLMVEAMHPALLGEGLDG MNEAMVKGLKLWLDGLLQYGQTSFDLFEWCKEAMTVASTDSVWGPLSPFKDKAVQDCF WEFEAGVGKLMPKVVPQITARKAWKGRETLVRAFIQYYKADGLKHASVLAHARYNVHV TNGIPIEEVARIEASMGLGLLSNTVPTTFWFMFDLFSRPKLLEQLRGEIKNNALHVDG HNAIIDVADLRDQCPLLVSTFQESLRFRASGASTRFVYEDMMLDNKYLLKAGSIAYIP VRPVHSHPDVWSDNSKDFVPDRYLKSKPRKLGGFLGFGVSPSICPGRHFASGEIISFA AAMIMRFDLFPAGSSPWIDPKLDLSSVVSTVTPPGEPFPVTLKAREEYKDYEWDFRVT KGKGQFALVIG TSTA_007400 MEVTSRNQKDGMKDQLATGARFFEHEPLRQDNKRLIMSSKNEGS SINKPYDEYATEHHIVPDGSGIVAEFNSMSGDKQEGTALTYLRCQTITSSNAIALGAA ITFHNRVLLAQKANADSKTLNWLCTNAKPHFGDNHLLVILNDFFNLATSDIENQITKM RLWDP TSTA_007410 MPENAVDEDQAPTASDNEGVYAGPPPSHLQAIEVDSNTHSDDND SALDSELGSTTSTSIVSSIQDYEYANGRRYHAYKRGAYLLPNDEAEQDRLDLLHHVFL LALNGHLFISPLSTPQRILDIGTGTGIWAIDIADEYPSAQVIGIDLSPIQPGWVPPNV QFYVEDAETEWMYADDDSFDLIHTRVMGGSIGDWDKFASQSYTHLKSDGWLELHEPQS WVVSDDDSMTRCEYTTQFQTKCVEAAKKFCKDINLAHSHKQRLLDTGFVDVQEDIIRL PLGSWPRDRRLKEIGRFWLEHMVAGVEVYTLGFIGKVLGWSEIECRMLIAKCTEELRD RKNHLYVNLYVVRGRKP TSTA_007420 MTWLEMSGSRLISPMLPQVLCFAILVWTVYLVIRSIYRLYFSPL SHIPGPRFAALTKWYEAYYEIVLSGQYSFHIDKLRDQYGPIIRVAPDEIHIRDIEFYD ELYAKNLHVEKPGWNVKFGSPSSVFTTIDAASHRRRRGALNPMFSRRSILSLEHLIQQ RTELLCERISGFKGTGRPLSMTDMLPAFTGDMIMEYAFGFSYNQLESLNFESFHEAFM AIGSSAHVASFFPWIISAMNSLPDTRIEKAQPSMLSLLRLKRVGRTIETHARSKPEEG KEQISKTTIFSEILASENLTAYDKAHQRLADEANILVAAGVETTAFALCVGVFHIVNT PQIYSRLKNELFNSLPAGDHEIPSLLELEKLPYLRACIQESLRLSYGLSARNPRRHPD RTLNYKNQYIIPKGTKVSMTIVDVHHDESIFPDSHRFNPERWLNDPKAPDGKPLDHYL VAFGKGPRRCLGINLAWAELFFAMSMTFGRFDYTLYQTDATDIRYKYDFFTPRVKLDS KGVRVLVI TSTA_007430 MSVVAAESRGFIDFYTKSGSTNGAKIAIILNELSLTYRLHKVDK APKDTEAYRAISPNSHFPVVVDIHPDGFKVSLDQTGAIAQYLINEYDQDHTISFPKRS PEEIEATNWFFFGASRVAPSHDEAVHYKKEAPETIPYSIDRFQNKTLGLFFALEQRLE KTGDYLVGHKFSIADIAQIPFVVAAEEAGINIERFPYLTSWYEKVLSRQGVKKGLSVA GIEFSA TSTA_007440 MTSVKDPAYNTISTELGSYTRKLIKPIQWEPKIEAFIKEVQENG FVIIRDAFSMADIAETKVELTQLASSGRGGPAGTKGRNAFEGYQTGRIYALLDKSRIF DKFILHTDVMALNDFFLDPGFQINAFHSVSIQPGEQPQTLHHDDGHITVPRPHLPFGT GVIVALDAFTETNGATVVVPKSHLWDDKRRPDRGDTLPVVMESGSMVYFLSTLWHGGG GNSSGETRDALNMQYCQPWIRPFENHILAVSWDKLSQIPPKLVDMMGYKVAIPMVGHV EGSSPLSAVTRRLEKYHKQKSPTEAKL TSTA_007450 MDFHARISSPQAIVLVQGVSLGPMKLPSDHIAQVAHIIYSDGGS KVSSDRDFIYQHPCVARLAKAVREGFQTQAAASMDSSLLERFIDTYSQQRQQQPNVYG DSDIATVLLTGGTGSLG TSTA_007460 MENGTKDRPVGLVMDSNLTLVIYLFALIGLGVPTVLLSTRLSAE AVRHLVQKTRTSTILVPARLDGTAGEALSSWDTNDSPPPSKYYPAAYRNFLTEEASSS TAFSQNNVSRKPFCLPEVGTIFTGPSITQLLRSSGAKSLLTVPSILEEIALLPDNEEI HALQQLHFIAFGGRLPKETIGDKLTAAGEHLPE TSTA_007470 MTRTLLTGGSGFIAAHILDALLKRGHSVVTTVRSQEKAEQIKTS HPGVSKENLDFVIVADISAPHAFERVVVSDPPFEAVIHTASPFHLRAQDVKKDLLDPA INGTVGLIKAVKNNAPSVKRVMVTSSGAAIMGFSKPQTYTFTEADWNNMTWEEATSNP LNAYRASKVFSEKAAWDFVEKEKPHFSVTSINPPMVYGPIVHHIKNLDGLNTSNERIR DIAFGKAKDSCPFTGVFLWVDVRDLALAHVLAIEIPEAAGQRFCVSPGQYSNKDIVEC IRESFSELRGGLPTGDALKPGDFPPTGWYGYDNTKSKEVLGISYRPLKECIVDTVNSL NPFVNKGEGR TSTA_007480 MTEIGFNNETGRKLVNMALWDKEVEPGDNEIALSTHAISALYYA ACNQYIDYEIFMWLLDYFGNWTHDDFKRLSVHIQKKIKDMLMDRGIFVDYIGRKKTIA KALDDLVQMTRMPEWPHEIAAAKAFDSRSKMAKGQFPQLATKNGSEEEEPEVIASLIE DGREDYEKGKQPLVETPKQASQDHDQQPKNHAQNDKPSRPHDHLSLPGPTYTYPYRSK LEDDWRRQTPRYTIAPPAPKISMSSEKWDDWTMLPPREVGYRYDILDDKVRAFLRACK LSSISISQCWEVFPEMLSGRAGAYHMHHIKPGASFAQAYNAIKAYFDTPNNQTDYYQE WTTVTLAGERQSNPSKSWVEVVDLMVDKLHLCQRALGSAYAGDEHLIAAITRACQSTP EMGETLSEPTSSFQTIISKLRARAGVVQQKELASQYVVDKVNNPQVFYTDRKFIGRTN HNNSPISRQEYQRKNRDDAKQLKKSNGRYFICHRENCHSWKHSEEERREARDRYDRYR QVDGRKKLSTRAYQTFLQEYEGTSSEEEDDDSTEEEVKQDVTTAYFMINQLQDRAFIH RITGCNNGIEPPRFHLDFEVKSSDQSEDTGASTVSTVGKGQLAAFLRLYPHTKVDRSR AGEYSVRFGMSNPIISTAVITVESQFGDIEFNTMDLPTPFLFCLKDMDRLRVKFDNLT NEMIQGDLIVPVIRKRGHPWFFLDEKYAPEAFLTEVKMRRLHRRFGHPAVDRLHKVLK RAGHLDVDYKMLAQIEEFCHHCQINWQAPKQFKFTLHDDCEYNYEIVVDVMYLDGKPV LHIVDWATSFQVAKFLKSLSTKDTWEALQAAWIDTYLGPPDVISHDAGTNFATVEFRT KAKMMGIQCHQVPVEAHNAIGKVERYHTPLRRAYNIILSELGTSVDKEIILQMAVKAV NDTVGPDGLVPTVLVFGAYPRMTYNSPPSALTAKRAQAMRKAMIDLRNAMATRKVNDA LKARNGPIVTETLNLAPGTDVQVWREGKGWTSPHKVISVNDYNVIVDLPSGVTDFRAT SVRRYQRDEIESSPTRRLLGTDLPPQKEEEGRKIDGLLAGRKSGPAFARGSSSCDAAA GALQEVELGEPAGAANPNRRLRTRGIHVPDAPVMPPAPRRRGRPPGSKNKPKTYTNKV EVFLSRKEKDDLELAVKLRQEGKITADGAPFKLSAMAEIDGLIASGTFKIMHHDDLDL RGVQIFNSRLVNEIKGKNEKPYEKSRLVIQGYNDAGKTGILTQAPTIQRAS TSTA_007490 MGMVVDLRDITQAYTQSKSKLQRLIVANLPAEMRDKYPPDSLLL VEGALYRIPEAGVHWFDTYQSHHKDRLGMETSTYDPCLLMTTKGKENFGLVESFAGEE QVALQEAGFKAKPKTRLSQQVPIEFNGARITLQDEKVYFRQKGQAAKIKPVGKEDRAQ KYVEQRARGAYLASICQPEAAYDLAVAAQLQEKDRSEADYDALNKRLIWQAENPDRGL CFVPIDLTKAKIMIFTDGSFANNRDLTSQIGFLIAMVNEDFSESGQFTITGNILHWAS SKCKRITRSVLASEIYGLTTGFDQGFTLASTVNMITKRLGQPEMPVVVCTDSYSLYEC LTKLGTTKEKRLMIDLMALRQSYERHEIDEI TSTA_007500 MDKVLSFQPRTSKPKHFSYKTTLQNKMYIFSAITALLLSASPAI VSGASIPDPLERRDYCGTLTTFAESDAVALANSLQNAENANGEMKYVPAHSYQEWDWG SARLCVWNDYIAENTHVSLWEAGWAAGYINNKCCKGSECTGGEATAHGDSGLRLRVVL KGTSESCM TSTA_007510 MLGKHLLPCLVKHQPVSTLPLTLLQLFDRDPLKRHLQWHTMGSQ LVKYCVFQAAIRFLDCALAPLSTQDFCQTAEISQTVCTAIQIGLVDLLASWSIRPSGV VEHSSGEMAAAYAAGCITAAEAITIAYFRGQAVGKNKKKGAMLAVGLGINEICNYLKL EHFEDKVVVAAINSPENITLSGEVETITESMTILTKDGIFNRLLNTGGNAYHSHHMIA LGSTYINILANGLTHMMQLGLSDNKKRYARIPWVSSVTPDKGLSGFDVTTGYWKANLE SPVCFSEAVSILVGSEHNTVDVLIEIGPHGALKTPLNRILTSLGQSILYAPSLIKNED SRVSILRLAGTLFCRNAKVDLVSVNAVDQPLVTGLQVVHGCTTIDLPPYQYTYGPISY TNKSKEQNTIEWSLTIYHFKIHLYRWKEMRRYLERWIKQSSPYQTADYMD TSTA_007520 MAMALGVATSPNAEIAAEAGLNPSSLDKKIRQLCGLFVFIKESK IYLIHQTAREFLISRHDRSVNMHWHLDQRKTEIQMTEICLKYLLLNDLVSNDEESIRS LLDYSAENWADHFRDVVSLEDEVVNRAWKLYNVRTEQFRLWFPKFWTTAMLYRPVPQM KALHLAATTALQWACEQGHLEIVQLLLEKGADVNAQGGFYGNALQAAAQGGHLKIVQL LLEKGADISAQGGEYGNALQAAAEGGHLEIVQLLLEKGADVNAQGGRYDNALQAAAQG GHLEIVQLLLEKGADVNAQGGRYDNALQAAAQGGHLEIVQLLLEKGADVNAQGGEYGN ALQAAARGGHLEIVQLLLEKGADVNAQGRKYGNALQAAVQGGHLEIVQLLLEKGADVN AQGGLYDNALQAAAQGGHLEIVQLLLEKGADVNAQGGFYGNALQAAAQERHLEIVQLL LEKGADVNAQGGPYGNALQAAAEGGHLEIVQLLLKKGADINVL TSTA_007530 MRTFRDSLRIGLMVGISGGAPSVEHDIRLGDIIMRAVELYDDPQ YLVYLQEAIGKNKWTRNTFSRPDVDTDRLFKIEYEHPENEASCDQYGKTREAIHKDTG ALCFEMEAAGLMADFPCLVVQGICDYADSHKNKQWQGYAALAAAAFTKELLGYVPKGI SQESLVADMCSLLEDIKEDQRKAFDQRESHHREKMERVLTEDQRRCHQAFKTSTYEKF KNINPNCVEGTCEWVLNSPEYLQCGKSVLAKSLIDSVFRASDPNVSIVYFFFKDNDEQ NNLATTLCAVLYQLFSLQPQLLRHVLPFWERNKEKI TSTA_007540 MAIAARFNLELKQYNAVNAFVNAILDEEMFIRMAPRYREPGKIY QLNKALYGLRRSPLLWQKELTSTLTKLGFKAVPHELCCILKSGIILFFYVDNIVVTYK KSHQLEADSVMNQLRAKYKISGGGDLEWFLVSTQPDVAFTISRLSRFLLNPRPKYHQA ADKVLCYLKRHRAYTLRLGGGEDYSVLTDASFADNTLNYKSSQVYIMTLFGGTIGCKQ EYQKFLNQIRVEDTNSYLTPQQKDMENPDIEELLSLNDVPNNL TSTA_007550 MTFKKSKPLRIELSSLRSQSSGDGFNRSLSEAYPVLLKRPSGSV LKDMVRECIPLAIAQAGAYISNRSPRMTVSSYLELFQQSETNQEHLLNYDEAHDLRRD QSGRYPVIMTWQISFDQIHHIYPKATDLLALMSMFDRQGIPEELVSEGIDQLQFEDTM ALLISFSLIQVEIGGRLFELHRLVQLSVQQWLKKQGWLYQLVKQSLRVMEAVFPSGDY QTSASFHMLLPHFKEMIYFTKKLDDNDQLNMASIASRCGWHLYLMGKYEEAEAIHRRA VTIHEKVLGAEHPDTLVSVSHLGSVLQSQGQYEEAEVMHRRAFASREKVLGTEHPDTL ASISHLNSVLYRQGKCEEAKAMH TSTA_007560 MEKLEEPFNKECLFIKNGVILLFYVNDILLFYDKATKQATFKEI EKGLMRKYKLRKMKKFKWFLNIRITCDCAQRKIWLYQDSQITKMASKFRINATNNVKT PISGNIKASTEQATNEEIHAYQELVGSALYVAVITRVDVAKAVNELAKHTKNPSKAHF TSTA_007570 MEIGSAGGVEDKNEDKNKDEVDVMEKKAKDKDIPKLDGKTNNPT NQPRQLLNPERDSASQNASNQLDDNNDNMRINQLITPPTTLLTTPPNQTTGQEAPRAQ EISADLIKDSKSLKPSSEPYLNLCRTIENFKERSKPRTKSYIKKKAEKA TSTA_007580 MDLADFSEILDEQLARDFIKASEDILSKFHKTWTNKLMEFNLGI ESSILLKEPQFDEALRSVKEELQKKNKDKKKTGSSTDTKKNSENQESANLVYNSDEFV GLLNDTKPPVLQSVVSTVLSASGRNADLWDKTLYDTGATAHITNNQERLINIQLNIRI IQTGKIEIKMISHDNPLSEQAPGAWNQKCEVCELTKAQKKISRVSMTPPTRPFQVLFV NIIVMNMAINKDLYALHAVDLYTKFHALVTTRMKSVNFDLETLIEQIEHTFKTKVDEI HMDGESSLNGISFKEYCHKQKIKLIVTVPYTLEQNGPSERAGGIITMKSQSLSKVYVK INTKKSDKMALRAQIGFLKYNPDHPFAKEIVKEGITKYVGNIDIPNINKADPNIVFDS VDDDMRLQQFSVSLGKTMTGGSTSPHEHANIEQPTQPLDML TSTA_007590 MTASLLTLDVKGAFDAVLPGRLIRRLREQGWPTNLVLWIASFAT GRSVQIRLDGEIGASTNITCGLPQGSPVSGILFMLYIAPLFRLGNPRNKFALLATIRL RRLDPYHPLRRRAEQVASNGRQTSRFARRILALPNSEQINPLQYAPWHPRESHENAQA RIGAPMGRTKEQAAADFTAFQRTIPSSDIIIFSDGSRLVDRRAGDVYIGFQAHYQFLH SSLLYGHGKEVFDAEAEAALAGAQAVIAYPTAQFATNLWICLDNLEVATRLLSPSTGS SQEVFESFRTLAAAWPLRERLPHTKNGSI TSTA_007600 MARNRSEPDKRSLQNVLQETTTAATTRAAKGQKIFSPIAAFLDK HRNQTAGLSPYLQGALATLSDNLATVAQHHFNAYISSITSTLSTHSPAPALSPIPSPL PPTPPPSCPPSGLTQSSYATITKSNPARSDHATKMIDSYAIYTSLRAQLGINSNALKE VQTTKTRFALCPATMEALSTLEAQKEVISTYFGNCQVECSARWISYRVTNLPRKIGQI TNGQYSMIPVDPATLSTEIAEQTGYRPISVSKTTASAANVNSPSSSWFINFSEDSKAS LSTRLHLFGTITNACFLTRKVTIIQYNHCWKWHNAQSCAQSPRCRLCGSLEHIEEEHN NSCSTLNPHCCPLRCFHCHGPHLADFTDCPLRPKAGTTRTKAQRAEIRKTCAINLAKA RTEQGCSSELSATTQEQHMVIDTPPTQIQVASPFRPTTPPPPAPSQEPPVTASVEPYI FSNLSQKITKRHPSYECFSPTDSWTVTGRPRVLTYVWKNAGLQASQLWPIITDPATLS DLLFLQIFSSTGQSVLTINAYNAPAGSTRASKAMETLISLPETLFLQPTLLTGDFNLL HIRWQPSLTYRPAVSADPFIKWLDHLGFILISEIDCLTHTRGNVLDLTYATSSLALSR LHTSTVPHLDSTSDYTLLLTTIPWDQRHTLPTQKIRFDTLDQPLFLSLLSTNLSQIQP LDSSKANLESLAQGLTSAIHKVFDISKWHKSRGSYRSPPLKDPLRPDNPPAVSVQEKR DLLVRNLLQNTTEAGDIPLDCPAVPTTTLPFPEITMAQVEKSILKARNTAPGEDELQT NILKTAWPLIKDKILFLFQGCLRLGYHPKCFRHAILTILQKPSKEDWTNPRSYRPIAL LSVLGKGLERLVAQNMAWIAIHYKVLASQQFRALPLRLVINLTTCLTHDIEQALNQGK TASLLTFDVEGAFDNVLPGRLTYWLRTQGWPNNLILWISSFITERTIQIRFDNELGPH TDISCGLPQGSPISPILFILYIAPLFHMGNPRTRFGYADDGATLAISPSLLTNCQTLS ESLQDAIEWGTAEGITFAPNKYELMHFSRHRADQDPATTPSVLMGSVTVTEATDQMTS KVLTIASALRSLGNTVHGMRPHLLQQAISACVLRKVYFGAETWWPGRTRFRSRPQADT PPISNLVNKHLTDLSTVILTGARAILPVFRTTQLPVLHRESGFYPPKIELDQIALTAS TRLSRLDPYHPLRRRARAIARTGHPTSRFARRVLALPNMEKINPLLHPPWSTKEPREA ALRWVGAPSGRTREEAADNFQILLQSIPNNDIIIYSDGSKLENGQTGGGYVGSSQAIF ESFNTLAATWPSRRRLLQIERHANIPRNEAADYAAKEGAGKTVPISHPWSYAAFKRHT KSQAASRAQTYWQAAAPRPPELQLPRHILGRILAARTKHGDFADYHEWFNHTDAHLTC RCGARKSPIHFIFCQIAKRKAPRFPRHPSEVIPFLLGTPKGATKLAKWLTETRFFEDI CPRRPPLST TSTA_007610 MICHSYEIIKKELPDLPKDAALQMAVKAVNNTAGPDGLVPTLLV FGAYPRMVEYDPPAPTITQCAAALKKAMTEVRDALNMRNGPASTAVHLLPINSDVRVW REGNTGYAGEWKGPYKLLSVEGETCTIQFPDGLKLYYKAPDENDQDTNSEHTNEEPEA PLGTNSTPPTSQDDEPDTSTPQARPAQRPQQNRQLPARYRDDLIQSKEINSLLENGVF EVVKVDDIPKGTRIFNSRFVNEIKNWGTDKAFEKSRLVVQAYNDKGKEISTTPLARNF YIRPPPKLVHLFPPGTILKVVKPLYGIPEAGFGIVGMQTNNTLILADNTFANHEENEI KRANILCKPREKLTPSNPLKFNGGLITEDAQGITLTQERTCKLIRPVQDRYADTTSSQ GKVQKDVSPQKQYVAQRALGAYIASVSQPEASFDLSFAAQITNPGKDDIKSLNKRLQW QLDNAERGLRFVRLDLDSLRLVIFADSSFANNKDFSSQISFVIVLADAVNNANIVHWS SIKCKRVTRSVLASELYAMVHGFDSAASIKSTTTQLLHLTKPLPLVICTDSKSLYECL IKLGTTQEKRLMINLMCLRQSYERQEIAEIKWIDGESNPADAMTKSKPYRALQALIDT NKLNINVDGWVERSTTPPTKATPISLLCQSCTARRPIPIS TSTA_007620 MLKGQALDFYYNNKEIWEASDHDPVEGIRAYFEGLEYHRTVLDK WSGISLQNTVDENPEKTLKACLNMMLTELASLYDRLAPKLRNEEFYLPRMGTALQTLA PTHTLWIDGIITNSHTRLLKAIQYDNTPTREARKSALYIKNPTAGQHVTRPRKERIQN ARIDQYIADYEGTEDDDEELPEELLSAADDLILTDDYKSGPTHDALSTLFTATFFTTH NDNDTNHSLSIIMELANCSALHWIASLFLKPDLETDSYKTNEATLKVLTPKSSHVYLN EGRYLSESFKGIVIDTGAAQLSTAGYGQYLAYKRIVRNININTTTAGTATVQFGPGDP YQSIGSIDVPTPIGTIWFHILITTTLFLMSLYKLDRLKLYFDNTCNLLINKKTGKTTP VIRQFGHPFLVWDYSYYTHLLTSFDYNPCLLTDTELCCLHRRFGHPSTNRLRRVLTRA GHKTNKEAIEHIRKFCHHCQMYDKSPGRFWFTLHEDVDFNHSIIIDIMYLDGDPVLHI VDKATCFNAAA TSTA_007630 MAGQSGNDTDQAMTEKKTLDATVKAKIKEYTNTYDSRLLRAFKQ DFNKWTLNNFNTVSVTELGKLVDLLQTNGDDEAEKLYTLLSTEKYRPWTKEEVIRHLR QGNTIESKVLNNQFEIVINTYNQPSNYGTNEVQNQTGEGPLTRS TSTA_007640 MGPKRGKKGTPWPEPRFADDPDIRAYVAAAIKDLEALKKHQEAL EIGMPRELSTSVVDAFLYLARRVKNTPTNEQLAQRLAKVELHVEKTQKEVSQASREIT TTKSNTNRLVEAICHPTSPGTRTAKNSPSFSHVTTSSESYVQAWGRKVPSNPPTVPSV GLSSGGSLPSTPYPSQEDLEVYLEHTDPNILNPIRRFPDKVVEKANLAIRSTQDTTIA HRRIAAARILPSGDIILLLGTVDDVDQLTRKKDWIRAFGNEARIRKRTWGVVVHGVNT NINPKQPQFITTLTSENAPVFAQLPASMNVTHTGWLLSEYKIKEQKLTNAHLVVIFDD ERIANFAIQRGLIIKGRQHNVSIYDKAANLQQCFKCQMYKHIARHCQRQICCAYCAGS HDTGDCPTPKEKEYAKCANCTAENVHIKDPAKRLNTKHFAYARECPIRATCLTEAHQR RTYGPQYHTPVIRPGNSQPGAISPNDPTPAEAANTERSPRAPARTATTRRSANSRSKS AAAARKRVAERSEPEPISPTSGDPTNRSSKKPIRAQWDKDLVIDADPNPEPKTGPETQ IKYTYNTRARQNTKPPPGTPVLQSDIAPLEISHVQAVRTVRRSKSVRTIPDDDSSEDE LTQPSIHEAPQDPIEPAQEADTLMTTNLEDSTWANNHFHILLQPTPKEEYKKRPRVCF YVNRGLDPATWEVQYHNRDLSTLTLHTAAHGTIHIHNVYNPGVNSNEESVISALQTAM APRAQHIVLGDFNRHHPLWAGPRYRHVDEEATELINLMDEHGLEQLLPPGTITYERVN AKSTIDLVWASHNLANRVVSCDTKPEWWYGADHVPISTQFDLTAIRVPPLVRKQWNAT DWDLFLKLMDIYNWHPRELNDNEAINEAIRYLVEAINQAAEQATPTKQISIYSRAGYT PEMAKLKHHVSRCRRHARRINTDQAWEDYAEARKEMKRRTNELARDLHRQRIEQATES IDGFWRIARWVRNRGKPRATFTPTLHYNNTSYTAPKEKAALFREVLHPEPPEADLSDI GPQYRYPKPYTMPPITLDEVRTAVTNVKPDKAPGPDGIPNLVLQRLLPTIEAYLVNLF NACLRQQYCPDHFRKSTTVILRKPGKPDYSDPKAYRPIALLSTIGKALESVLARRLSY LVEQYNLLPKQHIGGRRGRSCELAIHLLLEETHSAWREGSRVASGLALDAAGAFDNVN HIRLIHDLRKRQVPDDLIGWIESFLSNRRTSITLLEGNMGEFLVNTGIPQGSPLSPIL FLFFNADLIEQILAECPDVIVLGYIDDIFIMTYGTSAAANCHTLTKVHQVAERWERTH ASKFAPAKYQLTHFWRKHQMVPKPSGRLDVPLIIKGVEIKPTDSIKYLGVYLDTHLTG EVHVQEMRKKAAKLVAGLSSIAGSTWGTPLVHLRKIYTAVLQPQIMYACSTWYIRGGR GFTGAQRAAEQAIRSIQDQALHQISGAFKRTSRQALEVCLHVPPAELTLAKLAEEACL RIMTSPLRSTLYQIRGQAHCNDPYTSPLHRLETAIDRKLGSDTSQRIETIYPFVVPPW WEPPEARIDDTREEAIKAIEAISGTDTTIQFFTDGSGFDNGIGAAVYSSIGQAYKPVG SSDTHTVYAGELEGIDAALEILLRSQPCDDNPHEATIYTDNQAAIRATCQPGRSSGQY ILRRIVRHLGLLRDNRSRWRVRLQWVPGHEGVPGNEKADQLAKLAAVEATRRTQENAR IARISAPNQTTPHAARMSYIPNQSTILMAVCRQRLHAGFAKRWKEQWEHANHGRHLYR IIKAPTKMVLQLHEGLRRAWSSVLIQLQTGKSALRSFLASVRIEDSPQCECGLGDQDT AHVLIRCPTHINLRMETLWKEARETDYRKLLSEPQWVRQSIEFMMRTGLLTQFHHVTP LTTTRSQ TSTA_007650 MAEQSNSGHSSMMEGVANPEPDKRDRQGRKRRQEGLRIGENRGD QILYLRTHVYSVVLASILIYRLACYKRFVQYVNFPQLPSSLLLGHLKVYNDITQRRSL DRDHGKYNEPLYEYNMFLIHDILGRPTLVLMDFRPICRPVVFIACHEVAEQISRPTSL FRTSVPKVDLGYLKPVIGPTSILSAEGDKWKALRRTFNHGFSLQHLLTLLPTILNKTM IFVKHLDRLSESGAEKSLVSLTVNLTYDIIGAVILDIDMDAQHTDPCQRGELVRAFTD LLDAYWDDKIHLPWWFNPFGELRRRRLGKRVDTILKSIVRRKHEEQQQAHRTGQKTQS LPSSIISLSLQDTPVLSQEVLDRTCDQLRTFLLGGHDTPSATLAWVFYELSRTPRSQS AVRAELDVLFGPGTDADAVRTQLASPAGPELLSRMSYITAVIKETLRLHTPASTARRS PPGTGLVVRTPTGENLNMDDVIIYNCNSIIHRDRVIFGATADNFMPERWLDDSNNKTS IPPTAWRPFERGPRACIGQEFASIEMRIIIAVVARQYEFSKVGLGELALDATSGLPIL RHDARPVDGIMMKVKRVKEDDL TSTA_007660 MLEYSFHAPGAPERELAGTGVKAKGVEHVGLLPTGCTTRCTKIN KSMYIRKRETYHLLSVKMATSSSHVENYDASKFWIAPARNFRTSARLHLQHFLFQNTI GYLLEPTIEESLAASSRPLNVADLACGNGIWLTELHSHFAKNNISAQLDGFDINPVNF PDAAYLPDSVSIKQLDILAKPLPADLIGIYDMVHIRAFVSVIPDGDLTPILSVASDLL KPGGFLQWEETRGDKWVVESPSPQVSKLACESIVQVLEGGQKAQGINNEWVDVLDTCL GQFGFQDARLLSQKKRKQDYKGWTEDYLMVWEECAAFFPPKSQASNAPLTREVWTEMF ANSVKETEQGVAVHQGKVVTVVGRRPQ TSTA_007670 MTPKLYKEEEELIAKALTNYRVNMGCLAKKLSRRWHGLPSRSTR PPTRRLLSLDQEKALILWIEYLDNIGAPPTNQQIEESANYLLGKDFSGPGEAPRAGKN WVHDFIKRLPKQYERTVAEHYGEVERWFIDLELAIQQYKIRPQNLWNFDETGFIVGQG KDEAVVTAYPKTSKRVSSLSSRESITVIEGINAEGKIIPPLLIPKGKVHLEEWYRHIK DDNWLVAPASNGFITDEIAFEWLQHFDHFSRPGAFPDWRLLLMDNHITHLTIQFVQYC EIWHIRPFRFPPHSTHFLQPLDGVPFQQYKHVHGRVVNKIARLGGFDFDKNDFFEELR DIRIKTFTTRTIRHSWRERGIWPLNPWLILDMMLQPEEAFEALVAEEDALKIYGEADD TIPSSPTTKSISPPSTAVKLRRYVNKIEKSIDGIKDILDEVSPGLSRRIKVVNQGSLT LAELGDLHRESFAKVRDIATRKNQKTTKRQVKASGALYVKDANRLIKRRHDGDLLKIY KSHVVGVPQPMEEVASTEPQNSGFFFDTQGDR TSTA_007680 MGAIEDAVNYLNSLGEDEPINFTLLTKMYGVDRTTLSRRYRGVT GSKEAHYDNQRLLNDHQSKKLIQWIEMLCEKGLPPTPYMIANFAHEITGRKPGKNWAS RWLNKHPNALVSRYSTGIDRNRKRADCAWSYALYFELISRKIEQYNLQPNQIYNMDEK GFAIGIMTSQKRVFSRRLYEKKFKQFLQDGNREWITTIACICADGTVISPALIYMAKS GNLQDSWFQDLTTQKCYFAASETGWTNSETGYHWLEEVFEKETRSQASRGWRLLILDG HNSHPLDVGLFAPLATNYTKALYKFLEETQGISRLTKRDFFRLFWASWEISFTKKNIN SGFKSTGLVPFNPEVVLQRFNQKSESRPSSAGSTASILSPEEWRDIRKLLRKIGGKNP SRDFKMLSNTVMELTTEVILLRLQLASAEKALLNEKRRRIRKKPLLLGLPNENEGGAI FFSPSKIQQARELQQQKEDQAKQERAKKEDKKLQQQLAKKAKEKEKQERAQIRQQKRE ERVQEAAEKQRQKLEEKLAKQADLQL TSTA_007690 MQMPNAMRPCEDVVSLAICVLPLEAAAARSMLDRTHSPLPIPST DSNAYQLSELNGHCIVIASLPNGIYSKVSAVTMRSCMRSTFPQLQYGLMVGIGGRVPG KSHDIRLGNVVVSKPAGKHSGVIQYDYGKAIQNGQFEATGTVNKPPQVLLTHMGQLEA KQMTEGEDALSHIVDETLIRNSNMQGRFSPPDQHADFLFQSSYHHIAGEGTCEYCDKE KLYKQQPRKTRTPRIHYGLIASGDQVIKDSETRDRLAQQYGILCFEMEAAGLMDELPT LVIRGICDYCDSHKQKQWQGYAALTAAAYAKLLLLAIPVSRPDANLMNNKKMRHWIVP LARNPNFVGRQDEITKLEESITMQDGPRKIAITGLGGVRKTQVALELAHRIRDRDKEC SVFWIPCTSHAIIKQMLLQIAQILGLCVVNPADIKEQVKSYLNTERAGKWLLILDNAD DAEMWLMGSHTAPPLEDFLPESGQGRILFTSRNRKLAMRLAPFNIIPIPDVDEETAAK ILEKTLGHKDLLRDPARLVID TSTA_007700 MKLFIIIDSRLDPATSTTLLKQISFLPLAIAQASAYILENGINL STYLTLLQEQEQDAVELLSEDFKDPGRYKDIQNPVITSWLISFKQIQQQDQLAANYLS FIACIDPRNIPQSILPQAASRKQKVDALGLLNAYSFMNSQDMDINMHRLVHIATRNWL RKNALFSYWIQRVADNMQNVFPDNHHTKRGLWREYLPHALAVVHEDEFVVQENNYLTL TKKVADCLASDGRYKEAEVLYKRLMTINQEKAGAKHPSTLSSMANLASTFWKQGRWNK AEKLELQIMETRKTVLGTEHPDTLTSIANLASTYRNQGRWNEAEKLEVQVLETSKTVL GAEHPNTLISMANLASTYRNQGRWNEAEKLEVRVLEARKTVLGAEHPDTLTSMAGLAY TWKSQGKMHNALTLMKQCSHLRNQVLGPSHPKSRSASCTFLDWVDEHKALSNQSPLTG NNCLQPLSASSAVLTAYVTRGVHINPPYAPAVKSFLGNHPLIIAARTPSPRAEGQDIQ DVD TSTA_007710 MSLSDSEDSDTVLVGGDDIRDFNEENILPLPADELQKIRDWLQP TPYDLERSEFSRHLASYLQGTGQWLIFTNTYKQWHQGDENGLLWIKGIPGSGKSVITA SIIQQLRQEEVPVLYFFFRQIIDANHQPVAALRDWLCQILSFSPPLQVRLRHEYLRNK RSIESLAVSDLWKELKFALSAFPKVYCVTDALDEMDQGNNDFLLSLAELGQWRAANVK VLITSRPVVAVEAPLRPFHIPYILLDERLVDVDIAAYVQHRLRNSSVPYEYWDLVTEA VSGRGNGLFLYAKLSMDAFVNPGADPKEVLKTLPVDLNVMYNDLLREHAKRSDVTDEF QLLILQVITHATRPLRLLEVAEMAKSTHDPFEDYTLKEIKNLVRTACGPLLEILHDET VSVIHHSFTEFLKGFTRSNPLDHSTYPVLEAGPTNQRLAIACMDYLTSGCLDKVEIKQ RFNKDGFFHPKNGQQSGSRLQFPFLEYAAANWHIHARRTALAGVDMSSFYMTLDDFVA NKQRFVAWLDIDWPENEIQGLTPLHAAARTGLTQYARQLLQERDADPNAISRHGDTPL YWAALDGHADVAQLLIDNGADPDGEANEGYKPLHKAASWNRANVAKVLLAAGVDPLTP KTQGSPGRRCGNAPTSFGHTPWMYACNRGHTETVAEFLPYIKDSETLLRGLFWVAAAG NADCVDLMLQQPGMDVNSKYSGETLLFKACYKGDMKIIKFLLKAGADPNILCDYPKHE FAGRNSFHMHGQHRGDPKQTDEPRGYTALHALCGIRSRIGYRPAGKDCVSILLEAGAS VHSKCPDGKTALHFACAHNIEVVPLLLKAGADPTAETDSGSTILHTDGSTDKELLPIL LGSGLVDVNELMTKGENPLFTRLQGHHTDSIIELLKYKPDVNMARSDGDRPLHVLFDK FSSFSSDKHIALDALLSAGADPNLQNSKGETPLHLIGLKKEPKAVSKLVNAGADLEIR DLEGQTALFKNVMYNKIADDKDTLSNTLIELGARLDTRDNKGRTLLHQAVRNTSTLDY LIARMDFDPAVVDDKGNTLFLEAASTKRRGSVQLAGYMHLTKLGVDIDQPNNHGKTLL HKMCAKDCRLTSWNPTKKTAFDYVIEQCKNLSPRDVNGVQPLHVAAAISEDYVFKLLN AGADVFGTTNEGMTVLHVAARAQKPGVIGLVLSRLADVEKATSEAFINQKSAEGDTAL HYACRAGRPESVDFLLDAGADLNSLGKYGYTPLRACADFEVEQSRWWRAVAKDDTKQG ITKGKRSGSIFLDLPPTADDLEERKQRSYGMQQQPDPTYLYKILVSLVLHGADSSRHE ISLREAFHDAVLHQRDYTSQCLLRLQSRFFPNMDLLKGLDAEAWIASKSRLESKMSSL REQYTKLNTWQIKVEYHNRSRASYLQKLVDLRQYEVLEETVSNVDNLELDRTNISILP TLARLGLSDVLKRVCTRESACKFDDHEWCNQTEIANNIPELTTEPLLIVACNREIPNM AVVRLLVEMGVNISATSRKEVFIDNRKRRENVSGHSVLHDIAQGKTWWNVHEALPYLI QKGADLEVRNTSGDTPLHIAVEENMFKGVFYKEAVQILLEGGADANSVNNHGESCLSK AGTDTKLIKLLTLHGAAVSAAAIFSAIELQQDKLLEAFLSHGDFANLRQSGIPPLFHA AFYRVRDNRVKDDDLTPARMRLMTALLRHGADPYATFENGPESETGSLIHEILQRGFV TEPLLDLPSLRLETRDAKGQTLLLAASRSRHIKRFEDLLARGADITAQDQEGRTVVHN LMNHNPGEATYKCLEALFNQNSNLVHMPDKAGDTPLHYVLKSKEIYLNDYFSNKKSES GRGRDIDLLLKYGADPLRPDSDGNTALHFFAQMPRRFKSRIEQFQGLGVDINARNKTG NSPIFEYIAHGDLRAGGPYGYVNNSEVEDLDDIHHLRYFKEAGVDFFARNDAGSSLLH VVAGRKLGKHRHVHYQEEITVPIENAINWFEFLTGIGLDPMLEDAQQRTCLDVAAACD NEHILKLFRQKPID TSTA_007720 MSMTSHSSVSSQVDEYEEIPDKGATSQRPFVFWEMFPFSRMDSI FIRFPGDISSQPTLVKKMTVSDSLRKYDLVRRTNHPNLVNLTGMSRTGDGLYFSYERP GASLKKLYALKSRDAVAMASICKKVSNTQALLSFIHLVPTFEKDIADSLAAVAALALI GVGFVDVVEVCA TSTA_007730 MACKGPGTDGPLQTALLESTPIAAFLDKHRSQTTSLAPHLLRAL TALRDDLTSVAQRHFNAYISGISTTSILPALSPSPSP TSTA_007740 MAQQQCTISKREKDLAALSINEYPLKHSALLDLGSSIHVFNEIE RFMNFRKATAGDFLWAGTHQVPVLGYGEVDIEIHSLGGRLQILRLYNMAYCKDFVANL VSFQQLRKHGIWWDIRRGFNCL TSTA_007750 MAFMIRRHRFNSWTVRKERKANAKRWHARLGHPRPQAIKHLATM TKGVLPLYSAKPMEGQKPDELYNKKKEILWNDLAWGVIKDKARAIRNDAKLPMDLWSE IYQASIYLYNCTPKFMYNWKTLYDSYKAYTLTSEYQLKKNRLQCFNLRAWVDYLVGYD STNVYRIWNPSTGRIVRARDVIFNKDEVFSEDIQDIKDDLLHVSVEELTILLNKIDIQ VQSGEVKDNANFRDKMEDLVFDRNRHNDERTTTTGSVTGSGFEDSSQLDSDYPSGPSL S TSTA_007760 MPPSALLAASITIVYKNDLNLHQSSKHVAGMSTGGVRPRGSLVQ EATTKEGPRGALDNVGMVRSRGSHAEFEDRPRGSPNLRDSIVGLREAHGRHILEDGPR GSLEKNGLNPWRFAFLAGTRHRQYEVNTVKFDHMSLQQRLRSGRRLQSVLVRNLPPAP KSHREVEKHPLGWLFKEAERDHLKSHNPSGSWTTVPIGKAKGKQILNCMWVYIYKQDK KGRLVKCKARLMVRGDQEKRDDTRDTYAATLAARSFRIFMAIAAHFNLELKQYDTVNA FVNAILDEEIFMRMAPRYRESGKIYQLNKALYRLRRSPLLWQKELTSTLIELVMNQLR AKYKISGGGDLEWFLGIRVIRDQSNKVIWLSQATYIDKIAKLADTRQTDDTPMA TSTA_007770 MLPDGEKIIVRIRAFLKKAYPAQKKPISTALSANYKSLEYRFLE PFQPTQYNISESDIDQYFDTPTISTGFDPNQSQTEFIRNWWKANKLEFPCMAKDSWDF IKAERRCTLEV TSTA_007780 MGSIFPPGGLVLITGVNGFLASHLVLELVERGYAVRGTVRSTES ASWITKAVKTRYPTGKFDVLVVPSLSAPGIMDDLIRDVDGIAYVAADTSLNADHTQVI PPGLEALQAALESAARAPSVKRFVLTSSYTAAVDTLEVAPQPGSEVRVSKDSWNETSS IRARTPPPYSPMHALVVYQALKTECERLFWKFASDEKPGFVQNSVLPGFVIGPIVHSQ QRGSTSALVKGYFDDPSNNQQLAWISAPWVVDVADNALLHLAGLTDEAVRNERLLALA DPYELRNFGTAFEQIDPTRQWPAPASEATKQAGSKWVADTKSSGKRKALRRFWRVCEG HAWRVIRTGSFGHFRSEDHPGSCSEMRNQPQPTAH TSTA_007790 MAIQTEIFYNLAEQHLSALETFWKLCDEGGLLARPAGLSENEAQ DGLNDETTLLRYLRARRFDPHQSLKQFQQATITHRNNRIPIQYNEIDVLEFETARFLY PHWSGRRTKKGLPICLFDFGHLNRSTLAAYEKTRVTSLGKDTSMTATQRASIAHDYLT RFVFPLCSVMKDRPRPSVPITSAIYLVDLSAFTMKQGWDIKNYTSDIGQLLMMGYPEI IDRLFVLNAPLYFGWMWGIMRKWLDPGTVDKVVIVPASEMMPTLAKYIDAESIPSRFG GEFAWEHGTPLDLDVRIQSGLEWKDEGKLPPGPMKWVLDESGRKTAVAVGSIEGVART TRIAQVKVEDEAIRVGDPEKNIRMTGLKRTGDMAIATLPFRDENNGDN TSTA_007800 MVSSTSDLRAVAPAASASADCSPGEHPLKLSQAGSGSGSLSLGD EEKQAVAQVGATSTDPAAPVASNIVDWDGPDDPGNPVNFSGLIKSTNVGIVSALTFIT PLASSMFAPGVPLLMEEFHTTNTLLGSFVVSVYVLGFAIGPLILAPASELVGRAIIYH ICNLGFTVFTIACAVSTDMGMLIAFRFFQGCFGSAPVTNGGGTIADLIVQEKRGGVIA IYALGPLLGPVIGPVAGGYLTAAKGWRWVFWVLTMVGGFCTIVSLLFLRETYPTVLLK RKTQRLIKETGNTNLRSKRHNGLSTRQLFLQALTRPFKILFLSPIVFVSSIYVGIVYG YQYLMFSTFTEVFEEQYRWPTKSSGLSFLGIGIGSLLGLFVIGAASDRILKARSKPTP EAPSGGMKPEYRLPPLVVGAFFIPAGLFMYGWSAYYKTHWIVPVIGTAIMGIGNIAVF MCITSYLVDAFTIYAASALAANTVVRSIMGALLPLAGPAMYNSMGLGWGNSLLGFIAV VCIPVPWAMIRYGERMRLAFDASKL TSTA_007810 MTREEHSTELRTQIVALVMVAKMKPQDVAMMLKIPQTSVYNIVK RAKLQGFDPTVNPRIEHEHVANLKRSGRPKTVTEEIEASIIASVTKDRAGREKSAEYL AFEAGISTTSVLRLLKLNSFSKCKPTWKPGLTDDMRRKRLAFALAHKDWTLEDWKNII WTDETSVILGHRRGSNRVWRRVFECYDPTVIRRRYKNASEFMFWGCFSYDAKGPCHIY DPENAATAHLVSNKCKVLASVTATAKKAEKELEIANRAREMKAREEWELNQAIRNLEL RPRRGRKPVFKFTTKNGKLVRKSKGGIDWYRYQTEILVPKLLPFAKECQRSRPNTIIM EDNAPAHAHFQQKEIYSFHEIDRLLWPGNSLDLNAIEPTWFWLKNRTTLQGAPGDRKT AKKLWYNAWEKLPQHRIQAWIERIPFHIQEIIRLEGGNEYAEGRPRGDQRQRCRRKGV LSFRAYMEDEGDWEDAVDIGGLERFV TSTA_007820 MADKPADLPAINPLQYAPWHPREPRGNAQARIGAPMGRTKEQAA ANFMAFQRTIPSSDIVIFSDGSRLADGRAGGGYIRLQAHHQFLRSSLSYRHGKEVFDA EAEAALAGAQAAIAYPTAQFATNLWICLDNLEVAIRLLSPSTGSSQEIFESFRTLAAA WPLRKRLPHTKSGSIQIRWVPGHAKIPENEAADLTAKEGAASTPPAPQKSSYASLKRH AKTQSLSAAQSQWQKVAPQSYQDLEITTSPKRPGELQLNRLDLGRIIAARTGHGDFAD YHERFNHDDAYLLC TSTA_007830 MEFKYSTVIDPTTYETHGLCDGIDLRCHESPELEEIETLRCQEN WREWVGPLGFYKGGLGPRWNFMAITVPECLPERLGVLGYANELAFLHDDIHNDDLKAA FEEAASTGRIEGSTSGKRAIQAHIANEMMKIHKQHAITTLEAWAKFAELGSGRQHTTH FKTEKEYIKYRMIDIGTMFWYGMVTFGMGISVPEEELEMCHELANTAYLNLGLTNDLY SWQKEYETAVAMGRDYVANIIGVLMEERKVSEEVAKEICREKIKVTIVDFRKIVADTK ARDDVSVDTKRYLEGLLYSLSGNLVWSIDCPRYHRWSSYNERQLDWMKNGIPKSPKDL PKFNGVSNGLANGTEKIVSNGHVNGNGAVHVPATNGVTNGNGATHTPVSNGTNGTTGL KDPFSLDVDTDLVNVFARKEYKAISAPKLHEGEGYPSALKLGPNGDVTIQKSPEIETR VIQAPYDYISSLPSKGVRDQAIDALNVWCRVPAAKVDRIKLVTNMLHNTSLMLDDMED GSTLRRGKSSTHTIFGAGQTVNAANYQIIRALEEVQKLGDKESVMIFTEELKNLYIGQ SLDLHWTNNVICPTVDEYFRMVEHKTGGLFRLFGRLLSLHSTNPVKADLTSLLDNFGR YYQTRDDYSNLTSPEYTTQKGFCEDLDEGKFSLPVIHMMLSAPSNTVIRNIWTRRLVN TQASLSHKQAILELMKKGGSLQFTEDALDVLHAQVEKNISDLEGKFGAQNFQLRLILE MLRKN TSTA_007840 MEALYKEIVLLVEEYPLLKQYGFYGAIAGSLILYTASLAIYRLY FHPLAGFPGPKIAAVTRWYEFYYDVIKRGKYVYKIEEMHQKYGPIIRINPHEIVINDP EFYNSVYVAGNTRRTAIWPRYRTGIGFDGSHTMTENHELHRRRRKPLEPFFSRMGIDK MESMIIEEAKLLNNRLTELKGSGNVIRLDHVFSAFAGDVIGRICCESPPDMMNSPEFG KEWHNLIESIVRQLLLFMHFPQLVTLASMIPTGFLLRVYPGAAGFNVFRQLATKHIID AKQDNLSKEKVEANAKSSVFRYVITSEMPKSECGTERLSREAMVLFGAGTATTARTMG FMCYYILTNPHMWQRLKEELKDIMADYPHTLPTWQQLEQLPYLQALIKEGLRLSYGVM RRLPRISPDIPLVYKQWVIPAGTPVGMAAYSLHTDPKVYPEPFKFIPERWLGDYNADM DRNWVPFTRGSRNCLGMNLAYAEMYWAMAVMFRPNAPRFELFETDESDISQSVDFLMP LPKLDSNGTRITIH TSTA_007850 MVAHLLDATNAFVGSRIDKEIFMEIPQGLYDHEFSMNYSKDRIL PLGPSTNLSADSQRLSKDLHSKFQRIIGQLTYLAGGTRPDI TSTA_007860 MQNGSNNKTIGANELANCVLDIKCFNCNEMGHIARNCLKPDKQK KSKEQSRDDSRASLKARSSGKKAHNRQKQKHKHKGRASKAVETSDLDSKSISDASTGE SVNCAWISVRFVDMIEENDCQEVEMVKRISKRLETDQDETSARKDKPWVIDGGATRSC SGNIECFLDLDTSYRGWLGTAGKSTRIMGKERVKALLENGDYAVLNNVLKDRKTLAIG YNIGRTSYLGWTESRDALVTKSGPRAEEIALLTREEPDWDIIHRHFGHPGKPQFKRLA KKLGLELSKDYKFDDATRFLWLYIMEDRRTETVIGILDMWMVREEFFTPGQKKWKSAY EMLYKKKFDIAKLRVPFCKVWFHTETKDKLDPRAHEGVFVGYTKSSSQYLVLDRQGRV RKVTNPIFLEDERGFISYETGEREFTHDEVYNRLRERCCMFDNISAIHSGSSMLNPTV NATVNSTMSLITNHKSTTNVNPSVLNQIDNVEAPTTVDESHSQDSTMSITLDLANPNP STTPSLLEASQQDTLPKRRSERIRQPTQALIESQQIEQIYGWKSRQERRQEEREASKV STGSSQVSHEETRLRETANLAVTIEFLLGENDEFALQVDKWLEGEKIPIFKTYKEAVK HPIYGSR TSTA_007870 MSKWYKSTGTFQSPPLKDPLRLNSFPAVTIHEKRDILVCNLLQN SAEAGDIPLDSPAVPTTSLYFLDITMAQVEESVLQVGNTAPGSDEIPTCILKVAWPLI KDKVLIPITLLSVLGKGLECLVVQNMAWISIYYKVLARQQFRALPLRSANDLTTCLTH DVEQALNQGMTALLLTLDVKGAFDAVLPGRLIHRLYEQGWPTNLILWIASFATGRSVQ IRLNGEIGPSTDIACGLPQGSPVSGILFMLYIAPLFRLGNPRNRFGYVDDAANLAIST SLATNCKALSDSL TSTA_007880 MSKRRKAFGPPSYAPLQLTLKKPRISCCSRAGEAAKALITLPEV YFPQTTILAGDLNLLHNRWQPLLQRSPTTFAELFINWLDLQGLVLISDIDCPTHKRGN VLDLSFASSPLALAGAKASIASHLDATSDHQPLITTVPWDQRYKETAQKLRFDTLDHT SFLSLLASNLAGTESSAATEEDLDALAKKLTFTTQGAYRGSAKRTMTQGIG TSTA_007890 MRTNQLITPLTTPPTTPLNQTTGQEAPRAQEISANLSESNIVAG SRIRKASNRALSPTSISAGPSKTSKRDRSPEPSPISRKRQRKLSRAFLARQKLLQDST TDKIFLAAMEKLEEPFSVQLPPEPKNWKGVFKAHICVRGDLQQPNDLEKQAATLAARN FRMMMAIAAIFDLKIVQYDAMNAFVNSILDEEVYTYFPDGFKQDGQLCQDSQITKMAS KFGINATNNVKTPISGNIEASTEQATNEEIHAYQELMGSALYIAIMTRVDVAKAVNEL AKHTKNPLKAYFQ TSTA_007900 MTPYEKAFGKKLYIGNLFLFGSKAYVKIDTKKSDKMALCAQIGF LVQGPSRLKVIRVRDVVFDETKKYNPDYPFAKEIVKEGITKYISNIDILNIDEADPNI VFDSVDNDMRLQQFSVSLGKMMTGGSTSPYEHANIEQPTQPLDMPQNMEIDSTSTESV EQTQIPQEMEIDTPTEPGNMEIDGNRTNQAQVDNRENSMMEIGSAGGVEDKNEDKNKD KVDVMEKEAEDEDIPKLDGKTNNPTNQPRQLSNPERDSASQNASN TSTA_007910 MAINKDLYALHAVDPYTKFHALVTTRTKSVNFDLETLIEQIEHT FKTKVDEIHMDGESSLNGISFKEYLTVPYTLEQNGPSERASGIITMKS TSTA_007920 MEFDLGIESSILLKEPQFNEVINVFDLWNEMYSKTTLPSRKDIA MATFGDKSDQPKKNQRSETTSKPESRKCLCEQDHHFEDYLYVNIEKRKALRSVKEELQ KKNKDKKKTGSSTDTKKNSENQESANLVYDSDEFVGLLNDTKPPVLQSVVSTILSALG RNADLWDKTLYDTGATAHITNN TSTA_007930 MAEVLQTTLEELNIEHKVLTIIADNAANNETLMPELYFNLKEKF DNMVSPANGERKLQRFQGLDSYMCCIAHALNLITPDHGPLDSENTPAKVAMEAHLSNS SFEG TSTA_007940 MSAADDTTCTSSPTDSLVLGAQSHLPTLLNPVKAVDTMTSILWN CVKLNNTISKYGRQTQQIWSTTEVEKMRLYVDDIAQREYEEAKLEVQVMETRKTVLGA EHPSTLTSMANLASTYRNQDRWNEAEKLEVQVMETRKTVLGAEHPDTLSSMNNLSYTW RSQGKIQDALALMKQCSHLRNKVLGSSHPHSVSSSRSLNDWMDEYNSLRDQTPLTENS CLQPLREVLADSTAAVQNSQATRGINLTYAPAVKLFLGNHPLIIAARTPSPRAEGQDI QDVD TSTA_007940 MSAADDTTCTSSPTDSLVLGAQSHLPTLLNPVKAVDTMTSILWN CVKLNNTISKYGRQTQQIWSTTEVEKMRLYVDDIAQREYEEAKLEVQVMETRKTVLGA EHPSTLTSMANLASTYRNQDRWNEAEKLEVQVMETRKTVLGAEHPDTLSSMNNLSYTW RSQGKIQDALALMKQCSHLRNKVLGSSHPHSVSSSRSLNDWMDEYNSLRDQTPLTENS CLQPLREVLADSTAAVQNSQATRGINLTYAPAVKLFLGNHPLIIAARTPSPRAEGQDI QDVD TSTA_007950 MAQKPGGQDISNRVGEHLEKLTKVILTGARAVLPVFRTTPKPVL YRESGFSPPEIELDRIALLATVRLRRLDPYHPLRRRAEQIASNGRQISRFARCTLALP NSEQINPLQYAPWYPREPRGNAQARIGAPMGRTKEQAAANFMAFQRTIPSSDIVIFSD GSRLADGRAGGGYIGLQAHHQFLRSSLSYGHGKEVFDAEAEAALAGAQAAIAYPTAQF ATNLWICLDNLEVAIRLLSPSTGSSQEIFESFRTLAAAWPLRKRLPHTKSGSIQIRWV PGHAKIPENEAADLAAKEGAASIPPDPHKSSYASLKRYAKTQSLSAAQSQWEKVAPQS YQDLEITTSPKRPGELQLNRLDLGHVIAARTGHGDFTDYHERFNHDDAYLLCRCGARK APLHFFFCHIAKR TSTA_007960 MIYHYPTQKAPLKILQLNTTKKHPSYECFSPTDSWAISGRPRVL TYVRKKKGVRTSQLRPFTTDTKEASDLLFLQIFSPTGKSALIVNIYNAPAGSIRAGEA AKALTTLPEAYLPQATILAGDLNLLHNRWQPSLHRSPTPFAEPFINWLDLQGLVLISD IDCPTHERGNVLDLSFASSPLALAGAKASIASHLDATSDHQPLITTVPWDQRYKETAQ KLRFDTLDHTSFLSLLASNLAGTESSAATEEDLDAFAEKLTSAIQGAYKGSAKRTMTQ GIGQPWWNEDCKKALHNYRLGLCSKIDFCRITRWSQRQFWREKLSMVTQMKDVFDMSK WHKSTGIFRSPPLKDPLRPNSLPAVTVHEKRDVLVRNLLQNSAEAGDIPLDSPTVPST SLYFPDISMLQVEESVLQAGNTAPGADEIPTCILKVAWPLIKDKKPKKTDWSSPRSYR PIALLSVLGKGLERLVARNMAWIFIHHKVLARQQFGALPLRSATDLTTCLTHDVEQAL NQGMTASLLTLDVKGAFDSVLPGRLIRRLREQGWPTNLVLWIASFATGRSVQIRLDGE IGPSTDITCGLPQGSPVSGILFMLYIAPLFRLGNPRNRFGYADDAANLAISTSLTTNC EALSDLF TSTA_008060 MKVHPIFAPEKLRLATTTEPLKGQQEDESPELEINGHSEWEIEK VLAARIMWRKLRYRVSWLGRDPDPKWYPAGYLKNAPLALKAFHDDNPEAPGPPVRLQE WLQAAEEDRFVEDYADDDVPVTDARGQASGEGGGSVTASPAA TSTA_008070 MTLYGRLRRQAVELSSRRAGCHINPQLSTSLTTNRQLSTFSTAN CQLSQPPTVNLLNGQLSTVNCQRSTVNGQLSTVNGQLSTVNGQRSTVNGQWSTVNAAD CSTTNGPLAQPPTVNCQLSNGSTAIWQLREPPTVHWLCRQLATGSTANWQLAQSPTVN WLNYQLATWLTNNCQLSQPPTVDLLNWKRAQHRQL TSTA_008080 MPTGASKGLLFMLPAWIGRGGTTIVQRCRRLGISCVEWNSQHPV DGASIVLVTPKSAVTKTFQIFINRKQWTKELDQIVIDECHMVLQKGYQFRKERAQLGK LVQAQTQMVLLTATLPRESEATLCERMYFEPSTTQWFRSRTSRTNVIYVVQVVGKKGM KREMIERLMMEWIEERVEKFRRREDPCKMVIYSHSRGSTVEIARQLQCPAYHSKTVDR RGVLASFRQQKQGVMVATSALGMGIDIPDIRMVVHVGPTRTLLDYGQESGRAGRDGRP SLAVMLIDGSGQGVGYPEVVDERVRQYIDGRCQRWTLDRYLDGTVDGYEREQCEEGRP HAIGVAAPHRDPEGIEEADDDHEDNERQHRPPRGHKRVRSHPVTESAAKRHAGSTFPS ISYYQAPVQRQMQQAEDNGREIESIRDQFDRWAGQCRIYTLSSIGSWVGSISKVAIST FLDVMELFRYIPDYQIWICQSCRYAMSPSHLLAHLTRKHGHDYRVKTQIQQQQILEDM LKQPWIDPQKESLRFPSTTSTPIPCLPVIPGYRCPSVTCSYVTGAAATMRRHIRQQHP EIPKNPKGHPRASTHYVHIYPRVYCQRFFIAGAGSQYFAVTPLSSKTHEQPPAPGSGA NWIEAEVNTVLARSEVIIDTASNTIQSHGTSTEASPWLEMTRWGDYLRGYSFQQVAPL GARPDPLQEPLQAEFASSVSRIIQQAYQSIQEDKINVFDQVQINSFLQHRRAWDRPLF IRLKKATYRNYEHLWQRLLSFVYRSTQPEQPVQLRHRLTPRQLQHLDEMVDYGIEVLA YQGQITRPLPTVIRGSTLAEAQALLDQACLRLSIALLNHTLKGDLYESAVVSFLAVLG VDAEKRTFRDAYSYTPSLSGLVKMAQMLVVQEAIIQADEDQVEHPADALDEMRERFLI HGTRSPFAWITRLRTYGKKIQNTTTSLGYIYWSEDQERLTYKGLDMTMESFRHFIQTE IRQAQQSLETLFLLHDEETREDVVPIIPLSRLKDDPTESRCGWNFLQDVQNTEALPNG QRWLLNRVLKTEWLQEEFLDIQQPGHQVQWKIPAVQAYLRDRDQFLELLLLLCHITGG QPARGTEILSLRHHNTVHGRHRSIFIEQGLVSTVTSYHKGYHVTGSTKIIHRYLPQPV SEMMIYYLWLILPFCEKLEILAFGKTEAPSPFLWPKAHQGEDSSYLSKILEREARQHL QTKWNITYYRHAAIAISRAHLPSGGFKRDYGVNEKVTDQQGSHTSWTVGTIYARGLKE APGHVEERRMQYQAISREWHSFLGFKVQLGPRKRLLVERMNLESSTQKRRCV TSTA_008090 MAPRRVRPRGEEAMSDRSRDRLEGDADPEEVDPGEDDDEEYDEE NEEEEERIVRREYDSALICATAILGAREDGWRTPNDYPPILSKLIKLARFMVVRKAIE LSAVLKGNELQSSMNDADWDSTDRGSLSHFHSHTNQKGCLQWVTQMVDTFMIRGSQSP MQWMLDLRTYGLKIHYNTTTEGHVGWQNHDELLYKDLQFTMSEFRGMVDRTTREARRI LILLQCSPEEAPAIPWDRLYDNPVNEDFCWSFLQDSHTTWPIDGTSWLWQHIQRHPAL HCKFIHVDEQSIQQAGGIRNVFIEDGLVVLVTKYHKGYQMSGNVKIIQRYVPREVREL LSSERLRWILKWESAIGLGGRTLTTALYREVAIAISHRFMRNSRAFTRSAADEAKADE EEDEIMDHVADEQATHSPNTAGNIYAREWMERVGEVAERRLRFQQSSQEWHQFLEFPS TMRKLREDQTTPFQDSAENRRVEQWKATRAADPIQVLQEMMGEPITFQGNQ TSTA_008100 MMEFHNLMFERLTEFPVIVCRECRYAVWANQIEGHLKQAHRHIL MSVRKQLAKEICSWPDIAIEPIELDISPTRTHAILQLIGPLRRHWREQHDWCRSSKYG HPTKKEQIAIRQKQERVCQPVKCQRLFPRKMGSQYFAIIDNHDSTDEADSPSLSNAAT FWEQANAKFAEFEKKLAEKIAQGHVDEANPWLRRTGWLLYLKPFTFHKLQALIEAPEP PSEDENQSAAIPDTETAEKRTAWAVWSAMGEVGRLSQLSVLHMGVFVRMEAIRSERNQ TRYQPLEAYQDANAVTDRVRPWQQILMFFWRTQQQSESQERQSQYRFTRRQKEAWKRL VQIAQS TSTA_008110 MASMELALAALHSADPGEKPNISLVARTYGVSQSGLYKRFHGVT GSKEEQYDKQRILTTTQSRALIKWINQLTERGLPPTNSMLANFAREISGKEPGKNWAS RWLKAHSDKVISRYSTGLDSDRKKADSAYKYALYFELIGRKIREYNLGPEQIYNMDEK GFMLGVSTKRKRIFTRRKYEQGGYKQHLQDGNREWITTIGCICANGTALAPSLIYMAK SGFIQDSWLQDYDPQTQRCFFAASESGWTNNDIGYRWLVDVFDKETKSQASRGWRLLI LDGHGSHVTMKFIEYCDSNRILLAIFPAHATHTLQPLDVALFSPLSNAYTKQLDDFIR DSQGFTRLTKRDFFRLFWASWNEVFISKNINSAFRTTGLYPFDPEIVINKFNKKITSR PSSSESGASIIPPEDWRRLEKLVKTVVNNIYDEKAVQLRETVSHLSTQLILLQNENQG LKRALINAKKPKNKKQPLLLGLPSEQDGGALFMSPTKVQQARDIISQKNDEAAQKQAH KDDKKLQQQLKKQAKEAEKVKRAQIRQEKREQREQEAAEKQRLKDEQELAKLADLQLQ NDVISTPKASKKPTEQISRQAKPRVQPEAHVEDNEVVVTTNRRGRAIRPPIRHSLFSA TPEIHYI TSTA_008120 MPPIRKKDPLKSTQIEGKIQLAISDLKNGRISNIREATRIYDIP RTTLRDRLKGIEYKGEKRTNHHKLTQSEEDSLVKWVLDLDRRGLFPRHSLVREMVNYL LQQHGKSQVGKNWVTNLIKRRPEIDSKFARKYNYERAKCEDPKIIQEHFDRVRAAISE YGILPEDIYNFDETGFAMGLCASAKVITGSDRYAQPKLLQPGNREWVTAIEATNSTGW ALPSYIIFKAKKNVRLDDWRVNISDNGWTTDQIGLEWLKTHFIPNINDRTMGKYRMLI LDGHGSHLTPEFDRTCTENNIIPICMPPHSSHLLQPLDVGCFAVLKRYYGQVVEQRMR LGFNHIDKMDFLTAFPQARTVAYKAQSIQNSFAATGLVPFNPDRVLQYLNIQLKTPTP PPSRSSNTASSCLQTPQNIRQFVRQSTTINKRINKRTGSLNQNEEINQAVIRLSKAYE ILANDALLVRKENRDLRAAHEKEKQKRKRSNKQISIEQGITREEAQALVQGQVEASHA VTTTLAEPELPASQAVVRRQFRCSGCNVMGHRINQCPSRTSS TSTA_008130 MENSYDDQSIAVIGLSCRFPGDADNVERFWNLLREGQSAISTVP GNRWNSRRFQDDKNHSQNTSRTNRAHFLKEDVSAFDANFFSISKSEAVSMDPQQRLML EVSYEAFENAGLAVESLAQSQMGCWVSSFSQDWREMQFSDLQSVPKYAMSGMQPEMLA NRVSYFFDLHGPSMALETACSGSLVGLHVACQSLRSGECDAALVGGANLFLNPNMFLA LSNQNFLAPDGLSKAFDASANGYGRGEGFAAVILKPVEKAIRDGDPIRAVIRATGTNQ DGRTKGLTMPNGDAQETLIRSTYRSAGLELKDTAYFEAHGTGTQVGDFEELSAIARTV ADARKREGLEELWVGSAKTNIGHLEATAGLAGVLKAILVLENGVIPPNLHFKNPNPRI PFQEYHIRVPTQEVTWNPDTIRRVSVNSFGFGGSNAHAIIDNGKQYLHQRRSKATLVN GTHAADDKELKPEVPQIFVINSSDQEGLGRQRSALRHYLANFGKEGRANSGWFRDLAF TLGKKRCRLPWRSFCTASTVLELSEALEATDFPKIRSGTAALRLAYVFTGQGAQWAQM GLELFQFPTFKQSVVAADSHLKKLGCPWSVVEELQRSGAESNIHVSWYSQTLCTVLQV ALVELLQSWGITPRSVVGHSSGEMAAAFAIGALAREDAWKIAYWRGKLSSELTERAPD LSGAMMAVGASHEQAQKWVEGLTRGKCVVACINSPSSVTVSGDDAGLDELAAMLKEKE VFARKLKVTTAYHSHHMKVVAEAYHDVLKDVEVRNVPTDGPQMFTSVSETLVNPSDLD ASHWVANLVSPVLFSNTVAELARAKTPKDQATGSAVDLMLEIGPHAALRGPVTQILQA KGLRNVEYQSLLSRGCNAIQTTLAAVADLICRGVTADIDAVNNAHTPQGAGHKTMPAN TLTTLPPYAWNHSRTFWTESRMLREAKAVNDSPSSFIGLPMPSFVANEHIWRGFLRLE HVPWVRHHKMQSAVLFPAGGFLAMAIEGASQFQGKDNSRVAKGYKLRDVRIDSAVILT EESNIEHILQLRPHDTARSQQTDSYDGWWEFKISTSAGSDESLKCNCYGLVTVEFEQL IHPSHASKASSTTVQQAEAFYERLESVGLEYGPSFQAIKTILHSSGGQTEGEIEIMDI DVNSTTPGGSDGRPYVVHPTTLEALFQMAYAAFDNQSDGVKKALLVTDIEELLLDASI SHTPGARLQTSARSSRLGFREMLADVTVSPTASNAGGISVRGLTCVEMPSTAGVNSDV GITGREGYDSMLSKFVWKPALNLLSATEREHLLIAATKVTDAETEAILAREAADFQNV KSALQASQRGKTGSLKLRNALKWISQELPDAKVFGKALENVSQDGQGAGPTGPIVDVL SGTSTPDVLLSGYGSTEKFLAALPGVKVSLQKMYQLVSIMAHENPDLAVLEIGSGSSG EEDTSIFSTTDIPTTIKYTRAVATPEILRNLQEFSPPAGPIPRFTVLDLEQDLADQGI DLASFDIIIGNNVLSNSRNVENVLRRTKSLLRDEGNMCFVELTKPSSRAVPVLGVVCD WWKRGDDGLRRPLSSDSIKDILTEQGFTLDFLSPDFVDPAIQQSSLVFASSSAENLPT ASATDDADDEEIYILISPELKSPDAVVQNVASSFQHSQIVTWGAGVDFKGKYIISLLE LYDPFINHLTEEDFDILKKLVTQASSLLWVTGIPEPHASTILGFARVVRFEIPSLDFR VLTLDPATIEDAKKNSIHISQVQRSKSPDKEYKELDSIVHIPRVTVDASLNHQISNLC LSEAIESKPLGSLERPQKLCIRNPGMLNTLCFQADNVSENELQDDEVEVRVMASGLNS KDVMVALGHITDTHLGLEASGVVLRVGASVTHLQLGDKVVMLANGAHRTTLRGKAAVC QKIPQDMTYEEAAGFPFAYCTAYYTLLHVLRAQSGQSVLIHAAAGAVGQALVRLAQHL GLEVFTTAESADDRAFVQELLGLFPDRIYHPQDKGLVQHVMRITGDVGVDFIVNPLSV EEIADSLNCLADFGTFVEMGMENDSRHSTLNTRLFRRDTTFVAVNLQRVLELRPKLIG EILQKALGLFQDGQVKSVSPSNVYPVSEAEAAIQMFQDNRHHGKITLSYSSEDFVSVL QNPKDSLKLSPDNTYLIAGGLGGIGRSLATLLVDCGARHIAMVSRSGVTSVEQQQLID NLSERGAKIGVYKCSIGDANALGRALTQCASEMPPIKGIIHSAVVFRDAVLHNMTYKQ WDELLDGKLRGSWNLHALTTSYNLDFFLCIGSFMAIIGGISQSNYAAGSAFQDGLAHL RQSQGLPAVTIDLGIVKGFGAVEEQGAVGHTLEWREPFGVSEPEVHALIKGALLGQRG NWALDVPAQMINSIPTGGMVRSSGVSQPYYFDDPRFSIMAKIGMDSENSDTQASVSLK ELLADAESSDDAVLFVTGAVISKVAKLMQVAEEEIDTGKSLHAYGVDSLVAVEYVSWA KKEVSADITVFDVMASKPIMSFARDLVGKGKWGAAIPACKS TSTA_008140 MGSLSSARESAQRITFFGDQTVDTLLCIKDLANRSHQLPILRRY LREAADKLQLLLSQIELGDYECYRNFETIVELAEIYSKQDGTYEPIGCALWTISQFAD YLSRSETDPSILTLSDSAAQPTYVVGVCGGLLLGAAAATARDINELLDIGRKLVDVSF NLGVAQWKRAMDIEGKPGRWAVAIVNVPPKQIRNIITAFNEDMAIPKHRQFYISFLAK GWAAISGPPSIFPELWEYSSTLSSASKMDLPLGTPAHAAHLRSLNIEELIGSGSVLDL PVRQDRLVISTSTCKPFESQTFGSLLSSSLHDITGETLHIAGVNDYVASCLSRETLVQ VSSFGPTSQIGSFKKALEDSGLKVDLDLSVPESKTPNLLKNPDARDGSNMIAIVGQSV RLPGSDDVKTFWENLKAGQTFESEIPPSRFDLQNYYDTTGAKKSSVTTRFGHFLDRPG LFDCRLFNVSPREAKQMDPIQRLLLMCSYEALQTAGYSPDSSLSTNRMRVATYFGQSG DDWRQGRASQDVDIYYIPGTIRSFAPGKLNYHYKWGGGNYSVDSACAASTTSVIMACN ALLGRECDMALAGGGQLHLEPENYAGLSRAGFLSKTTGGCKTFREDADGYCRGEGIGV VVLKRLEDALADNDNVLAVVRGADRNYSWDASSITHPSANAQATVIQRVLRNTGVEPA DIGFVEMHGTGTTAGDSVEMKTVTTVFGSRPKDNPLYIGAVKANFGHGEAAAGITSLL KAVQMLGQKTIPRQPGFPGPKDPTFDHLDAMNIRIPDSSFPFPTPTRPFSQDGKMRIL VNNFDASGGNNCVLLEEAPDKNVSQIQDPRQYYTVAISARTTKSLQKNMERLSDYLVK HPDTPVADVAYTSTARRIHEDLKRSYTVDSTESLVGLLQADLKKDLTGIRPATPRSVV FAFTGQGSQYSGMTKQLFETCTPFRESVQSLHDLAVWQDFPSFLNLFTDDVTDEISAS PIQTQLAIVVLEMSLANLWKSWGVEPDLVIGYSLGEYVALYVSGVLSAHDVLFLVGNR AQLMDERCEIGSYSMLAVQASPEDLEEPLKAYPTSNVACRGAPRSTVVSGPSEDIAKL HAELKEKSISGTILNVPYGFHCAQVDPILEDFRDLLDRVPFSKPRIPVASSLDGVIVT DDDVFSPSYMVRQTREPVAFVSALKAIETSHLVDNTSLWVEIGPKRVLNSFIKATLAV DHDRLLHSVDEKASNWRTIATAITACWQGGVSIKWQNFHRQFTKHLRLVDLPTYAFDL KDYWIEPAAPIVQQRSAAEPLRQVAVPAVPGFPTASLQRVREERIQGDNAAVTFESTL SHPDLMGLIRGHQVNGVDLFPASGWWDMAYTAAKYIHHRIQPSRGAAPGLSMLDCSIT HPLMPSASEDQQKLVIIVAKKQAGSSVVEVSFKSQEKSVEQDHGSCKIRFESKADWEA EWSRSAHFIKAAKNNVVTNATRPDGNGHKLPKPVVYTLFSNFVNYSGDFKGIQQVYLN ADFQREVVADVVLPAGMYNFNLNPYWSDALIHACGFMLHSDPDLPTQDCFLFNGFEEL RFLMDDLLPGVPYTSYVFMHDTNSQEVPAKRTRNVTGDIYIFQGEKIVGTVQGVVFQR LTKRILTTILGKSQDHHNSNEVRNGNATTTHTNPPAHATTQSFFAPPGIKPAVAFSSA PATVGEETAEAVIAKILTKTGANRASLSESTTLGEIGLDSLEWIELVGVFRSALDIEV PASFFFEYPKVLRLRQAIAELPLEGEKEESGSSSPDSPYGMLTPATGRFTPITASRST HNSDGPANYANIVLDIVLSQTGFDKDDVLPSTRFDDMGLDSLCTMEVVSLVREQTGLD LPASFFHHHPTVADVRKNLGPNTEDKSKDSVKASASIAVSEPATELVVVHHPGNSPAP ESLPIIDEDLKDYHCDFFLMQGSSDSAEIPMFFLPDGTGYPAVLLKLPPVFKGDNPLF TCKSPLLHRAEGREVACTIEGLALSYAEAIRRTRPHGPYLLAGYSLGAAYAYEVAKIL ADAGEIVQGLLFVDFNMAASVGLEHRERKPVPTNLNVGVMEQVGWMNGIHNDEKNFHI PPAPPKIKFHALSVFKSLTRYFPKPMTPSQRPRNTYALWAGAGMEDLLGPSNAGFLPE FGIIDWQMGSRRENNGPAGWENFIGGPVRCATVPCDHLSIMMSTDWVGTTANIIKDLL EDALSNPGTP TSTA_008150 MSHTFHCPQPLLVMIALTIFRYATLAIALIVGFVILKAIYNVFF HPLRNFPGPLRYRASRIFWVLDLIEGRQIYTIDQFHKRYGPVVRTAPDELSFTIPEAW RDIYGHRVGLVSGLPEIPKWPLFYKFTKQDVSIFNAPQGQHGTLRRALAHGFSEKSTR AQESIIGGYVDLLVTRLKEVADSKAPANMVQWYNYTTFDIVGDLVFGNSFHCLDNANY HPWVSLFADSTRQNSIFVGLKILGLDFLALATMPLVIRNMIKHFNLTKEWLRERRKLG TDRGDLIEGLLKKEGEGINFDEIHGTSMGLIFAGSETTATLLSGVTYLLLQNPKTLAK VTMEVRSSFKSDKEITLLSVQNLDYMLACLDEAFRLYPPVGIGLPRQIPKGGVKIAGI YVPEGSIVDVPQYAIHRSPDHWTEPESFHPERFLGDSRFASDKVETLQPFAVGPRNCI GRNLAYAEMRLILARVLYNFDLKMDPSCSGWLDGQKSHALWVKPPLKVQLTPTKATS TSTA_008150 MSHTFHCPQPLLVMIALTIFRYATLAIALIVGFVILKAIYNVFF HPLRNFPGPLRYRASRIFWVLDLIEGRQIYTIDQFHKRYGPVVRTAPDELSFTIPEAW RDIYGHRVGLVSGLPEIPKWPLFYKFTKQDVSIFNAPQGQHGTLRRALAHGFSEKSTR AQESIIGGYVDLLVTRLKEVADSKAPANMVQWYNYTTFDIVGDLVFGNSFHCLDNANY HPWVSLFADSTRQNSIFVGLKILGLDFLALATMPLVIRNMIKHFNLTKEWLRERRKLG TDRGDLIEGLLKKEGEGINFDEIHGTSMGLIFAGSETTATLLSGVTYLLLQNPKTLAK VTMEVRSSFKSDKEITLLSVQNLDYMLACLDEAFRLYPPVGIGLPRQIPKGGVKIAGI YVPEGSIVDVPQYAIHRSPDHWTEPESFHPERFLGDSRFASDKVETLQPFAVGPRNCI GRNLAYAEMRLILARVLYNFDLKMDPSCSGWLDGQKSHALWVKPPLKVQLTPTKATS TSTA_008150 MSHTFHCPQPLLVMIALTIFRYATLAIALIVGFVILKAIYNVFF HPLRNFPGPLRYRASRIFWVLDLIEGRQIYTIDQFHKRYGPVVRTAPDELSFTIPEAW RDIYGHRVGLVSGLPEIPKWPLFYKFTKQDVSIFNAPQGQHGTLRRALAHGFSEKSTR AQESIIGGYVDLLVTRLKEVADSKAPANMVQWYNYTTFDIVGDLVFGNSFHCLDNANY HPWVSLFADSTRQNSIFVGLKILGLDFLALATMPLVIRNMIKHFNLTKEWLRERRKLG TDRGDLIEGLLKKEGEGINFDEIHGTSMGLIFAGSETTATLLSGVTYLLLQNPKTLAK VTMEVRSSFKSDKEITLLSVQNLDYMLACLDEAFRLYPPVGIGLPRQIPKGGVKIAGI YVPEGSIVDVPQYAIHRSPDHWTEPESFHPERFLGDSRFASDKVETLQPFAVGPRNCI GRKLVDQPILKHTESIN TSTA_008160 MKDAEIDDLISFVTSSRYARYLTYRELALHLDLGYSQWAIRSAL LSRGYRRYVARRKPPLSPENKQKRLLWAQEYLSWSPSQWEAILWSDEIWVNPGHHTRT WVTRRKDEVFHEDCTIERRPHKIGWMFWGSFHGTTKGPMVFWEKQWEYSHQHPYLQLV QDGAPGYGAQSTIQELEKGGVHMVRWPPFSPDLNLIEMVWNWMKNYLQVNLGLGAHLK EPGPNRTAPQDGAVQFQLLRTAGRFHDFRNRTALNAVRTSGSTNSTNREIL TSTA_008170 MSHSQCTQISDNYPPSLGISDDTSVSLCPSDGLQPFTPDLTYTH QFNFTQPAPLLPPPETLERVGPRNKKIYVLWTEMVNDDFVTWWLSTEYGSQMKRNIFE GKHQSECWQHFHQVAAIQDGSPKVMYKVCNHILSHPADRHRGTSSMNKHYSSGVNCRK SVPVSKDIKRLIQDGMHSAPQKTHYTAKAWMERLVTFITTSRLPFQLVEYPQFRALIE MAQRAPLLPILPCAKTIRNHLQELVQERQKSLLQKLSQGAKLSIALDCWTSPFRQSFM AVTGYFLDVDWNYREILLGFEPLSGSHTGAYLSTVLQQVLEEHQIEARILTVTTDNAA NNSTLMNSLSESLQSIELPNQIPVIHIPCMAHIIQLSLNELLGRMEVNPRNDREEIEW TERDKSAQPENQDIIHTLEKIRRLAVFINRSPQRRENFLYLQSKEPKLVPIQDVRTRW NSTFLMLYRARKLQSTFDEYCSEYGQPDLKLTKEEWRQVDYLLSITKPFFTFTTSLSQ TKEVTIHSVFAIYNYLFTHLEKSKEKLSEYYAMTDHVGGDLYAIGTILAPQNKLEFFS TSEWEPEWRVRYRKSLEEYIVPYEKRYSETQTQSIPIRQILTGGISDIDMLVTAATSL QPRTTAHDEISRYLGSSTQLMNPRIFWKDHQFKYPILASLAQYILTTPASGSGVERLF NSARDICHYRRGSLKPHTIKELMLFMCTTKFDLESEELSLMDEYLTTQEIQRAREERD AQQALEAQNTKYDFDPISDSEEAESEDESLVLPQSPQASQARSQRSLGKRPAREEEPL IELDGNEEDEVPLPYNRHLVTVSSTQRRSSGRQPKRSKRDEDFVYETP TSTA_008180 MPPPQRRTARRELDPCMRARICELHTEAHWGYKRIHRAHPEIPI STIRNTIKKEQERINQRSMPRTGPPEKLTDENKQKLIELTIQYPHIKYMELRNAIDNK VTIRTIQGMFQKIHKRKWKQRKRPEILPLNAQKRLAWALRYEAYTPREWQRILWSDEC TVERGKGGQLIWTWHSLSEQLMEHDMFWGGFKFDERSPLVPLTPDGSSAGGGITATVI KQLYMEQLPGLLREGDIFMQDNAPVHRAHIIRNLLRELGLDLMEWPPYSPDLNPIENI WAIMKTIIHNDHPELQNAPDNEQTLLALIQAAKEA TSTA_008190 MIVDLPAEILVAVAQNLEFHQDAFNLARCCRRIYSVLFPHIFTT IQSRCPCLGELSQIVHYIIQHPQCAINQTQFCSRMGSLKCEPATLLKALQTICHSEEE KLQWLNDLQDGMAYHPWVALLLVLLPRLQSLELKFEYSSTIEYTSEILDRAIRRQKPF DVQVPFPSLKEVRISWWDTQGGVDPSNALPFFCLPEMRRFYGHMIVGDISQEDNSLDL SSGFSNINEIDLKLSNCDTGFSYLVRACSRLESFSYLHADGIVGGPDGFNPQVFYPPL YCHRDSLRKLKLLFDRDAGHCYCGYPKEDLFFGSLAEFSVLTEIDLRSPNIMSWEDER KEVLKLPLTEILPSSVEILSLEDTSPSQLDSLVPQLEAVIRNKRTFFPSLSNLSIEGD FHKQPQINFREWSWGLVRPPRRVIEPEFIDRTQNLRVLCQAMDVDFCLWDRHCKEPKY RIYSRPIPDTTLQRR TSTA_008200 MADDSSPDYKGLLQRAEELLRQAEDRERREAELRRQEAELRRQA EDREQWEAELRKQAEDREQREAELRRKAETQTQPTAFREFVQACHELFSQQIEVGTPT KSTKGHIPAPTGKYCPTRLRLWSECPSQQREIYNAVCDYLQPAGREPARLFASLAELH GISRRFSRRVLRSEKDLESYERFAVEDHVHDIIAELCKIPAAQERFRLGNGITFDNHV NTLNEPEDADEEVLDASSSQRPRADQFCVHRIDGTTNILLTTVEYKPPHKLSVENLRK GLRPMEFWKEVVNRDDIPVGKNEKLIYNAEQLAGSVLAQEYHVMIQEGLEYSYITNGL ALVLLRVPYDDPSTLYYYSCEPNMEVNVDDSASFQQPATTIGRAWRNAARSQLHIWKT SFDHTRSQIPDEELQEAPPNSEYPSSEATGSEYLPSSPLESTSEQARRISSRSQGTCV DPEIPQREPMDSSDSDTSPATHGRKRGFSQIMPSPPSQRSATRPADSTPPSDQRQQHT SRFCTQRCLLGLQQKGTLDGSCPNVHLHRHGQEEDRHCIDANELVQLLKDQLDKDLDH NCTPLGVCGSYGAPFKITCAAYGYTLVGKGTTSRLWKEVSREAEVYEVLRKVQGLAVP VFLGAIDLDVIYFLHGAGEIRHMLLMAWGGKNIGVGERLTEISRSRTLIRKLGVVHGD LRLQNMLWNDELGRVMIIDFHRSEIRRQLIGERVHSSKRSRDIHDAGHSKRRRLLYT TSTA_008210 MASVHEAIESLQSSNDVVIIWVPCIAHVIQLSLKDLLGKMKAAP KIDTAEQTWSDDRVDSLHSKPCDLYQRESSAPRVILQLANQGAKACANPGCCYSVELH VLNACTRKETPVDLRYFLLPVRPRALCLELGAVVPFHRFTTLLSKSKDVIIYWVFKVY NKLFDHLEKSIRQLRQKR TSTA_008220 VMLASLEAAKDKLSIYYKDTDNIDGYLYAIGTILSPQDKLHLES SLEKYSENLAQEQQILGAPPTNTVSNAQPRTWWKYYQDEFPGMARLARDVLSIPASGA GAAKEERTQQQITVDPISDDEEDEGLSIEPRATLHGPSTKALGKRRLRDIAEDAESNQ EDDSKVTSLLEIQHQVLGRVRKRS TSTA_008230 MASSSQERQALPNAQVATPPDSPSHGSPPKHAESNQPIVTKIEK LLSEMKRDPGLAPDSQTAPSIKARLERLVTARDGESSDTAVDTSKLSLVATRTPPNTP RYDGSEPVTIRQLIELLEAAVEAKRMSSSHQTGLADCEHEKHSDSRECLVPMKNPRKS VPILLHQKQHFRVESSLATEIWTIQIQRKVALRPNRERCYKSPISKNSVVGLTPHPMI WDHLFGRDAVNQERVGSLGVESSPSLPTTQRLTSPELLQGCTPIPTVRTPGDDVLDDQ EESGPANTQSSPRMEKASRLEVKKVRQIWNKALGDFTHRSADEEKAVDELDTYVLVER FEIDRNGKEMTHVDIKSAYLQDVLRAIFKDVKAVNLRGDKSMSEPHFLFQFLPDLEAH REQKTKDTAENQVAHLDLVISYIREKYASLSQQIYDLLQHGEITYDLLWFLFKPNEIV YTTCDGTGKPRCLRFGIGEEKTTLFGPIFNLDCQYLDSDGEKVGFASIDLNIPKFAGV AYHYHPQKGKVKADLIACGKKFYSLRGSHHYHCKGKAFVVQDDVKVKRNIDSRVMIDA AFFRRMKPNYQQPKIETTLNPGAFDPSAHMMQGFEEISFVDLFPEVDPRDHGWDRDHS PVFNTSEAIATSVRGSADEEYLICSPTIPGFCLSDKFWGEFAVMDIEDIVWSTSLFDR LDIKPEYKELIIASAMSRLGIAEGPRFDDIVPGKGRGLSVMLHGAPGLGKTLTAQAVA EQLQRPLYSVSAGELLHNAGVLEERLQGIFATAKHWNALLLLDEADVFLETRTEKNHF MNGIVAVFLRMLEWFEGVMFLTTNRASNFDPAILSRIHITVEYPGLKQDQRMGIWRSS LDRARTVRGPSDLSDEEINNIVSVGHALAAVKAKQLQYHYVQKAVEMSQKFMNQVNGT EQVNSYFN TSTA_008240 MSGVYITTQQYHQVAINITSKHMEKVYKLFNHFNDYRANTDVNM VFAWQNWMEIYQAVGILQMLDGAAAWFQPGNMTQAQITTITRDFAMIQKRAACLISGA FRTTAAETLNIELYLLPIRYQLDQLTKATAIRIRTAPAHGIPNGMLTRRINDELALGG YTPMEAHAWKTGGCLTAPPGTLAGEGERRDAYVQPPWREPPHVVIDEREIAVSVHNRM VKGNSRVLIYTDGSRYQGYIGTSMVIPQFGRQLTECIGMEHTSTVYAAEACGIKFALE TLLRFAEDNERLKKVAIFSDSQSGLKALRNPRMVHWIPGHEGIPGNEAADQAAKRAAL MGARFQIVPGDIKNWIMLTAAAKC TSTA_008250 KECVGKVVGQAEEWETYQKLISKPSKSTLQYWMYLCKARPAILI QLRTERVGLGHYLWRIKKHENPYCECGLSGQSVKHALLDCPLYADERELMWAESKDSV ERPICKRD TSTA_008260 MYRIQAENSLLTAQVEGLQSNVMNLQKRQKKSNLLLLDLPTEEE GGAVIFSPSKEARELEKTEKAQIRKKEREQRQREAAEKERQKEEQKFAKLADLQLQID ILTTLMLRTSRTDPNSKKSKAKRSGEVEEEVVDEVITTNRRGRQIRLAHRCR TSTA_008270 MIKGETVEPKESAKILGVVMDLQLNFKEHIANAATKGLVAAMAL RRLKMISPRTARQLFKATVAPGADYASSVWIMQKHGAIAVIGAFRTVATIVAEAEARI HPFHNQHTKKVTKLWIDIQTLPKSNPLVKLKTDATWKFTSPL TSTA_008280 MKRRTNQLARDLHRQRIEEATESIDGFLRITRWVRNRGIPRTAF TPTLHYNDTNYTAPNEKAALFREVLHPEPLEIDLSDIGPQYRYPKPYEMPPITLDEVR TTVNNMKPNKAPRPDGIPNLALQRLPPTVESYLVNLFNACLCQQYCPDHFRRSTTVIL RKPDKPDYSDPKAYRPIALLSTIGKALELVVERGERTHAFKFATAKYHLTHFWRKHQL VPKPKGRLDVPLTIKVVEINPWDSIEYLHVQLDAHLTGGAHVRQMRKKAAKLVAGLSS IAGSTWGTPLVYLRKMYTAVLQPQIMYACSTWYVCGGRGFVGAQRVAEQAVQSIPYQA LYRISGAFKRTLRQASKLCLHVPPAEITLARVAEEACLQIMTSPLRPTLHSVRGQAHR NGPYTSPLHRLETAINCKLGRGTCQHIETIHPFIDSPEMRIDDTRKEAIRPSRHP TSTA_008290 MGQAYKLVGSSETHTVYAGELKGIDTALQNPPHNEDTREATIYT DNQAAIRAIHQPGRSSGQYILRRIWVLEHEGVPGNEKADQLAKRAAVEATWRTREITR IARINAPNQAMPHAARISYVPNQSTILVAVCHQRLREGFVNRWKD TSTA_008300 MSYKDNNPLPSLKSNNVVISIAAFETGWMKIDASLAIAGLKGEF NATSWRFFMQHAPSNTKFWFDLGVAHDLSLYPPQIQRVQHKVFFTTPAKRDPAQDVIS VGVDPKDVKYIFVRHDGNYNGIVISMFFTSDFFPNAKVLCHEDTLKWTSTTWPTDPEG GFDGRIWNKEELVLPIDGIPSPATAPEKWQTLGPFKNAHDFFGDGSFWLIDAPGHCLG NMAALARIKRKDGVIKWAFLGGDYFHTPMFISYPDAPFGKGVKVTSTDSFHEDEEQAR EIIRQAAELKRGEGENALIWLAHTDVLEDAWNF TSTA_008310 MINGSISHAERGLPPSQSMVRNFAKEITGNKPDDRTALSQDLMM CLSYDRKKIEEYEIEPRNMYNMNEKAFLIGALSKGQRIFSQRWYQKGEPQQRIQDGNR EWITTIACICADETALSPGLIYQAVSRDMQDTWLQDFKPDDHHYLFPSSPSGWTKDEL GYAWLITLFDPEKEKREGARALATSNS TSTA_008320 MSRIKPYYKAGFFSLFWPSWIRAVSVKNINSAWKSVGLNPWDPD VNLARFTRKQGTRRKTFFYFWSKLFPMVYDVKVRKLHETIMRLSTENILVKTCYTGLE NALRNEQKEGQRGKPLQLRLQTPEDGNEIFYSPKKIQQARNLQSENEEAARLAKEAKE EDKIRQQQEKEEKQRLIEERKRIRDAGGRGKRRQKEEEKATKQAAIQPLNDIEQVDKD KKKSAEPVTAKENVGLAGSWITKHVIAARAVRQPY TSTA_008330 MGEFDVNTGIPQGSPLSPILFLFFNADLIEQISAECPEVFMIGY IDDIFTMAFGNSAAANCRTLTKAHQVAERWERTHASKFAAAKYQLAHFWRKYQSVPMP QGRLDVPLIIKGVEIKPVDSIKYLWVYLDTHLTGEVHVQEMRKKAAKLVAGLSSIAGS TWGTPLVHLWKIYTAVLQPQIMYACFTWYIRGGRGFTGAQRAAEQAIGLIQDQALHRI SGAFKRTSRQALEVCIHVSPAELTLAKLAEEACLRIMTSLLRSTLYHVRGQAHRNDPY TSPLHRLETAINRKLGRDTTQRIETIYPFVVPPWWEPPEARIDNTREEAIKAIEATSR TDTTVQFFTDGRELEGIDAALEILLRSQPRNDNLHEATIYTDNQAAIRATCQSERSSG QYILRRIVRHLGLLRNNRSRWRVRLQWVPGHEGVPGNEKADQLAKLAAVEATQRTREN ARIARINTPNQTTPHAARMSYIPNQSTILVAVCRQRLHAGLAKWWKDQWEHAKHGRHR YRIIKEPTKRLLQLHEGLRRVWSSVLIQLQTGKSALRSFLASVRIEDSPQCQCGLGDQ DTAHVLVRCPIYMNLRMETL TSTA_008340 MGFMEGGRYLPGDYVDEGKLLLFIKEKVASRPPRRGQSLKAERK RKRTAAAAELLSEGPPPKRKREKNSVPSAAFEELLVESDNDETCSEPVPMYNTVRSYC SAINELWAYQTSLGLHNAAHRLPKASTNADVMGSQTVGWLRYEMGTLPLRLLILQERS GPSA TSTA_008350 MAGLSMGRLYGIQTTGPVLLHCSGESFPCFRTSQDRYHIKVLKR DDNHHTEPLSDSTAAPWTRCLYSEAGIKSSKVTHAGRVSGARLAELNGVSGYQIRQGG RWNADHMTGCYLTTLPRSFMRGIADFDPDWSSNYYLPRAAVCPPPALLNRVWPDLDTW QAAHLERIDATERVEPNVAAGGFLELLQRLRTVFLQDSVL TSTA_008360 MARNTMGKKTSQKMKQIQEAIGLGHDDVKFQKMRLLIKELLQNS ARQNIPQHGNDKQFQAWCEENIFPALEKDYPDLFETPLRDSPSQKKAILELIRRQKGL RKTQIDRANNKQLDTLKSEQKHQRKQKAQKVVEPSAMVERQSELGFAINPSTEERKRS RDNQSKDTVLDQDTSSTGDNDFGSLEVIGTYIYGHSERQEEVSKEQPEEEEEAMENTV DQGETTSETDVNTIRATVIQTTRIPFLGPIHEPSTSYKVTMVLIDALWDPKNSSKPVG SRISYKVWQKTLARDWGVNFKDLSSSCTMHFFWNENWTNVPWSENSWQAMVGLCLQGN RTRLIQVQICGDGPGLVTSLIKSPQVTSHETAPEEGSRELDAIDHGTRDEMKAVITSF KRELGMLDFRNDYDLTLKLPPS TSTA_008370 MPPIRNKNEKNLAEQEGRILLAISDLKNGKISSVYQAAIIYNIP RTTLYDRLNGIQQRSIIRANGHKLSQFEEESLVKWVLDLDKRGFPPRHSLVREMANYL LSQRGNQQVGEKWVYNLIQRRPEIESKFSRKYNYERAKCEDPKLIQEYFDRVREVISK YGILPEDIYNFDETGFAMGLCATAKPGNREWVTAIEAINSTGWALPSYIIFKAKKYTR LGWFEDLPDDWRINISDNGWTIDKIELEWLKTHFIPLTNAVQWEIIAC TSTA_008380 MPPIRNKNKKDLAKQEGLILLAISDLQNERILRVAQAARIYKIP RTTLQERLSGTQQRSQIRANNHKLTQFEEESLVKWMLDLDKRGLPPRHSLIREMANYL LSQHGNQQIESKFSRKYNYEGAKCEDPKIIQEYFDRVREVILEYGILPEDIYNFDETG FAMELCATAKVITGSDRYARPKLLQRGDREWVTAIEAVNSTGWALPSYIIFKAKKYMR LGWFEDLPANWVINISDNGWTTDKIGLEWLKLHLFLLQMTGQLEMCMPPHSSHLLQPL DVGCFAVLKRHYGQLVEQRMRLGFNHIDKFDFLTAFPKARTMAYKARTVRNSFIATGL VTFNLDRVYQQLTVRLKTPTPPPSRLSDTQSSCLQTPQNPRQFKRQMTTTKKRISRHT RSSSEAIGELFTRASKAYEMSINKLTIAQKELHDLRAAHEREKQKRQRSKQQISHEHG ITREEAQALVQAQIEASQADTTAPAESELPVSHPPVRRQFRCSGCGVAGHKITGCPNR IRN TSTA_008390 MDENKGTVILKSSNEWRRWLEQLRTKATKERVWDYVNPSPLRTH EVEPAPEKPVKPPFPDSIMPNQNEDPEVEKLALMRFQMELQLYEQHYQRYKDEKARYE KHQERLDAVRSYIFDTVELGHHPRIRMKQTVLEMTQELREEFALQPEQEHELINERYR DLLTPKRGMKPKDWISKWENLLLDMQLTDFNEIPEKRMSRDFIRSSAFIAPKFAESWT TTLIELDTGLEVLHRKIGLDSVPGIRDMIKIFEQWVKAQRNVMDPTRRDASFAMLGGK SDQPEKEEEQKGTQQSNQQTNHQSRSQRKGQSRNRERTCLCGAKHNFEDCPYVNEGKR SKDWKEDEDITRKFKDVERSNTSLAKALKAVKGKLKPTNSTNKKESDDGKKDNEPERS NFVYDEDEVQISIGPRFERSSMAIQVQTIATATDSDKDLKDAVILDNGTTTNIFNDLR RLRNMGNEERICLVGNGSVKMYGPGETIIYPTNPISRQAKKGILVKEAWYVPGMHTNI ISQGMAEEYGLFFNGLTRRLVTKKQDICGLKKEGRLYLIEWDENRKPRSSLGNDLALS SFERKVLKDPGNVWHKRLGHISEQAVEKLQEATEGALVTSPRALGRNEEGFKEKCEIC ELSSAKRQISRVAIPHPTRPFQKVFVDIIVMSLARNGDVYALHLFDPFTKYHALATTP TKSVNFDLQWLIEDVKRTFHVVIEVIHCDGESAINGNDFKDWCKSKRKTLVTTVPNTP EQNGPSERAGGLITTRTRSAIQEANLPTGLWPYVMQAMVYIINRTPTKAIGYKTPYEM AYGKKPYIGNLYLLGSKAYVRINTKKSEKMEPRAQIGYLVGYESHNIWLIWTEGPRGT KVIRARDVFFDETKKYDPEHPFAREIIRNGVTKITESLDIPNLEDFNEERVVESVDEY MNLQQSSSMKFPLEIPVLASGNSQTVTTQQHIPESMEIDDQPVNEPTQSVVIHSDSHL IPSPSASSSTESMQGHQMSTSKELLLENRISEQNQDDKMEIDDVRGQQDNQLVRFDDT KNEVTLYGDESQFGESGRVTGEDSGEEEAQQDEGAERENMALTAGTTTSPSGQIPQIG NEQTPLLQLTNEESRNPDVTLPELLLSTTPQQRSAPKASEIGADLSEGNIVTGPRRRI PSKRARSPEIATSKAERKRHRAFFARMKLLQESSAYKAFLAAAEKLDGYEPLHEDIPP EPRNWIGVKRHRFARQFEEAGRTEMESLKRKGTFEVVDRPEGKQILPLTWVFKYKFDK FGKIAKFKARICVRGDLQKGMDLETRAATLAARIFRMMMALAAVFDLEIVQLDAVNAF VNSDLDEEVYVYFPDGFRIPGKVIRLRKALYGLRQSPRLWQKELTGTLLELGFSQIPD EECLFVKDGVFLLFFVDDILIFYDRKNRQSLFEEIVKKLTSKYEIRQMEKFEWFLNMR IVRDRKQRKIWICQDSYITKIAKKFGLTQNNTKTPISIDLQPSESEAMNEDIHLYQEL VGSAMYAAVMTRPDVAKPVNELAKFTTNPSKDHIRQIKRVIEYLYNTRFLAIEFSPPE NSDSDVAICASDASFGDNADRTSSEAYIFSLYGGPVDWRATKQRLVTTSTTEAELRAA TEAAMKLYVWKRVFKAIGFKTDRELSIRCDNKQTVLLLTREDPHFRTNLRHIDIYHHW LRQEVQCGRLHIEWVPTKEMIADGLTKVLKGQQFLDWRKHQGLTDIAHLVQE TSTA_008400 MPPIRKKDPLKSTQDEGKIELAISDLKNGRIRSIREAARIYMVA RTTLQDRMKGVPYRQITRANNHKLSQSGEDSLVKWVLDLTKRGLPPRHFLVRDMANYL LSQHGDQRVGDKWVYNLVQRRPEIESKFSRKYNYERAKCEDPKIIQGHFDRVRDIISE YGILPEDIYNFDETGFAMGLCATAKVITGSDRYARPKLLQPGNREWVTAIEAINSTGW ALPSYVIFKAKKNVRLGWFDELPDDWRINISDNGWTTDQIGLEWLKTHFIPLTRDRTL GTYIMLILDGHGSHLTAEFDRTCTEHKIIPVSFPSARMMAYKAQTIRNSFAATGLVPF NPDRVLQHLNIQLKTPTPLQVDQAIQHHPAYKHLKIYANL TSTA_008410 MPPIRKKDPLKSTQIEGKIQLAISDLKNGRISNIREATRIYDIP RTTLRDRLKGIEYKGEKRANHHKLTQSEEDSLVKWVLDLDRRGLPPRHSLVREMVNYL LQQHGKSQVGKNWVTNLIKRRPEIDSKFARKYNYERAKCEDPKIIQEHFDRVRAAISE YGILPEDIYNFDETGFAMGLCASAKVITGSDRYAQPKLLQPGNREWVTAIEATNSTGW FEDLPDDWRINISDNGWTTDQIGLEWLKTHFIPNINDRTMGKYRMLILDGHGSHLTPE FDRTCTENNIIPICMPPHSSHLLQPLDVGCFAVLKRYYGQVVEQRMRLGFNHIDKMDF LTAFPQARTVAYKAQSIQNSLAATGLVPFNPDRVLQYLNIQLKTPTPPPSRSSNTASS CLQTPQNIRQFVRQSTTINKHINERTGNQNQEINQAVIRLSKAYEILANDTLLVRKEN HDLRAANEKEKQKRQKSNKQISIEHGITSEEAQALVQGQVEASQAVMAAPVEPELPAS QAVVRRQFRCSGCGVEGHKINRCPNRTTN TSTA_008420 MTIRRRLKQWGISRTDLGSAELEDKIKELYFKRDLRDSKIIRAL EKDGIKISKSTLAALRRWIGLQRRVVNPEDIQHTDNIVRDAVRKQLASGRIEGYREAI STDFFELRVIIFHATGYSILFGNLIRMYPASKK TSTA_008430 GHYERDCHIRQQQLDSGAAKLDRGRAYLVQQPSSLQPPPQPQPL LAPPPPQANFASSQSQSSESNAYSYPSHLLTRASYINSEIRQQDYLSWILDSGATQHF CNSKLDLKDYKHFLEPREIYLGDNTTIYAEGSGTQHLQVGPNILVLNVWFVPKLAENL LSLQLLDRAGYSTLIENGIVYIRQQGDSNSAWFQLANSKHGDLYRMHISPSSLVNAPR ALRTREFSTLRLWHNRLGHRKFRSVEDLMNLSVPRQLPTCTACLQGKMKADSTFLCLN VAASHSTACMPSLSPWTVSPLVDLSICYYWSMITLAMHGVILPQARMFLQ TSTA_008440 MSVKKPPRSTLEIQNFTIDCFFDIRPHRLTDSSTLPILDYSNWV DWSEYWQDHLILYDLWQYVDPTSTATVPPPTTNVNRDIAKTLTENITKIRQHVSPECR KLLVGHTNPRDLWSSLKAGCDRGTTLPLIDQYELFHSNKWEPKDTISTYTSRFRNIFL SLENTSSKIHRDIAVHLLVDRLPDCYKTEGQTAKQLNLPFIETVTYLLANIKDSSSEG DNTSGQALVTRGRRPNRRTSSRNLRNGGNNSNSNRREQSNRNSRNK TSTA_008450 MLWHKMSQNGNKKKINPRMSGTQEALGLENDKAKFQKTGQLIKE LSQKSTQQNVLQRGNEKQFQTWREDNIFPAFKKRYPELFFRVRVEFKTPLQNSLPQKK TILELNKRQKGLRKTRIDRENNKQQDMLKSEQKHQRKQKAQKVVEPSAMVERQSELGF AINPSTEERQRSRDNQSKDTVLDQDTSSTGDNGFGSVEIIGTYIYGHSERQEEVSKEQ PEEEEEAMEITVDQGETTSETDVNTIRATVIQTTRIPFLGPIHEPSTSYNAAMVLIDA ILDPKNSCEPVELRISYKAWQKKLGKHWGVDFEGLSSSCTMHFFWNENWINVPWSDTS WQAMVNLCLQGNRTRLIQVQICGDGPDFVTSRTKSLQSTSHETAPGERSRKLDTIDAG IRAEMKSVITSFKRELGMLDFKNDYDLTLKMPPSLKC TSTA_008460 MRKFLQNYHNRGKDSITISDLKNGRISNICEAARIYAIPRIALR DRLKRVQYQHLDKRGLPPRYSLEQERGNQQVGEKWVYNLIQRRPEIKSRFLRKYKYER AIITYIDSSVIWKILKPA TSTA_008470 MSFHSSRHKHNTWSGKRGSLADSLRWHLRLGHPGPQAINHLVLN GVRLRGPLTQKCEACAQVKAKGIIQRFSRDIIKAAGAQLSVDFHDYEESSIDNLKTLM LVTSDIQDYYGIITFQIIKMGPFSPHSSTCLGCWKDVTFNEDETFDGNLDRLRDDLRT VNLEELAKLLQDVDVSEEEVIRSRPEARDLLSTVVNELINVRNILTQDEALGRVPNRG FGRVMTTYGAQEVDKEEATAHWQGYLTPPPTPPAALLAASIQRPIDEAPMPIKEYESF APWKATFIAGSRYKPIGTFEGKTLERERILRNQSVNRIQDKKESDRSKLQKLIKQEQL HKIHRRDLPDPPKWHRDLETHPLGEQFAQAERAHLQSHIPMNSWTTTDRSIAKGSQIL DCMWVYVYKFNKHGYLVKCKARLVVRGDQERRVNLENTYVATLAARSFRTFMAVAARF DLGIKQYDAVNAFVHALLD TSTA_008480 MPHLKRRVRHESRPSSVEFTLAEDDPTTMSTISTAIFSEISESE SHTTANTAVSFYSSDEYDSDDGDDEYDEYDSNDRDDEYDENDSVPDDTSDYSEGSHDD NENVNSTDSQNVTGYDTFDISTREPGSEQSSTIVEANASAEKTEPTSHRHTFTQTASG DFSTKDEVAHFPKNHSSDSCVHGGSTLPELDMSSGHVTGVTAEVTVLIRDKKSYYNIK LRKEDYPELDIQQRTYIKRFYLTMLLQTLLSMLPQTTVIDKFPGANSFKKSSPRRAQG QGSSADSSANRISTRLASKLRRGLGHQAEAEAWTMIPVALHASLSTNQMNQRTSKKLN KTLIGKYEKQALAQNTNGEIVCYKLRWMVRGFEQIEGLDCSKTFASVVEPMSYKARFA ITTAKGLEIE TSTA_008490 MRIRATGARNHMRPIDHLDLEGTYQEKHPKPICGRLQATPGAAG FYREGANQRSSYADQITAVVRRYNQQPICSPAGYTPRPPRPLVPPTLANTLFCTIDTS RVREEDKAKAQIANVRQMIEKEIQGNEGMETWRCAAVIKYPKNAERVKIICRREDEIL RVKEAAQKLNIPGWRVLRDQLYPVKIDNANRTAILGMDGNVLPGAAEALGKENNVNIA KITWLSKRDSNKAYGSMVVYTTKGTDAKRLLEGSYFDIAGESAYTRAFEPRIGPFQCY NCQEIGHKAFSCKKT TSTA_008500 SEPYTRVIENTVVTSPMGHCYWKKMIPTERHNGRWPIRSMLWVQ SDIEAEQIPVASPDLTATIRQLPDRAVLVVSVYIEWNSEEALTSTIRLLRSLVTDIRG REGTRTDVLIIGDFNKHDQLWGGDQISSARQGEADDLVDYMSGNSLHSLLPRGKTWQL GDRETTIDLVLASIELAEEM TSTA_008510 MEQVEELLTTFFPPLPARIEDEGFQQQREPIHMPDLTMEEIERK VFEAKPWKAPGEDGLPAIVWKQLWPVVKNRHMISASGGSITFLDDFLAWVTDPTAEAN RSGIEVIINSALNWEERSGATFEGEKTAIVHFTRKVDRSSRAAFTVKEELVELKEYAK ILAVVMDSQIRYKQHIARAATRGLKAAMALRRPKALCPQTARQLFTATVTPTINYASN RVLKIGGIAVTGAFRTVVTAVVEAEANILPFKERHTEKTTKLWADICALPGTNPLREL RVTLTRRFFPLQSIAQTLGRDVADRMETINAYAVSPWTDRLQMRDEYDGEKAMGIANS AESILIATSSSSKGDMVGMGDSIKDTQVNSADDVLTSYTTTLSRQTEQNPYTAELEAI AAGLKRIPPWVFNKQVTILSSNRSTLGAIGQQRQQSGQTSSGKGKCGQLTLGPSTYGF CIKTESKNGRSRSTREVFEPEKQSYQAKSTAVRLAIAKQRHKKALPDGVGKYSKTIDL ALHRF TSTA_008520 MNTTKAESLAERKTRFLSMVECKNPDQDTNNTQEISNRGLDDAF KTSPTKSIPPVSSSPQKPIPTSRTTVQDGGSTTESTDETVIDPIVSKESWSKLFAKKA TPKCEEYQEPCIMLTTKKPGVNCGQAFWMCSRPLDPTGQKHKGM TSTA_008530 MESFIPFGTDPEMATRVADLIWDQAVMNFGLTDNEILLPLNITG IIGPLAIERLKLQLTNFLSRPCTAFADNALGCVRILASPEFKGLGPATDNMVLPRVSA EGGFSLDVCSIKSLQKADKKVEKRLKIPRPPNAFILYRKHYHAILKEQNPDMHNNEIS IAVGIKWNNESSEVKAHYKALADEAKRQHAQKYPNYQYAPRKHCEKKRRNSRRTAENF VDYETFSDDEEESSLQTSYESPADSSTYSAFSGQDQDDFAEQTVEDQTMDDYMTLSPI FSPLNESYHFSDYDIGDYNSWVRDAAMAQRLTIIAHTHAENGSFSQLFPPSHG TSTA_008540 MDRNIEKLLMWLGAMHQNPSNPPSRRYQAYYILNFYGQHRNPYR LMAQVTSMCKEELLLPKPSIMTSSPESSSLVKLWGKQIENVVDEFFIVPAISDFEAHP FELFSCLPPDFEGRLDPETNLKAHNKMLVYERIGQQKLMELTKRFGYHYVFRAGLREY FLTKLIAEYYNFMRVDNRSDEWRQRTQMTFYDLLDKHPNMKLDELRIVIAGAQAYPYD ILLFRNWLIRSRRSYHAMQACISIMTDDSSEL TSTA_008550 MRNHLKSRHQISVESAPIHQLQQLYIKAQSFGQTQNIDTKVFQR ILSQDVIDEAIEQSWQAQKDIVQKKLQSASLSIHLSLDIWTSPNRILFLGICAHFVEQ TQEKLCKGLLALRTVGNHSGDEQFATLLPVLKDYGTQQKIGSIICDNHTTNDKLCRVL GNYLQEEEGITWNSTYRRIFCIGHVINLAMQAFLFQNMLEMKQLSSWDEIETTEDEGQ EEDKLQRQATIHKIGPLGKLHNIVTHVRGSADRTKEFKDLAGRLIPLDNRTKWNSWYY MLHVALQFDGAINTYTKRHFAVLKTEYLSPLDWEMLHRTSKFLSLFDRATLKTQGDQA TIDNVLFVMDIIIKHFEKALIQNGELEKELAKACITEGEEALGGVSAKTPNYMVIQSY ERNTQDLNDFDLITQDLGKFARPASQDKYEDYNSESPYKVRMSALTWWCQDQQQKRWP RLSYMAIDILSIPAMSDEPERAFSGARRTISWERVQLSAENIERIECLKTGKRVGLQR RNTS TSTA_008560 MKISKKDCAEEFASKEHNVCEADVRDHWDILKEVLRPIVEQSTT KTKCYVSKEYVDRYMRVTADNTTVKEIRDKLHYLTPQQWHQFFQSCLGGAVDRLRYGK KKGSRKRSRSQDSSSSKDGERTPHQGKKSRNQSRSRDTDTIDSIKTAFLTMVENSKDY IGIKIPLDAKIFCQLPDHPDKLASLSVYLLTASQQDPKFLNSRQLDYGRLKKQFLARY PTNDYWMEISYTSHQIKHVVFDSITLQSAVEKMIMSSDEFSLEAMTWTPTERPLLRFD IQLFSEDDNGMVTVERRFRTVSITPQNPPSPISKPTTKNDDLTRNKIHASIEGSPNEA DRGRDSAPVLEDPPSTLSRSEPSTETDEGTTKPNNDGNVMDMTADNTSNTKNDSGDSQ SDPADPKVNNKDTVGMPGDNAHPDEASVQSPSDIDDDSRSSESSKEDEDMAGMGEEDL DLNLEDYFSDNRPQETLADDDDDDDENTLRDFDSDVQPGAKSDGLGGSDSTQPKVNGG SDESNVSGRPRDTSQGERPPNRPSPKDINIDENGRESDVALQDEDEDKNNNLLPKGQD EEMAEDGFSDEEDYGEAEKQLVELNSNVILERVTENDINRLCRFLKLQRSDVANIDHR IPIPGLRYGGRPYQAFGAMWMLLQDTGTLRGGFLGDMVGLGKTFTVLMYFVLGLWIAN NYADVKNAWKTGDPRHHPLGHTVDGPCPSEKGWPIECCCIKGSLSYQVYNPNKGATVV FAPLSLLDNWVKEFHYFVDPDAMPEDNRPISLAAHSRIKCKNSISVNGGKEPFTIPRL EDFIHKNPGDHQPLNVTAIQKQWKQWFDTKPKQGDQPDFDPPKHNRRMQNIFIITTDR CFKKHVDHKFRLQFFFGRRGYHCIPYGRFRSVHIDEAHKAKTSGCGTIGELKNLRKTA FPPNIWALSGTMLQNSPGDLAGYCGVFQVAARDWNSDDRLEGISEAQLLEDKKAFDSI AEKFSKDTSKELSEPLAKNKKFQDIKARVQRFLQASMIARSHTSLWPDEGTARRLNVT RRTLTEIKRIEPQVLWQRNHLSSEIQAAMEVSKATFEREVKDYINSTEKPVGEKKAIR QVLNKSGGLRTRLFATFPFLVLYDDDIALTQQEIYNKEEGRRQIMDVLPQYEDKCWKL GWIHKYVIETMRKETSYHNGPRKIIFIAQNVCVAHTIHRWLSQKFPEHRVLYIDGHTV GRSSLVEDFSEDNYTRGNEYDSPTMLVATARVFAEGFNVTRASHMVLLEGFFNEASVQ QAIGRINRIGQKDPHLEVFQLRTEGDFVEERLDRRVEIRSNLVEASTADAA TSTA_008570 MSCAADCNAQQTLGTLLQSKSYRLSSSNVDDNDLMIVDRFISIN LRDPFTTQIFDIPARGSLCTHMECFDISTFLQTIAAEPLSDSHKIYIRCPICRKDARP GLLLIDEFLGEARNTFQGEQVGDCKGYSHQKRRFLIYCLGYEERHSQRKPEMGPEELW V TSTA_008580 MLNTLGKFLEAIIARRISYAMESEGLLPSSHLGGRKGISTDHAI QIILDRIRGAWGRGHAVVSMLLLDVSGAYDNAHHLRLLHNMKKRRLGHFVSWVTAFLT NRSTKIRIPEGISGQIPTPTGIPQGSPISPILYLIYNADLIEDCANLTNHTTTSGWVD DVALMATGNTESETIRKLQKASEIADQWAVRHVSVFDTKKYQLIHFVNPRSTTNPESQ PIQLRDNVKIKPKEAVKYLGIWLDTKLCFDTHRDEAIAKAGTSLEALRGLSGSTWGVA LGSMRRIYQAIVIPQMLYGAAAWFQPGLMSQRQISQTISKFAITQKRAACLISGALRT TAAEVLNIELHLMPIRLQLDQLTKATAIRIRTGPAFAIPDGLAIDVLMTNSSSADTLP WKLMLGRRADAYWLPRGPWQGEGRADSHGSGYQGYIGTSMVIPAFGKQRTECIGTEGT STVYAAEACGIKFALETALQIADQNIQTKKLVIFSDSQAALRTLMNPRMVSGQTYIYD CIDSLRKCIDEDIDVTLRWIPGHEGIPGNEAADRAAKRAALIGARRQIVPGDIGNWTI LAAAAKRRIRQSTKDAWEKQWDKQKAGKPTKKLVTQPSKRTLQYWTFLRKATSSILIQ LRTERIGLAHYLWRINRREQPYCACGLSGQSVRHILMECPLYENERGLMWSRIKGFRR TTDLQALLKEKKAAIAIAQFIIDTRVLDQFREVDPEAVGTYESTETAAQLEPANDKDT DVGTLRTRIDMNMSFGSSDSEPANGDAT TSTA_008590 MALDNGKSGSESPQKMTSEDLAFENQQIKVNGIDTEKKPVTDED PAFSGAIPEFSQTSNSTLEKPTRRKSARLAAKATKTQQRKQHEIALLPASVPPKYCYK PSLRMSTGGFGALKQERILSEAGKRRRMRFKGRKPKPKPTVG TSTA_008600 MRTVCTYAPHSLPWSMIPPRHSLVREMVNYLLSQHGNQQVYNLV KRRPEIESKFSRKYNYEGAKCEDPKMIQEYFDRVREVTLEYGILPEDIYNFDETGFAM GLCATAKVVTGSDRYARPKLLQPVNREWATAIEQLIRLDGPCFHSNTPTKSIK TSTA_008610 MYNMIFTINLHSVTKTLLKYPLLPTTPYLDVYLKLSGTIPPDPS FEELSREYGQLLFWQKEQPIQGPLRAPRFFRTHGFKLEPEVVSSLPKRSENRLKAACA KLKSEKGPSDIIVMSHMIVPYLMDKMSKREAWSLPEKGAEFIQRLADEWRRVIPDARN AYVVELGPFETPNQWNYVHATHLNNLFYVLQCHSHCSEIDVVQVDGRLGAQARPEQ TSTA_008620 MLSKIAAVKNIEKRVSTELVGENYRSLSATIILAKFEHKLRQEK NLAELRIKTKLLEVIELRSTLLEHYDEILDSAQKSNEEKRISTFEKSIITHKWFLDRL KGIVESLSIPGLADETNEFDAFCMILQDWINIMIDEWKDVLEG TSTA_008630 MAEQQTCSSCLAEKPLDDFKSKTGNQRKSNDADVSEPPVEDIHV PHFMRPTNASLRRIAPQRLSAQQFIQQTVEQSQQDTPLSSAPSFHFRGAHSQDSPQVA EARRRREQDRRAHRAARRAGEDVPPSQGLDTYIAEQEQEIPLFAQPPSFSTQAMSEAE HDIPPSAQQLPPSTPHAESQVSSTPDHLAVVSTYNFSTPDPLTAIPTRRQYQFCVSGV HEVLKTAFYDDHEIEHDICNSCRSQFVTSMDTDPSFHPVSNAASTQPQGPRKINRRPS RTAPHHDLHQPDPQYIPGETRILSQPALTETDWGYTTVFHNALQQQRMEWCVVCDEKW FNIRLTSDNTCARCLRADKDQDIPLYGVANNMHPGEMPDLPELSQTEEMLIARVHVFV EVRRVRGQNLEIILLRPVNASTDPRLQRQFIHDFRVRRERIIKWLTFLRLNRPGYRDI EISKEALNLLPLDGDVTDQIFNESLDPVQISDSTNTEVVEPPELSAVPDLLAQEDEMT AIRNQLQPEESHQQHMEFPLFRSTPIAEFTRSQPLLSWAFPTLFPRGEGEYIYPRQRT VSFGDYAKHLMKFHDGRFARHPRLRYVVFNTMMRQQANTKASFFVKQKIKDGREITAD DLRLAFEDDTPEGEALLNSITRRSGMLRGIRPFWTNKHQHLKAMVKNIAADLHWADLM QHLPNFERAAIGQERIQIARDNLRDNPHIVAQWFWIRSNVFRKEVLDKRFNVIDNWNQ FEWQGHGSVHNHGLYWVNGAPNSEVEPLSLELRQAFANFWGIHISALNPQPGQMVADT TERSPIQLEFADQKNTVGFLSRVANRVQRHVCSKKYCFRKEKGSDVVSCRFHFPHSIQ TEPFVERAPGHQYHHFYSIRNDAMINAWNRCILMGWLANIDIAPGTGSRALLDYIAKY VSKVEKKTESYKDMMKGFLPKLNPQNPFLSAVSKMMNRLIGERDWSAQEVLHLLLDIP LQSASRICINVDCRPEEVHAAPFVPVEPEIEGDETVQRGFSVLEKYKRRPELFENLSY FTFLREFDFRNWRQIYRRDAPVRVLNYFPLYNSGCS TSTA_008640 MDTDPSFHPVSSAASNEARRPRITNRRVSQTSPDYDLHQPDPPA LQQQRIEQCCVCDEKWFNMRLAPDSICTPCFRADKDQTVPLYGIENNMHPGDMPDLPE LPQTEEMLITRVHVFVEVWRVRGQQYKYSGHVVNFLRDTARVYNTLPLLPRNLEIILL RPANASTDPRLQRQFIHDFRVRREHIIKWLAFLRSNHPRYRNIEIFQATIDLLPLDDD VADQVINESLDPIETNPDTTHMEDVEPPELSAPEDTRHPYMEYPPFRSTPIAEFTRSQ PLLSWAFPTLFPCGQGEFIHPRQRTVNFSDYAKHLMKFHDGRFARHPRFRYVVFITMM RQQANAKASFLVKQKTKEGREITAGDLRLAFEDDAPEGEALLNSITRRSGMLRGTHPF WTNRQQQLKAMVKKFGPSHIFLTVSAADLHWADLMQHLPKFERWKEAMSQDRIQIARD NLRDNPHIVAQWFWIRYNTFRKEVLDKRFNVIENRNRFEWQGHSSVHNHGLYWVKDAP NSEVEELSEDLRKAFANFWGIHVSALNPQPGQMVADTTERSPIQLEFADQENTVGFLS RVANRVQRHNCSQRYCLRKEKGSDVLSCRFHFPHSIRTEPIVERAPGHQYYRFYPIRN DVMMNPWNPCILMGWLANIDITPCTGSKALLDYIAKYASKAEKKTE TSTA_008650 MPRLRGGSRDHRHTGRRRYRIGPSVIVARTSTSHATDLRYPSSR YPDALRHVPSSSSRELVPTIEGISAVGNTIPPLLIFTGKVILESWFQYLKEDEWKVTI SETGFSNDEIAYDWLKHFNEHTREQAGNNFPHLGGYFYDKIDFLANIARVRADALTPR VIRNGFSARGLWPFNPEIIVGPLIEKWDLQEGQELLIYDGNEEPDISSSPTNGSFSPP TTTYKLQRSITKVDAQLNEISNVIPSIRRSLKKIFDGSLTQAHLKDQQQAQIERIQTL NERKSAKKKKKISARAIKKRATAEEKKAERKRLKELRQALLGSMHPPLTPSDEAAIDR NTLLNLIEQAYPRCSDPNLIRWVDERM TSTA_008660 MTGGEGDQNDGQQGSSRQTDRNGQTGQQGHVTNTGVVNHPTVID QATEIPKDGQSITLTPIQVLQGADDYNRWYQAVRRELLGNDLLDLIDKSIPRPHVGSA HYKIWKKLSLKVAGWLSATLSRDVGTKVQTITVNVDYADDLLAAIRTICKGEADSQAG WRAWTDFRKCDRHQYATCEAYIHALRAKHSDAERDGFGVQPCQLIITLLHSIETDLPT WVTLKMEQYKDVKSKNMDDFHQLCSEALEKCQGLKFSMGNAANNFNPSTPATPKSTDG REDKKRKERDPDTPNEKKRNAPKPGVSVEEWLKKLRKWRSRDDNCGYCGIKGHGCSKC YYLADSPPPNWYPKLDLWCYRDRAAPKQQETKNDGDTATKTSTTMNVSSFALSSDFFM PNIGGAAIDTEAFAEHVEQPIDKENMPKSKALAMALSATTPESLIGKFVADSGAGHSM AGDFASWVEIYKYKDDDEAYTYECSNGMEAKATGYGTTLIRFDNGEDRPAELLTRTYY VPGLKYNLWACERAKDESKVWYCSKDCTVRRMDDDSVIGHTTVVGGVPILRTMTTGKE LQFGALNLSAISAELQHRRLGHASDVIRKGTAQAYDLEAIKEKIKHCESCRLGKSKRI VSHDPLPKALKAGQIVYVDVQHIKPTGFNGYNYFTAFLDDKTLHDYCTEFKNQTGNWP VIITKDQGREFFRFIKWSKENQTGIQFRESPARTPEPNGPIEWLQFYLAQIARVMMID AGLPEYLWPFAVETACYTVARLVKPGQEKAPIQQWREELGFPNPIPHLEHLRVWGCKA YMHIPEEDRVKARKMLPKTEIGRLMGYMGDHGHIYKIWFPATGDVKFSRDVTFWEGPE DGMIDEIEDPTPTTTKVEMSKPLTIIFHKDPVEKNQKRITIADENLTIEDILNSNYYD FEQNAYVTGRAETLSTTPERTHEQDRADESDQEEFFDAEAEGSVDATTEEALEIIRKK ISQQKALIKEQAQIMNNEQALVDGITSLETVYESVEHNDTNDSMHEEAPARMDDDTPV QEMTHKNITTRVSSRKNKGMRLMLTLMEEQEREQEQRRAKKEQKASSTHSTSETMVRL NINTNLQASAIPDIIPKLKKWEVEIPGNDRQMLKSPLRDLWKKAMQDQVEKLRANETW KLVPKPKNSAKILPGKWVYDVKADDESNVTEFRARWVVCGNFEVKDNENNYSPVVSDV GVKIFLTYCIKNGLKIFQADIITAYLHAMLQRRQVLVEQLKGVDIIDGMVCSLLKALY GLRGSAVLWYDTISSKLKELGYKPINEEPCIFIRESDGMAIALYVDDNLIAGKCEAQI DGILDTFDKAWGVKRIGEPKRFLGINVHREKDTIKLEQSDYVDGILNRFSMADSHPRS LPLEPKFTLESNDPKATDDEKEKFVKITGSANWLTSKTRPDITFTVRRLQHKQHDPTK YDYVAGKGVLRYLKGTKKYGITLNKYPSKGLEVYVDSSHADHPNGKSTEGFIIFYAGS PISWNSSKQTLVAPSSTVSEYLGVGSGIRQGLWIQNMLISLGLVKKGEPLVVYTDSNN AMTASHKPGTAQAVRWLRIHYHFMKDLIDKGEVILKRIDTKENPADGLTKALTTELFD KFRNQIGVEAC TSTA_008670 MPSEKYKEEENRISEALEILRKNPGQKIKPLARGTSQLNRRPTH KRLTEDQERAIILWMNDLDDRGIPPTVRMIKNYADKVLQNMHPGADNPPQLGDRWVYR FLKRLPKEYVKMKQKTIDPKRHLAEDPSFIQAWFDRLETAIERYKITPSNIWNFDKSG FQIGQGGDEEVVTRYHGTTAIQVDVGTALGLA TSTA_008680 MLGLLRTKLAIGLERRVLRVEIKDKIIVLLKGKANEADVPEPPV EDTHVPHFMRPINASLRRIAPQCVSAQHFLQHTIEQSQQDTLSSSAPSFHFRGAHSQD TPQVAEARRRREQDRRAHRAARRAGEDVPPSQGLDTYIAQQEQENPPSTQPPPFSTPL YLLLLNNRPLPLLMQRVKFSSTRAASAHLTSEAGEGARHISEIVR TSTA_008700 SCKSTCYVRMEKDLAALSINEYPLKHSALLDSGSSIHVFNEIER FVNFRKATAGDFLWAGTHQVPILGYGEVDIEIHGLGGRLQILRLYNVAYCKDFVANLV SFQQLRKQGIWWDTRRGFDCLRNEKNQVLAYVREKEG TSTA_008690 MSTLFEVIQYNTHKSKDEVMATFLRDPRVLRASVIAIQEPWRNE LNDTTHQPARLTHQLLYPKSKNNQRARVALFVNKSIDPASWSHTVVSPDYQILHIRYQ RRLPNSNPESYEPHDLYIHNIYRSSRTSAHLVLGDMNVHHPAWGGPGTKIDEQATKLL EIMDRHGIELTTEEGVVTWERGQSQSTIDLTFLSTSLFNRLILHERADEIQHDSDHRP IRMQIDIDTPTYELPHRRNWAATSVKLLHELLSQITVPILTNALKSHIELATVAFTAT IRKAVDQSVPWARPGRSTIFLFLVV TSTA_008710 MPLIIKGVEIKPVDSIKYLGVYLDTHLTGEVHVQEMREKAAKLV AGLSSTAGSTWGTPLVHLRKIYTAVLQPQIMYACSTWYIRGGRGFTGAQRAAEQAIRS IQDQALHRISGAFKRTSQQALEVCLHVPPAELTLAKLAEEACLRIMTSPLRSTLYHIR GQAHRNDPYTSPLHRLETAINRKLGRDTSQRIETIYAFVVPPWWEPPEARINDTREEA IKAIEATSGTDTTIQFFTDGSGFDNGIGAAVYSSIGQAYKPVGSSDTHTVYAGELEGI DAALEILLRSQPRGDNPHEATIYTDNQAAIRATCQPGRSSGQYIIRRIVRHLGLLRDN RSRWRVRLQWVPGHEGVPGNEKADQLAKLAAVEATQRTQENARIARINAPNQTTPHAA RMSYIPNQSTILVADTAHVLVRCPIHINLRLETLWKEARETDYRKLLSEPQWVRQSID FMMQTGLLTQFRHAILLITTRSQ TSTA_008720 MAQARMLTPSTGENLHPQRRVLIRAGAQQPPPPPPITNQQRPIK TYTKMEDLAKAAELLAQYIYSNPREGQDNPMIRGFINDVRDFTTAHKSGPEILTDLKR LLVDVQKDTAVLRTRSNQASQSSATTGLSSVSPWKAWNTQNWQASLRSAAAPSSRSAG SSTPGVSHTELSMDCEIVVKIRDEAIRKEIRELHPAEIVKRAERARAHAAKGTPSLPL AGHVFIAARPLPSGDVSLRASNAAAAEVLRRHAKNWVHEFGETAYVRVPTWGIVIDGM PIRNVDLGEEFKQRIAAENHHAWGRNAKIEIAHVGWLTNPKSKHEGSLVVEFTNPIVA NDAISMGTVWQSRSHTNRPYCREGRCKMCKKCQKYGHVHAQCPNPKYICGICAKEHPT WECPSHQSQGIEFTPKCANCSGSHKAISTKCGLRREALDKAKIALINCEPFHRVPQYL QPKPMQQNTTANEDPTPHEAAQIQASTRKRTNTTKSTTTKSISGAVKRTKTSTTAPIV PTEINEPTASTTRPQTPEAPTTSRIIPTAEKIKAIPFTAVKKQPRGRPPKSKSSNNND ENESEPTEQIQSAIDITQSSAPVETIDPTLLSKDNMAKSTAPVTRSRAYLEQIRRQRI QAHRQVRTRSQDSDEIPLPRISQLPQINMTMEDNNVILSSTASYRELNDNTTIDSEGY TELLNSFHPSQGPRAAPIEEEEEEDDLQTVQDMNTRSSPDYNTHKSKDEVMATFLRDP RALRASVIAIQEPWRNELNNTTHQPASLTHQLLYPKPNDNNNNTNNNKRARVALFVNK SINPASWSHTVISPDYQILHIRYQRCLHSNSNSEPHDLYIHNIYNEPRSTTFTHIDRE LTRLGCSRTTAHIVLGDMNAHHPAWGGPGTKIDEQATELLEIMDRHGIELATEEGLVT WERGQSQSTIDLTFLSTTLFHRLVLHERADEIQHDSDHWPIRTQIDIDTPTHEPRRRR NWAATDIKVLQESLSQLIAPRLLRRQFSNTHNPWIWRAYLRARNKKKRLVKKSLRLGH RRRVQQATEQGPLGLWKLAKWARSRNGAYESGITPTLQDLDGHTAETVEAKTQLLREA FFPAIPNADLSDITDSQYPSQIEFPEIPRHEIEYVIRSTPPDKAPGEDGIPNSFWHKI ISIPVIIDTLYEIFNACIRTGYNPTHFQRSITVVIRKGGNRDYRTPKAYRPVALLNTL GKFLEAIIARRISYAMESEGLLPSSHLGGRKGISTDHAIQIILDRIRGAWGRGHAVVS MLLLDVSGAYDNAHHLRLLHNMKKRRLGHFVPWVTAFLTNRSTKIRIPEGISGQIPTP TGIPQGSPISPILYLIYNADLIEDCADIVNHTTTSGWVDDVALMTTGNTETETIRKLQ KASEIADQWAVRHASVFDTKKYQLIHFVNPRSTTNPESQPIQLRDEVEIKPKEAVKYL GIWLDTKLSFDTHRDEAIAKAGTSLEALRGLSGSTWGVALGSMRRIYQAIVIPQMLYG AAAWFQPDLMSQRQITQTVRKFTTIQKRAACLISGAFRTTAAEALNIELHLMPIRLQL DQLTKAVAIRIRTGPAFAIPDGLVNRRTSGELKLGGYTPMEAHAWKKGGCLLAPPGTL AGKWESRSAYVQAPWHEPPKVVIDEREKAVSVHNDITCKNEHIAIYTDGSGYQGYIGA SMVFQHSENNERTEVCGIKFALETALQIADQDIRTKKLVIFSDSQAALKTLMNLRMVS GQTYIQGCVDSLRKCTDEDIDVTLRWIPGHEGVPGNEAADRAAKRAALMGARRQIVPG DLSSEGWTILAAAAKRRIRQSTKDAWERRWDKQKAGKPTKKLVTQPSKRTLQYWTFLR KATSSILIQLRTERIGLAHYLWRINRREQPYCACGLSGQSVRHILMECPLYENERGLM WSRIKGFRRTTDLQALLKEKKAAIAIAQFIIDTRVLDQSREVDPEAVGTYESAETAAQ LEPANDKDTDVGTCRRRYQ TSTA_008730 MAQYIHSRPREGQDNPMIRGFIEDENFTASQKSGTEILVDLKWL LQDVQKDTGNPYTIRAIIKRVNQTIIHVPMENASLRNAAIPTSQSTGSSTPGVSHTEL GMDCDITVKIRDEALRKEIRKMQPADIVKRAERARAQAAKGIPSLALAGYVFIAARQL PSGDISLRASNAAAAENRVRVFGESAYVRMPTWGIVIDGMPIREADLSNDDFKQQLAA ENQHAWGRKAEIEIAHVGWLTKPRSHEGSLVVEFTNPIVANDAISMGTIWRSRSHTNR PYCREGRCKMCKKCQKYGHVHAQCPNPKFICGFCAKEHPTWECPSHQNKEKDFTPKCA NCKGPHKTVSTSCGLRREALEKAKYALINCEPFHRVPHYLQPKSMQQYTATNTDPTPY EAAQIQAVTKKHTTTKSTTAKSTSGATIAPITTRLQTPEAPTTSRIMPTAEKVRAIPF TTIEKRPGGRSSKSKSSKNDDSELEPTEQIQSALNITQSRQYDTVNGPNDKIQNPDPL TTYSLALKGSFHILLQPTPREEYEKRPRVCFSVNRGLDPATWEVQYHNRELSTLTLYT AAHGTIHIHNVYNPGVNSNEESVISALQTAMAPRAQYIVLGDFNRHHPLWAGTRYQHV DEEATELIDLMDEHRLEQLLPPGTITYERANAKSTIDLVWASHNLANRVVNCDTKREW WYGADHVPILTQFDLTAVRVPPMVRKQWNATDWDLFLKLMDTYYWHPRELNDNEAINE AIRYLVEAINQTAEQATPTKQITTYSRAGYTPEMAKLKHQVSRCRRHARRINTNQAWE DYAEARKEMKRRTNELARDLHRQRIEQATESIDGFWRIARWVRNRGKPRATFTPTLHY NNTNYTAPKEKAALFRKVLHPEPPEADLSDIGPQFRYPEPYMILPITLDEVRTAINNV KLDKAPGPDGIPNLVLQRLLPTIEAYLVNLFNACLRQ TSTA_008740 MLDVLARKPEELQYPNGLESTPYKAGTGHGRVYKMPRGWSCNNV TKDVYKYVREGSLRLSTQGRVWNVHVLKPIFRSPGAPAIFIDIETPRPAGCYRSLDPV KTGHAPIGTYRRESPECQACKELHGAVRHVLFECRGRRAGRRALYRVPQGAEVPLPTA AEENPEARLFAEPSAAQGLLQFVTEVNLFKDKEQAVREAEISDVWGWDTLEEGGLGVT SEKE TSTA_008750 MALRAAQSILNQSNPAELPVSRTRAMAIDLERKQSFEPKLQSTI TKYGIPTEDIYTILTRLALQVGMGRDQYIVTRELKKRIYIPLNTNREYITVVEGVNAS SEWVISPFVIIAAKTIIQGWFDATGYGQNIGIAVSGNGYPDDELGYQWIHRETFRKVK GWPNIDCQFVMDLALTLRTNLWISTYLLQEPYDTPSGIFSIISSEATPRNARKFEALE RQINESPARGGVVQQAHHLHEVERELQDIKDKQLQRNQCPKVSRKQLATSGGVTFEEE SLKDKATLSKDKPKESAQKLLAHRPYSTYLHRIGRRESPECQACKEPHETVRHVLFEC RGRRAGRRTLYRALKKASVPLPTAAEESPEARLFAEPRATQGLLQFSGQPERLSSDAW GWDMLEEGGLGHASQDLYQLGVPTGGEAGRASADVGVRQ TSTA_008760 VSRTRAMAIDLERKQSFEPKLQSTITKYGIPTEDIYTILTRLAL QVGMGRDQYIVTRELKKRIYIPLNTNREYITVVEGVNASSEWVISPFVIIAAKTIIQG WFDATGYGQNIGIAVSGNGYPDDELGYQWIHRETFRKVKGWPNIDCQFVMDLALTLLP NPWARLVRTNPDYPTVARYKALAREPWQRHPLAAATIICGNCFGEGCRSRTYGLVNQR RWVPNGGPKDSRYHFSYPPPGKAASSAQLCEQDEKRRQKFHRLLRLFVTEKLTQSTVK LLLGERPLGEIGIDISLRAKEAGGNRWQFHLPASDNPIRHYVKVELAKAFRKRLIKGP KVYALMEYNPLLNKTSSRDSKDALPSALREYADVFSPQNEGQSTLSVVMGRAVISKRT SRKASSDRQKVQLHHRSYSYQRRTDLTKSPLRASVRYPSSLIDKAVGSTPTPLTKTIT TNYRGSCKAFALRTAPILGNPFKNHPTHPRDRTPEASLASG TSTA_008860 MAQKPRTNLFSSPHASPYVNTSRYTSTLITNGLPSLDTLLQEYR TFQTQNSISHTVNDSQHISEITPWLRTTGIHVHLTGLDLETVGDLYRLPDRDEALLDL ICASVDRTWRKTEQLLHHNHVGEIPRLSRRNARLLNTFTRGEISQDPIQPLQNPQSRS RYIQTWQKLICYWSRVMDDQALPDPLFQPTEGQKTTWNDVMTAAKNLCNQQESEQDND EALHRLQQGMDEQTLVFCLEIIQQPIPLRAFDSILVSFAALLFWMPAKKQWMMVGNYT SFLSQLIYNCQIWILALSILEQQHYPTQDLGDIIIRHRDRWLLNDTKGPVAELLENRL YGFQIAMSEVPPAQVRWDREGQVITFQDVSLSLSELSRLIREGISTAQTIFEQELCLS SPSRPATEIPQFNLNNLMDNWDATQAGASFLTDSRNHAYVVPYQDWLFRRELGADQTW RISQKMVEQYEATVQRFLEALLVPFFIGSGQQARRTEFLGIRWRNTLLHTRDLFLHDG QMLFILDYHKSRHRSNASRWPARFLLPEVGQLVTQFLILIMPFRQWLQHKMQTAHSRT STPLCDYLWASTTKPWSEDHLTQTVIRTGEQILGKKIHIRAWRQITVGIAIKKFGTLA SQFIEDSLDNEDDLTEDHSGSMAAVFHYQAAHTPHTGNQVYGGTVNFRAGLTDAGLQE FRQASETWHRLIKQPSQYSTPSSLKRQWPTLFTPQSSQPANVNTEWEWDESPSKRARS QATESTLVQQFHRCHEPRQGHRRWTMEQAQTILKRMYGPEAQYRTSNQQQALQYIIQG SSQVVAVLRTNEGKSLLYLLPCQLPSARTTVVVLPLLVLKQDMLLRCQNAGIEVTIWN QQDESRHLGSSPLILVSVEQAVHINFRTLLLRLQLANQLDRVVFDECHLTLTASSYRK RMALLPTLRDIQCQMVFLTGTLPPIMMAEFERTMLLSRARLIRSLTTRRNLSYRVISC PMNQDFFQFVIPWIQKERTQLDSEERAILYCQTQAITEEVATILECPFYHADSGTREE KAQTLKTWRNGNPNWIVATSAFGMGIDYPRVRLVIHLGAPSSLIDFTQEAGRLGRDQQ GGRSIILLPPSWSVSKSSRPGHAISSDVQAMHAVLDQPDCRVAAMSSFLDGVAVACSA PDPLCDRCHFHQENPESLSTDSTTTSSSNLEENVDLDLTIGSQMRIQQVQQESRQLQQ YEDFLQALRGTCVICRILPSSSADTKKHSFINCWNPYRQDFLEAKKRAQQEGKQFQGW MQRYAGCFRCYNPQAVCSQQGQGTCLYPDLVMQACWAIYQIKAWTEGLLPGLGGEHVQ SNEAAYMLWLGQKRTTFGVEGSNAAWVAYHVFQQLLEPAKGSV TSTA_008870 MSEESYSFPPYLRVLPEWRVILCQQHGSCFTQQSLQQHLRKRHH LKRYEQVHIEQHPEFSLIAKTIDTVVQPSDGTTEINGLPIIPGFTCHIENCDYRSRNT DCIRQHYNQEHGWRKSQGVMPWYQVYLQTLFSKQQDIQYFTVELAHSIHTSHDLHTNT PGYAPAFTTNDLPSLDTLLQEYCTFQTQNSVSYTVNDSQHVSEITPWLRTTGIHIHLT GLDLETVGDLYRLPNRDEIRLDLICASVDRIWRKTEQLLHHNHAGEIPRLSRRNARLL NTFTRGEVSQNPIQPLQNPQSRSRYIQTWQKLVCYWSRVIDDQALPNSLFQPTEGQKT AWNDIMTAAENLYHQQESEPDNDEALHRLQQEMDEQTLMFCLEIIQQSIPLRAFDSIL VSFAALLFWMPAKKQWMTVGNYTSFLSQLIYNCQIWILALSILEQQHYPTQDLGDIIV RHRDRWLLNDTKGPVAELLENRLYAFQIAMSEVPPAQVRWDREGQVITFQDVSLSLLE LSQLIREGISTAQAIFEQELCLSGPSRPATEIPQFDLNNLMDNWDATQAGASFLTDSR NHAYVVPYQDWLFRRVSQDAVLFPTFWELGADQTWRISQKMVEQYEATIQRFLEALLV PFFIGSGQQARRTEFLGIRWRNTLLHTRDLFLHDGQMLFILDYHKSRHRTNASRWPAR FLLPEVGQLVTQFLILIMPFRQWLQHKVQTAHSRTSIPVYDYLWASTTKPWSDNHLTQ TVIRTGEQILGKKIHIRAWRQITVGIAIKKFRTLASQFIEDSLDNEDDLIEDHSGSMA AVFHYQAAHTPHTGNQIYGGTVNFRAGLTDAGLQEFRQASEIWHQLIKQPSQYSTSSL LKRRLPAVSTQSSQPANVNTEWEWDESSSKRVRSEATESTLVQRFHRCHEPRQSQQRW TMEQAQTILKRMYGPEAQYRTSNQQQALQYIIQGSSQVVAVLRTNEGKSLLYLLPCQL PGARTTVVVLPLLVLKQDMLLRCQNAGIEVTIWNQQDESRHLGSSPLILVSVEQAVHI NFRTFLLRLQLANQLDRVVFDECHLTLTASSYRKRMALLPTLRDIQCQMVFLTGTLPP IMMAEFEQTMLLSKARLIRSLTTRRDLSYQVVSCPIDQDFFKFAIPWIQQERTQLDSE ERAILYCQTQAITEEVATILECPFYHASSGTREEKAQTLETWRNGNPNWIVATSAFGM GIDHPRVRLVIHLGAPSSLIDFTQEAGRLGRDQQGGRSIILLPSSWSVSKSGRPGHVI SSDVQAMHAVLDQPNCRVAAMSSFLDGAAVACSAPDPLCDQCRFRQENPESSSTDPTT TSSPSPEQNVDCDLTIGSQMRIQQIQQESRQLQQYEDSLQALRGTCVICRILPSSSAD KKKHSFINCWNPRRQDFLEAKKRAQQEGKQFQGWMQRYAGCFRCYNPQVVCSQQGQGT CLYPDLVMQACWAIYQIKAWTEGLLPGLGGEHVQSNEAAYMLWLGQKRATFGVEGSNA AWVAYHIFQQLLEPAKGSV TSTA_008880 MASAAPSTQRPAIQSQPTQSNEQNEKIDMIGTHVEKLTRYVEEL KDKLTEKDDQVEQLEAQVLALGNENDGKPTAGSNKVKMPKPMNFDGTQSKLKSFLVNM DMHLDANKITSDKEKVIFVASCLTDEAADWMQLMLDDYYNDDADEWDELTKTIFRSYK NFQIKLEESFDNINEIHTAKRKLRFLQQTGSAQQLAIKFKQIISPLHYDDDVLIGLFE NMLKEELQTKLIKMDRPDKLGKFIEMAVKMDNKLQKKAHGTGHPQGQKNQIATMIIVP TEQKEKQQNSNEIDHEETDKYEKT TSTA_008890 CLHHDKNRDESDWMLRNVRLRNYYNRHQEEPKVMIATATETDTD YKTITILTKIQGKDIRILLDSGATGNYISQKFIHLNKIPIKTTDEWTKIVGIDRETIT KGYKKKTSGILMRSGKYATTITFDIAPMDTQYYDAVLGMAWLKEQNLIIDWASGTVAV NSSMLKTKTETKDIEKILNLHEESGTSSEKGQADTLRKTRKVTWTQE TSTA_008900 MKKEYRLPNHPKEYEVRIPLKPGFKVPSVKQHRKSRDELEMEDK FIEEFLATGYIREGRGSASARPLFVPKKDGTKALNNGTEDDANKAPHQEQK TSTA_008910 MTVFDIQWGYYNLQMAEEDIWKTAFLTDKVYFDDIIVYSKDPNE HEGHVQQVMTKLMEAGLTLKIKKCEFDTTTVNYLGMIYIPEGLKIQPEKVDAITNWPT PANIKEVQGFLGATGYVRRYIRNYSEHSQPMTELLKKDEEFVWNDRRQKAFDKLKQLV TEAPILALDDPEKRKIIRPDASGYALGIALK TSTA_008920 MPLPALLPTAISINLYIFHHIYDPNQYSTATIASWLHCQLPSGG TANWLPRSTATGQRAQLPTGSLTEPPIGNWPDRQMSYWFDRQLSSWLNWHCHPGSTPN CQLP TSTA_008930 MPWNHAHLQTLFQEKEQIRYFTVVPANLFSLPRVSPSITSTLTT NDLPSLDTLLQEYHTFQTQNSVSYTVNDSQHISEITPWLRTTGIHVHLTGLDLETVGD LYRLPNHDETRLDLICASVDRIWRQTEQLLHHNPVSDIPRLSRYNARLLNTFARGEIS QNPIQPLQNPQSRSRYIQTWQRLICYWSRVMDDQLLPNPLFLPTEGQKSTWDDVITTA KNLSHQQELEQNNDEALHQLQQEMDKQTLAFCLKIIQQSVSLRAFNSILVSFAALLFW IPDKKQWMTVSNYTSFLSQLIYNCQIWILALSILEQQNHPTQDLGDIIIRHRDRWLLN DTKGPVAELLENRLYAFRIAMSEVPPAQVRWDREGQVITFQDVSLSLLELSRLIREGI STAQAIFEQELCLSGPARPATEIPQFDLSNLMDNWDATQAGASFLTDSRNHAYVVPYQ DWLFRRVSQDAVLFPIFWELGVDQTWRISPKMVEQYEATIQRFLEALLVPFFIGSGQQ ARRTEFLGIRWRNTLLHTRDLFLHDGQMLFILDYHKSRHRTNASRWPARFLLPEVGHL VTQFLILIMPFRQWLQHQVQTMHSSTSTPLCDYLWASTTKPWSDNHLTRTVIRTGEQI LGKKIHIRAWRQITVGIAIKKFRTLASQFIEDSLDNEDDLIEDHNGSMAAVFHYQAAH TPHTGNQIYGGTINFRAGLTDAGLQEFRQASEIWHQLIKQPSQYSTPSLLKRRLPAVF TQSLQPANVNIEWEWDESPSKRVRSEATESTLVQRFHRCHEPRQSQQRWTMEQAQTIL KRMYGPEAQYRTSNQQQALQYIIQGFSQVVAVLRTNEGKSLLYLLPCQLPGARTTVVV LPLLFLKQDMLLRCQNAGIEVTIWNQQHESRHLGSSPLILVSAEQAVHINFRTFLLRL QLANQLDRVVFDECHLTLTASSYRKGLALLPTLRDIQCQMVFLTGTLPPVMMAEFEQT MLLSRPRLIRSLSTRRDLSYQIVSCPIDQDFFKFAIPWIQQERTQLDSEERAILYCQT QAITEKVATILACPFYHADSGTREEKAQTLETWRNGNPNWIVATSAFGMGIDHPRVRL VIHLGAPSSLIEFTQEVGRLGRDQQGGRSITLLPPSWSITKSSRPGHMISSDVQAMHA VLDQPNCRVAAMSSFLDGAAVACSAPDPLCDQCRFRQENPESSSTDPTTTCSPNPEEN VDCDLTIGSQMRIQQIQQESQQLQQYGDSLQALRGTCVICRILPSSSANTKKHSFINC WNPRRQDFLEAKKRAQQEGKQFQGWMQRYAGCFRCYNPQAVCSQQGQGTCLYPDLVMQ ACWAIYQIKAWTEGLLPGLGGEHVQSNEAAYMLWLGQKRTTFGVEGSNAAWVAYHVFQ QLLEPAKGSV TSTA_008940 YTMVLKPGGQDVLALGLPRLQTDTNRRKSSPSSFPHNPNICPLL GPSLQLSAYGVWILTTHFKDARNSRFARRILALPNSEQINPLQYAPWHPRETRENAQA RIGAPMGRSKEQAAANFIAFQCTIPSSDIIIFSDGSRLVDGRAGGGYIGFQAHNQFLR SSLLYGHGKEVFDAEAEAALAGAQAAIAYPTAQFATNLWICLDNLEVATRLLSPSIRS SQEAFESFYTLAAGWPLYERLPHTKSGSVQIRWVPRHTKISKNEAADSAAKEGAASMP PSPCKFSYASLKRHAKTQSLSAAQTRALKSFNLIDLTLAISLQPILDIETSQTTMNVL IMIMLISSVDRRAPHSPGPPSEVISFLLGTAKGAQKLATWLAET TSTA_008950 MSSIYQLYVFGDLNNDFDSSLRALCKSQGNPLLVSFLDRAAFAL RAEIGALPLAQRSVFPSFTTLPELLAKLQSDSVPHPALETALVCVLQFASFIKYISQA GQLYPTNANERLTGLCTGLLTAVTVSCCRSLSELIPLAVHTVIIALHTGFLVNAVRLR IELSGNASDSWSMVIPGLKEDPAIAVLEKFSSEMGIPVASRPYISASSHSGVTLSGPP QTLSHLLASDYLPTRTSLQTRIRGPYHAPHLYDETDVEDILQTVSNGSWGTLCNHITV QTSCACNAAGEANFASLMRVALREILLEPLRIDMLSNGVASEIAATGTAKCTLLPVGT LASSAFITALKNAGTSKVDVDNSMKGLSIPEISIASDSGVFGQSKLAIVGYSGRFPDA NSNNAFWELLCEGRDVARVTPKQRWNVATHVDPTLKKKNTSGTLYGCWLKDPGHFDAR FFGMSPREAPQVDPAQRLSLMTVYEAMENAGMVPDATPSTRRDRVGVFVGSISNDWGE TNSSQDIDTYYIPGSCRAFIPGRQNYYYKFSGPSYSIDTACSSSLAAMHVACNAIWRG DIDTAICGGTNVMSNPDITAGLDRGYFLSRTGNCKTFDDDADGYCRGEGVVSMVVKRL EDAIADNDPICALILNAYTNHSAEAESITRPHVGAQKAIFERVLTSSAVDPSAVSYIE MHGTGTQAGDAREMESVLSTFANKTTPGEGRETPIHLGSVKANVGHGESVSGIIALTK ILMMMEKNEIPPHCGIKTKINHKFPQNLAERKVFIADKPTPWPRPEGQSRLAMVNNFS AAGGNSCVLVEDAPRSTKKVPEDLRSTHIVAVSAKTKTALLANIKSLVSYIEKEAPFL PSLSYTTTARRVHHPHRATAIGNNLDDILAQFKTTLSASAEPVRPKATSVVFAFTGQG SQYPGMAHELLQFKSFRADIEHFDRIAQRQGFPSFLPVIMATTGEISDFSPIVVQLAA TCSQMALAKLWRSWGAEPVAVVGHSLGEYAALNVAGILSDVDTIYLVGKRAELLQTKC VQGTHGMIAVSASLEEIAAHVAGNQIEFACINAPTEIVLSGTTIAIDEAVEALSQAEI KKMTRLRVPYAFHSSQIDTIMDEFEAAATTVRFEKPQVPLMSPLLSQVIDTAGIVDAK YLARHARDTVNLCGALQAAKAMKLVSNASSFIEVGPHPVIVGLLKSNLGPVMVLPTLQ RKMDAWRVLTRSLSTLYESGYTIQWGEYHHDFQQSLGVLRLPNYNWDLENYWIQYEND WSLFKGDAAFLTGAKPALSTTCVHRIVEEKHGIHEIVVVGESNLLREDLDSFVRGHKI NGVALCTPSVYAEMALVLGDYIRKSEPKWANCLVDVQHMDVQKPLAVKTVVGEPQPLR CRVAFDTATSKAAVQFYSVTPEGKTLTKHAECSVSFPVATEALAETQKSAGDILTRMI SLRRSIADNDRVQKMAGSTGYQLVSSLASYAPEYKGVTEVVIDSNTFEAVAKIKCNKA PTTGVYKVNPYLIDNFGQPALFIMNANDQVDLDKDVFVNHGWTSLHFYKEVSLQNVYH SYVKMTGPNEEGMYSGDMTIFDLEGGEVVACYRGIKAQKVPRRLMDYIVRMRDDTRVE PPVSTNKSGPAGIEAHITEPLVIIAERNTSSVNTSSWPAALKIISEESGVPVTQLTKE KTFADLGVDSLLSLLCASRFREELSLHCESMIFEQYPTVGELQAEWETGSSLADPSAV TGRDAILNSMFYDEGVQDDVFTAKKSSEKGSGYSSQTDPTGKSSPATEIVSLDLNPST KVSSLLIQGNPTSPVTTKTLFLLPDGSGSASSYGSLPTVDKSVAVVALNCPYMKEPSS YPLGIDHVADLYITEIQRRQPHGPYVLGGWSVGGIFAYHCAQLLAAQGEVVSDLFLLD CPVPQGLDHLPRRYFEYCDEIGLLGVVHSRHGERRAPPPWLIPHFTACINSLHDYYAS PFVPASRAPKTHVIWACNSIDADIEQKFEHRDDDPKGLKFLTTARKDFGPCGWETLLP VARMSFSRVEGANHFTMMKGPGAEALSRIIKNALV TSTA_008960 MAASNPSRQLLCLTMLGYKKPGLTEEELCSFQVCQHSQLVCGLM EKYGVVRYSITHNAAKPMNLLPRVFDPKYVEFSDYDFIVQIIIPNLDVFFALKEDPVY QERVAMDHLNFADRSSPSRKTRMSLGYVHEIIRDGKVVYVDAVEPECQIYNNLLSDQG KFIAADA TSTA_008970 MAQNGLLSLENVSSSLIEKMEKTIRDTSIQLGNHLRKLGYPHPT EEPNTPIHVSPSTAPEEAHLLRHKLNQDTLTLSRLASGLTEYIAHISLHVRFGYADDT AFLAISPSLATNCQALSDSLQEALDWDAAEGITFAPDKYELLRFSRHRTDQDPNSTPS VIAGSITISENTECPYLRWLGTLFDKKLTFKWHVGETASKALTETLFEELNPIYYSRL HRAVSSIKHTTVPKPSGQAVSAPGLPRLLAGARAVPPTFRTTPVSVLHRESGFFTPEI ELDQIALLAAVRLRRLDPYHPLRKRAEQVSRNGRPISRFARRVLALPNSEQVNPLQYA PWYPWAPMGRTKEKAAADFLDFRHIIPGSDIIFLCSSLSFGPGKEVFDAEAEAALAGA QAAIALSTARFATNLWICLDNLEVATRLLSPSTGSSQGVFESFCTLAAAWPLRERLPH TKSGSIRIRWVPGHAKIPENGAADLAAKEGAASIPPSPHRSSYASLNRYAKAGALSTA QNIMAERSTTDVPRSWNLYISKAPR TSTA_008980 MFLNNRYNNGLIKYPGPFIASLTNMWRVRDAYVNGGKRPSYVTL HRVYGEVVRLGPKALSFASPAAVNDIYRPEKNMAKSGWYVAFEAHGKGRKKENIFSTR DIHWHARYRGLVSPGFKITNLAPKEKEVDELIKKLLRNLNDAARSTDKSSSLIDLPLH LQYFTFDAGGVFAFSQPYGFLDQKTDLDGIIQSVRVGSTHLNRLAQAPLGQLLFDKNP LAVYFGFIAPPMAFAKKYLPTQRIEEQLNNPNAMGQHHDLLDQFLEAHKNSPDIVTRN EVVDLGLMVVVPASEAVRTAIAALIYHVLKVPEVLTKLRREIDSILTDRDLIPSWEVV SKQLPYLDACIKETFRIHPSTGFNMERIVPAGGAVIDGHFVPEDSIVSCSTWVIHRHK PTYGDDIETFRPERWLEATSEQRAEMERLLCPFGFESRLCLGREIGLFEVYKVSATLL REYDFTLEKPNEDMRITWGNIVSVDFNVWIKPRKL TSTA_008990 MSNHEQPVVDITTKTREKFRTSCDPCAASKVRCSKEQPRCARCA QYGRKCVYGRSRRKGKPPGCVNRASLQASERPESTSVLPPVNSPGNYQWNLAGSIFTD TSYSAPALGHLMQGSWESIVHSMAEASQYDRMNPQGFLMDTHLPSDSPPLLSSAPPPR LGTSLTERTARMTGNTMAYSGSDVADDEPAESDEEGNAIEDNQGMEVEIYEMAHASCI ATACQTLSSLYQLMRSDGAAQNRSPRLSSQNSSSPSKRVPTSDLVFHTARGATATVSR LLSCACSSAHDPSLLSLLATIVSKILAWYQVLYDHDIASIGTREPTTPTDATPTTVPS SASSSSNSKPGKSVYTVPLTIGTLHLPRETETRMKAQLLLCELRPVFDACQLLIARVN ASEDTWGEKVVCNEGVEAIVNYGVFLTVLML TSTA_009000 MAELRRAVAEQRVNDALNTRNGPIITETLNLAPGSEVKVWREGD GWSGPYKVISVNGHDVTVDLGNGAVAFRATSVQQYLRDSKDESDRLIRLPLSPPQEDL NRQDGRSQVDFDQTPRTRARVRLQDHPANPNHHVETGGVHAPQTPEMPVLPRRRGRPR GSKNKPKAYAEVFISKKERDDLELAVKLRREGKIATNGVPFELSGKTEIDSLIANGTF KILHRADMDLRGIRIFNSRLVNEIKGKNEIPYEKSRLVIQGYNDAGKDKILTQAPTIQ RASQHLLVSLIPTLIEMGMVVKIRDITQAYTQAKTKLERLIIANLPIEMQDKYPPDSL LLVEGPLYGIPEAGVHWFGTYQAHHLNKLNMETSTYDPCLLISKLGDDEFGLVGMQTD DTLLIYTEKFSRGEQAALQEANFKAKPKTRLSETKPLEFNGARITLQNGIVNLQQKGQ AARIQPVGMEERAQKYVEQRARGAYLASICQPEAAYDLAVAAQLQEKDRSDSDYEALN KRLIWQAQNPERGLRYVPLNLAKARIMVFTDGSFANNRDLTSQIGFLITMVNEDFSQQ GRFVATGNILHWQSAKCKRVTRSILASEVYGLTARFDHAFTIASTTKMITSRLDLLAM PLGTTKEKRLMIDIMALRQSYEKHEIHEIRWIHGDDNPADAFTKSSPNKALWDLVDSN KLTVRVEGFVERTGSD TSTA_009010 MATPEPTSPPRATSAAALEPSDSRQNSARLNRDERIRVLTLRDA GFTYLQISQQLQISYRQVQYTCQSQQATPKKARGNPPKLSEAEVHHIIEWITSSKRTR RMPYYKVIQELNLSIGKHALARALKKRGYTRCKALNKPPLSDKHKQVRLAWALEHLNW TTEQWNRILWSDETWVTSGFHTRIWVTRKAGEELEETCIRSSPARKRGWMFWATFHGN NKGPCLFWEKEWGTINSERYCQRVIPIIDGYIRLLRDDIWLQFMQDGAPGHASKETLE ELHSRGIYPIYWPAFSPDLNPIEAVWNWMKDWIQEQYPDDEQLSYDRLREIVRAAWDA LPEQFLKSSLTLCTLDAKLRALNSIGQGESVHSEGAVTITTPIGDVDFHIMNTPTPFL LCLDDMDKHGAYLDNIANCMVKGDVRVPIIRKRGHPWFFLDKKQAPVTFLTEIEIRRL HCRFGHPVVDHLHKLLKRAGHDNAPRRFKFTLNDDREFNYEIVVDVMYLDSKPVLHVV DWATSFQAARFLKSLSAKDTWEALRAVWIDTYLGPPNVISHDAGTNFAALEFKTEAKM IGIQCHQVPVEAHNAIGKVKRYHASLRRAYNIISAELGASVDKDVILQMAIKAVNDTV GPDGIVPTVLVFGAYPCITTDSPPSALTARRAEAMRKAMAELRRAVAEQRVNDALNTR NGPIITETLNLAPGSEVKVWREGDGWSGPYKVISVNGHDVTVDLGNGAVAFRATSVQQ YLRDSKDESDRLIRLPLSPPQEDLNRQDGFHTRIWVTRKAGEELEETCIRSSPARKRG WMFWATFHGNNKGPCLFWEKEWGTINSERYCQRVIPIIDGYIRLLRDDIWLQFMQDGA PGHASKETLEELHSRGIYPIYWPAFSPDLNPIEAVWNWMKDWIQEQYPDDEQLSYDRL REIVRAAWDALPEQFLKELIDSMHARCQAVIDARGGHTKY TSTA_009020 MADKTALSNTEHIAGENALKHYVLTGGWRNAHFIKEPDLPKSSI IDYYCDSLERIANFAKKWGTSFHRSHMTPSLSQHHCVLHDMDARHLDFNIDPEVGKDG CKPLDQEVE TSTA_009030 MARKGPGTDGPLQTALLESTSAATTRASEGQKIFSPIAAFLDKH RSQTTGLAPHLLRALTALSDDLASVAQRHFSAYISGLEQSTYATITQYAPVKSTPTTH SKAHVKKPMPLVKQPLPDNRLFVRLPADHAARKMEAYAIYSSLRSQLNSNSSALKEVQ ATKTGFALCPSSPEALLTLEAQKEIISAFFVNCQIERSSRWVSYRVTNVPRKVGQILD GQYSLIPVNPTLLSSEISETTRLKPISISETATSAANPDTLSSSWFVNFPEGTKLPLP IQLRLFGTITNARHLSKRTTVIQCTRCWKWHNARSCARPSRCRLCGSSEHTEQGHVNR CTALEPHQCPPRCIHCHGPHPADFPECLLRPKGNSKHTKAQQAEIRKSCAINLAKART EGGCSTQLSIGTQETPMALDEMPTQPPTHEIISPFRSVTPPPRAPTEDPPITARAVRF TTPQSQNRFASLLEREL TSTA_009040 MTPKLYKEEEELIAKALNQEKALSLWIEYLDNIGAPPTNQQIEE SANYLLGKDISGPGEAPRAGKNWVHDFIKRLPKQYERTVAEHYGEVERWFIDLELAIQ QYKICPQNLWNFDETGFIIGQGKDEAVVTAYPKTSKRVSSLSSRESITVIEGINAEGK IIPPLLIPKGKVHLEEWYRHIKDDDWLVAPASNGFIIDEIVFEWLQHFDHFSRPRAFP DWRLLLMDNHTTHLTIQFVQYCEIWHIRPFRFPPHSTHFLQPLDGVPFQQYKHVHGRV VNKIARLGGFDFDKNDFFEELRDIRIKTFTTRTIRHGWRERGIWPLNPRLILDMMLQP EEAFEALVAEGDALKIYGEADDTIPSSPTTKLISPPSTAVKLRRYVNKIEKSIDGIKD ILDEVSPGLSRRIKVVNQGSLTLAELGDLHRESFAKVRDIATRKNQKTTKRQVKASGA LYVKDANRLIKRRHDGDLLKIYKSHVVGVPQPMEEVASTEPQNSGFFFNTQGDR TSTA_009050 MGSTIKSSARVSARLKELVQKSEHLNMDVADERRQLSHLVQSLG YELETPMESIYRLIVIQPLLYMCVRVAINLNLFEIMAEDESVPKNVSQLALRVGADET LLGRLLKNMAASDLISETASDTYELTTLSASLLKISHRDAFPFCHDVLLPSFSATPRF LAETRYANPTNITDTPFQFGHHTKRTFFEYLGTYPEQAQQFNNFMGLYATDRPRWLDE GHFPVREILGEGASEEKDAVLMVDVGGGKGHDLILFQQRYGDLPGRLILQDLPSVVKQ AGQLSAGLESMGHDFFTENPIKGARTYYFHSVLHGWPDEKCLDILRQIAAAMKRGYSK LLINEIVIPDTNAHRLATSMDLLMMTVVAAEERTEQKWKYLLPLVGLKIVKIWKFEIG TESLIEAELAGD TSTA_009060 MESLETDSEKNPVYDTTIGARDDQHSGDPERTISGWRWIAVVLA ILSTNIQAEIIRDFDAVAKLTWVSVGLVMSASATVLLWGKIFFQFNTKWTYIISVAIF EVGSAVCGSSPNMNALIVGRVLCGIGGSGLYIGVITLLAATTTLHERPIYVASTGMTW GLGMVLGPIVGGGFSESSVGWRWAFYINLLIAAVCAPVYLFLIPNIDPRKGAGFMDRI REIDLVGSILNLGAFLSGIMAISFGGLTWAWNSGKIIGLFVCSGVLFTLFGIQQAYVI FTTAERRILPIEFFKSRTMLVLFASTSAAGTACFVPIYMIPLFFQFTRGEDAFGSAVR LLPFIILCIFAIIVNGGVMSAFGLYMPWYTIGGALVLIGGALLYTVNVDTNVAHIYGY SIITGLGTGMYLQASFSVAQASVEPLQVASASSFITCAQVVGTTIALAIANSVFLNKA QENIIHVLPDISLQEVQSVISGTSSLVSSLPGDKQTAVESGIVSAMGHTYILVITAGS LTVLLSLLMKRERLFMQAGAAA TSTA_009070 MQELLQEEKKKLQEEIELASQDRANLQKTLSDLAQKTISCSLLF HPENRHYSAGVKTSNYPDSDLANQHYGEKTSTMLPLLQKQGQSHSTVRTTSTKALLFQ PFPKSRFNRGVPDPKIRIFDTGRKKAIVDDFPCCIHLVSNENEQLSSEALEAARICAN KYLVKTAGKESFHLRIRVHPHHTIRINKMLSVAGADRLQTGMRGAWGKPVGKVARVKV GKILVSVRTTDRHRPVAIEALRRSMYKFPGRQKVIVSKNWGFTNLARDKYVQIRDAGL VRNDGAYIQFCQRKSLPT TSTA_009080 MNKGQFIRTYKPIDPTPASTIYEEMILQFLLHASVHRIVHAVGK ELAECLTGVKDGLQIIFGNKENKNTLDDLYENWPLVCSSTIALSEFIKKAIVSAADKN KPGLFYILKIIASTGDTTKYMRLGLVKIMQNMFWLDIAVGLFEGWWLFEDGCDHAVTL EWLCKEHLLCAGFKAIDWTDGLELEMRTIWVIAGFPTNLSA TSTA_009090 QAVIACVLHKAYYGAETWWLGRTCPGPRQTSNQVGEHLEKLTKA ILVGARAVLPPSLHLSAYGALILITHFEDAQNRLLEIADKPADLPAINPLQYAPWHPR ESRAPMGRTKEQAAADFTFLCSSLSYGHGKEVFDAEVEAALAGAQAAIICLNNLEVAT RLLSPSTGSSQEVFESFYTLAATWPLRERLPHTK TSTA_009100 MAWISIHYKVLARQQFGALPLRSAIDLTTCLTHDVEQALNQGMT ASLLTLDVKGAFDAVLPGRLIRRLREQGWPTNLVLWIASFATGRSVQIQLNGEIGPPT DIICGLPQGSPVSGILFMLYIASLFRLGNPRNRFSYADDAADLAISPSLATNCQALLN SLQEALNWGAAEGITFTSDKYKLLHFSQHKADQDPTCTPSHINETASKALTMANALRS LGNTI TSTA_009110 PTPFAEPFINWLDLQGLVLISDIDCPTHERGNVLDLSFASSPLA LAGAKSSIASHLDATSDHQPLITTVPWDQRYKETAQKLRFNILDHTCFLSLLASNLAS IECSTMTEEDLDALAEKLTSAIQGAYRGSAKRTLTQDCKKALHNYRLGLYSKTDFRRI TRWSQRQFWRDKLSTVIQMKDVFDMSTFQSPPLKDPLRSNSFPAVTIHEKRDILVQNL LQNSAEVGDIPLDSPTVPSTSLYFPDISMLQVEESVLQAGNTAPGADKIPTCILKVAW PLIKDK TSTA_009120 MARKGPGTDGPLQTALLESTSTATTRASEGQKIFSLIAAFLDKH RSQTTGLAPHLLRALTTLSDDLATVAQQHFNAYISGISTTSILPALAALKEVQATKTG FALCPLSPEALLALEA TSTA_009130 MPPIRNKNRKNLDEQEGRILLAISDLQNGRIQRVAQAARIYEIP RTTLQDRLNGIQQRSLVRANSHKLTQYEEESLVKWVLDLDRRGLPPRHSLVREMANYI LSQHGKPQVGKNWITKLIKRRPEIDSKFARKYNYERAKCKDPKIIQEHFDRVQAAISE YGILPEDIFNFDETSFAMGLCATAKVITGSDRYAQPKLLQPGNREWVTAIEATNSTGW AVPSYVIFKAKKNVREGWFDDLPDDWRINISDNGWTTDQIGLEWLKTHFIPYINGRTV GKYRMLILDGHGSHLTPEFDHIFCMPPHSSHLLQPLDVGCFAVLKRHYGQLVEQRMRL GFNHIDKMDFLTAFPQARTVAYKAQTIRNSFTATGLVPFNPDRVIQQLNIRLKTPTPP PSRSSNTASSCLQTPQNIRQFIRQSTTINKHINERTESNQNQEINQAVVRLSKAYEMI ANDVLLVQKENYDLRAAHKKEKQKRQKSKKQISIKQGVTKEEVQALVQGQVEASHAVT TTPAEPELPASQAVVRRQYRCSGCNVMGHRINQCPSRISS TSTA_009140 MGHGDLKPEVYPSAAYIPVGIGGKRASHEPRPLNIHTHTHTHTL HPPWTIQESREAALTRVGAPEGRTKEQAADNFQIFLQSIPENDIIIYSDGSKLDSGQT GGGFVGFQANSQILRHSFPLGPNKEVFDAEAEAARAGLEAAAAHPTAQRASNLWICLD NLEVAIHLLSSTTGSSQAVFEEFRTLADTWPTRRRLPQTERGSIRIRWVPGHADIPGN EAADQAAKEGANKTPSSSLPWSYAALKRHTKSHDFLKAQTHWQSAAPQAYQDLEITTF PRRPEELKLPHPLLGRILAARSKHGDFADYHERFNHQDAHLSCRCGTRKSPIHFIFCQ IAKRKAPRFPGNLSEVIPFLLGTPKGAIQLAAWFSETQFFEDICPRRPPS TSTA_009150 MKDELRILTPIGMLGYGFSEELFWSALEDGVDAVILDSGSTDSG PSKLALGTTTVSRKSYERDLSVLVAACHFYKVPLLIGSCVDSQAGSAGGDGANSHVDL LVEIIEACIAREGYRTMEIVRIYSEVGKDIVTKNWKDGLVTPCTPAVPELQISDINDA TRIVAQMGVEPFLKAMNENPDFDIIIGGRAYDPAPYAAFCVWKGFTDLGIAYHMGKVM ECGALCAEPKSKEALAIVRSDSFDIRPLDLGARCTKVSVAAHSLYEKNRPDILVGPGG VLDLTPTAFEELPDQRTVRVRGSVFIPGPRYTVKLEAARLKGYRSAFIGGFRDPFLVP QIDDFIQRGKERLKEMITFPFKFEAHIYGRGAVMKSLEPDTDMTPKEVCLVGEAWAET QEQAAFVTSIARVWCMHGAYPNQIATSGNFAMPFSPFDIPLGPYSEFCMYHIMPVSDP TSLFAITAHSVLGLDTAKARSRPTPSSITGKNSTNEAKSATSQKPTTTNALGQPPWSK FTYLGSLASVLRSKNAGPYETTFDVMFPDQKTYDRVKESNVLTSETISSLYNIPPEEV LVSMWWEPALAFKATIKRPTVSASFGETDTHGSAQHAPLMYLQIPKP TSTA_009160 MDPPQEKPDDTSVGDIEAANTREEISMFRIYGNDMHEMTVLTAE EIKDERRFVLKLDMIVLPLIAVMYFLASLDRGDISNAAIAGMNTELHINPRQLSNCIA FFYIGYIVFQIPGDIFLRAIGPNVQLGGAMIGWGLGTTMLCEAKTWKVIAGLRLMIGA IEAFLQVGPLFLTLWYRREELATRGAIFFSMMAVAGSMNGLIAYGVEHNLNEEHGWGA WRWIFLIEGIMSIGMGFVVLILLPSVPEKATWMFSVKEQQIALRRSREAFNVAHSKLQ PKQLIAVIKDPKVWFYAFLYSCMNISLASFSSFLPVILNLLGYSTLRTQLLTIPIYVV TGVFTVAICTLSDRLRNRGIFLIISFLLAAIGWLLLLVSESTDLSFGSTFLVGMGTYP AVVLIQSWMNSNIIGFTRRAGSLGFIMVFGQSFALMGTEIFDDAPHYYKGKGLSLGAM VVAAAFTLLFVFYLRRLNDRKRLEQDSEEAQAKRALSLEEVCDDHPDFIFWY TSTA_009170 MDWSRLSQIHNTLSKFNKLTLLAVLIYYELHDLLDEASERKERF QDLDENISLAVKEGMKKYKKYYTFMDASDTYYTALILDPRVKGDLLLDELEDKATGRE ILQALRGNLHCDYSVTMESSSTTGQSLLEYNTEHNDVESRLLKRLQLRNQPLLSNIDR YLNSPRVNINDIWDANWLCNWWRINKGKYPRMAAAARDYLVIPASEVPVERLFNAGRD ILGI TSTA_009180 MPPIRNKNRKNLDEQEGRILLAISDLQNGRIQRVAQAARIYEIP RTTLQDRLNGIQQRSLVRANSHKLTQYEEESLVKWVLDLDRRGLPPRHSLVREMANYI LSQHGKPQVGKNWITKLIKRRPEIDSKFARKYNYERAKCKDPKIIQEHFDRVQAAISE YGILPEDIFNFDETSFAMGLCATAKVITGSDRYAQPKLLQPGNREWVTAIEATNSTGW AVPSYVIFKAKKNVREGWFDDLPDDWRINISDNGWTTDQIGLEWLKTHFIPYINGRTV GKYRMLILDGHGSHLTPEFDHICTENNIIPVCMPPHSSHLLQPLDVGCFAVLKRHYGQ LVEQRMRLGFNHIDKMDFLTAFPQARTVAYKAQTIRNSFTATGLVPFNPDRVIQQLNI RLKTPTPPPSRSSNTASSCLQTPQNIRQFIRQSTTINKHINERTESNQNQEINQAVVR LSKAYEMIANDVLLVQKENYDLRAAHKKEKQKRQKSKKQISIKQGVTKEEVQALVQGQ VEASHAVTTTPAEPELPASQAVVRRQYRCSELTNALVVLVWCRFGGELRYSYPPWEEQ QISYPPPIFGRIISAEADIWGPHHSVHNDPIGTNGSPCNPIDPESPPGISGKLKTPQT TSTAIKKRKVVACVHCRQMKLRCDSGDRFPAPCSRCQRAGRDCSIDPSFRRATKRDRL EDLEREIHNIRKNLSTSVDGLRIPLDTSLVADNMDALRDVQSSRTEPGSASAVIPDVQ TLEQIAVSRDLFMRILDEYTYLTCFVVGTVLTPSRYFQYYHSRFQFLPSKERVLQDCG TCSLLFWAMVAVSSRSIQECKNFRQSLNWPLRRLATDSAIREIPSLPLVQAYILLCVW PMPFGAVIDDPSWTYCGIATHKAHHLGFHRPKHSNEFVAKAGLDHELLASMRNTWLAC FIVNQNISSRLGVPPTIRPDYTIMQALNSDDYCNRTFQQLLNLAYQEATFSNLLGQDV STADGLTSDPLPVIQAADLELEQFEIRHKATWSDDVERHFLGVRLRLYSYAFLRSERP VDAKREERTINVSPKTFSSRISVASYLSKSYTAALRLIAISCQDKATDYRPYDGLHML EPSTSNIWHIWTSSDVANIHLAASVLLQLIRRSDLWNDDDTEAKNAISRTWYIFSSLS VCKGDHFDRICDIIDYISKLMWPSWPDSISVRSRMGANIQVDLVQRARRRYVDGVSTY SAQLPEMHTETCMNNNHDNLGGMIQFSGMSDGICTAFDDGLWPIWDSSLSLNRLS TSTA_009190 MAARLSTCLLAALASNHSVVVTPSSPNYNSSLTIYNLNIPILLA AVTYPSTTEEVAGVVKCAAEGNYKVQAKGGGHSYGNYGWGGESGEVVVNLANLNGYSY DNSTGYATVGAGSRLGSVTTSLYNLGKCAVAHGSYPDVGIGGHATIGGLDMVAIWANE AAAAERPAYFYAKSLDVMPNALLLNETIDSMFEHLTATPDDVLTYQLEGQLVSGAMAA VASNAMAFPHCDVLYWIFAYAVTNGTVSKTTIDFLDDFNDVIYSAFPNENFLCICRLR GPSTFEWTRTLLGRQPSAFGINQNDL TSTA_009200 MRVWLKMRVPLLLRATILTSILKVRAQNITTPDPRDCVDLAEFT SCLKSANTAVVQCTSRPNSSVDACEVQELVVVAGTRCIPTRTNISRLNIFSQLIKITF KVHHSMLHLPTHLIAALAT TSTA_009210 MPSEKTLTETVPVTDWDSDSRNPHNWPTWKRAYHSVLPAVFGLV VTVGSSIYTSAVDDVKKAFHISDVAATVPFSLFLLGLGFGPMLAAPLSENFGRTSSYV ISLPIYALFTLGAGFSHTFGALVACRFLAGIFASPPLVVGAGTIADIWKPEHRALTTT LFALCPFLGPALGPVMGGFAVAAKGWRWTQWIILFWAVFAYLLVLGMHETYKKIIVAR ASKKAGGHFTPPGPSGREAIILLFQVTLIRPIKMLYSEPIVIAWSLYIGFTFAVLYSF FAAFPYVYATVYGFDIQQIGLTFIPVAIGTVLGSITFIWIDQTIYQKRNAQWTAQGGT GRLPPEQRLYASMIGGCGLPIGLFWFAWTARPDIHWISPMIATVVIGWGNMCITMSGL LYLLDVYDALTGASAVAAASLLRYVLAAAFPLFVNPMYRNLGVGWATSVFAFISVALT PIPWVFYRWGLRLRSSSKVMAS TSTA_009210 MPSEKTLTETVPVTDWDSDSRNPHNWPTWKRAYHSVLPAVFGLV VTVGSSIYTSAVDDVKKAFHISDVAATVPFSLFLLGLGFGPMLAAPLSENFGRTSSYV ISLPIYALFTLGAGFSHTFGALVACRFLAGIFASPPLVVGAGTIADIWKPEHRALTTT LFALCPFLGPALGPVMGGFAVAAKGWRWTQWIILFWAVFAYLLVLGMHETYKKIIVAR ASKKAGGHFTPPGPSGREAIILLFQVTLIRPIKMLYSEPIVIAWSLYIGFTFAVLYSF FAAFPYVYATVYGFDIQQIGLTFIPVAIGTVLGSITFIWIDQTIYQKRNAQWTAQGGT GRLPPEQRLYASMIGGCGLPIGLFWFAWTARPDIHWISPMIATVVIGWGNMCITVRFS SILPCIKSSQKEKEKEKGVELTRQ TSTA_009220 MAWHEPNLKSVSNTIMPWSRVDDNRYERALGENETFIKILADAA RPLNREHWAINIITTVTPMGSIAQEDLTSLFREAWKGLRFKHPTIAAYVMDETKYVYD VPDKTALTEWATETFRVVDNKTVDEVIASTTLNPYATMTYFPQTCQLLGHAQHWRTDG VGGFILMDDFLDLASRSVPVDASSLPWGEETSRLAPSIEEAAGLLSADPSEEDKKLAQ QAVAGFGHTVGAIGIASDAAAETVPGGTRISCLQLSEQETNAVVKACKDKQISVTAAV HASVAGANYALAAPEDKNKHYTSTIRFSFRPFLPKPYSGREYASAIFTSGWMFPVTAE SSWAERAKAYHDEYRKGLTPEFISAHREYAIGLCNLLQSMPAGLPSPTDVDISSLGVV ERMLAREKGTPERGIHIDQVSGGLEMANRQCVCHVWTFRNELCLNLVYNEAFYEKATM DTFVGSVKDLLLQGLSVKLIQPVE TSTA_009230 MMAANSVDLNANSKGGLIAPFYAGEAALVFWWIRLGLGRHESTV PLEDLAQASKIVFASGYLFNTGLALPKFSVILFYHRIFGKTTKWFYVLMWVAGALNMA WLITTYFCATFQCSPVRAAWTTVPGSTCIPIGRIYIGTSIVSTIVDLIIITMPMPLLL GLHASKSRRTLVLIIFICGYCVIVTSLGRLITVAKVGAGLTQDITWSDVTYIKWVQCE GALSITSASLPNILGFARQIYWHGFRVSIARKVRYSRQGSGTGHSKTRQNFHEMNAIA PENGIIRTTEWNTTTTQTVPSTRSTPADQFGSEEELGILTGSQPTRFYFIGRGDEHTK AYHR TSTA_009230 MMAANSVDLNANSKGGLIAVSVVMLAVAIIAVLLRTCSILLDKS RKLGLDDLCVTLSLPFYAGEAALVFWWIRLGLGRHESTVPLEDLAQASKIVFASGYLF NTGLALPKFSVILFYHRIFGKTTKWFYVLMWVAGALNMAWLITTYFCATFQCSPVRAA WTTVPGSTCIPIGRIYIGTSIVSTIVDLIIITMPMPLLLGLHASKSRRTLVLIIFICG YCVIVTSLGRLITVAKVGAGLTQDITWSDVTYIKWVQCEGALSITSASLPNILGFARQ IYWHGFRVSIARKVRYSRQGSGTGHSKTRQNFHEMNAIAPENGIIRTTEWNTTTTQTV PSTRSTPADQFGSEEELGILTGSQPTRFYFIGRGDEHTKAYHR TSTA_009230 MMAANSVDLNANSKGGLIAVSVVMLAVAIIAVLLRTCSILLDKS RKLGLDDLCVTLSLPFYAGEAALVFWWIRLGLGRHESTVPLEDLAQASKIVFASGYLF NTGLALPKFSVILFYHRIFGKTTKWFYVLMWVAGALNMAWLITTYFCATFQCSPVRAA WTTVPGSTCIPIGRIYIGTSIVSTIVDLIIITMPMPLLLGLHASKSRRTLVLIIFICG YCVIVTSLGRLITVAKVGAGLTQDITWSDVTYIKWVQCEGALSITSASLPNILGFARQ IYWHGFRVSIARKVRYSRQGSGTGHSKTRQNFHEMNAIAPENGIIRTTEWNTTTTQTV PSTRSTPADQFGSEEELGILTGSQPTRFYFIGRGDEHTKAYHR TSTA_009240 MLSTIEANSSQVQDVGLQRHPIAIVGIGCRLPGEASTSSRLWEL LFHGKSGHGPVPSSRFNADGFLHPDPDRPGSIHTKGGYFIKEDTRGFENEFFGINHLE AGSMDPQQRKLLEVVYESFENGGIRLEDVNGSNTGVFVGNFTNDFMVAQYKDPEYFSR YSAIGAEPTILSNRITHCFDLRGPSFVLDTACSSSLYALHLACISLDTHDCNAAVVAA ANLIQSVEQQMIAVKAGILSPDGISHTFDEAANGYGRAEGVSALYLKRLDDAIANGDP VRAVIRGTAVNGNGRTPGIMQPSVKGQEAVMRAAHRRAGLRPDETGYVELHGTGTKVG DPIEVEAVARVFQRRDDVPILVGGIKPTLGHSEGASGISSVIKVVLALERNLIPATIG VENVNPSIKLSEWNVDIVRTNREWPDAAVRRAGVNSFGFGGANAHAIIEADPSRALQD GLERVVVNENGKGDSLCQNGDFIIQMDGDAVADKQLDFPGIYLFSTNTHDSLMKQFAG IVDYISDKGVDLQVNDLAYTLNCRRSQLESRGFLVAQTSSLRNEPNSLLPTIQPDRLP EKRNLTFVYTGQGAQWSGLGLELIGQFPSFRQSIRTFDRFLQTLDESVRPSWTIEQTI LEKDTDDIHRADRSQAVCTAVQMAVTDLLYSWNIKPKTVIGHSSGEITAAYAAGNICY NRAILSSYLRGFSVSLCQERGGMLATSLSRDEAKNIITDMGLSGTISVACVNSPKSST LSGDIEAIDKLLAALQDRGIFARKLKTDDKAYHSHHMQAVGLLYEELLHRFWPNSKTE GKQVWNYPARSHSGISMISSVTKALVTDMDVCAPSYWRSNLESTVEFEEAVRLVLQGG STHFVEIGPHSTLEFPIKETANQTSNLQQPVSYMYHSALHRGKDTSVSILNLIGSLFL NGHDEILFKSILESGSDFNHEPVKVLTDLPAYPWDYSSTCAWNEPRIVAEFRNRKDAR HDLLGSQIPGGSKTNKTWRNILNINEISWLKDHRLGPSIVFPAAAYIAMATEAVCQVN NIPLAECPGVTLKNISFLKAMDFHIEERPRIEIFTEMEPLRISNTTTSNKWWRFSVNS ISRDASQSILHAICVASLSGDDTKVKSTRRQINIIQSSMEKQVTQAWYDKFTQEGLNW GPQFAVMEDIFCDRAKRSYIAAATTHLVREDSSPGYQNSHPKYIAHPINIDAMLQTAF VATTRGWTRNLRAKVPVSIETVEVSSPVSLDMDATKPWSMESMSESVGFGTVNINAEL INQHDDVLVRMLNVRAIRFQGNARIDTNTETKMPLVRVAWKPDITALPAGPNSSFSRY LDWFEKTYSKTGIEICDLRLAGALDLITFKFPSLRILAIDCQPRTIKLFRDSLRAEHH LRRFETFNVVQIAEDGGMSIIDGNGNILSSSATKSNGEGQESQLESKFDIIITSSTKK VATVDLLSPHGFLICTQGLEQDMRQNATLEIIEEPSRNSSSVLIGRRKHTSSISAAKS PDSPTVFKVRHGQACSSLNNTLQTMLSLIFGLQVPILNLDEVSGATIQHRAIVVSTIE ATAPLLSEISEDDMKRVQVLTDSASKILWITNGGLLLGNQPDYTLIRGIAGPLMLEQP ALKLQIFDVDDPFTNFDATVKNIRHFMEASFSNSAPEPEVAQKDGIIHALRWEPEDVL NAQFNLKQREGMTEKALGDIGRCEVSIKEPGQMETLHFAAKEFEGLLPADHVEIHVQS IGLNAKDLYALGARVDTKGATCSCECSGIVTAIGERVTNIQVGDRVVAMAPGYFATYE RFPDWAICKLEDEEDLIIASTIPIVFVTVIYSLRYCAHLQRGESVLIHSATGGVGLAA IQLAKSIGAEIFATVGNKAKKEYLVETFDIDPGHIFSSRDSSFLDGIQAMTQGRGVDV VLNSLTGDLLQDSFRACAEFGRFIEIGKKDILEHGRLDMATFGRNVSFTAVDLSSLYF SDQPAHHRRWHKLLQESMDLIRSKAALPCMPLKIFDVTNIEEAFRYFMRGTRMGKVAV SFQNPKSKLRLLPERYESKFNSSKSYLMIGCLGGLGRSLAKWMMSRGARRFVFLGRTS TDKPSARALVETLRDNGAEVKVVRGDVGIYEDVERCIQAAKTPIGGVMQGAMALHETF WGDMTPDRWHTVIRPKVQGTWHLHNALRKDGRDSQLDWFVMTSSTAGTIGAATESNYC AANAFLDAFARYRNNLGLPAIAIGYGRIAEVGYLHEHPEIEAIMDRRGIQATTEDEMI QIMDLAMAHQHPSKWQPGYDRLVNAHLLTGIDFSGLQLQRNHGYEGDIHFLSDPRASL FAAAFKRSNLSADTANRGIPSALTQGLPAEVAKVLAEGDGVTSLLDAVQGMVGKKMAN LILLPQNKLRLDQRLGDFGIDSMLAAEFRTYIFHALEVDVPFMMLLDKSTTVHSLSAF VLERLKSRQKLPSE TSTA_009250 MARKGPGTDGPLQTALLESMSAATTRASEGQKIFSPIAAFLNKH RSQTTSLAPHLLRALTTLSDDLALVAQQHFNAYISGILTTSILPTLSSSSSSSLTLNP LPSSPSSSRPPSGLEQSTYATITQYAPVKLTPTTHPKASVKKPMPLVKQPLPNNWLFT GFALCPSSPEALLAFEAQKEIISAFFINCQIERSSR TSTA_009260 MVNEADILKAISDLESQKTPQYAKTARKYNLEHSTLRRRYKGQT VSNQKATSIHRKLLTDAQEEVLLHHISKLSSRGLPPTPQILRNLVVELVQHDVRECWI HRFCHLTFEQSEAITRLHGHPLFIQQRYEGYCTRTGLLMNIKKEAYTHLLLSPELATN EQLRYIFEEPEINLLTRRIFSTIGVALSVRNIHRLIGYKLY TSTA_009270 MLFKRGDLVKLSSKNLWLKNKKFLEKYHTREDGKFMPLPNLEDD EEWEVEEIKDKMMINNQPHYLVKWTGWPAKYNQWVPKIDMGNAQETIR TSTA_009280 MSSWVITGVSRGLGFEFVRQLSENSSNTVFGLVRDKAAVEAKVA AEIGRKNIYIIQADTTDPMELEKAAHYVSEKTNGAVDYVIANAALQSKTALVGFDTLS RDPKALEQDVIDHLRVNTIGAVHLFNTFMPLILKGRAKKVIAISTGMSDPEMTLKADI YQATSYAMSKAALNMAIAKFSALYREKGVLCMAICPGAVDTGSLNIETEEEGQLAMAM FGKFKQYSPTFQGPRKPEDSARSVLALVNKATVDSGYAGIFLSHTESKPYL TSTA_009290 MASTSPVILILGSGPNVGHHVAQAFVAKGYKIALASRSVKEEDK NADLVRISADLSDPHCVKGIFSKVEASLGLPSVVVYNASAGAPNNPEEPLSIPLADFS RDLHVNTTSAFVAAQHAALSFERLPDHRSKTFIYTGNILNETTIASLLDGGVGKSATA HIIRSAAAAYSNKGFKFYYADERKADGTPVYSKISGEAHGKFYVELAEHKSQGPWQQT FVEDVGYKHFPTA TSTA_009300 MAQSPLPSANPEEHQYWTDPILCEETRTRLEYYRSIGWLPPNHK PKTLEGIAVVERYWRKYCLQLEKDYVDYLLSEDQAIYMNFFDWMHKTSRKKLLQSYDE YWRRLKQYFSLFARRRMDQGVQEQMRRFINGRFPAEHSISRRMKEKSTLSVDVFCILY RHHWIHSKFFRHGSMLIQFATIQLWSSITGTRPGVLLPQKAYLPNNTSLGKRKQGLDF QSDIPQYVSAKDLPDSVCYRDIDLFYLKDSNGNRDVLCAIIEFRNLKGRPEGADGTRF FMHCDYQLAYCPILQIITYAFRDKAFANTMLTPEIIWRLQVPDNAASLPLQWKPEVLD TPLLRHVQHTEYGLKLDKSRPMAYATSREAMRELGRDAKFKDDVGHYNYRRWTANEAN RHFTSQERQRVLGQSGDGVFERHYQSQFVQRDLQNVVLLRPPQEHLLQAAGSMLRKRD LSAPSSELTEEQRRTICQDPRIVELKRAKREVMEEMRSLAGTKKNARQTFPHLYQRHE SLCKELSQLRKTLAKDTKETARKDHFYNAPILEVNRQIKRLLGQADPEDSDDFDEKDW EPPTPRYIFPERERLVEIFYGPDAENYEEDNLLARRIQATKDMVALSTLCEPSRRGNR VNWNFDDDESAEHEKPCCLEEKTPDCPTDVCIICYGLSRRSTSNPPPHRFPPKRLDSL RRHLIDCHLAKAYDGISCTWKMCNDVPKFTKITDFLVHAIEVHTYDINIQQKHLPPWQ LVSGREDSSFDDSDPSSGSDRHSGIETPASSISSDMANIDPRLIESGPIHSTKCSIRR SKRLKASM TSTA_009310 MVALFAGGHLSIYGDILSISRHTCLQIHRSTAWMVTGLLVLHVV LISTIQKSLSLNRTSNLSALIGAGCVVGVSLLTLPYVRRHIFELFLRAHQVLAYILLY ATWRHVPPGDRLLRICTITTVALLSIAFLLQLGLLLYRNRVFSLQGWPRARVSCNRPK IDGKPDSDVIIQVRVALTRPVRIEAGQYINLWMPSLTWWSWAQVHPFMVTSWSHSAQE TLDILIQPRRGFSRELLKHARAASQGSASLRALIIGPHGLSENVDRYESVVLVASGFG VAAVIPYLKKLVYSYNTSTSRTRRVHLVWEIETLDIAIAVQATLNSLLEDDILKKRYI LTISIYVKSDQIIGDVMKFGNHDRAVVYNRSANYDQILHAEMSGELIERLPNAQEEKG ESLVMGMCHLREKLPCTNELLVAASSLVRDQIRLILRDYVHQKAKMAVLEYRP TSTA_009320 MTVDTLNRDAGHLANPILLRKIDELREKNVGQHVPLPQLVVVGD QSSGKSSLLQSLTNIPFPRNLELCTRYATQITSRRDVVSRVDISIIPGPRASDEHRKR LEEYDPGLLSPEKFRDHFQSILKEVNNRMGIRTDPASSDGRVFSEDVLKVEICGPDED YLTLIDVPGIFRNPTEGVTTKLDIILVQNMVKKYIRDNRTIILAVLPSNVDPATQEIL TLAEDYDKAGERTLGVLTKPDLVTEVSAQAAVCNVVLGKKKPLALGYYVVRNRGADDD DKFDQSAVEQLFREKPWSDLPKDRLGVQALKARLGELLAKSPVGSSRDCRRCPKQLTD CRSQLDRLGPARQTEQEQRLFLSALGRQFQELVQAALNAHYYSHSAFENTSELRLITD VVNLTEQFNSDFEDNAHLRHFETQCKTSGDRNENESEEAKSDSWPETSTNQSPVDDLG FEDPILENIVTRDFEVDYPRDDIMDWLGELYLQSRGVELGTFGGAILSSAFREQSSKW EKLTQVYVSKVILAIHRFMITAVKMLCTDIRVQQEIWSSILEEVLDRYRAATNQARFL VSTEREKRPYTLNHYFNEELQISRGNRIAALLEGKARREVQPNAFGKGVVTTDNLIVD LESVRHATTSKSNAEHVKEELHDILQSYYKVARKRFVDNVYHQAIDHCLLTGPASPLA VFSQEWAIRLEAEQLENIAGESPITKERRSTLEKKINDLEVAMRILKP TSTA_009330 MPEDKVAVIGSQDAQMQTDLGASIKSYILSAQFADLTIRTVDQE FKFHRLVVCGQSEYFSRLYKGEWTETNGNEVSLHDDDPCAIQAMIHFMYGFDYDSSGS EHSRAPPMLFNVKLYQIADKYVVPHRKQKAKEKFETIVQTCWRVSIPRATDLSAQQTQ GGGHNWVHLWLAQA TSTA_009340 MEPFLFTDTELQVGTERSRKYLGTAKIDLDHISFHPDSSRTIDP ENIDRLREVFRSEGCRRYEIQNHITGVVSRESLQEALRAAHKAQDELLTTTPQSIPHL QFSAGQVFCLHGQHRVRAGAEVLLGEDRWWTVDLYLDDISTELRTALIEEYANERRPN DGEIYRKIRQYQQEHNAHFQRRWWVRLSSSKARRLQQLHKNIDIQCAFDALLPISGVW DGMSIGKLSKVMALDSDKEVLNYLSHIKKFWVELVSVDPTHPNLAAIRKIDSHTVKKL ESMAPGGSRVDARTVRGWVISGEVLGEFSETERSNMWERMQQFDGLIPSLHTFFRDMD YLEACADAVKRLFPLSKTHPTLWSAMSHSYARPAASGDDCLIQTTESQLSRRPSDNVN HLELAYRQVWLYAMRHYPSMSKDPESNDLLTRPANEKADETVVYEMAVLAQKLGFTSA GIEEIINQSPDRQIAVDCLLKARKPESYQYSAADLERSVRRIVECFAAATPCEQPLHS RPVMTFADNRRARGGLPSRQAQKNDRRFLFIDHLHREVSATEKVSTWFVRRSVYFAFF GRCFSPPPDAPGERTASVANSASPRSPLFVPDDASEGGSETRMDGPLLVTETEEVSPG AVPGITDPRRLSREASAVAEQERLRQEAARVATEAAEQERLQREAIAAEQVRLQREAA EQERREQEERVRIEQERLRKEAEARAAAEMAEQERLRREAERVAAEAEQERLQREAQE RAAAEAAEQERLEQEAIAAEQVRLQREAEERAANEAAQQERLQQIQREAEEQAAEQER IRREAEEQAAEQSRLEREAEERAAEEEKERLAVAAEQERLRQNAAERASAEEERIAQE RAVALAHLEQNDDHISTPTDAVPLERLSSTTQIDITPDLPSLITQLRETSDSLDEDHN ARVGPHGIATQNSLENARQAALDSHAGDVPTNDGSPPRTITAGLEPITEEAEVSNPIP SVIIDDDRQRQLQEQIAERRRADKEKVANAKRAPLEIDDHFTQTRSADNEDLYEPDEE VMTAPPERLTEPTVSPEGASETFEPDHTSTAVPVASVPRAARLSQKIASPRQPMGIKK TRKSAIAPKKPRGSRASFDPSLPRRTLLEFETIMATGPAWPGNQEISPGNVGPDSSAT AEQAASPASGLARLWRSRNPAPKEAKSRRNETSDPRRQLPLLPDDLGADSMIFWVWKA NKWREMERIPLDPSDPLRAVRVALRYERDEPVEFVDRNMHAIAAAKCVQAALAEGTRS IFLLLRDGPLERPITRAMAMAADDLAKETPLVQGRKRGR TSTA_009350 MSSKSDKHKTAFDLESKVVQPPTLQTPLQNVPENEAIELSKKRK HSPSRENLDQAPSHGQRSILKFKNESPWNSYRKEFGCELAGDAVAVVHSKNPSRVLLL RSYPDAISSKMLQWFSQHQHPHIMSAKEAYFFKNSLYIICEDLPLTVEHLIVCRAYPT EGQLAVIMRQILEGLSYLVTQGLEHQALKSSNILMNLDGIVKIGSLEDVQARDQNRDQ RATLDAIKTITMELMEKHTKKNGTTGVNDLKRWPVDSNAVKFLAATDSVSSVDELRKH QLIRQCTATEGELVGLARFALVSTRTFYSYP TSTA_009360 MGRIPEFLDRAITANPELGLVVCQFIEAVSQFDKTGQKLARLVK EIEGAELSCFRGIKIRRPKLQQRGDLSRPHHDPHKVEPDHIPDPPCINPVLLEKQGEP LSEGLLSHGSDDRLERLTLGDLGRNWNNNSLPSTDTMRNLEDNGNPDISSSNVRGIAA ISSLTSIETADYSTPEIKKVATRANMQPSVYDVSDENNNIILQTGRASPTGCDENMQP SVEGVNDEGEEIVPQKKRVRPTGDGENMQASVEDVSGEGNDILPQKKRVRPTGDGGRL RQPGPRKNSSLKKSSEAKSYARSTAQKISHQQADASHSVTRYSAYDQMRCLFGSGKEE EEELSESAFIRVLELCTKGSRPDLASFLENLSDLWRTNQFWSPDPLHLSMPEDLPAGA SCLRLFRYLRDLGKETKFNVIRRRFAQLKLHLSFIHLCDDMANPESHNYKTGLNRRRI PSHAIDKLMGLEGGDRYSENPLASKDRRHFVNTNARGRRWYLISHYIGWGALIIFNNI DTAIGKIDLIELEAFIIYVLNTQPRVVALCRTYEQPVKDLLNGKKPALTLTEKEVRGT IDGLPHTIDSDGCANTPWKRINTKIDTDVSEVVGHT TSTA_009370 MKRAIAPDSPDDVGQRPAKLRRRDSSSTVPIPSSKKQEQITLQP PSPLSNNVSSRKINEQECGHSAIVPPSKPINKRQEILQWIPCPSEEFDEVDIMSQPAS KRSRSQSTSTDRGRTRSVSPSASASSRDAKSYAYKAVNYVTILETKGCFMRQSPAGPT SEEVALCQRLLHQPVETPRGTLFDNEFIEGFHDALRNRSEARLLIDLHPLLIPSAENL FIQGKEELRDVIDGYNDPWLKTEPIYGPKPQPDHARGLRWSTFSDSQRRKLGIKPDEK SLYAVREDMYFPYLTAEVKCGNQALEFADRQNMHSMCIALRAVVSVAQAAGCSEEVHR KLLGFSISHELESVRIYGHYPEIGEDGIKYYRWLVKQFNIWTEEDKWACYHFVENLDR EFLPIHTGRLMHLLEEIPDPQDVPFELDIDDEIGSQSRIWSQERPAYSRAPSAQNRGL QPELRSMIQVLQQQLEEQKLQQEQLSAEQKAREERLAAEQKTREEKLLAQLEQQKARE EKLLAQLEQQQERLFKLLEQKEI TSTA_009380 MPSHNKHNNHIEFLSITFNQNNYSNHLKILFCRPSHNRQKKKRK PAILAVIVIYHVMKAVHYVAPAKTREEFVITALSMNALVVPRHRLKRIIEALEENKAR TNHRYDELEQRMRDIQSLVAYFFENFPKQIILTQKIEWESSRTQQILAEDMELNPAVD AATTENESDGDLSIPLDHCTAAHKLLSWPSIQRLLGQKDFDIDYVMRLEEDRGVIRIY GHGEGKDDGGPHWGDGLPIPSLSYQGVENKVDGIDRFGALDTHRDTLRRLHRSFMENI YPLHPVLDKAVLEQKIENFSNQYSKANRPIERSIDNAVILLVLALGAICEWKVRIPAL TKVEDTAKNMHVIPGLAYYAHATDFLGNMQGGSGISNVQAALLAGLYTGQLAHPFQSH GWISQAARACLILVHPRKYTTLQDERMKDLCNAAYWACLQLESDILAELDLPPSGISR SEDRIDLPKGLHYPEDSCIMLFYSAQIHLRKVLNRVHTYLYKTSNGKKLSTSNDVLEI LGKGLDSWRQNLPREMQWDDNDEPSSDINTARLRAKYYGARYIIYRPLLRYALNRAID SGQTLVGLSSPKSEPISPTLHPVESTDGTIFWDTLPRTVQTACKICINAAVRSTRAFH NIQGRPIITNVFGTAHAQFGNMLVLSVTYASHLRHLVERSELQSLLKRTITFLEDYKH LSPTLSVDAEILAKIYSKIFQE TSTA_009390 MSDNSKQLEVLMKIIEQSPLRFICPKCLKGFPRGDKLNEHFRDS KDEIHQGLFINNHNSRQFHEFYQQALDLEIPFQDFQRKNRAQVFELAFILEHIRKKEP NSYRE TSTA_009400 MPDKHLDSVGDNMREFQHLQILHDKEVERKLHDILPQMESWLLG AIPLNDNETEPKDAGVVFPDVGNLDAALAPIRRLIYKGAAEYALLRTVTTTNTPLNLS HAVYTVLRADGTPKIGGVPLRLTESIWIDRPVTVDAGFIFMICKPAEILVGRDIYT TSTA_009410 MYRACQVIRNVTTSAGKQVAVAYVTKTDAWERMILSQDVQTEFV TVAEKYKDTLKPETVKVAMKEAEHPSQADPARHFTVFELDKDENVIASKHYYV TSTA_009420 MAPPPYELIGATGCRYLFKKLIQERPHLGRVWLAESGQSTFVLK DIPQAIFSSFNEDIRPRLRESAFLRLPHDTIPDQRIFVYKYMDDDFLDLVRKKISTQA RKQILKASLQGIAELHSHDIVHLDIKPDNIMVNYRGSGSQTVVEQVQIIDLENAAYLP KGRCIKGMLAGNDSWRSPEAHFKGELNKPSDIFSFATVCIYAMLGQVIFGADEDLRKH ESQGAFPHIIRLQRQVSYFGDPQGLNGLMTHVGDEEVNCQVLGLLWDDRLADYHSYRP FSGWQNVTDNDFKDLIQKMTNLDPQKRITAHEALKHSWFAGCEPH TSTA_009430 MSQLSRKQLDTDNHVSVETEWTRLKDVANNIETLRSLDRTSENK VKLAVATLREEPISQKRRKYKLFLCDVLKKCGPVGVLLCATVLGTNKVFDMGKTRRLA FINKLEANKIQPPLASTVLLNIALHHQIPASIEDLRKLGSHDISQKLATDENLSIQAT GDTSSAQAAALLVQGQSTERETQRNGHDNHQPGTIQGFPNSLTLDHPLDREDKDGPTL LQADDLPGFQISVLANQPPQSVFDLDIIHLIGFLQRFQSVSSSPQQFTVMLGLLQGYQ TNCPSLQYIQLIIPWSGALPSIDIKIDSQIGWSAKIQLSVTLAVELVNYAQDSPTAKT ADGRIP TSTA_009440 MDLAIPSSRTTPSSSQTLLELAEILRELADMQVKGEMKDVESVP EFHDFIQQLCRKAPAFAQAIAAAIRENTADPSPRLSSKRKRRHDPSYRPSYPVQPALK KRHCMNAVDEPTTPESPILEGETEDALDSIVCVNTTELRSSSKHENKSDLTTLAVHQN APKSENMHSSTVPTFLNGEQTEPPPTPEDLTKDMSFKDKIHQMIDIVNLLKKYPNDLP HTVHQKILQSLHTNQDPIIGSKANQWSDGRTWLKVLEGGSATNHRCTVFNMLEYIGAS QWYDGQIEVAKQMVFTKQNKPVGEKGAAMHVLDRITHEHSLLNRKVITNQFSRGKKLR VLVKELGLGILFSPKIWSYTKRKEPQFDQLLQELKADTQWMALFRLLTPQVEQLVQSG STDPEALYNSFRQHDLVSEEELQELKVKYALEHVRILPSQLLDRADSSKDPLLNGALN TAYDQLVSRISTQVFNKRSLSDHDTCIIDHSLKLPADIFYALRPGQWLDCWVIKVAMH IADRPAFVHFRESIPVNDIGRHGRMRSITKPFKAWAKEMAELRRKTAVGLEGIAPLIF YSPVHHTHSHFTLLEIDDSEKVIRHYDSLAEPTTINGTKKTRVATLVEASSALKTAEA FSNDLPTPQQSDDWSCGARVIWAFRKRCNGFDIGSWDTVLDSERIQLDIVNSLIACID SSAMQKYSRGRDRGVRNDASLPDVFSEERSEQGFQRVKMPPIRDKNKKDLAEQEGRIL LAISDLQNGRILRVAQAARIYDITRSTLQKRLSGIQPRSLVRANNHKLTQFEEESLVK WVLDLDKRGLPPRHSLVREMANHLLSQHGNQQVGEKWVYNLVQRRPEIDSKFSRRYNY ERAKCEDPKIIQEHFDRVREVISEYGILPEDIYNFDETGFAMGLCATAKVITVSDRYA RPKLLQPGNREWVTAIEATNSTGWALPSYVIFKAKKNVRLGWFDDLPDDWRIDISENG WTTDQIGLEWLKTHFIPLISGRTLGTYSMLILDGHGSHLTPEFDCTCTENKIIPVCMP PHSSHLYSLLMLGVLPF TSTA_009450 MLNDAWNAAPQIQEYLKMNHILPPFTDQDWNQLGQIRIVLAEFD RYILELSTDIPQISQSLAIYYQLFDLLQEVQEREGKFKDFDADVANAAKSAMRKYDKY YTLMDDLCDILYITMLLDPRFKKLVLEHELRDEAQDIITAMQEQLEIQYPITHKPELF IASEEPGPSVTLQNPDKTIVSEMISKIKAKSQKSAEKPSDIARYLNSDVVEFDEKKRD WIYTWWRGHIDEYPRMAAAARDYLAVPAAEVNIERLFNTGRDLLGLRRWSLSSGTMRK LLILKDSLCKRGTCEFPLPVSFAVGGLALPYAVLSPPPSRIRDYINPIPRHFNLAPFY FCIFLSVAGVIVLTGPADLQLVSTIVAFTVAMHGQAIAPSLHSWYHFPSFCELV TSTA_009460 MARKGPGTDGPLQTALLESTSIATTRASEGQKIFSPIAVFLDKH RSQTTSLAPHLLRALTALSDDLALVAQQHFNAYISALKEVQAIKTGFALCPSSLEALL ALKAQKETISAFFVNCQIERSF TSTA_009470 MVNEDFTKEGRFIITGNIVHWASSKCKRVTRSVLASEIYGLSTG FDHAITLASTVRMITDHLNMPAIPVVVCTDSYSLYECLVKLGTTKEKRLMIDLMALRQ SYERREIEEIRWIHGDDNPADAFTKANPNGALRDFIDNNKLTIHVEGFVDRTKQDLGV AIDVV TSTA_009480 MWLLDYFSNWTHDDFKRLSVHVQKKIKDMLMDRGIFVDYVGRKK MIAKALDDLVQMTRMPEWPHEIAAAKTFDSRSKMAKGQFPQLTTKNGSEEEEPEVIVS SIESGKKDNAKVPRDDKPNGPQDRLSLPGPAYTYPYRPKLEDDWHRQTPRYTIAPPAP GISMPLGEWDDWTMLPPREVECEPIDSKSMLTFVKIWDKKFAYSGDRYDILDDKVRAF LRACKLSSILISQCWEVFPEMLSGRAGAYYMHHVKPGALFAQAYNAIKVYFDTPNNQT DYYQEWTTVTLAGERQSNPGKLLVEVRALGLAYAGDEHLIAAITRACQSTPEMGEALS EPTSSFQIIISKLRARDAQIATTILDRVKNTNARTVTTLNNSGRAIEGALFVIKRTAV HGSILKKNAAKHEEDEDSTEEEVKQDVATAYFMVNQLQDRAFIHRITGCNNGIEPPGL HLDFEVKSSGQSEGENNYLAPASQFLLDRHKNETFYGIMPDTGASTVSTVGKGQLAAF LRLYPHTKVDRSRVGEYSVRFGMGDPITSTAVITVESQFGDIEFNAMDSPTPFLFCLK DMDRLRVKFDNFTNEMIQGDLIVPVIRKRGHPWFFLDEKYAPEAFLTEVEMRRLHRRF GHPAVDRLHKVLKRAGHLDVDYKMLAQIEEFCHHCQINRQAPRRYRFTLHDDCEYNYE IVVDVMYLDGKPVLHIVDWATSFQAARFLKSLSTKDTWEALRAAWIDTYLGPPDVISH DAGTNFAAVEFRTEARMMGIRCHQVPVEAHNAIGKVERYHTPLRRAYNIILSELGASV DKEIILQMAVKAVNDTVGPDGLVLTVLVFGAYPRMTYNSPPSALTAKRVQAMRKAMID LRNAMATRKVNNALKARNGPIVTETLNLAPGTDVQVWREGKGWTGPHKVISVNDYNVI VDLPSGITDFRATSVRRYQRDEIESPPTRRLLDTDLPPQKEEEGREIDGLLAGRQSGP VVARGSSSCDAAAEVESGEHAGAVNPNRRLRKRGIHVPDAPVMPPAPRRRGRPPGSKN KPKTYTTEVEVFLSRKEKDDLGLAVKLRREGKITANGAPFELSAMAEIDGLIANGTFK IIHREDLDLRAVRIFNSRLVNEIKGKNEEPYEKSRLVIQGYNDAGKTGILTQAPTIQR ASQRLIVSLIATLILMGMVVDLRDITQAYTQSKSKLQRLIVANLPAEMRDKYPPDSLL LVEGALYGIPEAGVHWFDIYQSHHKDRLGMETSTYDPCLLMTTEGKENFGLIGMQTDD TLLVSTESFAREEQAALQEAGFKAKPKTRLSQQVPLEFNGARITLQDGKVYLRQKGQA AKIKPVGKKDRAQKYMEQRARGAYLASICQPEAAYDLAVAAQLQEKDRSEADYDVLNK RLIWQAENPDRGLCFVPINLTKAKIMVFTDGSFANNRDLTSQIGFLIAMVNEDFSQSG QFTITGNILHWASSKCKRVTRSVLASEIYGLTTGFDQGFTLASTVNMITKRLGQPEMP VVICTDSYSLYECLTKLGTTKEKRLMIDLMALRQSYERREIDEIRWIHGDDNPADAFT KSNPNKALQDLVEYNKVTIRVEGFVERTRTN TSTA_009490 MAKRRVNDALNTRNSLIIIETLNLSPGTNVKVWREDKGWTGPHK LILVNGHDVTVNLGNSAVAFQAIIHVSKPPVTPPPPRCRERPRGSKNKQKVDVNVYLS KKEKGDLELALKLRREGNIVTEGAPFELLSVAEIDGLIANRTFKIVHRDNVNLRDLRI FNSHLVNEIKGKNEIPYEKSRLVIQGYNDARKAGILT TSTA_009500 MDRAYWTFLMEFKSGCNITSDSEDEEEVDNNDKDEATAYYMIIG EETEQDYPSTIASQFVIDRHDSEIFHGILPDIGAARVSTVRRRQLTTLQKIYLGIMVD ESRAGEHSIQFGQGDSVDSEGAATIKTPIGDVDFHVMNTPTLFLLCIADIDRYEAYLD NTTNCLVKGDLRVLIVWK TSTA_009510 MPQAVITSTMKSLNGFMITLETTHIKELRIANDAYMVPSIEEED EGIHKELPSSKTLSQLIQDHVQLPLESIQDVSRFTPLTGANAIPVGIPDSAKVKISET PILSTSTTSTSARMLDPYIKLPPEEYRREPIDSQLAMKFAKAWDKSKNYSGE TSTA_009520 MDRFIRPHRENTRQPGFWKLANGGSDNKAGLEDQIESQSVEGLG TFEYATSQSSSLTPNDSASQIQLSTLINPFLEPLKPKRQRKETSWVYTHFQQTLLQGK NFFDKQTGKIKADIQYSCLYCIALEEWTTVKSVTKGSTSNLQKHLGQKHAITSSQSSI LFYIRGQPLQSPQELLDQNIFNWAIGTMQPFSTFDDPLFRQIWSDLPGFSCKYGSSNS FSRHVDEEFAKARIQLKNELGKIENCSTIALSLDGWKSANGYKIFAIIGHWITADFQP QHRILDFQEIEGPDTGENLASIVYKVLCELDIKAKLISITGDNASNNLAMAEILYDLL KANYEQGNTQQIIRYQGEGSFIRCLAHILNLIVKEFLAVLKASVGCAGAVESWSRDIA HVTQATRRDDLPRRGQNSKKRQIIRRI TSTA_009530 MSASNTFTSGEKLPILDYSNWVDWSEYWQDHLILYDLWQYVDPT STVTVPPPTTNVNRDIAKTLTENLTKIRQHVSPECRKLLVGHTNPRDLWSSLKAGCDR GTTLPLIAQYESFHNNKWEPKDTISTYTSRFRNIFLSLENTSYKIHRDIAVHILVDRL PDCYKTEGQTAKQLNLPFIETVTYLLANIKDSSSEGDNTSGQALVTRGRRPNRRTSSR NLRNGGNNSNSNRRERSNRNSRNKRLICNWCKREGHYERDCHIRQQQLDSGAAKLDRG RAYLVQQPSSLQPPPQPLPLLAPPPPQANFSSSQSQSSESNAYSYPSHLLLTRASYIN SEIRQQDYLSWILDSGATQHFCNSKLDLKDYKHFLEPREIYLGDNTTIYAEGSGTQHL QVGPYILVLNVWFVPKLAENLLSLQLLDRAGYSTLIENGIVYIRQQGDSNSAWFQLAN SKHGDLYRMHISPSSLVNAPRALRTREFSTLRLWHNRLGHRNFRSVGDLMNLSVPRQL PTCTACLQGKMKADSHPPVLERCSKSFDRVHADLIPLDGISLGGSKYMLLLVDDYTRY AWCYFASSKNVPAITPLLQGFINLVLTQFNAVIKSWRTDGGTGEFINSMVKEINRQYG ILHQVSTSGVKQQNGVLERRVQTIKNMERSMRAGAGVLDDYRLQAESLATSVFLTNIL PSTTLGNISPHLLLYKKQPPLTTLKPWGCLVWIHLRKEHRSSSSDPRCRPAMMVGYIQ DSKSIYKCLDLHTLQTSNHSEIKFDEDLFPGPWLKRPAGFKLSIAHKRNPPGSAVDTV LGQSVPGALPNVSSVPFSSMNPFWLQQSQPPADPVNPEDPAKPVDPMELADVAQRALD SPQSLALRMDSQPIYNPRGSVVFGTCVKIHEHDTTRELVEAALIVQGMESLSCPPWQT AERIQTDHNGDPLSYSDALLQDPIRWPPAVQEELKSHEENGTWIVQEISQMPKGCKPI PGKWVFKRKPSPDEGIRYKARLVIKGFLQRFGSLRNLDIITAFLNGDIDSEVYMGIPE GMDLDPKKYVLKLRRSLYGLKQAPRIWWDRMTSFLLKAGFYQCDAEPAIFIRSLDNKF LILLLFVDDILLTGDQDAIEEFVKECCNEFKTRDIGTPRRFLGIHIEHRNGKVILHQK AYIQRILERFNAPTNPVATPLDPKHPLVEATNAESLNETDALEYRAAVGALIYLMICT RPDLAFALSRLSKFVQKPGIKHAAALKRVLRYLAGTQNLGIAYCKSYSNDSVLYGYSD SDFAADLNNRRSTSGFIFLLNGGPISWKSKQQSLVTSSTHDAEYVGLATASYEVIWLR KLILAILPQYAEHTMPSNTIHCDNQGAIATANQPSHSPSTRSKHIDIRFHVIREAIAN GLIRLEYIRTTEMTADI TSTA_009540 MSQVEESILQAGNTAPSADEIPICILKVAWPLIKDKVQMLYQRL IALLSVLGKGLERLVAQNMAWISIHYKVLVRQQFGALPLRSANNLTTCLTHNVEQALN QGMTASLLTLDVKGAFDAVLPGRLICRLREQGWPTNLVLWIASFATGRSVQIRLDGEI GPSTDIACGLPQGSPVSGILFMLYIASLFRLGNPKNKFGYADDAANLAISTSLATNCE ALSDLLQEALNWGAAEGITFAPDKYKLLHFSQ TSTA_009550 MARKGPGTDGPLQTALLESTSAATTRASEGQKIFSLIAVFLDKY RSQTTGLAPHLLRALTALSDDLASVAQQHFNAYISGISMISILPALAALKEVQAIKTG FALCPLSPEALLALKAQKETISTFFINCQIERSSR TSTA_009560 METSMYNPYLLVTKLGAESFSLVGMQTNDTLIIAIEKFARGEEQ ALQEAGFKAKPKTQLLQDTSLEFNSARIILEQDNVFMRTTDRAQKYIEQRARRAYLAS ICQPEASYDLAVAAQLQEKDRSEDNYLALNKRLIWQAENPERGLRFIPLDLTKVKIII FINGSFANNRDLTSQIGFMITIVNEDFTEEGRFIITGNMVHWASSKCKRVTRSVLASE IYGLSTGFNHAITLASTVRMITDRLNMPAIPVVVCTDSYSLYECLVKLGTTKEKRLMI DLMALRQSYKRREIEEIRWIHGDNNPADAFTKANPNGALRDFIDNNKLTIHVEGFVNR TKQDLGVAIDVV TSTA_009570 MNQGLGSHEGFTFQRLDLPMALSWLPINLSYEESELLDHFICTA SSTLAIFDPDRNEFLSLLVRLALSDSAPSSMAVLQSALALSSFHRHGLQGDVFRFKAR ALRTLITSCTPSIESSTVVQHIAASMILCHLEMLGMPNAVSLWFCHLSEAKYLIDNAG VDSQYFQREFSRLLGWVEYHMVMSRFSIRHWYIKEESIKGIKNSVPVDQGTCQLRKVK DVSYCSHEILRYLNIMFEMIRQPTDLLYHSNEYENSLRCLENRIANIVPSAPEGISDT MSGLSTAWVATIELFKLAALIYLKRASRNFSGTSPQIDAMVERAYILLDDLEAVSLAF PLLIIGCEARTDEQRMRILEHIERAMTTSSLSSLHGLQIILQQIWVQDDLALDYELDY LNRLDAVITSYRIMPSFV TSTA_009570 MALSWLPINLSYEESELLDHFICTASSTLAIFDPDRNEFLSLLV RLALSDSAPSSMAVLQSALALSSFHRHGLQGDVFRFKARALRTLITSCTPSIESSTVV QHIAASMILCHLEMLGMPNAVSLWFCHLSEAKYLIDNAGVDSQYFQREFSRLLGWVEY HMVMSRFSIRHWYIKEESIKGIKNSVPVDQGTCQLRKVKDVSYCSHEILRYLNIMFEM IRQPTDLLYHSNEYENSLRCLENRIANIVPSAPEGISDTMSGLSTAWVATIELFKLAA LIYLKRASRNFSGTSPQIDAMVERAYILLDDLEAVSLAFPLLIIGCEARTDEQRMRIL EHIERAMTTSSLSSLHGLQIILQQIWVQDDLALDYELDYLNRLDAVITSYRIMPSFV TSTA_009580 MTAPQEFDIHVPTQSIIHSLSMSLKTLTLWTLRGHAGTPNPWKV LMILEELKVPYEPKLVDLGDLKKEPYESINPNGRVPALEDPNTGITIWESGAILEYLV DTYDKQHTISFTAGSKEYYESKQWLHYQMSGQGPYFGQAAWFTIYHPEKVPSAVERYV NEIRRVSGVLNRSLQNKEYLVGGKYSYVDAAFVPWFEVAALFWSNEMDLKKNFPHVNS WLNHIKARPAIAKTIDDKAKAAAAEGK TSTA_009590 MSADTLSLEGKTALVTGSGRETGIGAAIARALARNGASVAIHYV SEGSKARAEKVAIDINKEFGTKTTVVQGGVENYDSAKNMVEQILKAFGVNHIDILVNN AAAARNTPLLEVKEEQLEYEFAVNVFGVIYMTQAVVGVGRMPQGGRIVNIGSIASKIL VPPPVYGATKAAMDALTTLWAGELGKSHGITVNTLAPGPVPTDMSKAYLVAPDGSPTA LQLSMYEQTRAANRLGSVEDLADATLLLVSEKSRWITAQFISVSGGITGTM TSTA_009600 MSQASLEGDHQAVKRATQVNIALGGLRHLRPEQMRQIYQACVTP IVDYASTVWHNPLKDKIHLRTLGTVQRTALIRILSAFKTASTAALEVEAYVLPTNLRL KQRAQIVAARLSTLPEDHPGHTVVTRAATRSNHIGSGPRFPLAETLRTMNLTRLQALE TIDPTPPPPWQTPAFIEIDIEADHDKAKEKASARQKAAGITVFSDASGQQNVLGAAAV ALDQNQHIIQHRKQSARELKARGIPLRLQWVPGHCGDPGNEAADRLAKEAVGLDKEHP FQHLLSREKGFIRNRIQEEWERGWKTSKNGGHLRRIDRNLPAVRTRRMYGSLPRNRAY LLTQLRTGHSWLATHGKLHGHREDDKCECGAIETVVHVLIHCPKLKTIRQELRKKIGT AFNNISDMLGGGSQGKQGKEGDMQGGSILGAVLDFAEASQRFQSRAPTKNRNQEVTDF EIMIRCPSQAVAVNEDGPPQRRRKIGEDIFPVDEITMDPEPRRIGNCEGHNLIELLHD MHEELRGFKEQLKKSEKEQKRQQRNIEEQRERIEESEYLLLAAYANELEWTAGKRGAE SRYTRNVIIHGGDIKYAIRAIEFLEELGETTRVQNASEGFGITYGISIGKLRPIIATV PEEVVDLLNKRGILQKVWIWEDKFPKEKKEWIKDCDQVIKTWLHAGGKSYLEEEVKEK HFRLRQWMDDRVKDIKRRS TSTA_009610 MRLFAEFGLRSHMLGLRRGPPEVRPLTRLNASGNTMARKGPGTD GPLQTALLESMSVATTRASEGQKIFSPIAAFLDKHRSQTTGLAPHLLRALTALSDDLA SVAQRHFSAYISGISMTSILPALSPSPSPSPTPNSLPPSPPPSRPLSGLEQSTYATIT QYAPVKSTPTTHSKAYVKKPMSLVKQPPPDNRLFVRLPANHTARKIEAYTIYSSLWSQ LNSNSSALKEVQATKTSFALCLSSPEALLTLKA TSTA_009620 MAWISIHYNVLVRQQFGALLLRSITDLTTCLIHNVEQALNQGMT ASLLTLDVKGAFDSVLPGRLIHRLRKQGWPINLVLWIASFATGRSVQIRLDGEISPST DIACGLPQGSLVSSILFMLYIAPLFRLGNPRNSQPGNLNISYY TSTA_009630 MASSVLLAGANGSLAMPAIQHLLSTYPTISAILAVRNTSASDPN TRRLLEIIAPFKDRVSLRSLDLADLSSVHSFANSLAAEIASGAVPALASIICNAFYWN LASLPELTSDGFEKTFQINHLAHAALILRLLGSCTANARILVLASDAHYPGKNSLEKY PPALPEVNGQNDQGDFDILIYPETKTKNDPMGYGFQRYANSKLAIVAWMYALNRRLYI ATTNVAGDNNHRQLKEGITAIAVNPGNLGDSRALRSNTPTSLRVMSRVIIKPLSPLLR IMVDPTMRTAKDAGVDVIELAVGKEYAHAEGYFTMRKKDESSVESKDEMKQEALWTKT LHKNMMMVSNQDERPSRCSDLPRYPLTWTACLQTEPTTPKSLVRLLARYQKPDWISFA IFRGGSGRIQAMIHPGFDAGLAIGCSQGTNFAALEESSGPETRVEYDFEGEGARGIGI IAVGRPWRYQVTQIDVRYNGAISLNGHLFDSDSFVKYLLRDKLYHDSFFLLQLGAPSY LAKRSKNMNSDNQPTTPPSQSHDSPPQISPHEHDVPPEGDYGWMCVASTFWINAHTWG INSVRISLHMTINLIIALASALIASSVAHFWLTKTSFSVFIAYYLSHDLFPGTSSLEY AFVGGLSMSCALLVAPLATAVMGRLGTRATLKLCVLVWEWDFFSLELVVSLLNGFDAN AALQMALLRQGFMLERIGLRWTFRALGIICLTMIVTAANLLRDRLF TSTA_009640 MMFLWTWMSRFAVAVGLLVSALAQLCTPEYGYEGYAYIVNDQSD LDAIAANCTTVNGTIIMSANYTGGFNLSNIRNITENIQWFQANRSDVRPQTTSIYLPD LKYLGGTLDMPGIPTLTSLIAPKLATVGWSLSIDYVQEVDLRSLVDLEYLSITGNVSS LRLDSLRQVRESILICNKVSCNSKISPNGSLDLYLPALQSAGNMDLEGRFSSFDTPNL TNITGLGALYYSIKLLTSGGSAINISFPELKYIPGGAVDFEGNIGSLSIPDISNMSAL FTVDAYDRLDINLPFQEAAYITLTGNITSVRLPNLTSIEQFTVNSDADIDCKSLEQTI TTATNKSEPLVICSTSWTSSGSHFSLHLHLGAKVAFGVFIGIFVEFAMS TSTA_009650 MAKEGKTSEEFHAKQAQSEATAARVKHEEDKRQTHSIEIDGCHA LPQRLMDPIDGTSSSSETMEDVDVFDALSSLDEQNRDSGEDDIELDFELTNEDNLNPF EDLDEEISKFSKPSFEILFGVHSSSHPSPCPQTTMHSSLPQRELTPAHLTDTKPKHLE LEPESKWTSHMQFIAFHATAGKIAEEYLKGKGVKLHSRLARSRKI TSTA_009660 MPSKLHPLGKNGPLVPALGFGLMGMSQPHYGVLPSDEGRFALLD RAVEIGATFWDTADQYGDNEELLGKWFKQTGKRQEIFLATKFGYVQHSKAYETDSSAA YCKESCAKSLERLGVDTIDLYYLHSANFETPIEETMRALVELQAEGKIKHIGLSMISS TTLRRACKVAPVAAVQTEYSVFSREIEGTAGTDLLAACRELGVAVVVATPLGRGLITD AFSKGEAIADGPDIRPVAIPRFMAENAPTNTATVNQFKAFADKKGCTVAQLALAWLLK RGEDIFPIPGTKKIKYLEENWAAQDVSLSDEEEAEIDSFLESATIVGGTVPPQFASYI FKDTKE TSTA_009670 MGKSKVRTGCRTCKIRKVKCDEKYPVCHRCSSTGRICDGYGVWG GGGNQTHRDSRDRAIIASTSSSSNEPCNTVLPRPGYVSFFVASREDKECFHWFACRTS VKLKGSFDSEFWSKLILQASINELAVRHAVLAVSYVHRRGSLDVMDTRLKKETTGQVD QVPLRYFARSINHLQHHLSANTQASLRIVLITCIILTTLDLLRGHFETARIHVKNGVY LVRKLRSFSNRDDRLHSTRVAESTDSWIAEAFMRLHGQVEVHNIMKRVTCEPLLPSPS PIPSIQKFFSVKEAWNHLDKILEQIIHLNNRVLESETECQALRSPPPLLRADQQQIQT ALSSWLKAYKSLSSPLIQRYISPWEKKFSGLLLLHHQTLTIMTDVCLSPRNEMVFDAH TDSFLRLINHAVDLWNYTLSTNPHRPKLPPGQFLNMSNSITDKGWITALYYTAVKCRI HRIRLQAVRFLQSSHHREGFWDSSIMAAVARKVMEIEERDYYQDINPLDNIFSLTSSP TPWDLSLPTLPESYRLRGVETILSGCPVNRVLLLCKQRQNMEDQKVQIGEYDILTQRW SYAADSNFKAQKSSQIRVGHLPFVSRT TSTA_009680 MYTCSTWYIRGGRGFAGAQRAAEQAIRSIQDQALHQISGTFKRT SRQALEVCLHVPPAELTLAKLAEEACLRIMTSPLRSTLCHVRGQAHRNDPYASPLHRL ETAINCKLGRDTSQRIETIYPFVVPPRWEPPEARIDDTREEAIKAIEATSRADTTIQF FTYGSGFDNGIGAAVYSSIGQAYKPAPRASQGGLPGSISFDGSYDTWASYATTDLYGV YDYSNEKADQLAKLAAVGATRRTQENTRVARFHAPNKTTPRAARMFVSPEATRRLRNV VEGSMGARRAWAASLSDNQSANEEVVAAARGITTGLEFGVDPTTNR TSTA_009690 MAQPASSNDVEDLAVPTTDKIATEKDDDEDVNLVDWDGPDDPAN PVNWSNMQKWTVIGLVSFNTFNASMASSIFAPGVPQAMQDFHSNSSILFTFVVSVFIV GFIIGPLLLTPASELYGRMPVTHSANIIFLVASILCAVSVNIPMLIVFRLIMGLSGCV PVTLGAGFIADLMPVEKRGMALAIWTFGPLVGPVIGPVIGGYMALGTTWRWTFWLITI LGAAATIASFLFMRETYVPIILERKAKALRKSTGGNMRTKYDKGQTLRQLIGAAVTRP LRMLIRSPIVLILSLYISIVYSYLYLLLTTYTEIFEGVYGFNSGEAGLAYLGLGVGFI SGQVAIGPFSDRYIKLQRKRRGSVQPEDRLPPLLLGSCLVPIGLFCYGWSAEARTHWI VPIVGTCIFSAGVEFTFFPIQMYLIDAFTIHAASAVAANTVVRSIFGALVPLAGNPLY DTLGLGWGNSLLAFISIAFVPFSLVLLKYGARIRTNPRFQASF TSTA_009700 MGDMSDPVLASIAETKAKYVRLGKSGLRVSVPILGAMSLGHKDW QPWVIEEEEALIILKAAYDRGVNTWNTANVYSNGISEEIIGKAIRNFQIPREKLVIMT QCSSWVGDSPKSRAWLYREKCAASKDFVNQGGLSRKALFSAVEASLQRLGVEYIDLLQ IHRFDYNTPVEEVMEALHDLIKSGKVRYIGASSMWTYQFAMMQHCAETNGWTKFISMQ NHYNLLYREEEREMNKFCNETGVGLVPWAPLCRGYLARPVASPQPSDRAAAEQKMGRI LSVGHTETDHAIINRVEEIAQKRGWKMSTVALAWITKRVSSPIIGFSTVERIDEALSA KDKKLTAEEEEYLEELYESRAVQGHF TSTA_009710 MSQIRVLCMSLFTGLVSSQSTSFDPCAYAPEDVLVRDVIVIGGG ASGTYGAIALKDMGRSVAVVEKNPHFGGHVNTYTDPETGLALDFGVQGYGNDSITRAF FSRFDIPLIPLSEGDGQITVDFGTGENVTTLVAGSSMVTYSEQTNMYYPEPALGLHLP QPVPEDLLLPFRDFVTKYSIQNASYTIWRYTSPGKNLLDQLTLYVILGCNAASTPLLG GQGSDVMTRNNSELFGKAEEEVGSNALLSSQVIAAIRSNDSVSLVVQTPTTKKLILAS QILFSAPIVLDNLNTFDLDTTEYALFSQVYYSCWYTAVVTDTGLQPGYVYENAASDTL YNIPIEPYTFRIGITRVSTIYTAYYGSMEDLPESEVKARIAENIQIISGNSSIPRFLD FASHVPFKQQVPATAVVNGFYNQLNNLQGYRGMHYTGNALDASGSSSLFNFTLHLLPN INQGIDAHPVDPTRGICNNAEGTDDTTLETPEDTTRIY TSTA_009720 MPFLISHAPAAVSGRSAVVGSALNAAIANGTLASPASIRILCDG VDQLQSRLTSIELQLRRLSSAMARNLDFDDDECMGMQASSGRSDEDGSYVQEEEEGIQ AESPGSLTDDMTYSHIFHSDADMIDRYHGISSPFALCNRLQLRTLALSDPIEPYALQE LLRELCETAGRAEPFPSYNDQFPIQLPPKQQALAAVTYFFKHIDCATDIFVEQNLLAT LERVYSQPPEPGDDTWAVCLKALILLVLGVEISTQADSALFGDFACSMLPSRAALVSS RLLNTPRLINVQTLILLSVAAQQFDPPGWSELLFIHACMLARTMGLHKSGILSSGTSP NDDAAERAKVLQSLYIRDKSLCITRGSVSWLPSYDCNITTQLKLVVERQASFSSRIRL AMIQDELYHMTCAASGSRRDSHPRISQPATPKSIRQQLDQLASDCSILSGLSPSYLPR DIFIAMEFLSTRIVALKLDPETRHTSGRLFADARASCFLLLLAHGDRNSSVIDAYHSS TGTDVSSIRNGTSPTSEPNTKSFTALLDVFSVPAFFVLFESLVFQETERDGGGESGAD WDLLRKVSSCYTKGSSHLPPQGYHSRVARILNQLIDNAHLFQRSPSNSYSSGSSLEVA AESTQSHSSSNQQLPPEPEATIIPSVPSSILNGHMSDLSHVVASHTSSSCGPFTWESL LSVPTTLDPPTTLDAQSIIHPSGTGSSTDLLTQLLDVSQPHSEPILESIQWHSLPPDQ PRAKKRLRTSDD TSTA_009720 MARNLDFDDDECMGMQASSGRSDEDGSYVQEEEEGIQAESPGSL TDDMTYSHIFHSDADMIDRYHGISSPFALCNRLQLRTLALSDPIEPYALQELLRELCE TAGRAEPFPSYNDQFPIQLPPKQQALAAVTYFFKHIDCATDIFVEQNLLATLERVYSQ PPEPGDDTWAVCLKALILLVLGVEISTQADSALFGDFACSMLPSRAALVSSRLLNTPR LINVQTLILLSVAAQQFDPPGWSELLFIHACMLARTMGLHKSGILSSGTSPNDDAAER AKVLQSLYIRDKSLCITRGSVSWLPSYDCNITTQLKLVVERQASFSSRIRLAMIQDEL YHMTCAASGSRRDSHPRISQPATPKSIRQQLDQLASDCSILSGLSPSYLPRDIFIAME FLSTRIVALKLDPETRHTSGRLFADARASCFLLLLAHGDRNSSVIDAYHSSTGTDVSS IRNGTSPTSEPNTKSFTALLDVFSVPAFFVLFESLVFQETERDGGGESGADWDLLRKV SSCYTKGSSHLPPQGYHSRVARILNQLIDNAHLFQRSPSNSYSSGSSLEVAAESTQSH SSSNQQLPPEPEATIIPSVPSSILNGHMSDLSHVVASHTSSSCGPFTWESLLSVPTTL DPPTTLDAQSIIHPSGTGSSTDLLTQLLDVSQPHSEPILESIQWHSLPPDQPRAKKRL RTSDD TSTA_009730 MMKDPVFSLITPTGIYLLPIDLLIPDKDACSLIHFQKALREQEA IIQRYVKKLMEQLTLRSSEGPQDVIRWFTFTTYDLIRGLLFGEQFGCVEDGEYDPFVQ LIRNISKELTMIQMFNITASWERAQRVIATVDRRAKSKTNGKDFLHYILAAMETDKGI DGFNTFSLRIAGSESSATLLCGFIFYTLTHPTVRQKLITEVRDAFQSEDQIVMANVHH LTYLNAVLQECLRIYPPVAVTLPRVVPDGGEMIDGGYVAAGTTVGVNHFACYHDQRNF HRPNQFLPERWIPSAEDKTSPFSHDQRNCLQPFSLGPRNCLGKNLAWAEIRLITTHLL YLFDREPERSVGNRWTERQNVFGFWDKPPLLVHLTPKQKTST TSTA_009740 MLEKMASGNRIKNVAVVGAGGNVGSYIANALLKTGKHTVTAITR HNSQNKLAEGIISKEIDYEKPETLVDALRGQDALVITLSGYSPIQETEEKLVRAAAEA GVPWILPNEWSPDTAHEGMVNDLFLFKPKVATRKLIEEIGKSSYIALSTGFWYEYSLA MPRNYGFDFANHTVKFYNDGEDKICTSTWPQIGRAVAALLSLPIQPEEPNTEACLEKL KNRVVYINSFNISQKDMLASALRITGTKEEDWTITKEPATQVYTTGQEHLKQGKKEAF ANVLYSRIFFPDGAGNFEDSKGTLNSMLGLPKEDLDEATNIAIERQKTQADGH TSTA_009750 MASETPKDVFMAFIRESYNPCGSEETIGGLKTYVTGRRDATVGV VDVYDVFGISNHTQQGADLVASVPDLLKGTYAKPEWFPLNSDEKRAQFFGLLKGYAAP HKFVDPSLEFMRHVRSRFPIVTKWGSFGLCWGGKGETYPYSFTPNDPRSDPVDVFSML DPAEAKKITIFHLVMASKDEPSEAVADFKTVIEENGIGRYLPTYTTMHHSWPGLKANL VEEGTFVGYRQG TSTA_009760 MKTPQDMTGTLEELTSMYLVEIRRRQPTGPYYLGGWPAGGICAY KAAQQLAREGCKAEKLILIDSFNPIGLENPPQHLTSIFLDQKSDPTIPVVRLLNGRTD SGGDGWASLVGRENLQITVMDKVDHLSMMDKGPHKKQFASFLQRALA TSTA_009770 MQEVTLDSDEHEATTRVEFQVDDCGFRLNPCWVDSLGHIAGFIM NASDATPTKPQIFINHGWDQMCCAIEFEKGVQYHVYKRMQLENGTTYAGDTYIFKDNS LVAIYEGIRITTSTTSNQRNRPLTRYQLNGQRHLQRGPHMQFRNNRIQAEKPKPAASS TVPRPNEIASRVLAIVGEEAGVDHTELDPNEDFQNHGIDSLLSLTICGRIQEELGVDV SSSLFADYCTPMELSRFLGSDNRHDSLSASSVSSSDNTDKINTPENREMDSNTSTDGE MDSSTIEVIRETIAQETGVPVSELTLSSSFADLGVDSLLIFTIVGKLLEALNMDLPSS LLMENENSEKSVKH TSTA_009780 MATSNTSFLGYLIREASGRMTDSPVFNTAIILFIIYLSYNIVNR LRIAYFSPLSRIPGPWHGHLTGLVLRYHILNGNRVNYVQSLHEKYGPFVRVSHNEVVT CDAAATKDIHAVGTTWRKWSHIPDDITPNIFSIVDPKQHSIRQRFYKKFFQQTTLRKT MEPAVLTTAKMAIQGMKKDAAAAGNVVNVHEWFMLFGNDIMSLLTFGEGFGLMEKGER VVSVVTPVELHKMIAWSELSIPIFLIGRFVLSHFSERMKAVFRADVALNPTEDKAIAQ LRMDRTDEEGRTVFARAIEDAKEDEMLKGHGKTRLTDDEIAADALGFQLAGAEPVGVS LTYLIWCILQHPDVQKQVEMEVADVELSDAALENLPILNSTILESLRLWGGNATAMRR REDITDGGVLLAGLYLIPKGTVVSTQAYSLHRNPDNWKDPFRWDHKRWLDPAQSHSIS TDRFQPFSAGSRMCAAYHLALMEIRVMAAVFFKSLPGARLAPSVTPESMVMVDRFNLF PKHDSCEVILSTGN TSTA_009790 MDQKHKQYVETLHIGHVRPEDIPWRRLGQYLYRILEDGSQTQMR LCMIESLIPPRSDGPVFHFHEMHDEGFIVTKGKIRFHTPGAPPIDAKAGDIITVPIRL PHKFSNPFDEEGVFINTITPGFFVRYFEYLEQLIGEGTKLTTEANIAALKRFATVPLD EDTIMNLIEESKANGDDGVDIDI TSTA_009790 MDQKHKQYVETLHIGHVRPEDIPWRRLGQYLYRILEDGSQTQMR LCMIESLIPPRSDGPVFHFHEMHDEGFIVTVSNAYPSGITSSNIVNGIFRRARSASTP PVRRQLMPRRATSSLSRFVFPTNSATPSTKKASSSTRLLQVSL TSTA_009790 MRLCMIESLIPPRSDGPVFHFHEMHDEGFIVTKGKIRFHTPGAP PIDAKAGDIITVPIRLPHKFSNPFDEEGVFINTITPGFFVRYFEYLEQLIGEGTKLTT EANIAALKRFATVPLDEDTIMNLIEESKANGDDGVDIDI TSTA_009800 MSRVLFPRFHLTEIEDTSWCPSWLRDHAHASLARLWQIKSRRGY SLATQACNILLDSVGGIGAAAEFTFVDSCAGAGGPTPYFEKYINKQLEAGGHTPVRFV LTDWAPYLEAWQSLSAQSMNISYIEEPIDASKAVRIAGPEKKECRIFNLCFHHFDDPE AEKVLRSAIESADAFLIFEITHRTLPSIIYTATASLFGALSTTWIEYWWSPFHLIFTY LIPLFPIYYVFDGVVSCIRGRTPEETFNLIRRQRDLDLSEWTFTNGEEVVLPPLGTLF WYCGLKKKAQEESS TSTA_009810 MSMHSQTLQLSPLDLIPPGYYTRIALSFRTTEYVQHLNEICQKS IPWLGGKVLPTTTIDDKKELQVCWNEGDSPEIKHMDSLPGSYMDTAAAGMPLTTIPED KWPLSNLINHEIRQTGAPVLAIGVVPFSAGDGVVLCLCFHHNVVDAGGMAEVLRVWAS VICGESAPIFPSINDRVGRLGAALSAHTGATQFGELLPDILLASLSEYTPTPLHFVAD HFANCTSAVLKVSLVKIEQLKTRLAGQTTSPLTVNTIICAFLWCSVTRVRQKRDTTLF SSGEKSKFGTSVNGRARINPDFANPGKDFYFGNVYLYALAELDVDSVQESPADVLSKS LPRICDAIAASYSTEKLNTNYISSLHHLISSHINKLPAGLFPAWSISSGRDLAITSWA NLGIYEMRFGDILGYPEFVRLPFAKLDSAGIVLPRKRLIESDFMEVIVMLRECDVQAL SEDEVWAEIAY TSTA_009820 MNKHIKGVNCRKSLTKRPNIKQLLENASQAPARPMVSTQESWEP KLLKLLTTSRFPFQFIEYPKFYDAFMAITGYFIDEAWEYQEILLSFNPFLDHTLVSN TSTA_009830 MLCEKGLPPTPYMIANFAHEITGKRPGKNWASRWLKKHPNALIS RYSTGIDRDRKRADCAWSYALYFELINRKIEQYNLQPDQIYNMDEKGFAIGTMTTQKR VFSRRLYDKKFKQFLQDGNREWITTIACIRADGALIPPALIYMAKSGNLQDSWLQDLT TQRCHFAALETGWTNSEIGYHWLQEVFNKETVVPKRARFDIHVPTETKSQASRGWRLL ILDGHNSHVNMRFIEYCDKNRILLAIFPAHATHTLQPLDVGLFAPLAANYSKALYQFL DETQGISRLTKRDFFRLFWMSWELSFTKKNICSSFKSTGLVPFNPEIILQRFNEKSES RPSSAGSTASILSPEEWRDIRKLMQKIGGKNPSKDFKKLSNTVMELTTEVVLLRLRLA SAGKALLHEKRRQIRKKPLLLDLPNKQEGGAIFFSPSKIQQARELFQQKEDHATQEKA RKDDKKLQQQLAKEAKEQEKQERAQIRQKKRDEREKQAAEKERQKLEQKLAKQADLQL QKDALAKAKQPTSRTNPNSKKLKHKQRSEIEDEVIDEVITTNRRGREIRLTTRFR TSTA_009840 MHSPPCARCLRLGIDCTVEQYYKRVNQRERVKQLEDHVEQLRKL LTKQNETPEEIPVVRTEDARRSNVEALDTVSRVHDEPSKTRRGTGTPIGSGQLMSIEE EPLIATRPGYAIGAVRLSEDQANSLLACFFQQYHPILPFLDSSRTAAEYHRTSRLLFW SIIAISARHYLTDPKLLAKLTPALTELIWKAISSSPVSLAQVQALILNSAWPAPNYRF WTDKSSVYANIALTYATHLGLHTPGYEQEYSKDRVYSSAGHSLERSKTWIACVIVSQS LSLELGVLPSVPSKDLDSSLSNGAELSFDIPTELQHNFIIQKCCNTATRTLFKSNGSS LVQKPTESFYLEMDAIEEKFKSLHQLLYKDLSFMSSLRLHFALLYFQSMYFVVDDSPD DRGDDGSRRTEGILRAYQTATSIITTTISHDSAHEKLLYAPATTSRMIFYAALIIFRV LHSNYAPTNTSAAVTPPSGRLLDRDTGNLLYNSACLAIRRCSIQRLDKDFPTRMADML KELWRAGEKDDELRNEEPTCKVKSRLGASLIFDCLKLWRDYKDSNYNSTQLSAQPESG NVGSDRCGVQQRQQLQLQLDSQLLPQTSSQEAPLAETADDFDLSVQSGLFGGNGSWGT VLDSDVDLFEGFDQNATEDWGFYGLI TSTA_009850 MIFTIPGLYIVDHHRCAGWLQSNVLLPVAGRWQRRPGAAVKCRL DPDNPQLHCEITSIINLTMSQSAQKLRQLLSDPDKIIVGPGVYDGLTARMALAAKFDT LYMTGAGTSMSRLGLADLGLATQTEMKENAEMIANLDPSVPLIADADTGYGGSANVRR TVAKYISAGVAGLHLEDQVVNKRCGHLAGKQIVSRDEYYSRIRAAVNMRRQLGSDIVI IARTDALQSLGFDEALARLKEAVAIGADVAFMEAIQTREQAIQVCNAFKEAGTPVMYG MVQGSKSPHFTIQEAKDIGIKIIVYAGVCLVPVYIGVSRALKKLKEDGDTEAYDSEIT PHDLFNVCGMKELMEFDRQAAISHAS TSTA_009860 MADDKMRTLRLDISRAALSQSERKCLSLLEDTGQLKFIFPDTLL TESNYANLVGFLNYVASNLRTQGAGQLDSLADAYSEVVHRCTSHTDFGGYGFDQDSTE LSVEQEGEIVFLCSAYLEAVKSAARARCGPAVQSFRPRGRPGMTMTEKILAMHDVSRK GFVRPGDIIQVDVDWVIASELAWKGMEGVYDAFGKPGIFRNDRLWLAGDHRVEPELYH VPQVKALMDASERAKKEFKMTDFQGFNYTILHTEFVRERAQPGMLVIGADSHTCSGGS VSCLAVGMGATDVIMPLVTGQTWLTVPETVHLRLINEPPFGVGGKDTVLYILKTFKRN TIAADRIVEFSGPGLAALSCDARFAIANMCTEFGAVSGIFAADDRTLDFVNRRRIRKH KNNAIYFQPDEDAIYAQSFEIDLSKVESGVAIYPSPDNVVPASEVVGTALDGCFIGAC TTTEEDLIMAGLVLEAGLKKGLKPVDHGRRIVVPGSKPIRHKLEKLGLIEIYKQCGFK VGVPGCSMCVGQGVDQAAAGEKWLSSQNRNFKNRMGPGSIANLASAATVAASSFSMQM SNPRELLDMIDRDRLKEYLGFEPSAQISLVSGKSEMNTSTLIFSEPYGRDENTSNSAE GGIPNTSGNALFADEGLSTAIQGKVLRLGDFVDTDAIIPSKFLASSRTNEELGSHCME FFMPEFRQLVRDGHDVVVAGRAFGCGSSRDVAVNALLGAGVKCVIAESFSFIYSRNQP NIGLLGIVIKDKPFFETACRGEQISVDLATNTVTCGGRNWAFQLSEMEKRLINVGGMT EAFRKFGKQVFDVMFSKRPGHVSDPATSPGKRALFTIFQRVKMPTIRKKDPLKSDQIE GKIELAISNLKNGRIPTIYKAIRIYIIPHTTLQDRLKGVL TSTA_009870 MRYTTLVGGALALLSSTPVLAQTASTTLPTAASTSTSQAASQLN TLSQYAKNVTTGNLDNGVTSKRGGCNLSNLKIRREWSTFSTAQKEAYINAVLCLQSKP SRTPSDLVSGARTRYDDFVATHINQTLNIHYTGTFLAWHRYFTWEFEQTLINECGYTG TVPYWNWPASANSLETYSVFDGSATSMSGNGAPRGPNDTDSDIELTLGNYPIVYLPLG TGGGCVTSGPFVNYTVNLGPVALSLPGGATGAAANPLGYNPRCLKRDLTTALIQRYAN FTSVVSLILNNNDIADFQLAMQGVPGSGSIGVHGGGHYAMGGDPGRDVFVSPGDPAFW HHHGMIDRVWWIWQTLDLANRQDAISGTNTFLNNPPSANTTLDTVIDLGYAAGEMIAM RELMSTTGGPFCYIYL TSTA_009880 MSAIAHPGGAGLPDDNRGPNILAAVSITTGAALVVVLTRLYVRI FMVKNIGLDDCFMALTMALSLSGWAVIIPEVRNGAGRHRVYLDQEVAVTGLHLNFVTQ AIYLWAIGLVKISIGLFLIRFVPNKSYKIFIWTVIAMMAIYTTICFFTLIFQCKDMAS IWDDRVKSQCFTPRQLIELSYTNTALNILTDLTFAVLPAFMLRKLQINMRTKASLICI LGLGVFACVAAFVKLSFLPNYGKTGDFLWDSTDLTIWITTECNTGIVAGSLPCLKPLF KRLLNNYSSGSRSRGHFYNKYDKGTKLRSLSRNTNKNTPSSGAVLQSGLEYTAHNVMS TKLSHVKHSITSVSDIHSNNSSEERILPIHSGNCGGHGSGGIVRTTEVQITSTTDNSD HNSKAWSNDNSSHVAWGNGDTTKKYDVEDRV TSTA_009890 MAYKAQTVRNSFTATGLVPFNPDRVYQQPTVRLKTPTSPPSRSS DTQSSCLQTPQNPRQFKRQMTITKKRISRHTRSSSEAIGEVFTRASKAYEMSINKLTI AQKELHDLRAAHEREKQKRQRSKQQISHEQGITREEAQALVQGQIEASQAVTTAPAEP ELPVSHPPVRRQFRCSGCGVAGHKITGCPNLCGLEIEI TSTA_009900 MPPIRNKNKKDLAEQEGQILLAISDLQNGRIFRVAQAARIYSIP RATLRDRLNGTQQRSQVRANSHKLTQCEEESLVKWILDLDKQIESKFSRKYNYERAKC EDPKIIQEYFDRVREVILQYGILPEDIYNFDEPGFAMGLCANAKVITGSDRYARPKLL QPGNREWVTAIEAVNSIGWALLSYIIFKAKKYTRLGWFEDFPDDWKINISDNGWMDDR TSTA_009910 MALRYPGYTRQATKYLISCGFLLLLLFFWLPELSARRYNTWDWT GCPDPSATIAQDIQIVVRTGGSEPQSRLRSQLSTILSRIPQRNVLILSDMEEEIGSYH VQDVYADLSEQERASYPEFALYDTQLEYQKQGGNTRELQGGWNLGKYMNLAMKRKIWK MHQESHGGFNFRWFVFLDTDTFIEWENLLVLLSHLNDAKPLYIGSPVWLPALQFAHGG SAYILSYGAMKALNTPETRDKEQLLYSQFGFNTTALCCGDEALANVLKSKGVSLKGYW PMFNGEIPAALSFGRDLWCEPVISLHHIAGEDMQDLWQWIENWKLRTSSMQPILFKDL FEYVAPQIIGTREDWDNIHGSMKIFPKSTAAHSSFQNCKAACELDSSCFQFVYDGTTC AVSDHIRVGSKRSPEENPARTYISGWMIERIRDWTMKTYLWYLIGILGSVSEESEESL SCYSECAKHCIVPLRERGKYMSVIFYINVPIGGGVDTLPTFAGIIPFAIMGGVILSKT GRYKPLHFFGFIALTIGFGLFSTLDENPSDAAWICYQLLCSIGAGCLAGITLPAVQAP LDESDVATATGLWSFTRGFGAIWGVTIPAAVFNNECAKHAAMVSNAGVAQKLSGGKAY EYATQAFLNSTSDPTVRAEVIRVFAKSMQTVWYVCLAFSAPGLIIALFEREVKLRQDL KTEFGIEEDRKDPAAFGVIGLSTVEANAVS TSTA_009920 MPPIRNKNEKNLAEHKLSQFEEESLVKWVLDLDKRGLPPRHSLV GEKWVYNLVKRRPEIESKFSRKYNYERAKCEDPKLIQEYFDRVREVISKYGILPEDIY NFDETGFAMGLCATAKAINSTGWALPSYIIFKAKKYTRLGWFEDLPDDWRINISDNGW TTDKIGLEWLKTHFIPLTNGRAMGNYRMLILDGHGSHLTAEFDRTCTENNIIPVCMPP HSSHLLQPLDVGCFAVLKRHYGQLVEQRMRLGFNHIDKLDFLTAFPKARTMAYKAQTV RNSFTATGLVPFNPDRVYQQLTVRLKTPTPPPCRSSDTQSSCLQTPQNACQFKRQMTT MKKRISRHTRSSSEAIGEVFTRASKAYEMSINKLTIAQKELHDLRAAHEKEKQKRQRS KQQISHEQGITREEAQALVQGRVEASQAVTTAPAEPELPVCHPPVRRQFRCSGCGVAG HKITGCPNRIRN TSTA_009930 MDPVSENKDNAILNRRKYLPDSNTPTEHDGLEQEPEKKAEKTDL QVVEELFNDVGGFRAEFPFKFENVQEQQIEVTRLVVAAALGQHKIVKELLEEAKQMSE ETITEQSMAFFIASQEGYLDIMKTIAEIRNDGQLSHLLKTRQGPFYQTPLLVASWKGR LQVVEYLLNIGADLNDKDSTEDTVLHLAVMTKHGCDVIEILLQHEPSLLDKPDRDYRT PLLRASVHGHKSTLEALCGYGATIEALDETKNTVLHHAIAGNSLECAKYVLDQKPDLL DKKNQYGETALILASRVRMSNIPNIVDLLLLGKADCTIVDEDDMTALHVAAREGQLGI VKSLLRADRAPLEMRNANSETPLLVASANGRVDVLEHLLEQKASPAARDKKDQTALHI AVTEGHFTMAKALVRQKNILNLTNDRKETALIVAALKGNLQIVEFLTQSGADDTIQDE RGETALQVAANNGYLEITQHLLDNCSVEIHEILELENSKGYTPIVTAVYNRELEIVDY LIKKGANIQHRDKWGRNVLTNAYLKGGDTDTTVELLESLLKRRVEITPDHEGRNILHY ACYAGAHKAIRTILNWSSDDSKKGSSAQQATAGAAAAKDSYGDTPLADAVAETHILAI LEFLNSKLCFPESPCTDMPFLCPDEDVENVSDVLLKFLLPDCEFTMEEQKEFEQRRES VLYWAILNGQERLINTFLKVNTDVSWDKIRNVSLMHVAALGGQTHIIQPMLDRMLESQ QFQLETGKGRITALHLAVKHKHMGLVELILKWLDNARSTVTQIQKDTQSPQRCIDPVL TAIIETTENEETPLSLAAFGGTDTHGDIEEVLWKYLLNCIDATPNFFSLSLTEEAQRV LEVAAQVEPPREEHYLRGFLRKLPTGFDGPPEGSNTLYLAVYHRCATVLWWLLSNGGY TSEVDIKKGLEISSKRIGPSDWLDKIIVDLLRDPPPVQNTEVAGMDHHEPRFTAAIPK HVEMEGNIVDLLVDEEQEITFSFKYRPISEIIYTDGPKKIMTAKDRDLHSLKEKIAAR DDKAGIIKVRKLKSKSPSRQQMGIDSSAPLPGSVKEARKVGYEEQKGGQGIEQEKQRG LRWIHIPENNTNATINPSKDLMVRISLDKGIKNKDHRPLARFVRKSWAELPAGRMKAY MKPQCVYDNEVSWKSPGKKEEGASKQWLHSGKRVALYVRYLVIPVKGFFLLLIILQMP YISWDRWPERVNSDTMAPVEVVTRIAPKEAVVHEAMTLDQYYYTSLKNTDMRDRDQAI WRLLVNEHLSRKKGKRVEEKLDGEKSEWRERLYRNRHDNAKNVPKTKELPDKPAIDSD AAAFKILTVDQLWLWIVDESMFYLMFDENQIPADEKKKKETIITSTTKKSDGIKRSFF HKVLSAIRAQEKNTQLSIGYLTEMILMTATGSFNRKDIEIFELEKSPLDIYRESILNA REIETALFDEFRSSLPQSNQKKEDLAPVMSDQELSDNAKRQKAWCHDLLHRRRSRSKV LPGEKTARQMQHQKDREQNNQVEASSETSQNQFGDIAREADLLREVKDIIDELNMLKN LAEDQEDVWQQVWSLGRNPYASFTYDTPTEVKDDISEMINEAKSVQQAIDTLLDLKQK QANIAEAQSTRKQSDTVMVFTVITILFLPASFLASIFALNVSDYPHENGSVTYKGWWI FPIIIFVSLAISGIFSVIAFYWNTWKEIYNRWKKGRELKKKQEKDATKEALREALMRS KDENV TSTA_009940 MADMKLTITPSILIKLPTKLHTGIQYIGVTGDKDLNGLLWQDEC ELIISTPFLAPASLTDAERRRIIHRPPPEEEDALCRQACGLSMTELVAKAIAIPNNDN GSGAKSLMLTYKEAHLLIAGVVPEQPGYLLSERARLSEADRGLTHRAAAAALTEELKV ARETALAVQQQWLTAQTEASKSLNDDDVRNILFAMRVPWQERIIQSTSIYDSPVSGLV QTGREEIGPLKVDIKHIAPTLSARLVQCDLLGNAKRWPYRHTSELTMLHQAARHSRDN QGQPDGIWPPPARRM TSTA_009950 MDFVQLCSPFGGSLFTEEYRGDIRKGEENLKHIASSLNRDSDAE SLAAFTLQQSCLCMLRGNNAEAMTHIERLEQCPNLNPRWKLRKEIYRILCVILRRYPP IIRHIPSGLNQPWGVKVPIISVSREISEAFAQYRRDTKVDDTITFECSFLLAAINFPG FLRTVHVRNPKYPIGKGGRVYQEMKQKVIERFETLQWCQKACANAGMQRLSQYLKRLE VELHFAIGSSDKGIDGLRALESEYELSGDWAGCAACKLLEADFALTSPFSTPFAFNLI PVYSGNAGFVCQVWDETEDKLSLLESDEWSQRLLQQAHNLFQRSDSPRGCAAVRLRQG CIEHMKCYSSACMPNERTGFLQAAKERFSDALHLFDLDEVHCQIVRGHQMMLMISGQE DMKTVTSLARDIGSWGIRSNNEGISEFIGSLLIRFGRRLLYQSEVNKALMCFEAAREC FSAMEEQYGTFQAMISTITVHSLTQNNLHARYLIEHQTESFYALLNYFDGLRDSDPLY SGFHGTKVNVITSYDSVVSPIYRSLGEFEALKKWRAEVKQLLKKDNMTMTIALREALS DPFFNNYFGKAGHLLVTVSSSWASPSFNIFRSASTLVKRLVTLGLSYLTGSSGSSSNE HVSEPEQREETFFDKLHRAHSIMDDYAIMIGRYRDLILTANVDEAENVLRSFYASLES MAPAFSTKVAGILVGSELGDLNITSKNLELLTDEELLGEWTMDKYGALHHTQTRSSNV HQHYRSQPHFENALYFCVLAGQWDRGYKFLHIIESTDPDFFDIRGWRDVDMWHRFASA GVIAGHKGEIEKGFKYLLQAMKMVECFRGNISDKEARRSMISTAPIINITNALIFLCL ECRKSGLPLNLIFNSDFQDHSDARTWEENVLLFYERSRARSLLDSLRSQELGERLTVA EANTNKRYKQAKLRHLRSLAIEHRMQGIEMPEMDQQELNMLENELGPDDSVLDFQQPM LSISLNIDPRDLYGAIPDDALVIAISYSLEAIYELAITAHKGVLFAEKINDLPAQFRR SAIRLLRYIRESKSMTVMTQNMTSAPIRDLLQELSAAIILPVQGLIRSKNHIIFVMSF PLIGFPVGALALDGKPLCIQKAISQIPSLSSLLYLSKRTADKPGTYQQKSQFVSSISK VSSWAEYEAKPTEPPLPMAGIESVAISHLFNIQPIKANEMDRERFRKVLNESRVLHVA THGVFESRSLWTMNLLLKEKVRAFDLFETQSNADLVVFSACSTGIGTVVGNEVLGFSH VLLEAGCSSYLGALWEVNDVATMLMMVLFFRKIRQCIDDSNSNVSVAELWRLALEDFY NMNPKRARGIIQDLIHIWKNTAPAARRIVQGGMIYLKKLSQYTSTEMDMDVYQGVEDS TDPLDLNFQHPYLYASFTLIGNGALRLLRSKECRVRSP TSTA_009960 MSPKEEKSFFLYQTGKDVDALCLGNLILGDYANPTTARHYKHRL LTNIELEEHASVSELTECSVRRGSSYNPGISVFTSGFGRVSLDIDCGDGIVVSAAKGK RVVLKRPDSFLNSEILKVSEAQNELRKWVSASRSNLFLKLKVFRTPKIWMLTGMYIFE DATVLHIKKQSIESSVAGGGLHNTVLDLMSIPVGASICVGRNEYLESRASFSGSHVWA AQYRLLEIKYLRVEPGQDFAELPSSILLYPNLTSQGDLRGSPVASTAYKAQFNIAEGE VLSSEMERLDLQDEDKKYISCLEDEIELIEEMLEDEP TSTA_009970 MVNDFTFLSVPSIVNHGGRSTCSSPLRDYGITENETFIPQYIPI KMAPIHVGALLFDYQAIDIIGPCDLLNSASKAVLESINLYTPVDPKLIAKAPEFVIHH IGETMNPVPLLTSFVTMVPTITVDDVPELDILIVGGDSPMRTDLPPKLQDLIRRHAAS GRLLFTTCTGSAVVAATGVLEGKNATANNLELNWIKKKYPNVKWTNEKKWIVDGNIWT GSGAVAGMDMVAHWIKETFGLDILIQAGLSLDFEPRDADGLLNVLPQRYDSAGKRIST HVFPDMI TSTA_009980 MIGNAISMDSSHQPKKSTLACEKCRVLKVKCIRPEEGKPCSKCT RSNSQCVVPEPKRRAKVPQGSKPRLADLESKLTNIIGLLSHSSAPHSGVQNSVETDPE WPPIPEYLNDSPYLPAEWMSLEFPAASEVGENPELNENKNSAENTPEKSPDVSTALDS AWIADLGVNPIVLQHLLDRFCSMRAYFPFVSFSYTWTAASMREDRPFLLLAAVAAASS KHCHLQDDLIRRFKDTISQRVIMAGEKDLDLLQGLLVYLAWCHFDFVPGNRQDYLYLQ IAISMVVDLNIDQEIADLLDHRTELSDTYILEACRAYLGCYYMSNIIAMCSGRPNNLK FHNNMLRCAMLLQKRPEFETDLMIYPLTKLLQFAEEVCETYRAERILGGRLHIHAERF AARLEDWWSSLTEDLRNTVLLNSGYHTVKIRIQDMGLVYRYGQRRSPPPQPQEDSTLS LMPPMIAGNLIKCVNSTKESIDSFLDISVAEYNSLSFPLWYQVILTLFVLYRLSVALP EVPEWNVEIAQQTVDLQAYLDTLFSRLRIIEPCLGRQIPTKSLFSRLYEVIGSVKASY ALAKENPAEICDSRHAHHELKDPNNTVSLVQRLHRCPALRYSSRHVAPAPGQHTLQNA ISAELQKIEDEKVLDDLLMLGGSSLTSAYNEFL TSTA_009990 MRFTVSQAFLVSLLASSQFVLGFQSDVEAREVDKKHVGSPSTFA SRWVQLSAPVEARHHTESQIAAKEAAAKKKGKKPKREASPQEADEFGPWDSTEDEFGS WVDKRDPHHTEAQIAAKEAAAKKKGKKPKREASPEAADEDDSEVEKREAHHTEAQIAA KKAAAGKKNGKKPKREASPQEPDEFGPWDSTEDEFGSWVDKRDPHHTEAQIAAKKAAA AKKNGKNPKREASPEETEEESEIEKREAHHTEAQIAAKKAAAGKKNGKKPRREASPQE ADEFGPWDSTEDEFGSWVDKREAHHTEAQIAAKKAAAKKKGTNA TSTA_010000 MAFKYTSLTRDPQRSPLYVAFNQTEKIEDFEDTYVPVRECGDST QSDTPRSFSSAIKSYWIWALHTTLLLTSLTLFLFSQKARHCSTLDHVRQFSAWSPADT YVRYNDVKYNFTREGKKFVGYGPEVDAAWREISYDVGDQWIPKSGLAKLGMPEYSLKV DHPVTGEEGYRVGIEVFHHLHCLNLLRKVTYKDYYEPLGGEFTAGPEALQHHTDHCLE VLRMNIQCTADIGLFTLYMVDGDPQAWPELNSRHVCRDFGKIRDWAIENSVGNMEALA TSTA_010010 MGLQSALSRLQIMALWIARTPQQKQQWKLICQIHRLKDKFIYLA ISIYYEVHDLLHEAISREGEFQDLHEDITAAASYPAPAEEQSLPSENHPVTGKSIEAR VLQKLLSEREYQTLRVSAPDYTSYSLGGGHMVMNTHEWRLLQEIIYLYQLLGWTYWAY EDTH TSTA_010020 MPISKTEDVSYRILFDGEHEEHDIESTTSPATRVGSIESFGKTF HISPQSDALPYIETRRSKTEGLLTWIRWSLIVILQIFILVFLARPSPEKGDNCILSGR EGSVETGDDINGLYKTLSHTYTFLKPEEQKYVPNMTSNDNRMEVRRNWDLLMPLGSGS VLIPDYKEHPLLGDPITDDPIRSGPIFEASWTHALHCLYYTVDSYHQLILNGPSDEDN PYHAAHCFEYLRNNILCNLDMTLEGSMSTPSDKERGQPHVCRNRAEAIEWIEKRRTDD FQDIVGP TSTA_010030 MPATIRPDFLPAQPPHHVTSSIIDFTATTPPIPEYEDCFAAVID NFMTEAECNELLSLAEQSTTEPGKWERAMINAGNGKQVMVTDTRNCGRIMFDSPEIAD RLLARLKPFFERWNMVKLQNQLPVTGIAGRKNVYNLTRLNERLRFLKYVGGEYFKTHV DGKYRTPDGSEMSFYTIQLYLNGEGEEGQDLKELARRKQKGFNGQNIERTDQEKLLGG ATSFSPSWRDTNQDVRVWPKAGRILVFQHNNLWHGGDSVYGGVKYTVRTDVLYSKSPL SDLPA TSTA_010040 MKFSIASSLTLLATSVAALPSGFVARQSYDNITFTIINDQTGAQ AAATVLIDGIPNGFQDLFGSSPLASNGKILATSTQLIAFPQGVDCAITNSAQAVVGHL TPSHTWLDLDGNPNAAIPVDVSGDSITCSF TSTA_010050 MIKSVLYTSALLAAFQGVQAGINLNSAQNIAVYWGQNSINLATG NTAQQRLSYYCENGPQVDTLILSFITRFNGEGGYPETNFANAGNNCTTFDGTQLLNCP QIADDIVTCQSLGKTILLSTGGGTYNEGGFSSEAEAVSAANLMWEVFGPVSSNSSVLR PFGTAVIDGFDFDFENLQMNNMPAYANQLRSLYSEDKSKTYYMTSAPQCVYPDYADGP MLAGAVYFDAIFVQFYNNGCGINSYVPGASTQWNFNFDVWDNWAKTVSLNPNVKVYIG VPGNTGAGSGYEPPATVGEVIDFVVSSGWTSFGGIMIWDASQVWANTGFLSSVYSYLP TGSGTTTPTSTRTTTTSKPTSTTSTTSSSTTLITTTTSKISTTTTSSTTTSTAPSTTC PVSGGHCSPNGVYACTGGSFGICDNGAWVIESCSTGQVCVQAGNGVYCDTAGSSDPVC TSTA_010060 MKSELRKAKVSADGAHRIQEITKQAEAEGETEILNMILGDIVDL SELRFADHHTNTMGTRDNHEAQTESVGGDAPTVGPQLESFPSQPIHILRPQPQQDQDI DREPINNPLALLADASGAAQKLCRKGMTSTLSPASHADSSTSNGTISSSGSALARYIS LVLRLNRASLEQGLEALFTDPSESDYRRLDYFKPPNTETPRDVGSDIGPVDLGLVTMD EACYLFPM TSTA_010070 MSKHVHTDGFKSVEIIQGYYISLLSATPANYLGEERLWLYTNYA FSIAAELGLDHRARQRNTRNVHDTTSVMCQRMARNRERNWLQILLWECANSAACGRIT TLPETELTQNIENWWLHPLADSTNRSTCAFTLFRREMAALDADLRTQAVLPHPNHPHW IAERVDLALDSWVERWISFPLATTSDTTIAPPPLEAEFPAVYLYYVYLHGRLLTLCYA LYYVSKNNNTEHDLNAIREDCFEAAVKVCEIAVQDLQKMGDSLYCMLAPTWAMISYAA VLALQLFPLLHGARPGNDVELLSLLGLVTLHLERAGSTPPHRFGIATLLGQHLKIILR TRASNLVDLAPHSENKNFPSLDTQRQAYNEPLAGTAGLADMQSYDDFWTSFDPFFAST TVLPEMESNGEPFANVF TSTA_010080 MDIRAFAVWKAMFLIIGLLTAVYGMFMFFLKAGSPVTTRWLTDE EKRVAIERLRGNQQGICSKVFKGSQFREAFTDIRWNHGLFHGTHRELWFQCVKKTFLL VMPGGLVEVISIVGICYLAKRIENSIFCAVIGRLPGLLGMVLMMDPELVPLH TSTA_010090 MTHKHPKPHVATLQLRGSSPYEIGLQHGQLAKEQIHNNIKTYTT FFQETAGMKSWEKAKGRSKVFVPTLERLYPEILEEIQGIADGAQLDEEDILALNVRSE IGLTNYSNTPKETFPTIRSPDGSTVILAQNWDWLELHDGIVIMDIITPDGKTRLQFLN EAELVGKIGVNSHGVGICMNALRCGALSLDRLPTHIMCRRVLHCGIARAVRWCLYIQS RHRRCGGKFATVEISPNGLSVIHPLNEGNSSKDIGKGRGFVAHTNHVMTPHTAFSRGP IYDRPAPNSFSRLERITELTEKDLHNMKNFTFESVIEQLKDQKGTPVSICRDRPANAT GMERMTTLATVSTEFDIVNKKVTKTQITIGRQCEDGLQLVELAF TSTA_010100 MVSLVQRVKRAIKLPIEEADAERSRWINEDLLPTPPEQQTWRWW NYVTFYWSISFTNWTLGSSMIGVGLNWWQAIVVIFVSQFISSIAMAFNSRAASVYHIG FPCVGRSVFGMWGSYYFVGARAILAVIWYAVQMYSGAEYMYNILRAIFGHNFTDIPNH LPASASITTPLMLAFFLAWLVHLPFCYFRPYQLRKFFWFKTIVSLPAMFGLFIWAMAN TKGRLSGGLYSATSTSSSTVAWMILAGINSGMGNTATLITNQPDYARWSRSREAPIWT QLISNPIAVTLSASLGILSTAAVNLKFGTEVWNQWDMMNLILDNYWSSSVRFAVFLCA FCWLVQIVGVNIAANMIAFGADSSMLFPSYVNMRRGQFIIEILAWAVCPWKILASAAK FTTFLSGYGLFMASVVAIMVCDYFCLTKGNVFIPSLYNGTRENKNYYYTKGINIQAVI AYLIGIALPFPGFCGELGANVSTAAMHIVDLGWILSFVTAFVAYYIICQIWPTSNMRY VKEHGYGFEQTASDTLIDSQEWGVPSDTIVRDDIYIDKHMS TSTA_010110 MAQQVGDPLPPGDYFGVSVYLPTWKDTLAWAQRDPEFLATLKTG YPRFFIPRVVLELATRVLERTQIPFTEPQFAILTATYRAAECCRSYFAKVGNHDSQVI CFRFSGEITVLKEGGGGGNIPSDDTPDKQLYAITYPERWASEGKAFWQHTGSGITSRC AVYWLEHAPFLENRQIYTEKTPANLPLEEGNKAVISMRQRIAESVSSQSLLVQIDDVT LYPAGMSAISNISLSIRSLFDRQDGIHRVAVFGFLYVDTFKVLGKIHSMDCTLYGHGT TENLDALENDLEKGLVIDALYTEFPGNPLLRSPDLERIHQLAQKHGFVVVVDDTVTTS VNLALFPYCDVICTSLTKMFSGACNVMGGSIVLNSQSPWFSRLESAMLKHKPEPYFSL DAIVMERNSRDFTERVLKASANADIIVDMLRGHPSVDEIFYPKGSSSQNLYDKFRRSE GGYGFLVSIRFVTPEAAIAFHDALDVAKGPSLGTNFTLCCAYTLLAHYSELEWAAEYG VVEHLVRISVGIESQDLLRNIVDRALAAAENALSVLNGS TSTA_010120 MLEFLSFLHCGRGDSHFVSRMADNHTSINLDGSTDVSLADAQSI RDRHAMTPNPEKQLETGQLDESAGHETVADEKAAVELSLKGVDSRDAPVEKENEIEEE EEEEQIEYPAKWRLALITIALCLSVFCMALDNTIIATAIPRITDQFNAVNDVGWYGAA YLLTTCCLQLIFGKLYTFYSIKWVYLTALFIFELGSFVCGITPNSVGLIMGRAVAGMG AAGIFSGALLIVSRTVPLSQRPMYMGLIGGMWGIASVAGPLMGGAFTDKVTWRWCFYI NLPFGAITGLFIIFFFQPPGGKTKAAASTWREQIKLLDLEGTFFFIPGVICLLLALQW GGTTYAWGNGRIIALFVLFGVLITGFVAVQLWKQELATVPPRILKNRNIWGCALFAAF QGAAFFVLIYYIPIWFQAIKGASAVRSGIMNLPLILSLVAISMLSGGLVSYTGYYAPF MIISSILTAVGSGLITTFDLNTPQSQWIGYQFIFGAGVGFGMQQTMVAVQACLQGDDI AVGTAIVMFSQTLGGALFIAVAENVFENRLVNNVVAANLPGLNATTVLSIGATEIQKV IPKEFLPAILNAYNNALINAYYVSVAMSCLTIIGALSIQWVSIKGKRIEMTAA TSTA_010130 MKANYFPLWALAGSASAYLATTTRYYDGQEGACGCGGSSGLDSW QVGSSSTGFYTAAGSQALFDTDGSSWCGGGCGKCYNLTSTGTSACNGCGEGGVAGESI IVMVTNLCPYNGNEKWCPSVGSKNDYGYSYHFDIMAESEVFGDNVVVNFEPVACPGQA TSDWETCVCYGQTDTDSTPAGFSTVAGSSEPTATSSTSTAAASSKTSTTLVISTTPVK EVASSSTSSTSTVKPVTETSPAAVAPTSTVPSVPEGAATTSTYSLTLTTVVTETITVW ETPTSTSGSSAAVQTLYGQCGGINWTGATTCATGATCKVQNPYYYQCVSSSN TSTA_010140 MHQPSSDSQPILTGKRAEAIAILQQIPEANEVRFEPVRTDKKRA PKLQLPPHIKVMEPYQIFSLFFIEDLFKVLAHNTNMYAYAKLSKNTNPHHRNWRSTTP RELKAFIGAQIYMGIAKEPQLKDYWDEEKHNESIHANHPLSEYITCIRFEQLKRYFHI SRPSEVPGGFIPTFYRLEPTAEQELQLSEEQLSGIWWHKVHIVLDMLRKASKNLYIPS SNISIDEAIICSYGRSSHTYKMLNKPISQGFKMFVLADHGYCYYFYPASRTMGVIEVG KTKDLTKTGQMVYELVQTLPKDDRTYDLYLDNYFTSVNLFKALRDIQVGACGTTRPHK EFPNLLKKLKDLGSYIPYHKVCAIPVNDVLCVAWQDNNIVLALTTIHTVDKTDDYVEC TRRRPQKTSTNGPLVHKEFGEQAVKNMPIPRFIDDYNYYMGGVDIANQHRAACRDCQR LQNPFFTL TSTA_010150 MPDVEIKIHSGLEVKDGPLLSENIAHLTPSSPSEPLETLRARYN QDGMLLLKGLLPREDVLRTRTKYFEMMSPSGILQPDTQPVQGIFNSARASDDFPGIGA GAAGKNGRPGGESAQVFIDLAIQAHYEDWYTKDLAKHPALLDFMAKFTGWNENTLGLN RSLLRNNVPGTKPIGVHYDQIFLRYGEPTSVTAWVPIGDISLTGGGLIYFENGDALGR QLEQDFTRKARDAGMTDEETKNAFNQNMMSTGLLSECPLEFARQYNWRWLVSDYEAGD VVLHKPHAIHASTINNDPNNVIRLATDLRFVDQSKPYDERWTNFYRFGDGV TSTA_010160 MSNPRPAPDATKVWTTLITNTAYLSGLLTLEYSLRKVGSKYPLV ALYTDTFPEEGHAALDARGILKKRVPYLLPSVPKDYTNDTRFYDCWSKLTPFSLIEYE RVVQLDSDMLVLRNMDELMELELDAPSIEGAGNRVFAASHACVCNPLKKPHYPKDWIP ENCAYTSQHNTPEVAQAEGASPTFGLGIPNGGLQVVNPSKGTYDKIVAQLGSTATTDY DFADQSLLSDVFYGRWIALPYIYNALKTLRRKSVHDAIWQDESVKNVHYILSPKPWDD VDEKDPSKPGYDASHDWWRNLNKERLEDEKRQGINDHF TSTA_010170 MSKALLAWVWVAGPLTGTVVQPYIGIRSDNCRGHWGRRKPFIAI GGATTVICLLALAWVQELTGSVFAIFNADVKSDTVKSVSIVAAIVLMYCHDFGINTVQ AAMRAYIVDNAPSHQQKSANAWASRVSEAASIICYAFGYMDLPKIFPVLGKTQFQVIS VLGSCCLIGSLLISCVFIDDLRTYDRPRTELTTKNSLSMIWKSARYLPPQIRKVFAIQ CASWFGWFPFLFYITTYIGQLYVNPIFEKHPDLSNGEVDNIWGDATRIATSAYFLNAV TAFVGSLVLPLLVVAPSPKEFEALSNTNSASDLPQRASVLTTFMQSSTRMLGKLRVPG LTLRRLWLLSHFLFAICMFSTFLISSPGTAAVMTAIVGIPWMITSWAPYAFIATELAQ SQSQSTNGEFIAESIHRPGYPYNEFEDTDGIGGAGVVLGLHNVFISFPQMVSSLLSSV IFKALQKPRGEPFDNSVAWFMRFGGCAALIAGILTVPLQDRAAG TSTA_010180 MAIEDDPGTIRLEAVMWLSAGDHILWMGSPVCNRHETAVARVSQ VPTPMPSWFEDSNVQTTRYLTLDGV TSTA_010190 MATTEKAEALGNRPGSSSSTATEEHTDTVNGKNNEKLHSFGEEM DQNDELEKSAGEVTGDQEVEPEYPNALKTVLIVISLFITVFLVALDQTIIGTAIPKIT DQFHSVQDVGWYGSAYFLTSTALQPTYGRIYKILNVKWAFLAAIFVFELGSLICGVAP DSSTLIGGRAIAGCGVAGIFSGALVILSFSIPLRQRPLVFGLFGAVWGVASVVGPLLG GAFTDGVSWRWCFYINLPIGGVAAAIVVLILHLPERKNDSSKTWTQKLLELDLIGAGL IIPAIVCLLLALQWGGGKYAWNNSRIIGLFVGFGLITILFAVSQWKLGDRATLPPRIL KKRTVWSVSLFAVCFGGAFFLFMYYLPIYFQSVKNHSATKSGIDLLPILLATVISSII FGGIITAVGYYTPFLILSTVLFCIGSGLLTTYSTSMSTAKWIGYQILAGSGVGAGFQV PMTAVQTILSQEDIPIGSAAVMFFQNLGGALFISVGQSVFQNGLTSYMQTHVEDIEPG VIISAGATALRGVLSEMGKLNKLPAVVEAYMAGLVNAYRVSLALTCVAFVATLFVEWK SVKGNKKEGGEPAIAV TSTA_010200 MANTNVNRQITSFADATRVTPVPGTDDQFEATIHWDWCGGLHAH GGFTLSLVFSAVRTFFLRKYPSTPQPDPIHSNIHFIQPAPRGRVVLTVTELSHGKRYS TVEVKIRDPAGSICTSATVIQGNLATEDGPSIAAVPPVMTLDEIPDRERDCNQSIPIP LLVKLMPVIRKMRVLKRKEAETQFWSRKGAAGLNTKETWVRWEDPNQKLDVISLGVVC DNFLPAPLNYDPTMADLSKYVFPTMCMSVEIKKDPKDAEWLFLETVCHKVQNGRFDTD VRVLDENGDLVALSKHVSVMAEMKRTKASKITVGDEFSKL TSTA_010210 MSSDKMAATEKDSKKIKRKHNPSNETVKSSAEAELNGTTEKPKK QKTAHRKKDEDAEDVPQNGFDDVEKSIKEVNKEDEEEKGHEEEKENQLEDLPSANALS LPQTGDSLPTKFTELNLSDRTLEAIKEMGFETMTEIQQRAIPPLMAGRDVLGAAKTGS GKTLAFLIPAIELLHSLKFKPRNGTGVLILSPTRELALQIWGVARQLLEKHSQTHGIV MGGANRRAEAEKLEKGVNLLVATPGRLLDHLQNTKGFVFKNLRQLVIDEADRILEIGF EDEMKQIMKILGNGERQTSLFSATQTTKVEDLARISLKPGPLYINVVPRMENATVDRL EQGYVVCEPDKRFLLLFSFLKRNLKKKIIVFLSSCNSVNYYSELLNYIDLPVLSIHGK QKQQKRTSTFFEFVNSERGILICTDVAARGLDIPEIDYVVQYDPPDDPRDYIHRVGRT ARGAKAKGRSLMFLQPSEMGFLTHLKEAKVPVVEFEFPQKKIINVQSQLEKLISQNYY LNKSAKEGYRSYINAYASHSLRSVFDVNKLDLVKIAKSFGFSTPPRVDITLGASMSRD KKVQGRRAYGSQPKQANRFKRKRDE TSTA_010220 MRLFELRILPTAKVVIITLASTFISLFLVLLYLQHIVKNDVISF LTFDFPFPNRPAFQEPTFDNNTANSHEEGVTLDIYDYDVELVVASLKEQNTSWYSTYF PDWKSNIYIVDDSTAPLTVPQNKGHEAMVYLTYIIDRYDTLPNNTLFLHAERFQWHND NPDYDGYPLLRDFQFTYLQEEGYINLRCVWTIGCPEAIHPLKDQTVSEQHEGQATGKI FRQVFEELLPDYPVPGEVGVSCCAQFAVTKEVIQQRPKEDYIRFREWLLATTFQDGLS GRFFEYSWHIIFGKDPVYCPSAADCYCKVYGMCHLSNCSEDECDGQYFLPTYSTLPPG WPRVGWNGEERFFSGPL TSTA_010230 MPSTESVGTIAERKASSFPTFCGNGGGTFCCDSSSCCDESPSPV ILTLGRVSTTVFIMNGVLTPDTSKDYYGYQFAAYEITNIDSNREKHWLIHHFDFLTAS PTSESNGNTSIVIEQRKTWCRRQSGRMSSMTVTSDSYQIIRIS TSTA_010240 MKLYAALLLALCQLQQAQASNQAHLQKLVGSHVIYSYNGPTPPP ELQTLIEQGVVGGVILFGGNVNSDLPALIESWQTAYANSPAYLGSPLLIMTDQEGGKV RRLPGGPIDSEKVVGQSTDPEQAASQAGSDAASALAAYNINTNLAPVLDVFRTPGDFD DRFGRSYSNNATLAGICGGAFTTAQQGAGYIATAKHFPGLGTASTYENTDEVPVTLNV TIEEIRAVDELPYHNAIAAGIDMVMPSWALYPDFDDKYPSGLSEKWLKQELRGRLGFR GVTISDAIEAGALTAFGSDSERAVLASGAGMDIILAAAQNVTQGQTIVNALVDALNSG ALNRGEFEQSTQRILRLRKNSKEKGHINKNAKGPSIFFPIFALLTAESIFAKTVLL TSTA_010250 MKFSTAVGSLLLSSVALAAPLSEENLARRESNRVARRARSHKQT HTNQRIDTIDAIKDLEVASAASSAEVYSSNWAGAVLIGSGYTSVTGSFVVPSVSVPSG GDSTQQYCAAAWVGIDGDTCGTAILQTGVNICIQNGQITNTAWYEWFPAAQEYWDSGI TINTGDTVTATVTADGLTGGTATVTNESNGQSVSYTFSGTNAEWIMEDLSGGGGLLNF ADFGSDFTISGATAISNGNTVDTTGATIMDISQNNQILTSTTASGSNVVISYQ TSTA_010260 MAIAAEGRYPIGYRWRSSTHLIIACIATALFTENFLFSFIVPIL DYMLEENLNVDPAKAQYVISLVLSVHALVCVIAGPITGHTADKISSRKGALLVSLSCE LVGTVIVAAAPSVAILITGRIILAIGGNAAWIIGLATLADTVGTENAAKTLGAISVIY NSGLLVGPMVSGWLLRLVGYWPTWCTAIAVLIIDMAMRLVIIESPKDQKHATTGKPRS ATNGINERSLAENVDVEAAGAGEDSTNSLHETTPLLQPPISNPGELQDPSSQKDALQQ DNGTSNFYRIVLSHPRALTAMACHMTSSLVVTSLDTTLPLHVIRDFGWNTTQTSSMFF LIQLPQLALGTFTGWLKDKYGTKVPTGVGYLLTGLLLWLLGTPGKDGLSFIGSGQKGQ IIYSSILLALGFARSFTIGTGIIEMTSVIREIQTEEPGIFGPNGGYSRAYSLTNLSWN IGLLTGPLLSGTLVQVVGYYYMNFTFGCSNFLSAHVTIMRTPR TSTA_010270 MTLPSSCDVLVAGSGNAGFTAAVAAKQAGAKQVILIEKAPEEWA GGNSFFTAGAYRTAHNGAADLLPLVNNVDEATSKRIDMEPYTAQDFANDMQRICQGRS DPTLSKVLVEESFPAIQWLKQNGIRFQLSFNRQAYEVGGRLKFWGGLALKTEDGGKGL IEDHKANARRHGVKTYYSTALEQLLTTPDTGAVEGVIVRHQGKTATIKAGAIVLAAGG FESNPRWDLAKVRGTPYNTGDALEIAIRDAKAKQVGNWSGCHSVAWDANAPAHEGDRI ASNEFTKSGYPLGLMFNNNGKRFVDEGVDLRNYTYAKFGRAILSQPNQSAFQIWDQRA IPLLRSEEYRPERVKQIIANSIPELADRLVELGLENRKAFVDNINAYNEAVYAFQKEN PGKKWDPSIRDGVSTQSKAIQLPLAKSNWALPLDKGPFMAVHVTCGITFTFGGLAVDP NTAQVISSVTDRGVESLYCCGEMLGGLFYDNYPGGSGLTAGAVFGRRAGMAAAENAKK GGHSRPRL TSTA_010280 MCMLMRPLTGKNHNDEPDPLRESMYKTVKRLFWEPATIEEATTT IIKAGLFLSIYEYGHAMLNSSFLTMTVCSSMAQIRELGGAHDCLNFPPFGSESMRQQD VLKLWWGLKIHERMISLENGYDIKPLNISESEIMNNARLELDLQSGKSHLEVDPHYFA YHLQARAAVWLDLVLKVVRDPGLITPIGRSQFQAVDRGLLRFLTILLSLGMGACCEAI AIGLNRLKMSNSFHFPEHDKLESSKAIETMLRILSDFFDDHLFKNPGFTMAHTAGPEG IFRETFPYFVHMTYVILLQMREHGRFDRLPSSYPSSLPLEKEVEALWAILNFSAEHWQ IARDFISVFEKPRA TSTA_010290 MLLQPHIPELPKYTSLEGRTVLVTGGTNGLGYESARQFLTFKAP RLIITARNAARGVESVQALRADPDVIKGNPNAIIDMFPLDLDDYKSVTKFCDKVKSEA PDLDVLVCNAGISQMDFKMSASGHEQIMQVNCYSNFLVALELLPVLRATAAKKGQPSH LTFVGSAMQTVHSFASNPIPPSENVLDFLDNAKNASKLKRYNDSKLAVNAFVRYIATK VSSSEVIINDFCPGAVPTNLEHNTPWLIKKIVTVLKRTVGRSIQEGGRTVVYASILAG TESHGKFLQHNKVVPGPPYLDEDAGKEFTQRLWTDLLAELKTVDPQITTWM TSTA_010300 MSPLVRGTTDDIQQIEPQGIVCDESLEKGKQGLHTNVVAGNSEP TPAEKTGKKPSFYLALTSLMLVILIVSLDATALSVAIPIITSDLNGTSLTAFWASISF MLAVVIVQPIYTSVSDVLGRNIALYTGFILFTIGSTIFAVSHSMPILIFGRVIQGLGG GGLDVLNEIIIADITTLKERPLYLGLMSVPMAVGSAIGPILGAVFSEYVTWRWIGWIN LPIIGISAPLAVFFLHLKPIEKSIMSRLESLDWIGLVLFAIGITIFALPLSWGGAMYP WSSWRTIVPLVIGIAILAVFVTYEARPTQPVFPYRIFRSHTAQVTLIGSFIHGMVLYT MLFYMPLYFESVFLAAPLQSAVSMLPLLITVTAFSGLAAWVVEYVRHYRWEIWLGWLM LTVGTGLLAIWNAQSSLALKASFQAIAGIGIGALFTVLPIPMQASAPTTEDQGLAVGL LVSFRLFGALVGLAIGSTAFSSTFGQGIASLGFSLPETMAVLNNPSEAIGFIPSLRHM HDLSTEELYAVRNVYRDSMQIIWYILTGFGGLGFLTSLLTASLTLETEELGRQYFDVD IA TSTA_010310 MACLARASHAGDLNSNVITQFLVVGVGVERDRLNVILSSEVEDP PRNNTRISSSRTPAPTYRSLSQSWSSKSSSHQNRDHGHHVSEGPLRERFIANWMKKTV SSPGAGVLGPTSYSAVYDEGEEVIKSPTVTTSFRDFSKSLRRNQMLVDDTEIQVGAEL LLFLYEDFTLYERMSISKFNHCEGYIFAPAVLRLLFASVRQMLNNAIRDESDPLSDLL ELSKKIFENCSKTIRVDAHMTPQDYFISMPDRWEVIGVIFSIIGSSSFLLPASDMMNL HPNSANVDRQSLALVSISAGEKCLKFCDNAGVMSEPLSWALLAHAALLTYVYGDHDYQ PWKVLGNLSTLVYSLGFHQRENSEMLPFFHIEHRKRLLLGAYLVDKELATFLGRPPRI SWRHIDVDLPLDITYNELLAEPEIRDAAIARLDQDGWNTQGIVSRITFARAMYKMGRV RELVLELSLNSRHEDLDRKILETTNLAAEIRSKLPPRLNSSQFLDGVTIGESESNLMA RIFHLECLYNELIMQRILVKRTGHESLGLRSIAHEMLNNLLVLNGNRAPDGRDNNTVA WNTSFYGLPSAGVLAIELLRQSQSPHPDPSFPRSEVIQNLSRFVGDLEFAIQREAGNY EICQHARKLIRSILDRVLAVPPASLNPASAEMSPMPIEWLGSDDIWLNQDPEFMRWIN NFDWNQEPSIGV TSTA_010320 MNSFRVLSSLGLLASSVLAGPISIPKRQTSTGQNVVYWGQNGGG IIENPDLAAYCTGSEGIDIIVLAFLYQFGNGVTVPGGSFGQTCSVLATSGTSQSCDAV ASAITTCQNKGINVFLSLGGGAGGYSLTSVSEAESIGQYLWDAYGNPSSTSVSRPFGN AVVNGWDFDIENASGSQYYPYLISKLRSNFASDKNNKYYISGAPQCPIPEPNMGTMIQ DSEFDMLFIQFYNNNNYTHPCALGINGDAAFNYAQWDSFISTSNSSSAELFIGVPAAP LAANGADTGSIYYATPNQLATIVSATEGNSSFGGVMLWSAGFSDSNVNNGCTYAQEVE TILKDGEVCSGSYSVTQTLTPVAPTPSFSGTATGTSTATPTGTPVPEWGQCGGQGYTG STVCDSGLKCVAEGAYWSSCQPA TSTA_010330 MYDANMPVLRCPQCNKPFDKRELHFGNDERSESCVSCVKAKARC DHRRPECSRVQSDNIISNATESSGVDNLLQANPTIDADSILDDAFVMPDTEIANIEEL DFLNPSINFVDLLSFSHMRNDKIDPFNSIMASPPLAQQSSTYPSNQQPVHANFSPHFS ISIPSYLPIYNPRSLIQRPKMKSEAQRISNLIFHTLKSYPRMILQPESLPPFIHPGLM SESVVESQNLESLHNCISLMHMMHSRVRGSRKLFWRNVRMECERLCEEHLKMNNWELL AAMQALAMYLIVRLEEGETDYNHVDSLLVNAVTLISRQFNRRYTIHTADSVLSDSNRE SKWHDWILEESGRRICVIYQVLNLLVYFEPADMCDSHKSGLIITHLPSRKQLWEASDE VAWKMEIDRDKTSASCTETEGLSSTAFGLTANGVLVRLDVAQGRNYCSDDAVVIRKQQ SLLDGGESRPTANWEDWCAGMDGLGGLVMLAASLLG TSTA_010340 MAAPFPSPTAKWHTTTYPTLSPARPELSAKGRTVLITGGGTGIG AETARYFAQAGASRIALLGRREQPLLDTKASIEQINGDVDVFVAPTDITNKEQVDAAF DRFVGGDQPGKIDILISNAAIVGPLNPISSVDADQFLDAINVIIKGSLYVAQAFLRHA VPQDAVIVETNSSAAHLNFAPAFVSYSVAKLAVYRLWDSLGFEFEKAGMRVYHVQPGV VNTDMNKEAGGVKAVGFEDHVSLPASFNLWLTSPEAKFLKGKFLWANWDVDELKIRAK EIEEGSEFNIQVVGWPFGEKNWKSGWQVS TSTA_010350 MSRNLLVGAGLLALAQLSGQALAAAALVGHESLAALPVGWDKVS TPAAGTNIQLSVALALQNIEQLEDHLKSVSTPGSASYGQYLDSDGIAAQYGPSDASVE AVTNWLKEAGVTDIYNNGQSIHFATSVSKANSLLGADFNYYSDGSATKLRTLAYSVPS DLKEAIDLVSPTTYFGKTTASRSIQAYKNKRASTTSKSGSSSVQVSASCQTSITPACL KQMYNVGNYTPSVAHGSRVGFGSFLNQSAIFDDLFTYEKVNDIPSQNFTKVIIANASN SQDASDGNYGEANLDVQNIVGISHPLPVTEFLTGGSPPFVASLDTPTNQNEPYIPYYE YLLSQKNEDLPQVISNSYGDDEQSVPYKYAIRACNLIGLTGLRGISVLESSGDLGVGA GCRSNDGKNKTQFDPIFPATCPYVTSVGGTQSVTPEIAWVASSGGFSNYFPRTWYQEP AIQTYLGLLDDETKTYYSQYTNFEGRGFPDVSAHSLTPDYQVVGGGYLQPSGGTSAAS PVFAGIIALLNDARLAAGKPTLGFLNPFFYLYGYKGLNDITGGQSVGCNGINGQTGAP VPGGGIVPGAAWNSTTGWDPATGLGTPDFQKLKELVLSF TSTA_010360 MSYVGKVVLITGGSKGIGRAVTEQFVALGAKVAINYSSDSSAAD ELVKTLGENNVLPIKADAGSVVSTKEMVEQTVSRFGKIDILIPNAGIMPLANLENVTE EMFDNIYQVNVKGPLFLAKAAVPHMPEGSHIVFLSTSLCHSNTILPPQLLYCSTKGAI EQITRLLSKDLASKGIIVNCVAPGPTATELFMRGKPDTLVDAIKKHSPFNRLGTPEEI AEAIVYLSGTSWVAGQTVRCNGGMI TSTA_010370 MRALPDPRAQIEWNAASNAQLILIEDVPGDSQDDPIDLDPEVGS QDNPYKVYYDKNTFIFFVICLSMCISSSWSRLLSHTGPVYDTWTRLAPLRGVQD TSTA_010380 MSPLTALASVALLGTRVNADFIPTVLGTPRVLGNVSDPTIDRDS CGSDRFGDRALWTCRDSQPFSKGVPVLPIYSSSSSWTDFASDSTPLIQTWTDAAGNTE TGLLCSGKNNEKPFFPYPSDECSSNTAGACDDGTRYVLWPNSPPLVTNEDTSTGAITA YTWISEDHINGSLTLLNPGPGTILYEIKSDPHMNGDSTGLPTVTTIQENFWTADEMPY GVYGEVVVNDVAYLYGQNAVRTVGLAKVSAGSVADKSAYQYYVDGVDGTGVSITNAGA GGQGTFYYSTVWNLYVWIGQAGISVAPDFYITTAPALEGPWVRPINFYSADYINWSYT LQAHPGLLANSSENAIYLTYTINDSGYYWTPLVYVQWES TSTA_010390 MKVNYNIILALGLGALANARAGFLDAYPRGLNDTATISTATKPL ITSSSAGLSTVTAPCMPCESAVENVTPPPNVVPPLNTAPAHPAFTVGPPPPGAASPAP PLPSAPIAPGPPAGSSPVAGPAPGPPAGSSPVAGPAPSGPARPPFFTGAASAVAVNSG STVVAALLAALAIF TSTA_010400 MTGDDEKKPTSAVNNNLEGDNNHENDRNVLPSKRQYTRRICGIR LPSYFNRDPESTVKRKLDLVLLTYTALSIFIKNLDNTNISNAYVSGMQTDLHLYGNQL NIFSTMFNCGIIVGAVPLILLSTHVRPSILMPTCELCWSILVMGIAGAKNYETIYGLR FFIGFFAAIAFPGFASLLAAWYTPAELGKRMAIYEVSQNVAGMFSGYIQAGLYAHMNE RRMASWRWLFIFDGIISLPIAIWGFWAIPDQPKDTRAWWLNSGDRTIAMQRMDRVGRK PLKKVNWARFKRIWKTWHVYLFVICYVFYGAFSWGDSYFALWLQSLNKYSVENINNIP TTGQGAAVVNSIVSGFISDWLENRPLMIIINMLICLMGNGFLAVWTAPTALKFVGYIF ITTGLPAQSLTMTWLSEVCQGNATLRGLIVSIGNTFIYAINAWALVLLFPALDAPHYK YGYQICAGMIGVGVISVFAILYAISADVRSGRAWRNEIGLLEYERWIRESEEDEHDDE YQHSTLPRHA TSTA_010410 MPPTLFYGTAIFGTPTIPSLTEPEGVSKLLDSVHSLDITELDTA ARYPPDNTGGSERLLGATRAGSNFTINTKVLISGTSGDGSLSKDAVRASVANSLRTLG VSNVGILYAHAPDNATPLEEQAKDFNEQYEKGYCERIGVSNFPLDMLEKFLKICDECN YIKPSVYQGEYNLIRRDPEEAIFPLLRRHKIHFVAYSPLGGGFLTGKLTAGNTEGTRF DGPLGQRFKALYDKPELHASINELKRITEPLGISPTAAALRWLAHHSELRQEDGIILG ASKIEQLRQNVKDIKQGPLPESVVEAIRAIKVATTA TSTA_010420 MPTSSSSDTGATGAAKFVTSTLGNTVGGLSRTAGNVTGAASRGL GDTINNAAGNAGRPVGDALGNLGTGVENGANNVAKGVENAGHIPFRMRFY TSTA_010430 MAPHHLETTVDGLNIKIAIDRGGTFTDCLGIAEGRDDDIVVKLL SQDPSNYADAPIEGIRRILEQATGKSFPRSEKLNTSDFSSISIRMGTTVATNALLERK GDRVALLITKGFKDALQIGNQSRPHLFDLNIRRPDVLYEDVVEVDERVTIEDYQQNPT PDRAALAASLESDPQLTRGVSGEVVRVLEKLDENKVREDLKSLYDKGYRSIAVVLVHS YTFQDHELAIERIAKEVGFPSISLSSQLLPMIKMTSRGASATADAYLTPVIRRYIDGF RSGFKDGLRSEDTRCEFMQSDGGLVNFEKFSGLRAILSGPAGGVVGHARTSFDPADPK PVIGFDMGGTSTDVSRYDGKFEHTFENTTAGVTIMAPQLDINTVAAGGGSILFWRHGL FVVGPDSAGAHPGPACYRKGGPLTVTDANLFLGRLLPEYFPKIFGPNENEPLDVEVTR QKFTELARQIQEETGQAKSPEEIALGFIEVANESMAKPIRALTEARGYETSAHNLACF GGAGGQHACAIATSLSIQTVIIHRYSSILSAYGMALADVVHEAQEPASGFLEEQSLEA LRERIQVLKANVQSELEAEGIPTEQIHHEVYLNLRYQGTDNLLMILDPEDGDFAEAFI REHKREFSFTFPGRPIVVEDVRVRGVGKSLSVPPEAPQAELKAAKAVSIGTDKQDDST GVYFSGIGHVTTPVFFLGNLQSGSHINGPAMIIDKTQTIVIEPHASATILSRHVILDV SPQKKRAPGEESTLVVDPIRLSVFGYRFMSVADQMSRMFQKTSVSTNIKERLDFSCAV FSPDGKLVANAPNVPVHLGSMEYAVRYQHEQYAGKLRPGDHICTNHPLAGGTHLPDIT IITPVWDSEGKQIIFYVASRGHHAEIGGIQPGSMPSNSRLLYEEGAMTMGFKIVSEDR FNEDIVRKFLYDEPASYPGCSGTRTWNDNVSDLKAAIAANQKGATLLRGLVEENSLQV VHFYMDGIKGNAEIAVREYLKKVGKQTGGKPLRFFDFMDDGTEIRLEIRIDSETGSAD FDFTGTGRETFNCLNAPKAIAHSAIIYSLRCLINVDIPLNQGCLAPCNVIIPSGTLLN PSGMAAVCAGNPITSQRITDVVLGAFNACAASQGCCNIISFGMGGQDKDGNVVPGFGV GETICGGSGAGPNWHGTSAVHCHMTNTRITDAEVYELRYPVILRQFSIRRGSGGRGQY NGGDGSVRELEFRIPLSVSMLSERRVYRPYGMAGGEAGQAGLNLYVREERDGSKRVIN IGGKMELNVRPGERVIINSPGGGAWGSPSDAVEPTAGNSARQTTAFEPRGSVYAFTAM AEAAQ TSTA_010440 MEKTPDMSHFSAHEAEAEAAKVDGLVANHHGHDVDVAAKFLYEL DPAVVAQPITPAEARKLLWKIDLIIIPLIMGTVVLAAVDKVIISNAAIYGMRTDTHLV GNQYSWVGSIFYFGYLVAEYPAAFLIQRLPVAKFLSACLFGWAVILMCTAATQNFAGL AVVRFFMGMLESVVFPICSILTVMWWTTEEQPIRLAFWFNQLSSVFSGLVSYGIGHTH TSLHPWRLLFLVLGGFTVLWGAVIYVFLPDSPVKCWYMTDREKYVCLERVKNNNTGME DKKIKWYQVRECLMDPKTWLLMLFSLAQNIPNGGLVTFASIIVSGLGYSSLITTVLGI PTGVLATVWQLCLSFIAARFKNTRCLVIAVANLVPMTCAILMWKLPRSNKHGLLAAYY VFYTYWGPYVLSTSLPMANVSGHSKKLTMNAIFFLAYCVGNIIGPQTFQASDAPDYSH GYEGLLACLVVAIASISAYGVLCHLENRKRDREHAGDGHHVVEAANAFSDLTDKEKKD FRYTY TSTA_010450 MTVSTNSRIIIVGAGAFGTSTAYHLSQRGYKSIRVLDRHAAPSC EAASTDISKVIRSDYNEPLYCKMGIESIEAWRDWDMFKGLYHVPGWILSARSIETSKK LGVEGLEILTPQRIKEKLPLVTGKLDGWNINVWNPTAGWAKSGEALRRMLEVSERNGV EFVSGSKGYVRKLRYASDGTTCRAVITQDGTVHEADLVILAAGAWMPSLIDLQGQLTA KGHSVAHIQLTPAETKQYSTLPILDNLELGYFFPPQEDGTFKIAHSQFITNTKTDPVS GITTSIPHTFVESPTDDLPLEIEATMRRNLRQVFPELADRPFCYTRLCWDADTADRHF LITPHPTQKNLFIATAGSAHGFKFLPIIGKYIADHIEGKLDADIVHNWRWRVGEDVNT KNLAHLDPELELSDLTGWKGRREREGRLKAKF TSTA_010460 MRNIKLYFEYGGMAEEDEAEDKEALVPDITQKQTENLSLSLPIL GTTLSHRRTLDYDSALLSYYEAVVCSSSTLLDDAKNNPYRHLILPMAMQSEGLYHATL AVSAQILRIAEPRFRFAALDHGYRALRCLIASLQRGQSGTLLLPRDTPSQTEIDEILG LALMLCWFEITDGCRSSWVTHLKGIHTLIKQYQDVSFRNSRASDVSRFFSRYFSFHLV LARTAFRVENDITFTEHGSGVHTSASSSILTGDEKQIHSPSSRSSSVSKLTDFLSLAM PLEDLDQIDSYMGFSNSLLLLINEVAHLAWKYHSKELAEANLPFVREQVRRLRTSLET LHQTPPPLGDNGYLNEAQPFHAFGNSNQRDEELKRIVSEFQAIAEANRLEHCFMHEVI LGPMIQNINRINRTAALPLWPLFIAGCCVTTEEDQITVIKIFEETEKLKRYGNITPAR QVIEMIWLQRDLGVQDDRKRRHTAAMANGIHDISNVSSSISKKDLPLFEWERAMKMMG GLKLSLT TSTA_010470 MDIPRPNEGDVNIGEILYIKGTDIFRGCWVLTCFVGVVLIFRCA IKAWVRWALPQVSAPGRIWGIEDVFFLFGYAVDLLHMTLIQHSYKWGLGRHFFYLTPE EKRQALRWDYASQPCAVAAAMISRTGMMWFLLSCFANSNRRLRISIIVCMIIQIVVNS ITIVQIVVQCGPNPYHADDRTRYFHYMWDPLPADGSVKCQSPTVQTTIGYVQGGFNTI IDLYLAGISAFELWQFFIQTLQRNPGVSVWVQFSKINPSVRSRRIWQTLTLSGPLVLS GAASIVKTYLLQSLGDRADFTYNIVTFVLWVKIENYAILIATCAPVIRLFLRTFVDMR REGRYGGYPWSRSHSDNPHNSNENNSGSSGQHELKRQNKFQQRFNRSRSAVDPDKTFD SMFDDSYLLTTVDDDKDSSNRHANGTVGPSSHTIDTIARMTSPASINDNRNTRLSTGV GVTVKTDIVVEVDEEMGMSPRSRGLSPLPKNAQPYPTFTPQDDQHRVERQALAYAWKP AS TSTA_010480 MTPPVAAVLAQTVTVTASLSFIPVAVYFNLFSTLVKIGKAATSK QITDARNEERSVDEKEQAPLCYQLTHDVLYSLSSIGLVDLVQDDVFEANDITRHMIAM PSAQHGTLHFATEPMFAGAFLMRKLIDTKFEYPFKALETPTQYGYKLLGQDDLAKEHT YSIMARQGRMDSFNQFMVGKFGKFGKMPERVKSFGYDLDSAVSGTESDVVWVDIGGGR GEMLLELKEAYPTLSKSALVLQEFNPDIGSVREVTQMEWNFASDTPQPVEGALNYSLT HIFHNLPDLDALELMQKVSKAMALYSRLIIQEFTKNKSYGKMHATMITLYGGRLRSSA EWKRLAALCGLRVTFEAYPEAGEGLIEMRKIVV TSTA_010480 MIAMPSAQHGTLHFATEPMFAGAFLMRKLIDTKFEYPFKALETP TQYGYKLLGQDDLAKEHTYSIMARQGRMDSFNQFMVGKFGKFGKMPERVKSFGYDLDS AVSGTESDVVWVDIGGGRGEMLLELKEAYPTLSKSALVLQEFNPDIGSVREVTQMEWN FASDTPQPVEGALNYSLTHIFHNLPDLDALELMQKVSKAMALYSRLIIQEFTKNKSYG KMHATMITLYGGRLRSSAEWKRLAALCGLRVTFEAYPEAGEGLIEMRKIVV TSTA_010490 MTPNPPVPKPKLTASTKARDNFLASLEDQAVFKEYHNHDSASSD MANPRNWRTAKKRLLFTALISSSLLADGAMTWGATLIVTQALEWDISINHSSTSMNYG ILLQGFGGIFAVPLIEAYGRLPIWFWSQVITMFMVLGATLSTSYPVFTTFRSLQGLFG TVPQVVGLSIIHDMYDPKDWPRMINIWATTFLVGPFLGPAIAGYILVASQNRWIVSFG ILTALYGLSTLLIMLFGYETYYDNHHNSHDNNKSPMLTRIYSFIGLNNNTSHLVKRST LLSESSTLLKLIFKLPLLLLGISVMINFCWPIGITTTIDTFLHAPPYMFDDIQSSSMR FAGIIGGTSGYIFGHFFNEWIYKHYQSKHNQTNTIKKNRHNDELGRIASENSSVQIAD ALVSFSSATSDQHHYPTTSTSGWKPEYRLHGVWFPIASLVCGLITYGLTLHFQKSWLG LAFGWIMVNLGMVGSMVAMTAYALEKYPTHSTTVSAIINMWRTCGGFSVGYFQASWIA RNGVGVVFGIQAAVVVAGTVVTIVPVFVLARHKAWV TSTA_010500 MLSLPGDRPDVLLRSECEKTWFTRGLWALAICNIEGFEDTMLTL LGATDRSRGRRERLMQLWTDESSSESLHEAWKTSRLWGALEKMLSPTDTDTQSPAKRP REEDDDGLYLSASSQWGFRVNRGQNLTAPVAGPKVVDPSSSPAMKRQRLSSTTDTSSP VTPGRLNATQNLPLPSHTSALVETYFSQTHPWFPIIPKHNMLRASYMYANGATYSGNP PKSSGDHAALWAILSYTTGQEQSGSNAHDAFMHDPLAQSKEYYHIARGLIPSEKERFD LGHVQALLLLTLVNVGSEDWTAAWLLSGQAARMAEAMELSKPLDSRRTDETRQRRAVY MGCFVIDSILSVRLSRSPCLRSNDSDVVGRLDEDGLEEWNSWVDVLPSRAAFESRNAP QRGPLLALSCFNRLFELTTVLNKIAWDFPQATNAQAFIQKILLDLKSLDDRLPANCRL ISLESDEAQNRPPLLLHQTYFHLTYTATLLFLYTRQLSQSHFMQGVNYTAVDGIEKIL YRTLDVLSQHVENFQACAIPPLLEFPLRSIFESVSSVRSKLESSGFPVSKWITIFSQR LTEVSSPWPVFRTLSETLGSLLLQPTDSYPLAQSSVDIGTSRSYDSQVSEPRANQAIP DSGHGRASITQNSGFSPNLPKPFSLQPESMYRAAPDSSTSGLRIPSGMDPSNQEQTAA HILDKLMGGRNPSSNTDPNNMGQLLNQQQQTQTGSTPDSAILSQHNLASAGFNIGQQQ RSRSYMTDEASSSPNDLDSIFKDLAYIDTTDWANSRQEGLREFGFMDDNTFQAFCHDP DRLVGSRPLVLPTAMSIADIWPPPGFFPETFQAGQKNDRS TSTA_010510 MSPMVSSQKSSSKAHMLGTPASKRNFAPDASLVLVGIRGSGKRS LGFIAAAALNRRFITEDYYFKEVTGLTRHEFLLKFGSHEFQRRDVEVLKMMLDNHRMH CVIECGLGSLTRIIQEYLRRYALSNPVVYILRDMDQIQRLLQLQDSSAKRLGNGDPYH RRCSNFEYYNLEERVPLELSLEEGTPDRRSVNYSFKLKDAKEDFTQFVRFITGATTTD PGLDSPFVLLETPPERRSYTHAVLLRFSALHNTLVDLEKLESGGDAIELCIDMWNPDA VSAVAKNVSVLRRIAKLPIIYSIDAEALGIETPVSDPVARKNSDLYFQILEYGLRLGV EYLSIHLEQDHTRLTQIVQSRGTTKVIGHFTLQNSSGISWDDEACLSIYLEAERLGCQ LVRIVRPATTREENDSVRKFVDRVKALSGTHPPIIAFNIGPIGRSSQVFNANLTSVTH PAIPPSTRRGNDPQITSQDAVRALVQSYMLDPLKFYILGASVAYSISPIMHNAAYASC GLSHVYRIPDAPSLALLDEWRHDPHFGGSSVVQPWRVHVAGQLKYKSRHAEAIGAINT IMPLRASADGNIYPLSEQASRRNEAGHIAAWWGENTDWISIMVCLRRNLSPRNAISPV RTTGLVIGAGGMARAAIYAMLHLGCRKIFILNRTLSRAEEVARHFNSWASSSHTDSDA VIRVLRSAEEPWPSDLAFPSMIVSCVPENRVQDQPPANFVMPLQWLGSPSGGVVIELA YNSLDTPLVRQLRRYRQETNISWVLIDGLEVVAEQGFAQWELMTGRKAPRRLMMTEVL KHYVGENGRLDEKTVRARLDAFSG TSTA_010520 MHIPSPDTNHFESTTSPLRKRKRDVGGGAWGQENGHHNDFQGNI HFEVQDIDMLTSPTSISQRQWLHLQSAPNTVPGSGELAKKRKILQPHSHYRQQQQQQQ QYLRPHSGNPVPSTEAQTERPPPPTLMIPFFNSHRDADISTSSLSVADDYDNAISPRT LPTPTGTDTSTKLVLRPCHVCHRKPSTKVMLDAYADCELCGQRACYVCLRECNASNCA SQQVLSDGLPTPDERLDTEMRFCEGHEDNRRQRKICSLCAIEGLTDIGQEIVWCVDCV RREGVNH TSTA_010530 MVSSKDAEIFREDARLRGKKLTPAEREKLLKPYLPEPAKEEDMN TTKQARKSKSTKSKSKSKPTPIRTFLKSQIHRLIYVLIHLCLGFYIRITQTIAAVTDR VLAVTYYHHRSPELIARDVKGLSRLPEHLSVLLKLRKREEDALQTLMDETAELAAWTT CAGIPVLSVYEKTAISDKLALYYGSPSNQPHLCICAPHHSSYAPASHIKSKGQKKPSL TVLLLSHSDGRETLVDLTKTLTEMAQSGKLSPNDISTKLIDAEISELTSCLSSSSSSS SPPSPPPASSSVPNESYSDNDTEMLPSSLFHPIKPEPDLLIIFGPIVRLEGYPPWQVR LTEIFCTGDKTSSIAGGNEEVTVEYQGFLRALWRYARASFRYGR TSTA_010540 MPDSASISSSSHSHSHSHQPPRTLYPRGPSFTLEYFSGRDYIVK DFIESLSDTAIASRRSAGTAAATSAANQPFDPKPLIRTFEHAQGSLNDLLGDLEIREN EFSAAVRRAEAQHAQNLNTLGRKLSHAIESFQKLDTSLNGATLSSSSNGVFAESNNVA VETGKKLEELERQRRRALDAHFLIECWDEVSNRGDVTKLETLRMSGNSEGKLRAAHIA KQLLRISQRLDPKSWNDTSNGNGRYMNGDGLMSPGLNGNGNGNHFPKKDTREIIEKFS ETLEKDLLKQFDDFYRKANFDGMKECASVLRDFNGGASVIALFVNQHQFFIDRSQLVT EEVSKDAEAWDQLADPDADSPGVEPSLQSLVDDVKVVVQDESTIIRRAFPYYDEVLSR FLQRVFQQSIQQRLEMVLDKANSVSSLAFLRSLQISRSCLNSLVDDLKAHGLTEAPEP VSSQTAVILDQQLEDLFVPYFVGSSYIEREKRNLEELYTSLLFKFTTFHAKRKKTATT FMASLAKSGSELLASAKDSYLSRLESSDSTPTQRQMLLRVAGLRESGDVSGKLAEPEF TEDDGLLSVAYAKRMLKWLAEGVGRGLELSVSSETPKDVSSLLGLLLSTMAEGYIEIG LEAALDAASAQENAKTEPDFNYLRTLRTAISITHLMLTCINTVLIPLAGNNVTIRRDM EKKTNLVVNRIEDKINAIEQRTIDVALAWVSKLLGGQKKNDFRPKEDSSAAWLEMLQT PTCESICTFLTQLQNTILTSLPPSGSNLQSLFTELALGVRSQLLDHFRRFQVSGPGGL MVTKDMTQYANLLKSWDLEDEEQVKAAIDVLLEVGSLFVVGPEALREKLRGPATSAGS TANNNNNNGNGNGNGATRPTPAMDGFALSVQDIRAYVMRRVDTNTVAMQSVLNSL TSTA_010550 MEDMPVSSRADFESLPPAVQRKGKRSSIAIALSIIDVNVFDKSI GKTDDCGGSWMQLVPFALTASSRALTFSSWSKLQPRHPPQLHLLHLLRISSSCTSTRS CKRGSLKTINQIVLSIQATSETLQILLVGLGQPSQASLHPRHHAQPVHESTAKLPLPI SHHSRARIITPSSSTSSLAHQGQQKQRSPRQKLHLRRISPLTARRGTSNRLTRLPVGN DLALVNEYLQCFHSLPPKIQKVIFSPEEQQILQRIAPTATITDAADRALFRLEERRRT GRRRQSLGTVSTSSDRLARRGRRHRRRSRLDRPSSTIRSSLRSSVPSSLPSTSAEDPF ADTTDAIETTDTVADPNDSGIEMEESILDSFRWLDEDEELDLSLDSYHKYVADTALSS QHVQQSPRRMPSFRRTLSLAKNRNSIFMGSSGRGLSSSQSSTLHFSAAGSGAHNNNNR TDNYHGRHAPHRSTSSIDPAAQYYQDPEARLKLRVYLASPQKFDEAIEFGFPSLDKEN SDTNNPRRHNNNRDSKRISQISASTHGTHGWTFLDDDTSIDLSLFDLTDPPPQTQQSK KSRRSHDKTTLSPNIRELTTSHSRSSSTIDPHLHRRPHPLKPIEGNVNGNPRPATGGH RREMTLKMTLTRPDLRTASDIGPYGHDRHDDPLRLAELPPADESCNIWDDIPEEKGVV KKMWRKLRSWRD TSTA_010560 MIRQCLHLTTSPLLLLLLIALSSIGTVVAKSDGPKIASIQLETE PSMPFYFENSDTVLFLRLDTGEVYQSFDGGVEWKVLGKDHETEGETAGLANGAVMIQA HPYDNQKAYILGRHGVHWVTTDQGKTWREFEVPAAPSMFNEPLRFHGEDSGKVIFQGE RCSAFACVETSYYTRNNFETVKPMRDTARGCMWAVATPGFADSTTEHAEEIRDRVLCI VHGLKNPFASAYRLVYSDSFFEDDQDGIEAKLNEGRPVAGIINAAARTKYIVAAAKSQ GTDELAMFVTDDAITWHRAEFGNHKIEESAYTVLEGTNYSIEVDVMNTDRSTNMGVLF TSNSNGTYFTRNIEHTNRDMSGLVDFEKIAGVQGIVLVNVVDNWEEIENDPKADRKVI SKISFDDGRTFQSLKVKDKDLHLHSVTAFENIGRVFSSPAPGIVMGVGNTGTHLKSYT KDGDLYVSDDAGVTWRLALEKPHKYEIGNKGAIIMAIKDNDKPTKKIQYSINHGKDWE TAELDREIIPYFLTTTPDSTSLKFMLVGYVEDPADWYIYAIDFEGLHERECKDSDFEN WPARLDENGEPDCLMGHKQFYRRRKSDANCFITETMFKTPKPEFKSCKCTAEDFECDY NFVRSEDRKECVPATILKAPEGTCKNDDDTFKGPSGWRLIPGNVCTRDGAVELDKEID RPCKDALKTPSGDSKAVSSTANYIETEMFGEFFYLERAGSSRGEDETIIMRTTDGKLY VTHDHGKSWTHELKDVKIQQIAPHRYLNDRAFFLTSGKKQYYTINRAESFDAFSAPAE VNPSGLTLGYHEEFKDWMIWTGPGECSHGECPKVSFISKHRGDDWEILLRAVEKCEFM AREDRKDSGSLIFCDQHEGEKVDGQRMLVTSNDFFATSTTPLTNIIDFATMAEFIIIA TRNPEKENSLKVDASVNGVEFADAEFPYNLEVPVQLAYTVLDSSTHSVFLHVTANNKM DQSYGSIIKSNSNGTSYVLSLNDVNRNNGGYVDFEKLPGLEGVALANVVSNTKNVEKG SEKKLTSMITHNDGSQWTLIPPPAKDSEGKPYSCGSDGKPTDKCSLHLHGYTERRDPR DSFGSASAIGLLFGVGNVGESLGSKSEASTFFSSDGGISWKEVKKGNYMWKFGDQGSV LVLVEELKPTRDIYFSTDEGDTWEKYQFSEKEVTVQSLSTVPSGTSKNFLIWGKETGS SKLVTINLDFSGLRDRTCNLDEDTGESEDYYLWEPKHPLQEGNCLFGHVEQYHRKKPS SHCWNDWSEAHVHRISHNCTCTEEDYECDYNYERQTDGSCALVPGLLKPNAIDYCREN PEAIEYWEPTGYRRIPLTTCQGGKNLDRWVSRPCPSHEEEYQRKHGPSGAVIFFAIIV PITIAVAAGYWVYTRWDGKFGQIRLGDGGAQSFVTSRGDSPFITIPVAIIAGTVAAVK VLPLLVMSLWRSVTGYVRIPGRRGPRPYATRDAFAARRGDYTHVVDDEDELLGDDEFE DEEGDERN TSTA_010570 MSNLEMRLARFILGNNAPPCDHAPVACVPDPDNIAECLVAQLQR RWHSEPRPFHQTEHPLVFAIWQAGYDRHKAQWLASEPGYGMTSAPELVRALKKVMADE RLNNLPTKDRNDAGSVGAIRVCDQCKPGTNTWSYCAPYNVRQNVCRRVPIKLDLSMKS DIQEGGKVLCLGRNGATKYCCANEFMAISHVWSHGWQGSSEDGLCSRVLDMLLEIAST KFDVNWIWLDVAMISKDETTRAMSVNSMDTVYSTAKATLVVDRVLLNFHPVENDDKQT ALAITASDWMTRLWTMQEAMLSQNLLILTNRSLPPIDPRQLLNRIILAKHDLDRWKQY RAIKILSSMTHDPKPSLHKIVNFSYERTTTKPVDMVRALYPLFGLKWPSSETTLIEGQ ILLLKHLGQEAAILTSLSSPIGLPSPWGWAPLVIPGASGGGLGGYGRYVSEQDGLMGA WSWLEIVPTSMTPQDAVRGRVSSGNGWMEGFKQSFRDSRRYRSSNQNQQPAALPNSVS GILHYTLGIGADIFKSGIITTFSEWGSGPGAPYAELSALVALANGGKEEEYITLLTYV ERDPWPWPNRRLFFIASADLSLQIRDSALQIHETAQYLDLVVVESEENGWFVMRRVGK AVTAGVKLRGEHKEGIQGVLH TSTA_010580 MAAIDHAAFSDEMSVEIAAPLPQSSGQNAVKDITFGSIAGIAGK YIEYPFDTVKVRLQSQPHGVPLRYAGPLDCFRQSIQSDGFRSLYRGISAPLAGAAVEN SSLFFSYRIAKNILQSTFYTSTEPLPFSGLLISGAASGAFTSVLLTPIELVKCKMQVP CRTATVKPGPLKIIATVIRHHGVFGLWRGQMGTLIRESGGSAAWFGSYEAVSAVFRKS AHLDSSSESTAPLAIWQQMLAGAAAGISYNFIFYPADTIKSRMQTEEISALSSGNRSF WTVGKTVWQHEGLKGLYRGCGITVARSAPSSAFIFSIYEGLSHSGPPLLLHDHTNGQP LSTLFILHLHYNQSLQKQQNKMAAHTLSETELQTISQKAIQAKEKAYCPYSKFRVGAC IITTTGEYIFGANIENASYPVGICAERVAFGNALMQGHKSFKAIAVATDIKPGASPCG MCRQFMREFTPPSFPIYMYDKDGEYKIATIGDLLPDSFGPDDLA TSTA_010590 MSAVEVADSGKTAVGMRKNGKNWHTPKKPFRPTAGLKSYEKRLE ERNNLAAMKEREKEMKEEKEAERQRHIQAIKDRRAAKEEKERYEKMAEKMHRKRVERK KRREKRNKLLNS TSTA_010590 MSAVEVADSGKTAVGMRKNGKNWHTPKKPFRPTAGLKSYEKRLE ERNNLAAMKEREKEMKEEKEAERQTYPSNQRSKSRQGRKRAIREDGRENAQKTSREEE AEGEEE TSTA_010600 MDFVSDDYPLQGDLLLKLRPKQPLDNVHDWWDVWVVGEIRQSDQ NEALRQLRRYVRDVFASQPTRRLVHAFTLCGSYFEPRVLDRSGCASPGPFNIIYDERR LIQIIAGHAMMTDEELGLVTLIKEDDGYRVINIESENPQEPATSGDWDYVTKFSWTSD RRKQELDLLRLALSRGVQCIATLVGSKDVTSIKDIRSGLYFPNAHRARGSSRSTSGTQ GESRPRGLSALSKSDGIVDTEKTAGSAQKRPISTCEDSSKRRKHDCRNLADGQVTKAE YSNAQAQGTSLNDAENISYDNRILRCLVISLAGKALYKFQKKSELLGALRDTIQAHKK QETYTHERHSDLDAWRNCVMIYLF TSTA_010610 MDKEGDKSSSRLPTSRTFPVNIHHRHHRSEVTRPSDYTQTSQDQ TRNGTEERQPWTRRLFVDTSDLEPSSSNEKTSNKNGEGHAKSHKYSKSKDHRLPRAVN QIASAGGARNLLPNRPSFHRHTQSHGYPYLGGDRDAEYSFLKPIPSNQDSSRPIFRSR SSSVQRNGGSQAASFVVTEEEDNEAMNRRLSLTTTRGREIKTAEDLSLARHEREKGEE ILRSKLASIGTLATDITRRLDYTYYNLLERISTLHLTINTFQELVDSSVALHENFQRD NSNLESDIRKQLADFAKFDPQRQRIDELESRMKAGKAKMEDLGNRLDKVRQEIEGWER REGEWQARVSRRLRVLGGFLGGLVLVIIVAYIVRFTSTSMSSSVEHLDSKIDTSSQSL SDTHSTDVHVDVKTFLKSPLLTKHSPEANTDKIEHPSANIHSHQSHPPDPLRLFDEL TSTA_010620 MAEADQPVESRARHIVYCGVCTLPPEYCEFGGTSKKCEEWLEKT YPDLHQQLYSEEAINANLSTLSITAREKAAKDAAKKEAKALQAETRDAERKASSKIQI KRVERNKRKFVTVVIGLEAFGLDLKKVAKELGKKFATGSSVTKSPAGTEEITVQGDVS DDLFEWLIDVHGDKVPEDNVELIEDKKKKAAA TSTA_010630 MSTVVVSDRDDLIRPPRTLQPRFIEENEELFNEPMSDYFEDAEF SSTTTSKLDFRPALVSPVYTPTLSSLSSEVTSDGEGDELALPAFEAEYTEQEEQQKDS GLSTPKTTQAAAPTPTGLDPLLRTSSDDASIESEPERHVDYLSHDWREEDIWTSWRYV VARKDRYSNGVRLENASWRTWAKNKYGLRTVSPETLNWLKDCDVTWLYGPLQTDFKTP KQKPPPPSGLSSTNSFLDRKSILKKKTASEAILQRSLSQHTLLKHAGAILQAQKAEHY SRGKPAFERATSDMGVPLARIPEYSFNNSSSAHCTPLESASSGLVSPSEKRHIHFNNE VVQCIAVEAKDYGEHDVDPSLFFLGEEYDNDYDEYGDDDYDHRNSYYNDDTADTESDE GVVMMKQVSSRSFPAGTTTSSTSVSYISSSRSTPRSSTSSESKTIAPLPPTTLKCRSD TPELPWSSGQDDTRSPSSKLSPTPSVETLRPPRPEANFLLDDDDDEQEPYWSAKNSRR HTYDSSHNRPWFVNPEDRQELEYSEGVEDDASKSGNLHLTPSGMFMPYEEGEEASYTG MFGRIVDTVNTARDIAHVIWNVGWRK TSTA_010640 METLNPSDLVNRLGSDEDAVRKMAAFKLQGSIGDPSFADIFIAE GGLSKLRYLTLTTAGNTLAYSLTSFARLLEVDKGWEYVDEEVVERIVHLIVTHPLVNI LRGAMAMLVSIVSHPHSVSQLEPEQTPADPNSQEREVYGFRALKPAIDRQPQFLEMLV SRLSSADHALCANALQLINSLMRDSITNDEESEWPKFIKKLQDLGVIRAVYILMQGSA LQDHAHPLIEFQSLTKLLLRKWKNVAVDLEKPDHRRALKGIHLASNPEKKEGSTTGSS AGGSSENGTGNESDMKKSRRHNPEKWRRLGFQSESPAAEFHEMGFLGMMDLTDYVRKH QDDFQKMLLEHSTKPAEQRCPIARASLAVTSVLYEHFEVEKSATDDAKNYLVLESRSN FDKVFKPLLLHWSRIHVAALHAFFRLWKATSAEVADFDKIVELVRILVESVVGGAPRT KDVQDVEEELDDFEYQRLRELQMELLELTYEDAWGQHLRQVRDELQHEALQFVKEQRI RCLLQGAWFPNEPATASPRADDLSPVKTADLKRPSYGYKYVQLSHNRRYLHFSDFDSI KETAPVLDSLSNKIDLSIVSSVVSNVSASSDDSSGSTIKTTPGGASSLNPPPGTSSSS TTTISSTKITIHGYAPTIATSSSGIPRSPNKDSSHTRTGSRATQREIPLLTLRPQSHS IASEWLDGLLMLLNQQPITAETNKLVNLISNYGLKIRLLNVRFDDAAFAGETPKIPSR EGLDEDYYYDVFGGV TSTA_010650 MDTGTVRREILHPIACEPCRQKKCKCDREIPVCSQCLGEPSKCN YPESGKRGLPLGYITQLEQRLAVTELALFEALATLHSLGHDETGLVKASVKSGIESRQ GKQSLMEEWRKLPLRDGLTEDIETWWQAKSEKYLIQQPSAERDQPQDQLKPLSTGHSP ENVTENDTITSPQASPVMEFAVSTGAAPSYPRNVHYDNDIPRQRPNFSRERVSSTDAE QHHATPEVGTGYRSIMPRETRGSEFFSSHDVQRSDAGDGNKAAILARTQSSLYF TSTA_010660 MADTGEFDVHRELKRRRCAPTNTGTGTTYTSPREYGIMRKDDTS ISFLGSSSGIHFVRTVYNAFARRFTDLQQARDSGHETVVPGEDDHIHRARGQGKAHTS LWKKQELDTTNRSLSFEELVRLTHHYFEDWHPIYPFVNAPRILRVMEQISQKGLKSID RLDAIIVRSIISISAIMSRMGLTYNPTSTPTISVELVYQTVREAMSTLWELQTESPSI PLLQAAFSIQLFLTSILCLNTASRVGGFVTRMAFHLGLHRCPTRYSCFTSEDVTMRRR IFWSIYCLERYLNQALGVPLSIRDDDFDVCYPQAERHSAGPEDMGVNAEDSRLRLLTH LAKFARLRGLISELRNKSIMHSQENLADAAEVDSALLKWWNEVYDDVYTLESDSESPL QPYQALLLIVSRHEATISLYRPLLAAHNPTAADYKTAFQLCINSARSLLVALYDYINT AQQRAPLTSPSFTSAVWMSCMILIYAAWTGHFTNKGALRYARVGISVLRNIAIRESDW PETCIHAIEDLCSAMENSSQNGTPSVRQEGQQLNPTISSQHNDTHSFRTSTSSIDQHS RLRNPRLSDHNQERFSVANNQQFTPYQVQSPPITLDHQSRISIDEHRHLQEYLANPTY DSTGILAKESDIYNPASVVFGDSSGNGLSYGMVPQYAPTFPTLNGFSMNEGWTVADGP WLIHGDFDVL TSTA_010670 MSPAHSSDMPSYSIASIPADGIGPEVIDAGITVLKALADTLETF RLDFTHYDWSSDTYKKTGEYIPDGGLDRLKKHDAILFGAVGSPDVPDHISLWGLRLAI CQPLQQYANVRPTKVFRGTQSPLRNCAVGDLDWVIIRENSEGEYAGQGGRSHQGRPWE VSTEVAIFSRHAIERIMRFAFETAQQRPRKLLTVVTKSNAQRNGLVLWDEVAKAVAQD FPDVTVDKMLVDAMTTRMVLKPESLDTIVATNLHGDILSDLAAALAGSIGIAPTSNLD PTRQNPSMFEPIHGSAFDITGLGIANPVGTFWTVVEMLNWLGEKDAASKLLECVENVC EQGVLTRDLGGNATTKEVTEAVVAEIRKLGVK TSTA_010680 MAAPPAKRQRKLVVLSSSDNEDSIVPSPSVDLTSPERKSTSLVY TLSFSIRFFLAFKLIFQTYSRSAVNTSISSSCENPSKRSKTTASTTTKSKMSEKTKSR ASSRKQPKDSKVPTSPSPKKKTRQQRQLPSESTRSLHNFFQPATDEQRWSFTKSDAGL RQAEEASSFAEELEDDLIEDDWLEDDWIDGSLESARCLDKPSNELAIRDSANKNSTMA SAISKTVSRTSSKRFILDDDEVVKRTDVASTTEEPGRKPWSDQYPPTLLDQLAVHKKK VADVRQWLSIALSGRTRHKILVLHGPAGCGKTATVSLLSDELNFDIVDWKNPFGTDTG NGQYSSLSSQFDYFLGQLNSFGGLDLSDSSAPSASKTHITKQTSSPSAQKRVILIEEF PANLSWGSTALSSFQTSLRRYLASSSSNSRNIFGNTPDTTPPIVIIISETMLGTSGAT SENLTAHRLLGPEVYTHPGTTTIEFNPIAPTFMLKALQLILRKEANQSKRQWIPGIEV LKKLSELGDIRSAISALEFLCLRNDTTNQGWSGRVAWKSSKTTAPLTAMEKESLELIT QRETSLGLFHAVGKVVYNKRDETIDPAETGQELHVAPPSHLMHLDRPKVSQVSVEDMM DKTGTDVHIFTAALHENYPPSCDGPTFTETLEGCIEHLSDSDILGSETRSFLYNSRNG IGTARGRFLGYGISVDRLRQDEISFHVAVRGMLFSLPYPVRRKVDQSSFLASSTKGKR VGPYKLYYPTSQRLWKNIEEVDGLVNLWERQLLLDPSGIRHPGQHSVAAVRDGGVASW KANAMNSNLSLNTNSKQQDNDSQQMPFMMSREDMLLYYLPYLSKIMANNHVSSPRGLD RITQFKGIHPPAEEDFLEDDAQEEIEGTNSHRPGIRRLDTHDVVEKKEDQLFLSDDDI ED TSTA_010690 MTGQVANEKGDEVVIMHDHADDHETENENNAKSVTAGILLASLP KWLDATVVGFLIFGGCCGNVFALEAIIKDEPAAGPLITFVQFVTVSLFTIPSFLSWSA GASSLFMKAPVIPLRSWSIYTLFFVTVNLLNNWAFAYRISVPLHIILRSGGPVASMII GYLYNGRRYSRMQIFSVMLLTLGVVAAALADAKAQGKAISLSSGEEEGKSTLTFVTGF TILALAMLLSAFQGVYADRLYATHGNTHWREALLYSHMLSLPFFLPTYTQLSSQFRAF MSSPSILADLHPAAAGSLPQEVIMNDAVQNATMSVSRHIAASLSSGVTPSSMAVVAAA TPSALTEHSSNLLAQSGNSFSSILSASTESVPRDMITIILNKTPSKIFYLVINALTQY ICIRGVYLLAAKSSSLTVTIVLNIRKLVSLLLSIYIFGNSLASGVLIGAGFVFLGGAL YGVGSARAKSKKMNSAAANTELHYRHNNDDTKGGKRGVPSFFSAAKNNLSSSSLSMRE TSPTRKRKVKV TSTA_010700 MASLGDDLLVTVNKLQDLVFNTIGNDSLDLPQIVVVGSQSSGKS SVLENIVGRDFLPRGSGIVTRRPLILQLINVSEEEDDSNDAAVNIPHTASSVAAHGEW AEFHHLPGRKFEDFAQVKQEIENETARIAGNNKGINRQPINLKIFSPHVLNLTLVDLP GLTKVPIGDQPSDIEKQTRTLISEYIAKPNSIILAVSPANVDLVNSEALKLARHVDPM GRRTIGVLTKLDLMDHGTNALDILSGRVYPLKLGFIGVVNRSQQDIQSGKSLSEALKA EADFFRHHPAYRNMANRCGTQFLAKTLNSTLMAHIRDRLPDIKARLNTLMGQTQQELA SYGNKQFSGKEHRGSLILQLMTRFASSFISSIDGTSSEISTKELCGGARIYYIFNSVF GHSLETIDPTHNLTVYDIRTAIRNSTGPRPSLFVPELAFDLLVKPQIKLLEIPSQRCV ELVYEELIKICHTCGSQELSRFPRLQGKLIEVVSDLLRERLGPCSSYVESLIAIQRAY INTNHPNFLGAAAAMSSVVQNKQEQEKRVLLAEERKRREKRRLKELGETNGVTAEEEE EQPPESRSRTLPVRGSNAVSSRTMSPHVETGVNSSPPVFGAANTARDSFLNYFFGKDG MPSSGPLPQPTQAGQGRRVGHNTEPSISQSIRRSEPRSPVIPQEEYSAPVSDYGRDVA QFPSENVEPNLTDREIMETELIRRLISSYFNIVRETIADQVPKAVMHLLVNHSKDVVQ NRLVSELYKEELFGDLLYEDDGIKAEREKCERLLETYKEAAKIVGEVL TSTA_010710 MASLNLSTNGPSITKSYQAVVNAAPATTGAAASPTYAQWAVFSV TTPLVNAFQPDAGNKESVLKVQSSDEGELVDLIEDFSEGKIQFAFVKVKDPNTGLPKN VLIAWCGEGVPERTKGYFNSHLAAVGRFLQGYHVQITARSDGDLTPEGILQKVSDASG AKYSATNTPASSEPVKPPVATKPVFTATRSGGVVPPPGARTLAPISKAPVDDDGWGAD APPVTRTELEKVPSAYKPTKVDINQLTSQKQPEPQFKQTPVEERSDVVKGGYQPIGKV DISAIRRQARESGQAGDDRPEPVKGAYQPVGKVDIAAIRAKAQPTPERSAPEPQPESE ERKTLAERSAAFQQPAERLTSLPKPKVANRFGGASNFTGTKAPLPTEFGVKPPTAAPV TSTSRTFADEGGKTPAQLWAERKARERGAVPAPSAPPSEPVNRQVSGDGGWKSSYTGK SWAPVQTTHTGHSASSATARYDGEAASESTRDISGAADVPPPPPLDHTNKPNAGQKIP LPGFPAAPVPTEEEAPEKETKQDLPPPPPQPRSPTPPTPAHREASPIRVAIPVSRAAP ETLVQDAHEEVHSPPQALPIRSLEQVVPDETELEEEQAHDTGRAVAETSAQVAATSQS IRAIVQYDYEKAEDNEIELKEGEYVIDIEMVDEDWWLGVNVHGHRGLFPSNYVEVVEQ ASDVAQQEEAIAHEDHHAQEPEATEPEPEASHHATAGHAETSGHTATALYDYEAAEDN ELSFPDGAKIVNIEFPDEDWWSGEYDGKVGLFPANYVELDQ TSTA_010720 MRQIGKQHGTAAKDKIIRCIGFYAELFQRHCHLSWSDVRTAVRP FEENVKKMWPNLHEEMKGVADGSAQSLVDIVALNVRTEIAFGKFSDGCTSLAWLTKDN AFLGQNWDWQEKQRQNLILLKITQPNKPIIRIMTEAGIIGKIGYNHVGVGVCLNALRA PGVDPNRLPVHLALRLSLECSSASDAVQMLEKWGVASSAHMLIADKHEAFGVETSAKT MQKILRDGLGRIIHSNHFLLPHPGVVDTKWLEDSPFRVDRMRELTDELNDGPAWDMIL KLFEDERNSPASICRYELGSSTFGTLFNIVMDLKGGRVVVRMGKPSQVEEVISMTF TSTA_010730 MDQAASNMSDEQPTEGATGSHLESLSHQDNDTVFMTSEAQSDSA KLMKKEGISDGNPTGLVLGRKRKEYPSEGDDVGDDRSEQPPRSVGQDGSGRTKKPKLE YGNPASHRLPLPMEIWQYIFLFLDPKTLGSFLRVNKNFYSFLTSKAGGQTENSASTQG ILKPMSANSIWSSVRKSFYPGMPRPLANMTELDMWRLIGTTVCQDCGKNDQNNELPTA NLPPWEKGPGTNGVRIFWPFGIRTCSKCFLERTKKDVDLVLSSFPSPLLPGLSFVLLT PSMHMVSSVALRSSNIPTNLHLAKYFSNSEIDHLMNELHHVKSLGSAVLEEWMKGLES MGKRKLADAARWEQWENSGGLHEVKLRYHRKTTNSPPHSHYMHRSKGSSLSPSRSVIA AISPGYIHGTLAPLNSHYRRSGSSPLDSSRSQQIRGERSLRDVDEAKASRRAEIERRC LALHPPLTAAVLSHMDSFSAAIQIPHELTDKDWEYLKPRLLAQREMAESKEIERLQES QLLQAKTEERRQQEARLKEDKQSLDDQWEDAQRLIRDQINLYADEIIKKNWNDGTNLT KDKCAEFAAEVLMHVRTRFYAHIEEEDAIARASGVAVKSDSADGPPTRKLILENMKWI FDNKIKPLTQPLVKELFLCNGCENNSRYYGFEGVVQHYAAKHTTALSSGNVIVFWRAE WPGKPPFHPNPRAAKALFHASSQVGFAQPHGFPRQQGASGIYMGTPEQRHSILPDSSP SSRAYGRSPFKSPYASYMHGPFQPPSPRTSPYYPGYRYPPPSPAMESAAIDPSSAYSS PFNAHVVPASYQTHITQAPHPYPSPAYGSSSPSNQTLPYKIPQSGNRNSVGYSRTATN PDKYQYQLDNMARVAREVWNATSGIKDLPNSIRAHVVVYHVATTMELVLRTDVSIALF TDGLHNRPQMKPIRGLNGLACKSCADRGSSSELSSHPLHSRLYPFDALLSHFRSMHLQ HVKTGVATGFQEAISGRNWKTDMIALPDTSALKLLPHNPGMDNFKLRIIAQALPGVFH PPLAEIPPETFLTKRSPAMYQAIMADQRPNYPSPTVHHASRVDYSPISGPLEAPPDNV PLDAVSERFHYSRDPVSRHDSPRALPPHDDGHIYSRGTHYLAQSPRTVIVRARSPRYI RLQDEWAFREDNTDGLTSGHHDRYYIPISTRSTISEHSEYGSRPVLSDPYEGDIRVER QANPPRQSEGQEVSEGSILPNHSASVVIGHEDSQSNAGDLSAAEQFLNTFVPGQETSS ELSRNDSSSSISKHTGSRRRIPAGDDSYYSQDDTWNQRWSDNPGVVRGHDPIPREGRH RGRSPEVIQLRSYGRKPSGGTAASITMESSKLPPHAGPSRFDRYEALRQESLRMGSRS PSIAKEDVSRDAPPLYYDDQDPRVQQSRLPPQPQQHMGDRERDPSSRAYVRMERENSI STNSRRNFRTGSAVRDSAYYDDSMEY TSTA_010740 MNPVEDGNRTNKVEDDDVANVDNDDTRSPEDHSNDEDNDGVRDR GILPRDRQKRTHSYNYAYEKSMSHAEAKLFYQRHQEEQQAASRGGGVGGGYDGGDQYN SSRGSPVLSHHSVPVRATTAAGDDVPPSMTASTGSLKSGAGFASSTSLHGIGNNQMAT TETSTAHYQNPIFSRMHEDSVLAADHSARNHMLQPIMPQTHQSSSTEVGGATYSLPAQ GVYGVGGGTGGGMQHGMEGFLPSDDAVNAELEIVCRKIQNLLDRRHKYMQLSLQAPSC NPKDSPEWQIYPPPPEPVWDAEKERAKAAAEENGVPNGGQQEQESEASRTRKKRKMGQ DIGEDFDINELLPLPGASRQVFEFDSSSVYQVYENEDEKAKRNPIVQIPSLRDFYMDL DVVSEVSTDGPVKSFAFKRLSYLEGKFQLHTLLNEYQELADSKKVPHRDFYNVRKVDT HVHHSACMNQKHLLRFIKSKMKKSPDEVVLFRDGRHLTLKEVFESINLTAYDLSIDTL DMHAHKDSFHRFDKFNLKYNPVGESRLREIFLKTDNFIKGRYLAEITKEVISDLESSK YQMAEWRISIYGRSVDEWDRLAAWVVDNKLFSPNVRWLIQVPRLYDVYKASGMIENFE GVIKNVFQPLFEVTQDPNSHPKLHIFLQRVIGFDSVDDESKAERRLYKKYPIPRDWNT KQNPPYSYWIYFMFANMASLNAWRQRRSFNTFVLRPHCGEAGDPDHLTAGFLACHSIS HGIHLRKVPLLQYVYYLDRIGIAMSPLSNNALFLTYDKNPFASFFRKGLNVSLSTDDP LQFAYTKEPLMEEYAVAAQIYKLSAVDMCELAKNSVDQSGFELALKQRWLGPQCYLPG VAGNNVAKSNVPDLREAYRYETLLAELSLIERYAGPRNLPRAKGAPTERGTPISASIV SLNNALGNDRATSGQDPHAFTTSATTTTTASVSQSGSPVIQPRALGQYHRNRPSNSFS QHSALLPEPVSPELISSSPSLATTGGNLPEQRIIPGLVHERTRKGSNYSLSSSGAVTA ATRADLLHSQSPSQSQAVSPRRFETDTGGDESDYGVV TSTA_010750 MASDARRFKPELVESSSRSRRSQNTHHADKSRAPVNSPSSTALT DSSIANVDERRFTDKAITLSTGPGKPRRFLPQPMETSSRSSKKQSDAPRHSHGNEGLI HLPGAPWMGNNLPSGQRARPLPQPVENNSEPGKETTKVVNSARAPRRFFPEPVETMKL SRRHLAKTDEHEASSSPGQNISTCQTTPRKFAPQLIETGTRSVRRAETLPIPGSPRVL DDSFPANSPPLQPHSPPASRSQQIVAANELSMVPAESRFSYASLLQQQEGRRHSFRVP DLPAIPSNSSESSDDSGTPSLSTTPSDSSEGSTRNRFIAKTRSRESCDERFSGYLLSL AARSAEKELRDQALAAFPNEQVHQPVAHFAIDGDEEFGNEDDEIMAVEPQIVSRIRPL LRRGSTADLPWELEQMRRHKEKAEMKNLGVKKIDAHESKFSAAAIASRQPKIPENEAA VFPAWRRDIELVQMRHAASPPMLGDDIVFPFSVSPQGTATETDHLHINHTDSETAGDA DHGGGLWTDHMHAEHEETPGLWMGLCLKDGEDEEDSHLPRTRTGIATPRPASGLRSTF DSDNMVDDEVLSVSDISEMSSDPLDAMDVEPDEDNAIEREFHDGFVTQVYNYLSLGYP SLARYFDHELSKISGIPVSDLRKDDLLADAKGYVVCVTSDKNGNTSATPENCMRWKAL KLYIHEWARQQSGTGIFNDEELDAWGVIERRGSWAI TSTA_010760 MQAADIPRSYKEAMATRWVIRGNIYNRHEHLFGDKSALAVTSST KLILSAAAAHYGWFVAQTDAITVFSNGKLSQPVYMKQPTGFEQGERGVLVCRLRQALN GLEASARIWYNTL TSTA_010770 MIINRETEWCVLKSAGIKKFAAQPRVNPALTDETSGNVIAATFS EKEEVFRHRAFPQAPESGSAHKLVNEKAVKDSLFSQGLEKAPGTDLLKFRAIRLLWNL DSERVVSLTRQCLRLGIHLCVWKTAKGVLLRKNGKTNYTLASAYRVISLLKCLGKVIE KIAAELITSFAEAQGLFHDGQFGGRTQCSAIDAVACLVEEIHQAWANRKLAAALFMDI KKAFDHVILAKLIEVLREAGVDGDLIRWVVSFLSDWRVTLVIDGHVGKEASISSGLPQ GSPVSPILFVLYVHGLSRAIESRVPEVRGLSFVDDQGLITAASSVKETCRTLEKAAEV AIEWGVTNGVQFDSKKTEATFFYRRHRRQVAENVSQARIRVGGRAGAGVALQAVPEGP WEHVEVPMGHRYEVFDAELVGVAIALEWALGRQLLGPIWVFLDAQNAINRLKSTRPGP GKGLVLRAHRAAKKLAMRGQLVTIQWVPGHSGIEGNERADQAAKRAASKQTAPGFEHL SLAVRSLSLNRLASATNCSKPCVALGSANSLASELSSAAVGSGTPAFLRARYKACLVR LLTGLALR TSTA_010780 MDIKKAFDHVILAKLIEVLREAGVDGDLIRWVVSFLSDWRVTLV IDGHVGKEASISSGLPQGSPVSPILFVLYVHGLSRAIESRVPEVRGLSFVDDQGLITA ASSVKETCRTLEKAAEVAIEWGVTNGVQFDSKKTEATFFYRRHRRQVAENVSQARIRV GGELATVKPTSQRTTPSVSKAHTKGYGASPTPLECRALVARPENMGTEVPSSNQ TSTA_010790 MLKDEALSYYYLNKDRWETESVDPPKAIKKYFEGPERERLKQEE WSRLTLQKVIDDPKNLDKSLRECLEIMLNELQKLFYCLPEIMRNDIYYQHKLVKATRT HPSCDWATAQPPSTLTGLIQSLRTNVGQYQDRKQVVAQNRTESKPVDTYYTDRRYHKF RSKSPYRNRRTRKFRDPRRNKRCYVCNKIGCYSTKHTQEERDEAKKRYLNRVDQYLAD YEGANDEDSETQIDIDTPTHEPPRRRNWAATDIKVLQESLSQLIAPRLVNASKSYIEL STVAFTAAIRKAVDQSVPWARPSAWSNPDFTPECKEAVRTCRQLRRQFSNTHNPWIWR AYLRARNKKKRLVKKSLRLGHRRRVQQATEQGPLGLWKLAKWARSRNGAYESGITPTL QDLDGYIAETVEAKTQLLREAFFPAIPNADLSDITDSQYPSQIEFPEIPRHEIEYVIR STPPDKAPGEDGIPNSF TSTA_010800 IIDTLYEIFNACVRTGYNPTHFQRLITVVLRKGGNRDYRIPKAY RPVALLNTLSKFLEAIIAHRISYAMESEGLLPSSHLGGRKGISTDHAIQIILDRI TSTA_010810 MKKRRLGHFVPWVTAFLTNRSTKPIQLRDEVEIKPKEAVKYLGI WLDTKLSFDTHRDEAIAKAGTSLEALRGLSGSTWGVALGSMRRIYQAIVIPQMLYGPA AWFQPDLMSQRQIIQTVRKFTTIQKRAACLISGAFCTTAAEALNIELHLMPIRLQLDQ LTKAVAIWIRTGPAFAIPDGLVNRRTSDELKLGGYTPMEAHAWKKGGCLLAPPGTLAG KWES TSTA_010820 MNLRIVSGQTYIQGCVDSLRKCTDEDIDVTLRWIPGHEGVPGNE AADRAAKRAALMGARRQIVPGDLSSEGWTILAAAAKRRIRQSTKDAWERWWDKQKAGK STKKL TSTA_010830 MECPLYENERGLIWSRIKGFRHTTDLQALLKEKKAAIAIAQFII DTRVLDQFREVDPEAVGTYESAEIAAQLEPANDKDTDVGTSSVLCGAPLWRTTRGWAA DLLVPAGLGTHLDSGS TSTA_010840 MPPIRNKKSKYLIEQEGRILLAISDFQNGKISTIAEVARIYNIP RTTLRNRLQGIQQRSLVRANNHKLTQSEEESLVKWVLDLDRRGLPPRHSLVREMANYI LLQRGNQQVGENWVTNLIKRRPEIESKFSRKYNYERAKCEDPKIIQGHFDRVRDMISE YGILPEDIYNFDETGFAMGLCATAKVITGSDRYARPKLLQPGNREWVTAIEAINSTGW ALPSYVIFKAKKNVRLGWFDELPDDWRINISDNGWTTDQIGLEWLKTHFIPLTRDRTL GTYIMLILDGHGSHLTAEFDRTCTEHKIIPPLDVGCFAVLKRHYGQLVEQRMRLGFNH IDKIDYLTAFPSARMMAYKAQTIRNSFAATGLVPFNPDRVLQHLNIQLKTPTPPPSRS SNTASSCLQTPQNIRQFVRQSTTINKHIDERTGNQNQEINQAVIRLSKAYEILANDAL LVRKENRDLRAAHEKEKQKRKKSNKQISIEQGITREEAQALVQGQVEASQAVTTTTAE PELPALQAAVRRQYRCSGCNVMGHRINQCPSRTSS TSTA_010850 MSISKILLRDSIRNTLRTRGSARYELRASQRRTNDPGAISPNDP TPAEAANTERSPRAPARTATTRRSANSRSKSAAAARKRVAEQSEPELISPTSGDPTNR SSKKPMRAQWDKDLVIDVDPNSEPRTGPETQIKYTYNTRARQNTKPPLGTPVLQSDIA PLEILHVQAVRTIRRSKSVRTIPDDDSSEDELTQPSIHEAPQEPIEPAQEADTLMTTN LEDSTWANNQ TSTA_010860 MRHDLFINNDPDEPTRPHKLRDSTASLETSTEPRVSIIDLTISS QALGPLSGWEIESQRLTPSDHVMIWASWEPPTTASTEPTRKEVTGWQIEALLGNKKAL QEAKDTWNELAKTQPILTDTTSTEEVKREAEWIERALTEVLNKHCKQIKLCARSKRWW NSEIEAERSAYSKAHKAYQAGEISEEEHREARKGFYSLIRRAKRECWEGFLQGTSEGS LPDQKRCWTALRYTKPQTQGTTPALTDEASGEVIAATFSEKEEVFRHRAFPQAPNSNM QLQLPERGSAHKLVNEEVVKNALFSQGLEKAPGTDLLNFRAIRLLWNLDSERVVSLTR QCLRLGIHPRVWKTAKGVLLRKNGKTNYTLASAYRVISLLKCLGKVIEKLVAELITNF AEAQDLFHDGQFGGRQQRSAIDAVACLVEEIHQAWANGKLAAALFMDIEGAFDHVILA KLVEVLREAGVDGDLIHWVISFLSDRRVTLVIDGHVGKEVPISSGLPQGSPVSPILFV LYVHGLSRAIERSVPEVRCLSFVDDQGLVTAASSVKEACRILEKAAEVAIEWGTTQTS SGPKRISSPDQGWRRVDLCKSHGTGPMGLSMGVHGRPMGLKPVSMGGRYPAVHGQGFH GTVHGTANSANIDESAVCIIYAKLVRIFK TSTA_010870 MSQLSRFSDAGPSSFLGSDIPDDFTSYSTTTPATSLAQDQPAIS FESFLSTTDTGPPIPESLQLVGPDRRKSWVLWSEMNKDDFISWWMGTQFPKCYPNKKI NWEAKKQSSCWNNYDQVANHLTGDPQVMCKRCGKTLPHPQKTSNGTNSMKRHLSGAKC VKAAFDTTRQQNIQESLQFATIKVFNDEDWTQTQIELIANSHLPFQFLAHKGLVNLIQ YARLAPTMPNLLSPTTARRQLGLQVKRRQAEILSHLPPGAKISVALDCWTSPFQQAFM AITGYFIDKNWQYREILLGFEPLYDRHTGINLSAVLLETLQQHDLVDRVLALTTDNAS NNKTLLRAFNDAIESPDIPEELRLVRIPCLAHVIQLSLKDLLCLMKVNPKNDNPDRAW SDEEAERLRQIRREKGISYTLAKIRGLAVFINASPQRRTAFLGLQAKEPKLVPIQDVR TRWNSTFLMLRRAKRLQAIFDRYCIEHNHTQFRLNAEEWRQVDYLICITHPFYQWTTA LSKVKDVTVHNIFRVYNLLFDHFESSMRQLRRKRVPWKQEMLTALDAGMEKLKAYYND TQEIHGNLYAIGTILAPQYKLQFFSTSEWADNDFEWRQTYHDFLKDYLEHYSKDQSQS QVSLLNTSSASRVDEIERLFDCGSRRMTQTPAKATTNELQEYLDSGTVKKKPCDFWKE MEDEYPTLARVARDIFSIPATGAGVERLFNSARDICHYRRGSLNSTTIQDLMMFRCIS KFDIKVEDDREDIDIPLEDRQQKDEAREAELQDIVPDPISDHEESDSEENDGEENNGE EVIQLTEVVEEATTVSNPTMINKKGNALPQPSKRALGKRRMISVEEHTDDEALPGTGD RASRRVRARLGRKDDGFDYY TSTA_010880 MDGRDFLLVPWDLQRSRGELATVKSTVRWLGILLDNQLTWKSHY NARIKTARNTIIRLNSLCRANGLPPALVRRIQKATVQAQLLWGAEIWWQGQKTWAQRI QILINKQARAQILPVTLRHGDLHAQPGEQPLDDREWASRDNKVLNRLGQRLAKHLAQR LNRDPSGGIERTERCELKSFPGSIRVLDKEEALTEANQQRAGTTFWSDGSRLDTGRAG AGVTLQAVPGGPWEHVEVPMGHGHEVFDAELVGVATALEWALERQPLDPIWVFLDAQN AIDRLRSTRPGPGQALVLRAHKAAEKLALRGQPVTIQWVPGHSGIEGNEQADQAAKRA ASKQTAPGFEHLSLAHVRRACTEARRAAVSEWAQINAVQGRHRDGRVYKMPRGWNLDP VAGKAPKRLASRYYQLKTGHAPIGTYLYRIGRRESPECQACKEPHETVRHVLFECRGR RTGRRTLYQALKKAGVPLPTAAEEDPEARLFAEPRATQGLLQFVAEANLFNDKERTAR EAESSDAWGWDTLEEGGLGVTLEDE TSTA_010890 MAQARMLTHSTGENLHPQQRVLIRAGAQQPPPPPPITNQQRPIK TYTKMEDLAKAAELLAQYIYSNPREGKDNPMIRGFINNVQDFTTTHKSGPEILTDLKR LLVDVQKDTAVLRTRSNQASQSSATTRLSSASLRSAAAPSSRSAGSSAPGVSHTELSM DCEIVVKIRDEAIRKEIRELHPAEIVKRAKRARAHAVKGTPSLPLASHVFIAA TSTA_010900 MAPKEYKKEEEQIAKAVSAYQSGQNQNISKLAREFGVSRHRLSR RVKGIPSRSKRAPTDRQLSLDQEKALFLCIEYLDNIGAPPTNQQIEQSADFLLRKDFT SPGEPRGVRKTWVDRFLTRLPEELHITPKNLWNFDETGFIVGQGKNESVVTKYPKTAK RVSSLSSRESLTVVESINAEGRVIPPLIIPKGEKHMEEWYRRIQDPEWLTAPASNGFI TDEIAFEWLQHFQHYTKPEYTFEWRLLIMDNHTTHLTIQFVQYCEIYRIRLFRFPPHS THLLQPLDGVPFQQYKHVHGRVVNQVARLGGFDFNKNDFFEELRDIRIQTFTPRIIRH GWKDRGIWPYNPDIVLSKLPHPDEAIIDDGNTLKIYGEIDDTIPSSPTTKSISPPSTV TALRRYINKIEKSIEGIKDILEESKPGLVRRIKVVNSSSLAIAGLGELHREDFARLRD TAQRKNNKKNQASDKSLRRTLFKRCESPHKTPP TSTA_010910 MEEFLVNTGIPQGSPLSPILFLFFNVDLIEQILAECPDVIVLGY IDDIFIMTYGTSAAANCCTLTKVHQVAERWERTHASKFAPAKYQLTHFWRKHQMVPKP SGRLDVPLTIKGVEIKPTYSIKYLGVYVMDAQGPETTSFLSVAVSTKKTKCEEEEERG KLALSLEALQIHSVMGWRPYGESGLKRQNFYLDTHLTWEVHVQEMRKKAAKLVAGLSS IAGSTWGTPLVHLRKIYTAVLQPQIMYACSTWYIQGERGFTGAQRAAEQAIQSIQDQA LHQISSAFKRTSRQALEVCLHVPPAELTLAKLAEEACLRIMTSPLRSTLYQIRGQAHR NGLEP TSTA_010920 MPPITLDEVQTAVTNVKPDKAPGPDGIPNLVLQRLLPTIEAYLS TTVILRKPGKPDYSDPKAYRPIALLSTIGKALESVLARRLSYLVEQYDLLPKQHIGGC RGHSCELAIHLLLEETYIVWRESSRVASGLALDAAGAFDNVNHIRLIHDLRKRRVPDD LIGWIESFLSNRRTSITLLGGADS TSTA_010930 MESPERPPGATGDPEIIGPGSGVDSSTPSQEPSQLPPTTRALFA GLGDCQKQSNEPTLSNSDALQAPRQTGEAQQAPGTILEKRKASRLTIGSRTPITRSGL SAAPKRKITLTAMRAASATAEDSLVMGLIEDLNGHLQEAVHQLSAELTTARNVINTQQ GLITTLNARLESLETYVNALQSRQILPLDPFAATREVAAHGPPPRAASMGGLASTPIQ LDAAPESRAINSTAPQPPPRYQNPTKATKQAIQPPEGPKKVPGTAAKTTKQPETTAKP LTKPAPTKWAAIAANNTQSGGWKTVQRRYPKDAPTALKADILLALNRALAKAGFPDFV RAVDSGYAASGALTVLLERGTRSSTLVPVYNDTLLAAAVPVDRYMYNDQGLALAQEEI ELGTLYRLKREPTWLKRAKTIQASNQRFATIVITVGSLEEARTLINKGIKFGGRHHRV APYWESNPESICPRCCGIGHSGFMACGGRSPKCAICAGDHEAIEHSCIVVDCRVGPAK PCKHTVIKCANCKGAHEATSPKCPKAREARQRAIRRMREQSLQDLIPLDETFAVVPPK PVLTSEERSGQSLEEETSTPEEDELLPKMQLEADIHEGNSQQPLEPELKSATEAPQSE DL TSTA_010940 MVPKLSGRLDIPLTIKGVEIKPINSIKYLGVYLDTHLTREVHVQ EMRKKAAKLVAGISLIAGSTWGTPLYIRGGRGFTSAQRATEQAIQSIQDQALHQISSA FKRTSRQALEICLHVSPVELTLAKLAEEACLRIMTSPLRSTLYQIRGQAHRNDPYMSP LHQLETAINRKLGLLPWWEPPEARINDTREKAIKAIEAISGTDTTIQFFTDGSSFDNG IRAAVYSSIGQAYKPVGSSNTHTVYAGELGGG TSTA_010950 MEKAQERQATQANKKHQPINFSVGDMDTGWPGHKLGHQQEGPFP IVQQVGHAFELKLPKGIRVHPIFLPKKLRLAAIKEPIEGQIADKGPELRINGQLKWEI ERIIASRISRKKLQYQIDWVGRDPDPKWYLAGYLKNAPLALKAFHDGNPKAAGPPI TSTA_010960 MVDCARCRLLWPEEVSLKEEIQAKHHECELNCMKKELEKASVKS TPTDITSITVREPKRVCFKEKYPKADIALIGAAEFNRSVKSKEDEVFITSLSKIKKAI EDKERPGEDHLEEEEIKQHLPEWYHEFADVFSKIKSNKLPERKEYNHKIELEKEVELG YCPLYRMSAEELYAAKDYIVENLDKGFIMLSNALFASPILIAKKPRGRLRFCVDYQQC HGPGSTLVKQRCVVIR TSTA_010970 MSILQHAAPASAKIIVKNERRAPKNCGNKGGNGSGASKDDKKNK SRSDKLKDDKGAAKISWVEKKQLIAEGKCFNYKMKGHIANKCELNKKDIPNLKALEAA KKADVEESSESENDNA TSTA_010980 MVKEPGQDSAGKALSADAQKNAKQKQQHLTLDFTDSLEKEFGAA AVYGDGSLDFSVFQKLYKNHPEKLFDYVCKRIEDLKQIVIKARGQDAAKDSKYDEEVS SWQEQNAKLQKQKKSTKLPDGKCFSGGDDPKFASWLINIENKLEMNANHYLIVLAHMQ YVKSIYEEAAAEHLVPRLQKDSLERYCDANDMIEHLKTIYHNANSVTKAKRELRQLYM NDTKF TSTA_010990 MAKSPNEPNPVVASFVPTSKGSEASTKEPEEPRKPDIKGKQKAP NRRLTFDIPDDKDTKQEGIIDTVGDDIQKDEFIEFINENGPKAINKELKIPDRKKLSN GKDSRYESWKIDMLGKLHAQALQYNTPEARKMYVKSMCEGDAADHLMARMRDDTVNPF NDVD TSTA_011000 MGPKRGEKGTPWPEPRFADDPDIRAYAAAAIKDLEALKKHQETM EIGMPRELSTAVNTPTNEQLAQRLAKVELLHVEKTQKEVSQASQEITTTKSNTNRLVE AICHPRDAYHEKFFQLQSRDG TSTA_011010 MVVMPVPALIVLTPNFSIDGVYSKVHVYMALRTNPYLNQLEAAL GDNHAGTWIGIRPHTFWDDLVPIVNDIRAKKVDIVVTLGGTSIVDGAKAITYALANNV RPVEDMRTIVNPSPDDIARQDKFLQRDGIGNAPTVPLIFIPTSLSGGEYFKFSGAPTH NEGCLPHGIGYQLGPLGVGRGHTSCIMLPAVMKWNVARASEAQNDILGQQEKLKRVFL AEESVTSVLREANIDVKTCDVGDILRAVFNKLRMPATLEVGVGRDRFGTLARNSLNDA FLPTNPVPLLEKDVDKVLEILELVAGDA TSTA_011020 MAEKDVKHFGPSLPPQSEIARPADTTDDEPTVTVKSPKVVGPSR PPNHSQSDSEPQPEDSDDSSDDDFGPQLPPAGGTKPDTPKYEVDSRPPRRVLGEDIDS ATTKKRQRDDWMLNPPGNSSWASGMNPAALTNRKFMSGKSARGNRSTEGADSVWTEDP AQKRQRLENEVLGIKSEPSARSAGSRDLSSRTDSATADRLKSLTGKGGRSSLYESHQK DTQKLKEDDPSKRAFDYEKDVAGKTSISSAARKKLLDQASGYTSRFTGGKYI TSTA_011030 MPSLDSPLKRHAESDLEGEPPIKKLELLHLGSTVSADEQAKQQD ELTDSDNVMMLDDTKHTSYIYDIERELASIEAEEKRISFLPDIEKALNAIPKSILSEP KPEQNELVLYRVPRSLTVAEDQDNVRKAIIESRARARARHTERSTYSPSIVPPSDDRD NLVFTFEADDVMDVDSLQ TSTA_011040 MMGSPRNNLFFHVLLPRALRFPHFSATASNPRYFSRHFAKNMAS SQEKSSQWTAERVRSEFIDYFKERGHTFVPSSSVVPHADPTLLFANAGMNQYKSIFLG TVDPQSDFAHLKRAVNSQKCIRAGGKHNDLDDVGKDNYHHTFFEMLGNWSFGDYFKKE AITYSWELLTKVFGLDPSRLYVTYFEGNESGGLEPDLEAKEIWRNVGVPEDHILPGNM KDNFWEMGDQGPCGPCSEIHYDRIGGRNAASLVNQDDPNVLEIWNNVFIQYNREADRS LRPLPNKHVDTGMGFERLVSVLQDKSSNYDTDVFMPLFRTIQEITGAPEYQGRFGAED ADGVDTAYRVVADHVRTLTFAISDGAYPNNEGRGYVIRRVLRRGARYARKYFNVEIGS FFSKIVPTLVEQMGVMFPEIKEKQAEVMEVLNEEEVSFSKTLDRGEKQFENYAQQAKS KGLNKLHGADVWRLYDTFGFPVDLTQIMAEERGLSIDEAEFEEARLKAKEASKGQKKA AAETVKLDVHDLGKLDNMSDVPKTDDSSKFDSPRIESIVKAIYYSKNFIQSTADVPEG EQIGVILDRTNFYAEQGGQENDTGIISIDGKAELEVGDVQSYGGYVLHTGFIKYGSLA VGDSVMCEFDDRRRQPIRNNHTGTHILNFALREILGDGINQKGSLVAAEKLRFDFSHK AAVSDKELDQIERKSTEYIRQNCGVYSKEVPLATAREITGVRAVFGETYPDPVRVVSV GVEVEEILKDVKDPRWNDISIEFCGGTHVSRSGEIRELVILEESGIAKGIRRIIAVTG DEALQAQLTAVEFEKRLEKLRTLEHGPAKEMEMKQVQLELNQLAISAVQKAKFRDLFA RINKQVLDDQKALQKVELKKAQDLIKAYFGAEENKDRTTFVTKLPATSNAKVVSESIN FIKSKMADKTVYFIAPDPSGNKVAHGCHVAKAASELGLSPSEWANVVSGAVGGKAGGR APTAIGNGTNVDKVDEGVALATEYLQKFKL TSTA_011050 MAESSSQKPPNPIVFFDIALGGEPLGRIKMELFADVTPRTAENF RQFCTGETKNSHGRPQGYKGSKFHRVIKDFMIQGGDFVNGDGTGSASIYGGQKFADEN FTLKHNKEGLLSMANSGPNTNGCQFFITTVPTPFLNNKHVVFGQVVEGMDVVHMIENT RTTRDKPNQDVTIVQCGEM TSTA_011060 MSSTTSISTTSTAPPTSTSTSGGGGGGGGGGGGGPSSSPLLFFV ALGFGVVFTNLWIIVGVKYCFRYNQRNRQLRNEETGEPIDLTAVPRPHRRRREKKLMS MEDVNERFPLMKYKAWRSSRADRGLPSEGGIAAPTNRPETPKAGESEQNHPDNSSVTA KHIEAYAPTKDHERTDSVVSEPSSPVTQQQISVISDSKEITSTETQPRAADADVISDV HPPDEEDLHDDHDDPIQGAVPAELLPSPGDSCAICLDTIEDDDDVRGLTCGHAFHASC LDPWLTSRRACCPLCKADYYVPKPRPEGQEAVTENARPGGRRTTGRTAAALRPPQAAF IINPFRTRTYFFTRSTVAVPENSDPRSARGSRSEIQPSSNVPPSERNGVRRTAAWVPR LNFWRASNRQSSSQNAGVRTPGQLEAGTTPQV TSTA_011070 MWHTLKLLLPCLAAVATAASSSRAGAILLLDANQESTTPFTRDA VPEDVARLLLQQRMLSTQIITPNNNLVLAKPVLQLLNKYGGNQYNLFQDAPVSEQQKL LVVVEGIVKDSTDLTLQTPNVAMNLPRIPTDFVDSIFMDSMSAWKYCEYKTKSYDDFQ GLQTCLYQHPTFVGSPQKTIAQLLEKLPLSSLEAWSDAAGSKEILRLRFKEHLDDAAM AARFLASLSSLFDSHERTILLVPQQQSSPTRKLNTRKSIYSTSRPESYRVQSRRSQNP GLSSAADFPSTLMPVCHSTNDSCTSSTNTCSGHGVCYLKSGGVKDNDCYACKCDSEWG GPACQKVDISTQFFLIGTVSVLAVLAIAGGISMLFSVGQEELPSVISAGVSSVRVSR TSTA_011070 MLFYTTDAGKLIKDIETADLTLQTPNVAMNLPRIPTDFVDSIFM DSMSAWKYCEYKTKSYDDFQGLQTCLYQHPTFVGSPQKTIAQLLEKLPLSSLEAWSDA AGSKEILRLRFKEHLDDAAMAARFLASLSSLFDSHERTILLVPQQQSSPTRKLNTRKS IYSTSRPESYRVQSRRSQNPGLSSAADFPSTLMPVCHSTNDSCTSSTNTCSGHGVCYL KSGGVKDNDCYACKCDSEWGGPACQKVDISTQFFLIGTVSVLAVLAIAGGISMLFSVG QEELPSVISAGVSSVRVSR TSTA_011080 MIWLRYLPRNPRYLRQCRAFTTGSTRIVSVPVRIRRPWFRRFAT SVLAYGVAFHVWTTLVYLQLDGSTVSESASSGSPRALSEGRIIEGNGKILDDDEVEDA SFIPLSWPRLRPGELYKGSDPEWKTFQEYARDKQKMDTLRKELQTLVLAKLSTQREFV HLLGGKPLRVSDSWLMVHCPYRAPPQYETLGLAIVDNEATLISKPIPREQGQLLTAAL FPSAVASAVLAAGKVLWKRKVQRFKNYLGADEERSTKEAIMKIKNMDIQSDMSPSTNV SLQNFADWEKSLSSGAAEEINRSAASGMSDTTRSQPQPDTSRTPKILSLMQSLSSQYK GSDFYVAYLAFNLHFKRNRALQRKVPPRGVFYLSGPIGIKGGKGECRVEVRGEYDPAE KKWCSLMVDLKDLRPYNQSPLGYHRE TSTA_011090 MSLPPKFKGQKLAAANIDNTPHTIELYLDYVCPFSAKLFNTFYT SVKPIIAKRYSSNVQVIFKQQIQPWHPSSTLVHEAGAAVLKIAPEKFWEFSQALFNSQ KEYFDEKVVNETRNETYKRLAALAATVGVDEKKVFDLLIIKEADEAANKGNGVTNDMK LMVKANRVIGVHVTPTVFFDGIEERSISSSFTADQWDEWLRNNVV TSTA_011090 MSLPPKFKGQKLAAANIDNTPHTIELYLDYVCPFSAKLFNTFYT SVKPIIAKRYSSNVQVIFKQQIQPWHPSSTLVHEAGAAVLKIAPEKFWEFSQALFNSQ KEYFDEKVVNETRNETYKRLAALAATVGVDEKKVFDLLIIKEADEAANKGNGVTNDMK LMVKANRVIGVHVTPTVFFDVSTP TSTA_011100 MADIDISRRNKKPRPLLETERERLEEFIDAIHYSARYSDNEYEY RHVQLPKNMLKKIPQEYFDTSKGTLKLLWEEEWRGLGITQSLGWEHYEVHEPEPHILL FKRPINYQPPAAQ TSTA_011110 MSMRRKFTSTGPEPTALPESKRRKVSDDKADEIETPQKTTKVGL KLIDDIKNATDKSGRIIANNFFELPDKKKYPDYYKVIGLPISLNQVIEKLNKYRYANL TELESDLKRLVSNAKKYNEKGTMLFADAERIRKIVTGTMPKINPAYNDPNYTPFPTPI PDQNQTTETPENDAEEDGDNQEQNVEGDVDADAEDAQPSDQALDQGEEEELSFEGDTI QLAQDKIISEMIHLRDDRGREVGAPFLYKPDKNLYKEYYEIIQHPVSLRSLLKQVRGI EGRKPHSKKTAFPTWQLFADEMEYVWGNAREFNEEDSEIVDLVNILEAHFKRRLAEAK SVVPDDPIDETRIKLKLGTSKTPEPRLTLKFVGQKSSSVDDKTSAKASMDRDSVQRQD SVAHRDTPDSSRLGALAQGSPMPGKLISPSPSRTLMPPTAGVGGYMNPSIGGFQQTTT GWPPGPVVPQQRPSYTPVQSLFRKPNEDVSDALIKNLTIKSHPSLGPHPKFCLSIPAS PVVRQQSAVVSIPTSQSFLSLSLSILPNTAQRRTKLVALFGPQRAPLAPLPQSTPSEL GYDIRLPPGLTKLDFQMVSLRTRGHEAVNGNSHGNGPDKKSFERLTVFFRVVR TSTA_011120 MSDEVYEGAIGIDLGTTYSCVANYEGSNVEIIANEQGSFTTPSF VSFTDKERLIGEAAKNQAAMNPQNTIFDIKRLIGRRFEDPVVKKDIESWPFKVVDQGG NPVVEVEYLNETKTFSPQEISSMVLTKMKEVAETKLGKKVSKAVITVPAYFNDNQRQA TKDAGAIAGLNVLRIINEPTAAAIAYGLGSGKSDKERNVLIYDLGGGTFDVSLLNIQG GVFTVKATAGDTHLGGQDFDTNLLEHFKKEFQRKTKKDLSGDPRALRRLRTACERAKR TLSNATQTTVEIDSLFDGEDFNAQITRARFEDLNAKAFSGTLDPVQQVLKDSGIDKKA VDEIVLVGGSTRIPRIQKLLSDFFDGKKLEKSINPDEAVAYGAAVQAGILSGKATSEE TSDLLLLDVVPLSLGVAMEGNIFAPVVPRGQTVPTIKKRTFTTVVDNQQTVQFPVYQG ERTNCEDNTSLGEFTLAPIPPMRAGEAALEVVFEVDVNGILKVTATEKSSGRSANITI SNAVGKLSSTEIEQMISDAAKFKSSDEEFSKKFEARQQLESYISRVEEIISDPTMSLK LKRGNKEKIESALSDAMGQLEIDDSTPDDLKKKELALKRLITKAMATR TSTA_011120 MSDEVYEGAIGIDLGTTYSCVANYEGSNVEIIANEQGSFTTPSF VSFTDKERLIGEAAKNQAAMNPQNTIFDIKRLIGRRFEDPVVKKDIESWPFKVVDQGG NPVVEVEYLNETKTFSPQEISSMVLTKMKEVAETKLGKKVSKAVITVPAYFNDNQRQA TKDAGAIAGLNVLRIINEPTAAAIAYGLGSGKSDKERNVLIYDLGGGTFDVSLLNIQG GVFTVKATAGDTHLGGQDFDTNLLEHFKKEFQRKTKKDLSGDPRALRRLRTACERAKR TLSNATQTTVEIDSLFDGEDFNAQITRARFEDLNAKAFSGTLDPVQQVLKDSGIDKKA VDEIVLVGGSTRIPRIQKLLSDFFDGKKLEKSINPDEAVAYGAAVQAGILSGKATSEE TSDLLLLDVVPLSLGVAMEGNIFAPVVPRGQTVPTIKKRTFTTVDNTSLGEFTLAPIP PMRAGEAALEVVFEVDVNGILKVTATEKSSGRSANITISNAVGKLSSTEIEQMISDAA KFKSSDEEFSKKFEARQQLESYISRVEEIISDPTMSLKLKRGNKEKIESALSDAMGQL EIDDSTPDDLKKKELALKRLITKAMATR TSTA_011120 MKEVAETKLGKKVSKAVITVPAYFNDNQRQATKDAGAIAGLNVL RIINEPTAAAIAYGLGSGKSDKERNVLIYDLGGGTFDVSLLNIQGGVFTVKATAGDTH LGGQDFDTNLLEHFKKEFQRKTKKDLSGDPRALRRLRTACERAKRTLSNATQTTVEID SLFDGEDFNAQITRARFEDLNAKAFSGTLDPVQQVLKDSGIDKKAVDEIVLVGGSTRI PRIQKLLSDFFDGKKLEKSINPDEAVAYGAAVQAGILSGKATSEETSDLLLLDVVPLS LGVAMEGNIFAPVVPRGQTVPTIKKRTFTTVVDNQQTVQFPVYQGERTNCEDNTSLGE FTLAPIPPMRAGEAALEVVFEVDVNGILKVTATEKSSGRSANITISNAVGKLSSTEIE QMISDAAKFKSSDEEFSKKFEARQQLESYISRVEEIISDPTMSLKLKRGNKEKIESAL SDAMGQLEIDDSTPDDLKKKELALKRLITKAMATR TSTA_011130 MRASLTLLDRTSPVVSKRNTSLASTNRTPFYCPTCARSQLYMLR YENARVLLEKESIGKEIEAAVAGEADVQRRQQHQQQEIEPSADNNTPQGRKGNPKWDV QAAQTEQARSSDRTRTMRQCAETLRAEIEKRKVQARQMKSQLKQRRSDAESANFQLEE RRAAPLANIQNGIKRTEHLWHSLHNKTAESRIFLCREAAYLYGLRQDVSRREGGRKSE KYYIGGVQIIHLRHLNGTSPSQLSTALSNISHLLVLVSHYLSLRLPAEIVLPHRNHAT PTIFTPAASYSSAQDMGEIYHPYGQLKSRDTRKHRARPLSVGKTLPKLVKEEPATYAH FIEGVSLLAWNVSWLCRTQGLNIGSDSWEDICNVGKNMWQLLVSPTTAQNATTTASTT TMRAISNRDIVSVRPKNNTNSQQPKTIIQRTKSFPMLGHYSHGTSHSFLGASDGVEFM KTWKLPTPAKIADKLKSALLGEIANAEWELLEEKEWDDQAEEGRESDVANPSQDADRK TSLTMRTQVPSTDAADDNASTKPMHTSPTITTTSESTNSRPSGASGWTKLKSKQQGT TSTA_011140 MFEGGIQARANGDSFSSLLQDIPIQALKSELARRIDSRAPGGQA DGSTCGSDKAGYYNTPAHVFALFLILILSTLACSFPILARRFPGLPIPRHFLFFSRHF GTGVLIATAFVHLLPTAFNSLLNSCLPPFWTSGYPAMAGFIAMLSVFLVVTVEMFFAS QGAAHVHGKDYDELIGGVSAKEGRKEHKQIGREEYIQLSNQDQAAGESLIQSPTNSTG QSAASASNNEDLDMEELGSYVDDETTPNQRPNPRTKHARQGSTSITQLQNPQRQLLQC LLLEAGILFHSIFIGMALSVATGTSFIVLLVAISFHQTFEGFALGSRIASLIPSLFPP SSFKPWLMACAYGTTTPIGQAIGLVLHNMYDPRSATGLIMVGFTNAISSGLLLFAGLV ELLAEDFLSEESYETLSGWRRVEACLAVLGGAMLMSIVGAFA TSTA_011140 MFEGGIQARANGDSFSSLLQDIPIQALKSELARRIDSRAPGGQA DGSTCGSDKAGYYNTPAHVFALFLILILSTLACSFPILARRFPGLPIPRHFLFFSRHF GTGVLIATAFVHLLPTAFNSLLNSCLPPFWTSGYPAMAGFIAMLSVFLVVTVEMFFAS QGAAHVHGKDYDELIGGVSAKEGRKEHKQIGREEYIQLSNQDQAGESLIQSPTNSTGQ SAASASNNEDLDMEELGSYVDDETTPNQRPNPRTKHARQGSTSITQLQNPQRQLLQCL LLEAGILFHSIFIGMALSVATGTSFIVLLVAISFHQTFEGFALGSRIASLIPSLFPPS SFKPWLMACAYGTTTPIGQAIGLVLHNMYDPRSATGLIMVGFTNAISSGLLLFAGLVE LLAEDFLSEESYETLSGWRRVEACLAVLGGAMLMSIVGAFA TSTA_011150 MSLPPVYIVSSARTPVGSFLGSLSSLSATQLGSHAIKGALDRVE GVKAADVEEVFFGNVLSANVGQNPARQAALGAGLLESTVCTTVNKVCASGLKAVMLGV QHIQTGGADIVVAGGMESMSNVPHYLPTLRNGAKYGHQNLVDGIMKDGLTDAYKQELM GLSAEECAQDHGFNREQQDEYAIRTYQKAQEAQKSGALNAEIVPIELPGFRGKPGVTV SQDEEPKNLNPDKLRAIKPAFIPGSGTVTAPNSSPLNDGAAAVVLVSEAKLKELNLKP IAKILGFADAAQQPSKFTTSPALAIPKALKKAGVTQDQIDAFEINEAFSVVALANMKL LGLSEDKVNIHGGAVAIGHPLGASGARILVTLLGVLKAKGGKLGCAGICNGGGGASAL VLESLL TSTA_011150 MLGVQHIQTGGADIVVAGGMESMSNVPHYLPTLRNGAKYGHQNL VDGIMKDGLTDAYKQELMGLSAEECAQDHGFNREQQDEYAIRTYQKAQEAQKSGALNA EIVPIELPGFRGKPGVTVSQDEEPKNLNPDKLRAIKPAFIPGSGTVTAPNSSPLNDGA AAVVLVSEAKLKELNLKPIAKILGFADAAQQPSKFTTSPALAIPKALKKAGVTQDQID AFEINEAFSVVALANMKLLGLSEDKVNIHGGAVAIGHPLGASGARILVTLLGVLKAKG GKLGCAGICNGGGGASALVLESLL TSTA_011160 MDDLYDEFGNYIGEGGLSEESEAGDVPASGYVYEDLEEEEEAAE AEAADQLMEVDEGPSNAVVLHEDKQYYPSAQQVYGAEVETLVQEEDAQPLTEPIINPV TQKKFSLQEADLPPVFYSREFMADLLNYPDQIRNVAIAGHLHHGKTAFMDMLVMQTHD INERLEKRTGRKRDEQLRYTDVHFLERERQLSIKSAPMSLVLQGTKGKSYLFNILDTP GHVNFVDEVAASLRLADGVVLVVDVVEGVQANTEQIIKYAVLEDLPMTLVVNKVDRLI LELKLPPNDAYFKLKHTIEQVNSIIENVIPGRGESRRLSPEKGNVAFACSSMNWCFTL ESFAKMYAERHSKLDSAEFAKRLWGDIFYNPRSRKFTRKGVEEGSKRSFVNFILEPVY KLYSHTISESPEDLKETLASLNIYLKPSQLKLDAKVLLNLVCEQFFGPATGFVDMCVQ HIPSAVEGASRKLERYYTGPLDTHVAQSMLKCDSEGPLVIHVTKLFNSSDASKFDAFG RVMSGVARPGQPVRVLGEGYSVDDEEDMVNATISDTWIANSRYNVPTDGVPAGNWVLL GGVDNSIMKTATLVAPKLENDEDAYIFKPLRHMTESVFKVAVEPINPSELPKMLDGLR KINKSYPLIFTKVEESGEHVILGTGELYMDCVLHDLRRLYAEMELKVSDPVTRFCETV VETSAIMCYSITPNKKNKVTMIAEPLDDGIAEDIESGRVHIKDPIRKVAKFFEEKYEW DKLAARSIWAFGPEENGPNILQDDTLPSQVDKKLLGTVRDSIVQGFSWGTREGPLCEE PIRNTKFRLTDISLADQAIYRGGGQIIPTTRRAIYSSFLMASPRLMEPVYACEMLGPA DAVASVYTVLSRRRGHVLSDGPVAGTPLYSVRGLIPVIDSFGFETDLRIHTQGQATVS LVFDKWSVVPGDPLDREVKLRPLEMASAQAIARDFVLKTRRRKGLAEDVTVSKFLEPE LWRGLKDSGVLEG TSTA_011170 MRLHGHSEIIEIPILRIESFRRRTDKMAEMQNVNPTILNTADLP TRQRNKSTTKAKNADVAGYEGTVFYKDLALPELTDPFALRSSYSSSESESDDNDDDDN TYEEPIDEQEIYDLVSTISDPEHPISLGSLAVVSLPDISITPSLPRNPSSPLRTVTVL ITPTITHCSLATVIGLGVRVRLEQSLPSRFRVDVRIKEGTHSTAEEVNKQLADKERVA AALENGTLMGVIGKMLETCA TSTA_011170 MAEMQNVNPTILNTADLPTRQRNKSTTKAKNADVAGYEGTVFYK DLALPELTDPFALRSSYSSSESESDDNDDDDNTYEEPIDEQEIYDLVSTISDPEHPIS LGSLAVVSLPDISITPSLPRNPSSPLRTVTVLITPTITHCSLATVIGLGVRVRLEQSL PSRFRVDVRIKEGTHSTAEEVNKQLADKERVAAALENGTLMGVIGKMLETCA TSTA_011180 MQPYTFTPPTGPPREGQKNYVFVDEHNRHKRLKVMRACNGCRKR KIKCDAATTNSWPCTACQRLKLVCVPPTIGQDGDQTTPVQGVEAEQAGFRDHSNQQQS IPHHELMQSNYVNEDPLLANNISPYGTYSSYIPQNHGMYASAQTPEIAVTQHPYQNQY FQMGAPHGLPSADSGVFVDPEQSTAENLSEALGELRIDETGIAPYIRQQRKGLTEPAA PVQDDDENLPPLSTGAGSIIRIPPELMPSNEEVMEYFKIFFQDIHPYVPVIHRSHLYQ QWRNDRSSISPLLLEALFACAGRMSEEPAQGAQWLALATRHESSFMDVPRLSTIQAML LLLKARESNPRKGYYYRSWQTIKTAISMSKDLELHEHYATHREGKSCGLDAVECLIQT RVWQTLLVVEVMVGGPQGRSDYEVNPDTVDMRPQLDTIDTDQFETDRSRQFSYFVKNA HHIRIYTELYHKIKRQKDWASDPRFTENNPLFDEWYRSLPQDLQVTYPNDGSPPWLPS HFVGNMHTHYHLAIIMVHRPQLLASKSFTADGGWKRHMQLCYSSAKCLCRLQEAIISR FTLQGLRFMQRGINFTVYAILTCTMLHLVAITSPDPEFHTDARDYFTRHMRILEKCST TWPLPEIQAQIDALRQAFSADTTKPFELRATFPYGSPSEQYNSSPPAFESQYNQYGQP SPHRLGFTSHSTTPPTGVDDSKSDTSQQTSMSMLRNQFGNPQLSVPLVDENSWDPTPV ITSWNMAFPVDTSTSPQMPFAGTGQAMTADGVPVQYPMQYTPPAGKVMSMDAMQSMSQ ATYEPQPVMTARDWQQSVASVYDPHGLKRRWNHSVDMTDYNVKQSR TSTA_011190 MTPLYGADFAYKDQDLEEGTKHVSLNLPNLEHDLVCTLNFSVHI ASNANIRLTRAFSSGTSHQSESDSKPSLVKRTPVSRPRVIDARSLGTRHAAPNQSNII RAPQLRLRRGTLARGRPGAGGARKTGKSRTANAAAANKRTQRRKRDNEEDGEEVGRGN DLEAVFNEVKNASKPKPVRYTPVNYDMTALKDTWPSLPTGKTGSTGTVVERLNLLSRR YGAGYVSPIELAKRLFDGERVFFTGEEEKKTVMAEVSRLAQERADKLTQRKGDLIEPE DSSFASIKDDEKKALVGQIVRGQYEGWQKGDVRHPVLDEVQRQLYNNETYRMTGKQAE FMGKFQSLLASVQRAKRAST TSTA_011200 MPLATRRYFSTAAKTSKCLTHSIFTVNRPQYSIVLNQNVSRYQT PSSSNRSAITLTHLQRHTFTNSASLSKKKDKNKRNDDVDSSQSTSAAAGAGGGPDPFN FSQLQTGISDALTRLKEDLQKLRSGGRFNPEVIEQLRVNLVKGSNETVRLSEVAQVVP KGGRAVTIIVGEEDLVKPVNSAIISSNLSLTPQPDAHNPLQLNVPIPPPTKESRDQAI KAAKGAMDKAANAVRSARASVHKRLQDMQKKKEARPDDIRKAHDQMEKLVEKAQKDVK DTFESARKGMEQV TSTA_011210 MAPKEDSKQHPMPALKNSPGDLSLQPEDLQKCSWDQLLEMFSSA LKEHEQLDKDLQHQTMELLKIFEIWTQVTVSRDEDRSYKRFQTRMQHVQNSERELEEK KEHYANVVKAFESALALLK TSTA_011220 MTDRPSNEDKRLLERLNALKPSTVQLERRKNVVLNTTSPLDPFA GYEDSSAGSRSKLDDLTTGSLFAEDDILAPESLLDGDAIEDLLASLGSENNQISETTA TQFGNNPKDDKNHARELLSDAYGFIQQTSTTEPEDRSPHTLKRKKDDDIPDFLKDIDS SEEEEEEEEEDESDEENDEAEAAKYVKNLLKEIQENPPPTSPGKDNNSTSPTGFEVEE NDDDLSSRLAALSLPSAPDTRPGESARKDDESPDCCCICYDTAKMKCLDCEGDQLFCV RCWWEMHMDDGGDSGHKAVKYERSS TSTA_011230 MAGIQSSLIWIVYAIVVAILFAVASIFIYIYQTPRDRSPLVTIV SIITITALLATVLLQPVDVALISSTTSSKLGRRKDWASQDEVDKIVFSLRVVYYLLYS LDAVLCLLVVPFTYFWYEEYDEVAVQEGEQTFGKRFWNAFKYTIAFIFLAVILFLAGF FIPIRGISEDKDLDFFKKLLTENHGERALTFALGILLTLGTCLYVVYTSTGFAFLPIT LIKTAPSISNPTLKANTASQLESNRERQRQLNARCGGDTDQLSSKDRREFDQLVREER TLIRRQRLIEEAQGEGRSWLIQAWFKIEAVFRPFKLLGGILLLIVAIFIWVSMLLTAI DKANNSVCKHNCGYILGHINVFNPINWIFVQSARVFPVDYVLFALIVLLFFSSSVVGI ATVGIRFLWIRIFQIRKGHTSPQALLLATVMLTLITLALNYAMSMIVAPQYATFGPQT FCDKPINHPGAQADCSDDKDKDLIKPCTELADNPAAKEVCTPSVVSTFFNRVTLNFPY FGVIIFWAQFVFLGLYLIILVTSLFRTPKLDEHQIDEDAEEAEEEGLLASTGRRFNAT WQDIRGEESA TSTA_011240 MQCYTEVLPPSGVTHAVSAAFTSATANNLVVIKTSLLQIYNLVT ETVTPSVLENGQRANDNEKRNETTKLQLFAEYDLHGTVTDISRINILNSRSGGDALLL SFRNAKLSLIEWNPEIQNISTVSIHYYEKEDITLSPWAPDLSQCDSHLTVDPSSRCAV LNFGVRNLAILPFHQAGDDLVMDEYDPDLDMDDFTGQDKNTSHTDSKKGTEKDHTHQT PYAASFVLPLTALDPTLIHPIGLTFLHEYREPTFGILYSPIATSAALLEERKDVVVYS VFTLDLEQRASTPLLSIAKLPSDLLHIMALPAPVGGALLIGSNELIHVDQSGKASAVA VNEFAKQVSSFPMIDQSDLGLRLENSVVEVINKECGDILLTLSTGELVLVHFKIDGRS VSGPVVCPVPTNSGGDVVGATASCSISLGSGKVFIGSEDTDSLLLDCYVSSAVSKKSK DHGEDQFDEDMNDEDDDDMYEDDLYSSAPKEAVNKAVSNGSASEDYSFRVLDKLPSLA SLRSVTVGKPASRDSDAGNVSQSVHELELAAAYGSGRNGGVALLQRALHLDGISTMNG ETADSVWNINTSTKSGRNDPSEGESPSYVFLTKSNSTDNEETLVYAVNGSNLEPFSAP DVNPNGDPTVDIGTLAGNSRVVQVLTGEVRVYDTNLGMAQIYPVWDEDEGDERFAVST SFADPYLLIIRDDSSVLLLHSDESGDLDELSKPETISSQSWLCGCLYTDKHNVFEDNA TGNTYMFLLNQECKLFMFRLPTRELVSVTEGVDYVSSILSSDQPAKRLNSRETIAELL VADLGEISTASPYLIIRSATDDLIIYKPVRENSKDEKTGVTLKYIKESNHFLPKVPIE AAATDTQQRMPGLRRLADIGGYAAVLMSGASPSLVVRTSKSLPRVFSIQSDSIRGISG FDSAGCEKGLIYVDNEHVVRTCRLHDNTQLDFSWPIRKIPLNEEVDYLAYSTVSGTYV VGTTHEQDFKLPDNDELHPEWANEDISLRPKVAQGSIKLLNPKTWKVIDSYTFNAAER ITAIENINLEISEKTSERKDMIVVGTTFAKGEDIAARGNVYVFDVINVVPDPDEPGTN LKLKLIGEESVRGALTAVSGIGGQGFLIVAQGQKCMVRGLKDDGSLLPVAFIDVQCYV SVIKELKGTGMCLIGDALKGLWFTGYSEEPYKMTLFGKDLDELEVVTADFLPDGKKLY ILVADSDCNLHVLQYDPEDPKSSNGDRLLNRCKFHMGHFASTITLLPRTAVSSELAVM NSDSMDIDSYIPLHQALITTQSGLMALVTSLSEESYRRLSALQSQLSNTLEHPCGLNP RAYRAVESDGVVGRGMIDGKLLMRWLDLSRPRKLEIAGRVGADEWEIRADLEAVSGAG LGYL TSTA_011250 MFRLNPARRIHGAARHIILSDIASSQFTRRGFTSSIPRSQQPQP PQLEKSNTFKEGISAYRPFGAPFLKVFLGAIFTYQVIYYAWMKLETSEKKFLKNGLEK EAKKLAAEAKS TSTA_011260 MARHSSKRTLEEIANKSDSDDYDYSDHATRPSRSARKSKKRSKP VKKRRRRDSDDDDISEDDLLSEDDELSYEESEEDDGNVEKNARGLRRRKAVKNRPAYK EDSDDEDELDEDEQDEDEEEEVGKKPPAKVITLKLGKAASKQATLETNQRTRRSTRHN RDPSEDIYALTNSGRHVETIEEGIIAAAQGPLTRRASKTVSVPIHEEDENDSSNVKSV DEAKASQLEVLESDPQNEYEDGPSNEQDTAENGDVEMADEGVIPESDNEAPKAEGEGE GEDEDDEDEGPVTRRRNRPSQKSPANEPDSQADSARGRSSRKRPPRSSQRKQDESSDF EPNEEESEDDDILESPTASPRKADQTVQDDDSSNGRRPGLRGRKSQSRGRDSEVYVDE AEELAEELQDLQPSRRRRRNVETITYEDKPRRSRKDVDYRLIRPDLILPIEEEEGELA VNESPSRRGRGAAGSWQRTLFSTSGPFGGGGPAAILGPPGAPGATANADSDSSDDEVM QVRGGLGAPGALAPGLGGVGLPPAQAHNAEAAAPGLSGTPANFGKVKDKQALADADPL GIDPNVNFDSVGGLQGHIDQLKEMVALPLLYPEIFQRFHIVPPRGVLFHGPPGTGKTL MARALASSVSSEGRKVTFYMRKGADALSKWVGEAERQLRLLFEEARKTQPSIIFFDEI DGLAPVRSSKQEQIHASIVSTLLALMDGMDGRGQVIVIGATNRPDSIDPALRRPGRFD REFYFPLPNKEGRRAILDIHTKNWDPPLPEPIKDELAELTKGYGGADLRALCTESALN AVQRRYPQIYKSSQKLLIDPKTIEVTPKDFMISIKKIVPSSERSTSSGATPLPKSVEP LLRDRFVEIKHILTNIIPQSKRLTALEEAEFEEPHGGASFKREQMHQEFETSRIFRPR LLIRGAQGMGQQYLAGAILHHFEGLHVQSFDLPTLLSDSTRSPEATVVQLFAEVKRHK PSVIYIPNVQTWYDTVGPLVVSTFNGLLRSIPPTDPVLLLGVLEDGEDSQDPAMLKSL FGYSQKNIYALRPPDQHARHEYFGRIMDYLKTSPHDFPDPENRKKRRLELLEVAPPPA PKPAPPLSKEQLKAQKRKDRQTLNLLKIRIQPIMDQIKKYKRFRTGVIDDSQIRYLFE EDDPSILTSDLPVEQQTVFRPFEKAQDKYGVPGLRETATGRFFYNLEIVTIEKRLSNG YYKRPKDFLADIKRIAKDARTLGDQERLLRANELLTNVEVDIGGIEQTDPALVAECEA VYNREMERENEAMERAKKTNTFGEMGPPPNSNVPHGNTSSLSSGPIVLGQPFVNGARP TTPSRLSNVVSASNGHHGSGSDLNPGDTSKSDQSNLFRGEDGDTLMTNSDELDFSGRH TANSSFGQSAQLKHPLSNTAPSLQIRRESGLSSLSQKAPMTPMAPGSQPGDYSNDAST TQTTSDKKTSDQSTGPQLHTQSPVTGSAPRVEFPDLTSYPDRISQEDHLPDTQQDFPF SQASHVNGSQSQPRLHRPGSAPGAPQPHTAPPSNIKSLLNNEGQSPHLIIDHEYIEKL RTELTLRTSGCTVEQLEQINTHLMDCLWKKRGEWDRTKVAAALQEEFNDVFQDMQENQ EFMSMSQLTKEQLASRGGVVAPQNL TSTA_011270 MVDWISLAIPFAYLGILIGSLATFSSLYRKRKSARALSLAPWFP AHLQRDIYFSLLHLEQPAATNEKKTTAVPETVLKAALLRRAAEDIKRVIAIRDQKQAL SLLLQRGSVGDDLWQRFLRAEKEMEEEVRDVVQEANAYVPNWGQVIFQSAREMDQNNL FRQRIEEYQSKVGEEVEWWTRRRAEIQEGFMKELDAEKAGTTTPAAAAAVTTAASATP AKAESTTVTAAPPSVTAVSDDDGVLVEAEVPKINSGTSSVKKKKKGKK TSTA_011280 MLRQVIQGAGRLQYQAGSFKFSIAKTPILFCSFSTTASQAIRYG GPNDKIRIFEQPSRTSSKRVEIDPDAEATDERKELEIQLAKLDKELVELSKGPFDPDG PFIQSLPEKERIAALEVIRKFEAEHGPMSDETSLEQIFDKDLDDMIKKEFEQMAKEEE DIYDMSKPAESDSAGRGGDLSSMHPYELRFRKQLELHVDSSEKANAQELWRWYQRCKD AIPSFLPTLEQEIYQLLWNIQLQGQVSPTTRMTHIRTLVEDLNTAGKALLPNQVLEYI NVLHEGGDTENALQLWEESQAIVSQGEGDINAYWSTGVRLFAAHGDPQRAQDIAFAFL ASGGSRNARILIPIATAWTKQTSSQAATKAWAIYLQLKTLLGTDMKMEDYDALSTAFL NDGKVNLALAVFKDMMITGKDPANDSTAIFQRAIGLKGPLRRSNIKEEVVNKISLSAL TFLPRRLQSKFFYASWMKKLIGMGDVDSAAAVVELMFERGVKPDAIHLNGIIGGWLRN GSATSRDKAEQLGWSMIQHRIDTVWSRIQHSEESTKPSVPELPGDRRVPSFLKRTLPP ANIETFSILLLHYTRRGDDDMVKYLNKCLGDAQIRPNSYYMNHLLYAELRKQHIHSLW EKFQLLTRQVAPDLETFACLWDCGKLQYDRFRTAYDTQFPTVRQLFTLMMRWYSTLDA RKQKITQEEFSKELYDQITLCFCLSKDLCGTLVALYVMRDLFNLYPDENTARMLVLQI ARIANNINIDDKNHPPGTSRRSRVRARRRLSTTPQSKENIEQIRDILATLQTRKASVL QARGIDVQSLSDQERKQYQLEILSELLISVIERTVVPAGSDRDVRARIVAAMEEMGVH HLAIRFHHESLQ TSTA_011290 MELDSEFGSSEDLGIYVYHPTDNAERSSKRRKVSTNIENKPQKK NQSRVFVPLLGGKESADLVDVRKSTFEELWSSQEERCRRVIDELDSGILKDITSFVET ASPDAYNGCIPTSLVTIGSNVSALPRLLDILHRRLISDKSGQVILLESGDAPNLKAVL KTIIRTAVTSTSGNDAYQNLFADKSGPRMLPYDLNVLYQYVISQGSKSLVLAFRDSEA FDSGVLNDLLSLLSSWVDRLPLVLLFGISTTVELFEGRLSRSTVALLHGKQFEIHEAE GAIDRIYETLQASPDTKLWLGPHLSTSLIEKARDHFQGPEGFIREVKYAYMSHFFANP LSILLSSFSSKQKELYEAVRNLKSFRSFCEQLVEESKAESVRALLVDDDALRKQVHES IENGLTQMKELFYTMNTLRSVQNMLQLTKVNNNTDTYIRGLSGDLSNSTSIKDLFSRL QKIDSDSFKGILATLQEGSNMSAPLVDVSQYEEDLQSLLAIHNSNSPLRSEHDDQNTT IKTSIAQDKVNLTKTKKKISNVDAAYTEVLEKFLEDFETSLSSKLINPQNLFLHEVFV FDLRNPLRDTFAPRVRFSIERALSTPFDYLASTSSTTGEEGGNLSARQPETAILYQLY LESGSLVNVFDLWKAFSTIICGEDSDTESRICDERLALMLFYRAMSELKTLGMIKPSR KKIDHVSKSAWKGL TSTA_011300 MALRSDNSILWLVLGLSLGFVAARRIIHDLYEIRTLTEITRPEN DERMISKGTEDALKLETLQKLSESPSYELRGASLRIISERATKEPSWDLLLEDLAGKN KRRRNRAVNAIHFLFASRALSRVTLSPRLNDFSTYKAIVDCLCNFLEEHNVEYTTTES PILPRTRPPGEKKLLATLNILLVQNIPAALEAGVVSRWLSKYPFPCLRESTTTTTHGN DYRRKDVVWLMKMYWPDDTFMSSIITTLVGTREGIRQLRKYGLMGSVMEEGKDMDDHD DGGDDEDSDVWMINGESTAGTSWVPERLSFPEESYEEQVLRRRRREAMVFSEDGGPIR SDNIIQLPI TSTA_011310 MPPSQLKQLKASLRDSGVIRQPQSKKKRRQDEKDSVKARQSRVQ RNATLAKIREQFNPFEIKAPSRNAKFDVTTRDGSLKAGNFARPGVTKSLGEERRRETL LKELHSRNKIGSIMDRRFGENDPTMTPEERAAERFARESQRKMRKENMFNLEDDDEEE LELTHGGRSLSFGDAVAGDDFAENDISGEESSEDEESSRKRKRALATEDEVDDMEGMA SEDDEADKETEPLRKKTKAEVMKEVIAKSKLYKYERQKAKEDDDDLRAELDKGLPEIF DLMRGVKPAPSKQPEAPKEDAAMMNPDRAALLNGKDRTEADIEYDKRLKQMAFDKKSA PTDRTKTEEERAQEEAERLKRLELERLRRMRGDEDSESEAGRDEDEQDEEEGADFIPD DAKAFGLTSAVETEPRRELDVEDEDDFIIDDDLVDMDSNADLSFEESDEEMGGLEDEE SEDEGLMDDEFTKSLKNLVGTQSGSNDVPTTGKSTDALAYTYPCPQTHDEFLAILKDT TARDIPVIVQRIRALYHPRLHSDNKVKLGVFSKVLVEHVAYMANQHGSETFNIVENLL RHIHSMAKTNSEPVAHAFRDHLRRMATERPLSLLPADLVILTGVMTVFPTSDHFHPVV TPANLCIARYLGQSTVSGAPDLVAGAYLATLALEYQTLAKRYMPEFVNYCLNALCLLS PTPVSQQVGFVLMRESKESLRLKSSSIDSDIHRIGFWDIIADDGTASSEDLKINLINT FISLLDAAADLWSDKSAFTETFTPAKSILKHLKKSFAKSASSKPLSIQLTTTLHKLNT HLTQSHHHRRPLLLHNHRPLAIKQSIPKFEEDFNPDKHYDPNRERAELNKLKAEHKRE RKGAMRELRKDANFVAREQLREKKEKDAAYEKKYRRLIAEIQGEEGREAKAYEREKKW RQGKK TSTA_011320 MDSQKKPDSLPSSSRDGESHKKGNRSTRAKYYIASITQLLPFVN SKDKPKPSKRRKIIYYAIVAMIFLAIVAIGLGLGLSARAAKDDHRYSNVVLLDYAAYR GHMQDNGVSYWKGMRYAAAPTGSLRFAGPQEPDIVLEVQDAISHGPLCIATGTYPIPS SQSEDCLYVDVYAPTHAKNNTALPVFVWIQGGGYNSLSNANYDATGLIQASDLNIVVV TFNYRVGPYGFLASREVEHSGSLNNGLKDMIKLLQWVQKYIGEFGGDPTHVTIGGDSA GAGAITLLLSAYDGGKQLDGLFHAAVAESQSFGPQLTVSESQFQFDNLTERTGCARHD HPLSCLQNLDIDTLQRHNTFIPYPSGVHPPLYPYGPTIDNNLVSNYTFTLFGEGNFMK IPVIFGDDTNEGTVFTPHNTSSVEQADGFLTDNFPFLNDTELSTINSIYMSQPDNPVY PKAGKYWQGVSNAYGEMRYICPGIYLTTAYNNDSETSDTIWNYHYAVLDDKANTTGYG TQHTVEVNAIWGPEYVSSGSAPKSYSTTNEGIVPLMQGYWTSFIRSYNPNTYRAEGAP EWNTWGNSAERLFIKTNDTHMETAPSEQLNRCRTLQGMAVWNHQ TSTA_011330 MFIEGSGVATKVCVPAEQNSKLQGPLLRKTKRLCNLKKGDEVLI RLVGRRSQYNFPARRETRDDITISDANATLIDPIGDKSLTYIGIPLGELDGGHYEIEA KLSDSVVQAEVLKQYGDSYAVWEAFLIWDIYL TSTA_011340 MSIKIAIDAEPGLLPEPLSFQESDYQKSPKGFREWLFIATLCST QFFVQGAFGYILIPLNLVGQTFSQDASQTETQMAWHIGAYSLTVGTFILFAGKLGDIY GSKRILILGWTWFGIWSVIGGCSAFTSSPIFFDIARAFQGIGPALLLPNVLAIAGRTY PPESKKKRLIFSIFALAAPLGTLTAGAIGSAFAQYVWWPWVMWVYSMGCFVNAVIASW VIPQDIPFWTPNDPEQKIDWIGAILGVSGLLLLNVSWNQAPIDGWQTQYVYTLLILGF LCLFAFVLHERRVKIEPILNITIFNRHAAAVLLTTGLGWSSFGIWFYYTFRFIQRFRG VSPLESAAQFAPGAISGIVAAIATSWLIRAVPHGWLMTIACGAFLAGCILQALAPVEQ SYWFNTFWSFVIMAWGMDISFPASATVLSDAVPAQHQGLSASLVNTVINYSIAVTVES HVNDNGKQVLQGYRAAFYTSIGLAGLGCAVAIGYVAILVDRGSTKEEE TSTA_011360 MASVSTHCHDSAQKQVLSSAGYGDNSLQVVDEVEKVTRLILDIF LENALNKFQFSESNPESSENNFLSVISRFVSEGKPVHACLPAFPFKSANKVYKVLGPL PDKAEELALQRLNSMCQRIQEIYAPGAEILIISDGITYNDLLCISDQETWAYGEALRQ MVIQKGFNSISFARIKDLLDVHVPPKMSEIVYVANCTNFRRLLLNQYGKPDLDIDHEI ATNVNTKLTYLGYKRFLESDLQHIFRRGENRSAHRYKQDCKYLAKQMLIRGYAFAGAI KSAFPDHLRLSIHESIDGTKLPISLLNTRTGFTTPWHCSVAQLADGMWVSAPMGEFSQ DDRLELVYENSRPSHFREKPHYEGGIPISEETANYLRKPKSITTSQYIDGASKVSLCR VPSSSGASTISSGDSNCDSPSKTSEVSSTLDDFSLPQSNPESVVKEITTTTAQPDNTA LYGRRLIPQIMDDLAATKPDQTVFSLTYLSNGSLGSKPISAQEFARAVDKTAWWLRSQ VGAPDSIQPVAYIGPHDLRHVLLTYACVKAGYAALFLSPKNNTDGVLAVLEATNCNIW VSAIGGLPITLCDEVLQRRSMKLLRLPLLDELLDAGSTEPFPYTKTFDEAINDPFCFL HTSGSTGLPKPIPWSNGLIGTMDAIRLLPPVGENADLVPWTTGWDEGDKIYSSFPMSH GAGIIMDILMPALFNLHCVLGPVGVLPNLNLVVRLAEDIKIDIWSMVPSLADELGETP EILVKLKTSKFICASGGPVSPVSTGKLNEVVRVINLTGTTEGLFIGNLIPKREDWFWF CFHPYSGFEFKKLDDDTYEHWIHRNENWPLFQGIFHTFPKKDSINFKDLYMKHPTQPN HWAFKGRSDDIVVLSNGYKISPLETEAFISTHPAINGCLIFGTGKPQAGLLIELKDPS EKPAALFDSIWETIRTANSMSRHKNQLLRDFVTFALPEMPFLRTDKGTVKRAATLALY AEYIERFYSSRSDDLDEGIVLDMSSSESIQDSIRTVLSSSLPEAREALPDTDLFELGL DSLGAFAAVKAIRHSSGELCDKIGPRHIYANPTIASLSAVVEQLVMEAQNDGDLTSLD NSPEKEVSRMSDMIAQHKLRQSFRLNAFDYVNPNHGMGIVLYFSIHEDASFEQIFANL QEGLNRTFDMIPGLSGKIMECSPQEIGYTKGDLCVTIPPLSMASATKDRLVYKDLSSI LPSFETLREAGFPPSAFKDSLVLRDDPFPKFPADIFSGQVNFVSGGCIIAVDLNHCCL DGLGAMVALKAWAENCRFLQGDRSATCSWYDPESFNHSIPGIIHEMESWDRPVEDIDH GTWGFLPFFLPDEKKNGLLIPGDNTTAKSKLPPVPDFKLHNIWPLPQAERCLRTTLFL IRPEKLEKMKQAVLEDPEAKGIIKSISDIVQAFFWRAAIRARYRVAKHIRKQTFGPDE LSILELPTDGRPYFSSLLPSTYMGSLLILNRSAMPIEELCSDKTSIGRVAYLLRQSAA RITPSVVHDAFSILQSLPDHSRFSTANMGLEHMHAMISNMALFPSNEICFGDGFFANG GIPESLRPQIERGNGRFRFLVIFPIKKDGGIELVLGTHPEELEMFQADKDFTKYAELV DTCC TSTA_011370 MIQDSHAPDLRVSQEKDTYQTTTREKTGDVEATDSSREQEYLPL SKVIIIVLAIYLAAFLVALDQTIIGVAIPKITDQFRSLSDIAWYGSAYFLTSTALQPS YGRIYKTFNVKWGFLTAVGIFEIGSLICGVAPSSTALIVGRAIAGIGVAGIFSGALVI ISKTVPLDKRPLVFGIYGMVWGIASIVGPLLGGAFTDGISWRWCFYINLPVGGVSMAV IILILRFPSNNDNRSIQLWNRVRELDLIGATLLISAVVCLILALQWGGNSYSWRSSQI IGLLVGFGVIAILFAGFQVYLDKQDEKNAADSKRATLPPSILKQRTVWSAGLFAFFFS GSFFLLVYYIPIYFQSVKDSSAMTAGLQMLPFMLLTVVSSVVVGALVTTFGYYTPFLI GSTAIAAIGTGLMTLYDIDISAGKWIGYQIIVGAGVGAGLQVPATAVQTVLKPEDIPV GTSAVMFFQTLGGAVFLTVGQSVFQNGLIRGIDIYAPTVDASQITGAGATEMRKVLTQ LGQLDQLDGVILAYVVGLKDTYRVSLALMLAALAAACFLEWKSVKKSEEDKDDTSAVS SQ TSTA_011380 MLSKDSGSDPKGPTRTIDDHPEDSDTLSLEQENEREIIEHPQDV TQKAQAGVQKAEAAALVWSKPALYSTYVLIWVCFFVLSMQSSISNNMIYYAYASFASA PQISQSFILATIIGGVIQLPIAKMLNLWGRAEGFLIFLSIFILGLIVIASCDGPKGFA AGYTLYNVGYTALNFILSVFVADASGLRNRAFAYAFTGTPSICTAFVGPLAAQALYEH STWRWAYGCFAIIAFVIFTPLALIFKFYQRKAEKLNILVREHSGRTLWQSIVYVFHEF DVIGVFLLMAAFILFLLPFSLETYGYSGYSSATFIAMVVIGILLFPVFIVWERFFART EFIKWRLFRSRTVIGACGLSAVIFFNYYVWDQYFYYYVQVVYNLDTSKTGYMTEIYSV GSTIWAVMFGIWIRQTKHFKNICLYFGAPLMLLGAGLMIHFRGTQSQIGYLIMCQILI AFGGGTLVIGDEMAAMAAADRDGVPLMIAMLSLSSSIGGAIGYAVASAIYTNTFPSAL LRALPDSAKADYETIYLGGSTTQLQYPPGSDVRNAINYAWAQSQKYECIAAAAVVVLA FPAIAVWKNYNVDRKQVKGTVI TSTA_011380 MLSKDSGSDPKGPTRTIDDHPEDSDTLSLEQENEREIIEHPQDV TQKAQAGVQKAEAAALVWSKPALYSTYVLIWVCFFVLSMQSSISNNMIYYAYASFASA PQISQSFILATIIGGVIQLPIAKMLNLWGRAEGFLIFLSIFILGLIVIASCDGPKGFA AGYTLYNVGYTALNFILSVFVADASGLRNRAFAYAFTGTPSICTAFVGPLAAQALYEH STWRWAYGCFAIIAFVIFTPLALIFKFYQRKAEKLNILVREHSGRTLWQSIVYVFHEF DVIGVFLLMAAFILFLLPFSLETYGYSGYSSATFIAMVVIGILLFPVFIVWERFFART EFIKWRLFRSRTVIGACGLSAVIFFNYYVWDQYFYYYVQVVYNLDTSKTGYMTEIYSV GSTIWAVMFGIWIRQTKHFKNICLYFGAPLMLLGAGLMIHFRGTQSQIGYLIMCQILI AFGGGTLVIGDEMAAMAAADRDGVPLMIAMLSLSSSIGGAIGYAVASAIYTNTFPSAL LRALPDSAKADYETIYLGGSTTQLQYPPGSDVRNAINYAWAQSQKYECIAAAAVVVLA FPAIAVWKNYNVDRKQVKGTVI TSTA_011400 MRRRVMREIGFSRRKKVNTKPDKEKRTHSSDMAEWISQTDQLNT YLPPSLEMGLGYPTLLDANARRILSHMFSDFITSYLRIYRDKWFPSTIGNSSVFDQML STYAKHLLNWQQATTRDNINNDLNEIILSGHTKTLVYVRLNLGSTGDELEKVAAAIVS LACYAHLCLDMESWKMHMAAITRIFEIRRDWNPRLIGLVEWVDSIGSYDLDTPPILET TDPVAHEVVESYLLPPDGNQIITYLNNSLVSPGLIDAFTALHSLNTQLILLHSALGKS LWQTITPIEIDRLVDPVVRKFLSPSARLDLPLSIGACLRSGALLYLAEFRRRSGISPV VVDGLELLKDDVSAIDRSLWIWLLTIGAIAERSDGFFHQWLATEIAGFGIRSLSAY TSTA_011410 MPQNHKPVANDVGDDDDEEDYMSMIIQEPAQQQRETLTQRKLRK QRESEAKARVPSKAERAAAEATRRETALSESVLHPSNKGFQMMAKLGFKAGDKLGKKV SPPPPPQVKVAGSAGSDKSEENAERESLHEGNEVSAWIQSRAEPLRLIIKEDRGGIGL DSERKRKFRQETDHVDKRPKADEGEYRDRMRAEREERRCEGLVVGAQKVLEKLETEAD EQEEKKVKGKKDKPLKEVNVLYRGLVRERLQREQEKLARLIFEDSLSSSETRRHLPKP RLPTFNDDDDAHELQNTKEEDITFVEQTLDPDEENDEEDAELDDFNGLPNPEKLQKLV MYMREKYWYCFWCKYRYDSEEMEGCPGVTEEDHD TSTA_011420 MATITERFQGLRRPGASLLKKTLPSRPDEPVLARKAVPPPKVVV DQTVPAVTSPTASSTASAMPGQAQSPLKGLPPTPSPSHRTPASPLQPPSASSVSLPSP VATTIPAPSSQAQSPQFQAQSPPPVQAQSPIQSQPQSPPQVPAQPANQSGRPPRTTSL SSPQNNTFQDRRPPQHRQITNLQPNPRPNFNLNPNPQQQQQQQQQNQSKRPVSEAPSL SQFIPDPEPEPELSLPDSEQEPDLILDNNSSESSNVSSKTPSTDSSSISPGLKQFPDG YIPPIPEPMIIPPLTNVHYGCFQSHTFMPPTSNVWYATACMTCKKVDQECKNRDLREL MSHLG TSTA_011430 MSRDEDSNIPASAKQLAPYLRPRQDALRIRQALTLYLQSHIVPT DGHDDNSDFPASHLALVVPNHASVNVKRIPPEVTGVRKEYLKALQENIAVRREYNDLV DRITSRRAKNQSALNDYLALLRERRRYEKLQVFDIHLKEWTATSEPPTGQSDSTRIDL DGLLREKADGPTDGAGNNVQELISKLEKTVVRAKEKLAREQYLLKQLQDRQATRLADS DVGDNKIRALQRTRDELVQWVEDKLAITGTEDDTNQLQHGECTTSSTETSQLAAAEYK ARIRAQYTAYIAARKTLLEAVSALSQPSRSAATNLPAIKPAEAPVTNETEEWGSIDVF RTVSEHILPATKYQKSLALQRSYLAGMLTKERTNFKHTLDRLSQESHLLPEYPLLARH SKFRHITPTSSGLRQEKGGKPRDDVLERAEAWAFAAEAARSNESDYIQQRIEHGAEMA DMASEMLQQIYDLLHQERRATTEDKDYTDAHQESDIWTAEVQPRKVRAKRSEQTHQKK GPWSALDGRVGIE TSTA_011440 MALSARCGQQAASLLRQRCLIESSRAATLRSFSTSSLAKIPTSS RSVTSAALRLKQNALNSSLRSQQLRLFSSCLHRLASEAPPKAESYLASGAVNSSPDLV DVKKVLVIGSGGLSIGQAGEFDYSGSQALKALKEAGVKSVLINPNIATIQTDHKLADE VYYLPVTPEYVTHVIEREQPDGIFLSFGGQTALNLGVQMNRMGIFERYGVRVLGTSIR TLETSEDRDLFAKALNEINIPIAESIACNTVDEALEAADKIGYPIIVRSAYALGGLGS GFASNREELKNLSSRSLSLAPQILVEKSLKGWKEVEYEVVRDAKNNCITVCNMENFDP LGIHTGDSIVVAPSQTLSDEEYHMLRTAAIKIVRHLGVVGECNVQYALQPDGLDYRVI EVNARLSRSSALASKATGYPLAYTAAKIGLGHSLPELPNAVTKTTTANFEPSLDYIVT KIPRWDLSKFQHVKRDIGSAMKSVGEVMAIGRTFEESFQKAIRQVDPRFVGFQGDHFE DLDETLRNPTDRRWLAVGQAMLHENYSVDKVHELTKIDKWFLYKLQNIVDCQNELKEI GSLFGLKKEILTKAKKLGFSDKQIAMCVGSTEDDVRARRLSFGIRPWVKKIDTLAAEF PADTNYLYTTYNASSHDVTFDDHGTIILGSGVYRIGSSVEFDWCAVNATLSLRNMGKK TVMINYNPETYSTDFDTADKLYFEELSYERVMDIYELENASGVVVSVGGQLPQNIALR LQEKGGAHVLGTDPADIDKAEDRHKFSQILDSIGVDQPAWKELTSVSEAEKFAETVGY PVLVRPSYVLSGAAMSVIYSQDELHEKLLNASSVSPDHPVVITKFIEGAQEIDVDAVG SNGKLILHAVSEHVENAGVHSGDATLVLPPTSLEQSVMDRVKEIAEKVAKAWNITGPF NMQIIKADNPDGGEPLLKVIECNLRASRSFPFVSKVLGTNFIDVATKALVGRDVPEPV DLMTTKRDYVATKVPQFSWTRLAGADPFLGVEMASTGEIACFGKDLVEAYWASLQSTM NFRLPQLGEGLLFGGDYIDSLSKIVEYLQPLNYKLYAASPEVKAQLESRAKNPINIEV IEFPKEDKRALREVFQKYDIRGVFNLAKHRGKTLLDEDYVMRRNAVDFGVPLFMEPKT AVLFAQCMNEKLPKEEGIPSEVRSWSDFAGGKMM TSTA_011450 MYLKSLILLAASNLLSTATAAKIATQSDANALPETVVDGIEISS TYTGDLILPNVTNVVGNVTYEGPDLENFAAPLLSLVAGTFNFTGSFRLKMATSAEKQP CTRERAISSILSFYTLLSQIPYIPADKLMIPPQTTGWQNNEEQGIKINETELRSRGKT DTVIDTLRHLPYLDQEMYGPHWTLADNTLHINYARGELYSAYLERDRALLPLPGHIIW LTEGYERAGVYLLLNTETWEIIEYTLLGESIEINYDAYEALPPDKRWTAYSVLPADEY FDLWRWRHLTLQFLLVPDLQVFNGTGKWFVKDPRMLYEESFVRDDDDDDEDEDEDYVP DESGSESEEDSDMDVDDDDEIEEVNQELQSLSLDQQPIPTPPSPPPVSENSKSRSFLH RFAQPLDKDRINNTTLYLKPWFLKNREEIIQIIQIYVSHGWPLPNYEWRLIYRNGFKP GGSGNELNIVPRLEREEAEATRTIAAICRRLKKWLLDPVENQEEEKIDAEIVAYGVAE LDELEKVVIASDDIITTTGVGKILKGIVDSKTLNERKDMAGICDRARRILKNWGI TSTA_011460 MSNLTAGVDPAKFVNSPIAPEDTSKSSANSERTEVRPAEQNGVP IGQGVFATIDVKKGADVLVVDNPLIALVEEAQLQNICSGCYDTSKAGSIDNRRPDLVK ACTRCKVVYYCDKNCQRKDWKAGHSLECKTYAELYPKILPLPVRAVLRILMLRRADKI IPEVYSESLALTYPKIYDCVESQETKDHLLMAKALREYSNLTDLDNKWVASLFGRLNA NSFCLTSAFGRRRGVYFHPGPARFNHSCDPNASYSFAKGKCYIRAIRPIAKDEQIFIS YVDTTYSVGTRRHELQERYRFECQCPKCLHEAATIEPEDLIKRSEHERETNAKIDEIL KANSASGFTSAWKLSSMIRILSEKADWDAVNTQQQPLAAIRSEIMASKAKDQLYKMSA VDAAIRHSRTDPAQYPDECHPMRREHALEFVWHLMYANEYMRPELMRSSNDDYDHLFN IHEISPLFYAYMVVHWILTGDITCRTSVQDAKKKMEGVVWPNPLMKSDAERHIPWMTE DLEEAGMLGRNRDKNVQEHLKKMEAIVVATLEQEKRNMW TSTA_011470 MADQEYNAEEAAELKKKRQFRKFSYRGIELEQLLDLSSEELRDL VHARARRRFNRGLKRKPMGLIKKLRKAKQEAKPNEKPDLVKTHLRDMIVVPEMIGSVI GIYSGKEFNQVEIKPEMVGHYLGEFSISYKPVKHGRPGIGATHSSRFIPLK TSTA_011480 MKHLAAYLLLGLAGNTSPSAEDIKGVLESVGIDADEERLEKLLS ELEGKDIQELIAEGSTKLASVPTGGAGGAPAAGGAAAGGAAAAEEKAEEKEEEKEESD EDMGFGLFD TSTA_011490 MSFLSSVLSSIETGTPTSLPPITPRPTPDKSEPRKLTPSAPVST RPTSSNTSAGIKRKAEDSLRRLEKPSSTSNGSANGSDVKARPKAPLPAARPAVAKKPT PPAAPAKPPPKGSFADLMAKAKAVQKEAPKVGVLKHQAALPKEKISKTEMKRRMEAIA KGKEAARPGKRHGVSPAPNAKRVDGKPGFDASAKKREPEENAYKGTARSAQPSYKGTA NLPARHKDADRRRAPRRDEYLGTDEEDEGDFYDDYDDYYSDESSDMEAGYGDMEKEEI AALRAAQKEDEEDIRREAEAKRAKMERRQKLTALARAKR TSTA_011500 MPFRISAITGQAVRGSKMEPVKPIHITTPSTTLLARVRTMVPPM LEKFHKGQLGRVAVIGGSADYTGAPYFSAMASARLGCDMSHVICERSAAPVIKAYSPN LMVHPILPSTVSVSGPDAKDLASLASPILDMLPRLHVLVIGPGLGRDGVTQKVVIEIM KEARNKSVPFVLDADGLMLVIEKPDLVKGYKECILTPNVVEFGRLAKALGVQVPTAKD QDDEKELSKASEACEKLSQALGGVTIIQKGSKDVISNGVTSIISDLRGGLKRSGGQGD TLTGSLGTLMAWRAAYHDGLWDTGEKSSNKTPAQSKDEVQAELENKDTKMSPTTTLLL AAYAGSAITRDCSRRAFETKGRSLQASDLTDQVHEAFLDLIGEPEQSKL TSTA_011510 MEIRQENIVLQAIPESTTTIINNQAGNDAFAPASSAHPPVDAIP DGGYGWTIVFACFIQTSWVNAWAGSWGIFQSSLIQTTLKTIPTSTLTFVGSLGLVLTV SLGLVCIRLSRLIGARWAVLIGTLLFGASNIVGGLAVNSLGGLFVSGVLYGLGGALMY TMSNSLPVQWFSTRLGTANGLVKLGGGIGATIMVIVVQLLIERVEISWTFHIMGLMSL ASGVPAALLIKERAPSYYGPSIDLSTFRRLPFSCLFAAGAIGVFPLYVPAFFLPFGST NTLLFAMVLNSVTMFAIWPVSSTLALLLLFAALNGLANGAFFVTMPTAVGRFLGPGQA AVGIGMAVTGWSVGDFLGAPIAGFLIQATGANQAKTIGPYKPAIFYAAGTALVSTVFV LVARLKMDGSPFRRL TSTA_011520 MYKERQEAGLDAGIETYRNYEFEHIGLQFRRPDLDNDKGLFPEF NVPISSGYVFGPVNLPGFSDSWNPLQIEHPPIHESRTYPSSDVYPLIKRPNTWPRVYS DSGYGSQYNGDTRSSMSLPSSLDTRTEVSPDDCHTYIKSVTEPRQPRTESIEENQLRC TQCPWTGKLPSEMRKHLARHRKSHLCEENHCGRRFGSLNDLERHRKSVHNKSPQCGPK EMYKCFGRNCRHPEKEWPRLDNFKAHLRRQHDDQNVEDLVSQSRLWYEKRKIEEEEKK EKLITEGPASGIEVTPRKEATQSNVDTLKTPSPLDNDDSLKTITPFKMLLSSGPHNSG DYFDGRLKDLTLNPIGHSQSFPGNISPENLQTPNDSFPSTLKTGSSSPVQADMKLPSL PDVLGDISSQGRNDLVFRKRPESTPEKRTLPGVESFDPGVMSEKAFAIAAKLQNEMHC LSHEERKVIASIINLEKTQERSKEEDSFSKRVDTREEPSQSAKVVSKKEGFTCQTCQK SFSRPSTLRKHQKRHEKPYGCTFTGCYSSFGSKSDWKRHESMQHCHTQSFRCSLPRDK HDECARVFLREQEFLKHLDIVHHGPGRMKKLQQLADGRIGANDAESKFTYWCGFCKVI KIVEKTGVEALKERFDHIDSHFQKGGNIKRWVPAKGHTEKGAQKKRDKSRTKESKRTI TNLEEDSQRLYDNGHDDWELSTYEQCPSSEDETFTEVEPRAESYSIKNKFQKSESNQT AKELRIVHCCQCLDGPQMFISESCQGCQHHFCPECRL TSTA_011520 MYKERQEAGLDAGIETYRNYEFEHIGLQFRRPDLDNDKGLFPEF NVPISSGYVFGPVNLPGFSDSWNPLQIEHPPIHESRTYPSSDVYPLIKRPNTWPRVYS DSGYGSQYNGDTRSSMSLPSSLDTRTEVSPDDCHTYIKSVTEPRQPRTESIEENQLRC TQCPWTGKLPSEMRKHLARHRKSHLCEENHCGRRFGSLNDLERHRKSVHNKSPQCGPK EMYKCFGRNCRHPEKEWPRLDNFKAHLRRQHDDQNVEDLVSQSRLWYEKRKIEEEEKK EKLITEGPASGIEVTPRKEATQSNVDTLKTPSPLDNDDSLKTITPFKMLLSSGPHNSG DYFDGRLKDLTLNPIGHSQSFPGNISPENLQTPNDSFPSTLKTGSSSPVQADMKLPSL PDVLGDISSQGRNDLVFRKRPESTPEKRTLPGVESFDPGVMSEKAFAIAAKLQNEMHC LSHEERKVIASIINLEKTQERSKEEDSFSKRVDTREEPSQSAKVVSKKEGFTCQTCQK SFSRPSTLRKHQKRHEKPYGCTFTGCYSSFGSKSDWKRHESMQHCHTQSFRCSLPRDK HDECARVFLREQEFLKHLDIVHHGPGRMKKLQQLADGRIGANDAESKFTYWCGFCKVI KIVEKTGVEALKERFDHIDSHFQKGGNIKRWVPAKGHTEKGAQKKRDKSRTKESKRTI TNLEEDSQRLYDNGHDDWELSTYEQCPSSEDETFTEVEPRAESYSIKNKFQKSESNQT AKELRIVHCVSDDQL TSTA_011530 MATQDQLNPLSAFVQQAFEDPYLSGPSDLEEFGLEQQHHTPSAS SADPPSRLSSLSPADQKLSNDTSMHNFDFNDSSFDDSRYLDFDEPGSTDPLFFNNDML SGEDGQVSAFPNADTYKSEYQENESQNLLNHIGGNSNSADTTGQRQPQPSRLAIPDAA LDNSHGHNRSRSAPSLSPIVKISQVHRGDSPTREDDGWSPRKNKRSSAHLSAADDSIE DSEELDPEEESGNGERSLSPSRAEDGSWIPDASTGHGGVDPYARNDEIGPSPKDLEEQ RQRAEKEVDIRIWSASVSVANSEAGDEPSSGPRGRIRSVSAVGTRQDYFNLTRPDDSR IPGPGRLIHELDPGSDFETSSNVSHADSRDGLPTLAYTPEENQLAEQLNRSYPWKDPP QYSFPLAIRTQPESSRSAMQLYEEMAKEMDKVSRVGTWGSRRLNDAEVDSFLKSDSFF KNLSLNKAAIRKLLPKRSSSSLKDKKRHSRQPSFEPEPSDPPPQKKESGIAAKLSRRP SLNRHKSSTHIMAAAIAGTMAASIGSHNSLSARSPVSPGGGTLSPVAPWMGKRMRSKS EGSGPVPPLAQLTIPNLEQRQGAVQRQPSPLIESRASVGLDGDDEEDDESPDDKGIFM DLAPSSQQIVPTLEGFKHQISLLDPRLEPALLQRFATEQLRRYKKLIDELDRHARSVE AGHCEASERCFALGGRAKLLPPRANAKDPSATYCQFQIPGHENSDDDDEFDDENNQSL ENSVIPAVFAEGIRIPPVKRLPAEFECSLCFKVKKIQKPSDWTKHIYEDIYPFTCTFP ECTSEPKSFKRKADWVRHETERHRHLEWWACSMHNCGHKCFRKNNFVQHLHREHRFPD PTAKKSKGSAASGSGNTAADEREIAKMWKLVEECHHETQKRPEEEPCRFCGNVCKDWR KLMVHLARHMERIALPVLRLAEEHASSTNNKTMNMYEIAPAPAPSISYSSVEEPSLSL NTDIMTRLGNPNISDNSISSPMMMQYDTTTQQAPPHMLSPTINIDISPSGTTYPPASV TSSYLQPHYTPVHRNSMSYPPPAPNMNVMAIRQPTTMSAANSSNIGPAIFQQQRQQQL HVSTIGLAGPQEPLYQSPQDTCFNPDDYLPTTTTSAGNYTSAPMVTYTMTTSPETMTS NATYMSRAPIAAAAAAQMQDNGHTYPPATYHYQ TSTA_011540 MSRLISQNYAAVVVGAGPAGVAALGNLLELGLTRIAWVDPVFDG GRVNSKYREVPSNTKVSFFRSYATGVQPLRNIVSTTKTPNAFSVMNKLDQDETCSLHH AADMVKDLTAGLLKMRQVSPFRGEVTSANFEDQKSRWTIRIKSTDPFSNIEVSAPRLI LCTGSSPKSLPAPTPGAAGSTPTLKELNLDTVLKPSILSDVLPRDIPTTIAVIGGSHS AILAIMNLVDLAQTSHPSIRLKWFTRNPLKYAVFMEGGWILYDNTGLKGQAAQFAREQ LEDSRLETSVAGRFIEKIDTSDKTREDALYQTHLPECSHVVYAIGYERNALPELSRNG RPLVSRQQDLKWESEFGGFLDDRGEVIPGLHGAGIAFPETVVDPKGNVEQAVGFFKFM KFLKRVTPLWV TSTA_011550 MASQTQRAGELASLACYLPSVRNATANTKTRRRMCSRINCDAQK VKDLFKEDESGAKSLLQAVWGMVLHYYTGMEEVCFGYNEFDMAVSARRPGPSAVKVRV DTEAQLEGFPKGKEGKFRGALHIETDEAMRLHYNTAVMLQIRNSSDSKPSPAPLASQQ AAMSLPEECKVRVLAKYMNGSFSIFLEYKYPDISADFVSLVSETFSRMLHALLEETPC TVQELSKITDSDMRQIIKWNAVRPETVERCIHNVIEDQVQLNPQKEAVCAWDGSLTYF ELNQQAFTLAQHLLKLGVRAETRVALYFDKSKWNIVAMLAVLKAGGAFVPLDPSHPIA RLESLVKEVEANIIICSTEYSSRLSSAAEHVLHLDTEQLEKMAAEQEVNSNLTASISS KNAAYVLFTSGSTGKPKATVIEHQAFCSGAHVHGPAMLIEPDSRVLQFAAHTFDASLV EILTPLMHGACVCIPSEESRLNGIVSAINNLRVNHAFLTPSFIRFITPADVPNLTRLV LAGEALTQANIDTWSSINLVNGYGPTESSVAAVVNANITKETAFQDIGFPVGVRCWVV DPENHDVLLPIGCTGELLLEGPSLARCYLNNPEKTAQSFISNPSWSQEAEINIGQSRF YKTGDLVRYNSDAGSFDFVGRKDTQVKYHGQRIELGEIESHLIKYPTVKHGLVLLPKT GPAAQKLVAIFSFSEGAIKDFPVNPSPLKMLDISNREEHISDIREAMSSILPAYMIPS IWLCIEAFPVLASRKLDRKTVSGWLDTLSDGILDSFRPVANDTNKNMDHALTDIEATL REIWSDVLNIPLSKTSIHHSFLSLGGDSITAMTCMNRCKQRGIGLTVQDILRSRSIQE LSNCVRLVDVQNDYREDIDVPFDLSPIQKLHFEVRNDVLGHFNQSFFLRINHFVSPED LRNAMNVIVSRHSMLRARFFYDEQLKQWRQRLKGQNEVSYRFNVHKLSSRVQVKNAIA DSQASLDAVTGPVVAIDLFQMEDQDRLLSLIGHHLVVDLVSWRVILEDLEELLLHSQS SSLLGTTLPYQSWSRLQWEQLSDLKEETTSKAQDVPLCDLSYWGISNAENTYGHVSCE SFELDAKTTSILLNEANSPVRTEAVDILVAALLYSFAKTFTDHALPVIHNEGHGREPW DNSIDVSRTVGWFTIIYPIFVQATALRDWIDTLIQVKDSRRRVKDNGREYFAKSSLSG ENMKVFKPMEITFNYLGRYQQLEKAGALFSPVEGLAGETSQGGGAADFAKTTPRFGLF EISAVIVQDVLRFTFSFNGHMRHQERIRQWVTDCQNTLVQMTKDLVSRQHQPTLSDYP LLSLNYPDLKALTTEKLPALGLDSLEDVEDIYPCSAMQRGLLLSTTRDISFYAVRGTY EVKGDNGSKIDAHLLSQAWQHVVDRHAMLRTIFVENISSEDLYSQVVLRKYDCSSQIL SCSDEDNVRVVLDNHSMQSDRWKPLHKFTICVTEIGKVFCRLEMSHVIMDGTSISIIL RDLEKAYSGCLQNDPKPLFSNFVSYLQSQPKNDAMDYWKSYLSGIEPCHMPVLNDGSS SSRQYSTLRLDFQKLDLLQSVCEKHGLTLANALHGAWAQTLRCYTGSNDICFGYLLSE RDVPVDMVEETVGPIINMLACRVNMSSESILMRLVEGIQADYMASIPFKHISLADVQH ELNLSGTALFNTCLSYRKLPSTESDNMPSIQFSQCAGLHDPTEYLVTINVEASDTNAA IDLDFWTDMLSQAQAKNIADTFIQALENIIEQPETPLGQLNHVPASNWQQIAEWNKDM PETIEMCIHEVFDEQVRLNPEAPAICSWDGEFTYSQVDSLSTRLSYYLTNFGVMPESF VALCFDKSAYTIIAMIAVLKAGGACVPLDAGHPKAALELRVLETGAQVVLSSPSRTHL LDDVVPYAIPVDETLFTQLEEIDPFVINKPAPENSAFVIFTSGSTGKPKGVVLEHRSL VTSAAAHGAALGVDQSTRFLQFASYSFDNSLEEIFTTLMRGGCVCVPSEEDRMNNLAK AMNDLDVNFSDMTATVAAFLNPSDVPKLKGLAIGGEAPTKEIKDTWCSVLRLQNIYGP TECSINCCHNPNVGQSSDVTNIGRAVGGVSWVVDANDHNNLVPIGCVGELLIEGPILA RHYLHNPEKTQQSFIEDPSFMVSLADKIGDAEVFSPTGHRMYKTGDLVRYNSDGTLVY LGRKDTQVKLNGQRIELGEIEHRIQSTLPSDGQCSVDLIVRRNGDVATKALVVFVCLE SDNKKPTQSDADFILPMTPSFQLIAMDIKSALASQLQSYMVPNVYIPVSFFPMTSSGK LNRRQLRTTAEELLSHDVSSYRLGGRSGREPSTHNEKVLQNLWSTLLSVDASHISADD TFFRHGGDSISAMRLITAARKQGYSISVADIFQTPRLSEIAQKLVSVSTSSANETDVK ISTFSLLDGNVSATGIKREISTTCQISPDQIEDVLPCSAIQEGLIVISNSQPGSYVTQ NTYELPDSVDIQRFKAAWQKLYQSESILRTRIIHTKSNGFLQVVVREELEWGSTLPLP RSVPGANGGRLSRYTIAKDSTGRPHFVWTAHHAIYDGWSIPKLLSKLQRYYEHTNMAI EHGPCSYSHFIKYVSNIQAAELKDFWVQHLNGFTAVQFPALPNASYKSNPKTRQTLKF NLPEHRPTEVTLPSLIRAAWALTVSMYSYSEDIVFGEIMTGRDIPVPGIDDMIGPALS IVPMRLQIDSDLSVIRFLQQMQAQTASIIPYQSAGLQNIQGFSQDAKSACEFRTLFSI AHGESDDVEGVMRFLSANTGDANFFTYPLNVSCFMWESDLEVQIQFDNHIIPLTQLKR VMGQFEATLHKLCIAMAEEKLSSIEVIGNSDISQIQKWNNELSLTRVHRCIHDVIDDN IKSRPDALAIDSWDGTFTYAQLGHYATALANHLRVLIGNDKEQFIPICFEKSAFAALS MLAVMKAGYAFVPIDPQHPKARRQEIVSDIDAKVILCSPRYVGSCQEVVDRALAVDLD LLVSLPEASTSLGKYDAKTAAYVIFTSGSTGKPKGCIIEHAGFCSGAVKNGPAFSFSP TSRVLQFASYTFDASLLEILTVLVIGGCTCVPHDSTRLNGIAKFINEKNVNTALLTPS MAQTIKPSEVPCLENLALVGEAMTPNHLAIWANEVRLINGYGPTETSIVAAAKPCMTL DTDSSNIGLPVGNAWIVDPRNHDRLMPIGAIGELLIEGPTLARGYLNNEQKTQEVFIT NPAWGVISGNSQRRMYKTGDLVRYAPDDSGELLYVGRKDSQAKLHGQRLELGEIEHHL NGDNDVLNAIALLPKMGRCSKKLVSVLSLRNFQGGAFDDSELHIVTDKSAFEKLRLVQ DRLREKVPAYMTPSTWVVLQQMPLLPSGKLNRKLIDQSIENIDDATYERITSAEQTSS TDKVVVITQTEATLRNIWASVLNLSPESISLDRSFLHLGGDSMSAMAVMSRCRGQNLG LTVENIITCKSIRHLASLVTLPQKAEQNEENYHEFDLSPIQILYFQCMNGKTTHFNQS IMIDISDSVSEDQVKGAVSKLVSVHSMLRARFSRDRNGIWKQRILQDTQSSYGFRTVE HFDSDELSEEVEETQKSLDVTQGPVMAITLFQDPIEGSKLFICAHHLVVDVISWGIIV RDLEDLLQGRAIAGDQGISFQKWCRLQLDYVKEKKNASLLPLDDIPAADMEFWGMKDT PNTYGEVVMEEIELNSTITNELLKMINGPLNCDVVDILLAALLISFRRVFSSRKSMPC IYNEGHGREPWDPSIDLSNTVGWFTTMSPVHLPADFSNADENDLHKCISWVKALRRRT PGKGMPYFAQRLLTWEGRERYQHHWPMEMAFNYLGQHKKVKETKNLLQLANGTGQSVN SVSDIGPDFPRFSLIEISAAIVNGSLKISVSYNKAMKRQGSIRQWIGDCRDLICRALR NSQATKTLPSLADFPLLPLSYNTINKVTRSLQNAGITSFDNIEDIYPCSSMQHGILLS QLKDPNTYAYRAIFEVDLPSLQGRLDVQRLAEAWQSVVDRHMSLRTTFIDGNHEASLM DQVVFKTYPARIDVLKEADGNARQLLAGLSDINFKNGNQLHRLSICPEKTGRVFCRFD ISNAIADGTSMPIIFRDLSRAYMGLAPVTERKPQYSDFVGHLLCKPREKSVAYWKKYL SGSEPCLFPSLVNDQKKDKSLGSEIITLKNNTAIRELCKGMGITMSAIFQFVWAMVLR TYTGSDEVCFGYISSGRDVPVQDIEDAVGAFINMLIYKIHLTDTLPLAKALKKTQRDF IKSMEHQAVSLAEVQHALGLADTPLFNTAFTFQRRSGLDVDSTPSLSFKSFDSYDPSE YKIAVNIEMMESVTEVHFSYWQNYLSSAQAKNIADTFEHIINDITKSTEHERTIGAIS TVGSLSCQNMCQWNSIPPEKVNRCVHEMIEDQVRVLPVMAQAVEAWDAKFTYGELDSL ANRLATVLVSHDVGPEVIVPLCFEKSAWAIVAQIAVLKAGGAFVSLDPSHPEDRLKSL VEDVNGCVVLSSAQQFAKISKIVPNTIMVNDRSLAQLPKATQPTRTSVSPTDIAYVIF TSGSTGKPKGTVIEHGQFCTGALAHGKALHINSETRSYQFANYTFDASILDILTVLIL GGCVCVPSAEDRMNDVAGSITRLRANWMCITPSVASTLKPESIPTMKVIAMGGEKMTP GAIEKWSKSVCLVEAYGPSECSVVCAAGSKVDKSGQIVNFDPAIIGKAVGSRSWVVDQ RNYNRLVPVGAIGELVIEGHIVGRGYLNNEKKTKEAFIQDPAWAADDQLRALIAPGTR MYRTGDLVRYNDDGTLTYMARIDMQIKLNGQRIELGEIEYQCTQHMPENVQLAVDLVA PGSHPGPKKLAMFFSLRGKAQDPASGNEKILLEMDSVARSVIESLEKSVAKVLPSYMI PQLFFPVSVIPFTNSGKLDRRKLFGEIKDLSRDDLKKYSLSTSVKRKPPTDERQITLQ GLWEEVLAVPKHAIGSDDSFFRLGGDSLAAMKLVGLARSRGISLSVVDIFRHPTLEEM SNKYLLAEAASSVSIPPFSLLRNSVGKDEIIVEIANQCNVEKESIADIYPCSALQEGL ITSSVQQQGAYISRNILRLGPDVDVERFKVAWQQLVDEFDILRTRIPHTASSGFLEVV LKQEQISWYYYDNLESTLGDASKLFNSQSGVLTRYILVQEKNTSATYFVWLIHHALYD AWGLNILLKRVQEIYYNNSESTPKISYSSFISYLERQNLQESGNFWKSYLANPSPTHF PPLANQIESLGENTTSKTLTQMVDIPQRFLSLGITVPILIRAAWALVLSNRTQSTDVC FGETLSGRNIDVPGIAELAGPVLTTVPTYVHVDAKSKIKDYLLEIQKISTEMISHQHF GLQHIKKLGQEQAAACQFRNLIVVQTADSADTNKLWEVQDNGDIGSFFTYPLVVECKT GEASIEVNFHYDEKVITRWELERISFQLSHVLQQLGSVNSSSTDLLATVGMLSPEDKK EIKWLIKRNPQVLDTCIHDLFAAQCRAQADAQAVHAWDGDLTYADLNKYASSFAAYLK SLGVQPEVLVPLCLDKSAWTIVSMYAVLMAGGAIVPLDPSHPLDRHREIVQQTGTDVL LYSSKYESKYAGIVKHAISIDANTIRNIPSRPFGQERLSSVKGSNAAYVIFTSGSTGK PKGIIIEHRAFNTSSVAFGRALDMNSTTRALQFASLSFDAAIMEIFTTLTVGGCVCVP SEDERLQDISGTICRMNVTWTLLTSSVANLIDPASVPSLKVLVCGGEAMSPEVIAKWS DKVHLINAYGPSEASVVAVVNPDVTKDAPNNIGYGIQPTTTWIVNPDDRNQLTPMGSV GELALGGPTLSRGYLGDTIKTMAAFIENPSWAKEFAAEIPAYQRIHLTGDLVKYRHDG SIDFIGRKDNQVKFNGQRMELGEIEHRLETDSHVRHVIVSVPQSGPLRKRLVAILSLK NTAAGQSVVTSKTCQLIERSEELSRIKNNLASQLPSYMVPQAWAVVNAIPMLVSGKLD RKLVKSWIENISDEVYQKVVGADEVDTAPEDMTGVVSTLREIWAQVLNQPVDKVKPNQ SFLNLGGDSITAMAVVSRSRKHNIKVTLHDILRSPSLIKLAETVESSTVDMPDHVEVL DQNFGLSPIQQMYFLAAKGHQGSSRFNQSFTLRLSRYISSDAMRSALRAIVSGHSMLR ARFKLNATGEWEQQVSSDIKSSFRFRYHNIESLSEAIRMIGDSQRDINIYDGPIIVAD MFEKSSDEQYLFLAAHHLVVDVVSWRIITQDLEDILETGSLQVDKALSFQSWCKLQSE HVKRSAAEYKLPFKVLPTNLGYWGVENVSNTYGNVKRHTFTLDEGMTTSTMTACHEVF GTEPVDILLAAIIHSFRLVFTDRYLPTVFNEGHGRETWDSKIDLSRTVGWFTNISPLQ VVLESDDVLDTLKTVKDTRRISQGAGNSYLAQRFFTPKDRPLLSHSDVPMEILFNYLG SMQQLERDDSILQNADMGLEKANPLDVGDMGPETTRLALFEISAAVVQHRLEFAFMFG DRMKRHSEINRWISECKWILEEIVVRLRRCTPEPTLSDYPLLPINYDGLKRLLKNSFP QAGISHYREVEEVYPCSPAQEGMLLSQLRDPKTYLFHVVFEVTGSNSQRPVDPMRLIA AWQKVVDRHAALRTVFVNSTYKGGSFDQAVLKNVDEDVIHIECDDSAIISQLQSISLY DRNVKRKQKIPHQLTVCVTPSGRVVMKIEVNHAVIDGGSTPILIRDLQLAYDGQLPEG RGPLYSDYIRFVKSRSPKDDIVYWKRYLTGIQACYFPRLKSTFSSQRRLASLQFNFDH WQDVQRYCERTGVTLANVIQAAWALVLRKYTNSDDVCFGYLSAGRDAPVNRIQETIGV FINMLCCRVRLSPSQLLAEIPSLIQDDFVRAIPHQRCSLAQVQHELGLQGKQIFNTAL SIQNHSSSDTSDEGSLMFTPQEAHDPSEYAVTLNIETGRNQEGIVFRYWTDMLSSDHA SDISKTLANILHSFAREPTETISRLDKCKSVEIAETKAVNVGQTPAQREDKLHDLLEK YPSLQNLIDERVRMIVQQMFNLSQPGSLRRHLSNATEHDVLVAPHSESDAISSISDDV YREDIGESAIPVAAHIHERGMKADIEEKLLRLWSDKLGLPLDSITRDDSFFDLGGDSI TAMGLVGDARDEGLILTVADVFRNPIFKDMATMAQTASEKSYVEDEINNMNMMGQQSA FTSAKPGFYERFALIKAANIDEAFLQKYICPRVGVFKGGIVDILPVTDFQALSITGAL LDSRWMLNFFCLDGRGPLDFRRLKQSCFRVVHAFDILRTVFVASKGRFLQVILRKVRP EFSVYETDESLDEFTSVLQQRDITEGVKQGEPFVQFVIVREKNTDRHRIILRLSHAQY DGVSLPRILSAIKAGYEGGPIPSPASFANFVRESARIVTTDHYQHWRNLLNGSRMTEI VNRHENLGYRRLRASNEGLRKTIRVPSMAHGNITTATVIKAAWALTLARITGSADIVF GHTISGRNTAAITGVESMVGPCMNIVPVRVLFAEKWTVLDLLRYIQDQQVANMPYEAL GFRQIIHKCTDWPRSTNFSTVLQHDAANSSNEIQLGENIYTVKAVGSDEEMSDFSVNS KSLDRDRVEIILSFSVDEHVTMPLAQRVLDMLCDTAESFTANPDMALPSPTSLCALPI RDAKSDSQLPQGDIKKQVEDNSLQSSQLANLTRAEILVLSDVLRRAWEQVLSDTNQAN EKDTSNVPPPLKPDSSFFDLGGDLIGLAQVAWLLEQEDFTVRLDDLIEHPTMMGQMAA LVQSNSVTAKRMMASYHAGVAASSAGTDTAASATDGSVAGEEPHSSNISHKPGQLRKS MTWASAFGLARKIVKRKVEVES TSTA_011560 MAPNATECYEIDNSFHYYARECRGGFDFTLLFEQTILTALPLGL FVLAAPARIWYLSRKSKKTTTSLLLPLKVASYAGLAASQLAVLTLWTLPSAKRTDASI PVQAISLTATALFCLQSYFEHTRTIHPSFLLDIFFFFTLLFDIAQCRTLWLRGSDFYG NTLAILFSVSVAMKTLILILEALEKRRVLRSEYKSSPPEATASTFNKSFFWWLNPLFI RGFSSLLRMDDLFEVDKQMRSEYIHERMEKAWKKVEKKSPNTLLWVSLKTLIWPILAV IPPRACLVALNFSQPLLINRSVYLSVDSVTPWTTHVGYGLIGAYILVYTGSAIAMGQY QHLAYRSITMLRGGLISMLSRKTTDLSVRDVDPALSLTLMSADVERIVQGWQTMHEMW ANLAEIAVAIVLLEGQLGISCLVPVGVSIFSLVASIVALNFVVSRQALWLEAIERRIS ATTAMLGSMKGIKMTGLKNVLFKSIHTLRIDELNISKRFRRLLIWNMGIAYISQIFAP IITFAVFVTVQHNRGDDVALGTARVYTALSIFALMTDPITTLVMSLSAFVSSVGSFQR IQEFLEKDALVDKRQTPSGQSSSDDLIKGPIPNFSEKSSIMTNEVDLAKTNETKTPRS LTPTSMDVIVVQNADFGWDLEKEPLLKSIMMSVPEGKISMIVGPVGCGKSTLLKAVLG EIPPMNGSVYMATDQVAYCDQTAWHVNDTIRNSIVAASEFDEQWYSTVLQACALHEDL RQLPQGDQTMIGSKGVALSGGQGQRIALARAVYAKRRLVLLDDPISGLDTATENHIWH SLMGKSGIWREMQVTVLMTSSSVKRLPYSDQIFVIDEKGTISEQGHFETLAASGGYVS SFHLGQPDWNFTPDERSYHVPNIDEQEKVIQTDDDLEAEANRATGDFAIYRYYFSSVG WVGIVIFLVCISGFVFCISFPSIWVKWWAASNVQYPYEKSNYYMGIYAMLGVLALITL CISCWQLIITMVPKSGERFHSTLLSTVLNAKTSFFASTDTGVTLNRFSQDLQLIDMEL PVAALNTFATLVLCVAQTTLIGVASPYTAISFPIVLIALYFIQKYYLRTSRQLRFMDL EAKAPLYSQFTECLSGLVTIRAFGWQKAMENKSRELLERSQRPFYLLFAVQRWLTLVL DLVVAGIATVLIILVVEMRGSISAGYVGVALFNIIQFSQSIKLLITFWTNLETHIGSI ARVKIFNETVKSEDLETENRPVPAAWPSQGAIEFKGVSACYRPEEPILKNVDLSIRSG EKIGICGRTGSGKSSLVLAIFRMVELSGGSITIDGIDLSTIPRQEIRARITGVAQDPF LIKGTVRLNADPNSTATDDQILDALRSVHLLSVVQEKGGLDIDVEELHLSQGQKQLFC LARAMLRHSSILILDEATSNVDSKTDEIMQRVIREKFSNHTIIAVAHKLDTILDFDKV AMLEAGELIEFDDPYTLLSTDSAFNRLYTYSMADEDEKEGGMDDIEVIVRDVSSRMTG TSTTATQSNRASSENGRSSSGVVYTYP TSTA_011570 MSTNQSSHPKDCEKFAIDTSNALESQMTNGTSGTLESAELRPRF SVLAAVGMQYSISATPLAVGGYLTFILGVGGSPYFFYCFLVAAFGQMLVCISLAEIAA VYPHASGQVFWTAALSPPEWARFLSYWNGASTTLGWVFANAGTYVFAAQIFLGAIMVR FPDYVAKSYQVFLIAVACGVIGILLNTLLFKIYPAVSKFMVWFINAGTIFIFVALLTR TTPKASARQVFIDVVNETGWSSNGLVFLLGFLPGSVAIACFDTAAHMAEEMDQPDRQV PQVMIGASLLCALSAIPMIITFLFCTTNPYTLLNPIGGQPVYQVLHDAFHSDGLLVVA LIIYCVVYISSCPAAIATASRLIWSFVKHGGMPGARWIGEVHPVMQVPLNAILLTVFA SCLISLLVFGPSTVLNGVFGAGGICFAFSYGMPIWLLLLRGRSQLPKKRYCNLGKIGV PLNILAVCWQLISVTFLSFPLYRPVTLGNMNWASACGAVGLGIFVVNWFVYSRRNFRP PRQLYVRNLQQTETDA TSTA_011580 MSGQGLKPYNRPINHIAISCSDLTALVAYYTELLGFQLIGEIRH FKRSEDQTPFEAIFVSYPSTLQELKFAILATGNGVGIECFEFIDPTIKPREEAFEFTR PGFFHICITDPNPEVLVENIKQRGGRTLGQWMDYSRYGLEEHRGIYTQDPWGNVVEIM SLTLERVASAGEAMATLLKVQAKM TSTA_011590 MAKYIQPSTILGQAYQMNGYSHAVVLPPNARLVIASGLPGFCEK KMGIVTASPRDQIIACFDNCDVALKAAGVTDGLQSAHKVHVFMTDVKDEPTVMAVWKE KYPDHRPTWMGLGVSALCAPGMIIEIQVEAHVVPGSEGKL TSTA_011600 MSMSELIATLRENDQIVDCIVVGAGLSGLAAAEELVAARKSVLV LEARDRVGGKVYDVHFSTPSVSGYVEAGASFVGAGQTEIVALAERLSLRLFDTHEKGK KIVFPTSGERKLFDPEDPAYAEPPMPMEAIAQAMAAVAELDELAAELDRTTPWTHPKA QEWDSQTLHSWMESRLTHPDARAFILAQWRALLSAEPADVSFLQALSYIVRATDDNLT PPAKGNWARLTDVKGGGQEARIEGGTQLLPIGLAKRLGSQVVKTNAPVTGISKQGAEY RVYVERGLEAPKVIYKARSVVLALSPPLVSRLSFEPPLPARNNLVGQRMNMGALGKAI AVYEKPFWRDEGLSGQVFCLSDSHVQVVFDDSPANLSCGVVMGFLVGNTARELDALSD EQVQQLILRDYIRFFGPKAADVKHWIIQRWDREEYSRGGHFALCPPNVMTVYGRDCAE PVGNIFFAGTELSDQWAGFMEGAVRAGRAAAGGVIKRLGETSLPDQVSAEARL TSTA_011610 MEPTSNSTLLERVQEKINIDVDTLDTEFIQSLPIIPNDQTSNPA WLFVQLQDSGTKDLVHQLIQQDIELQRRVAFCKRNLPFIKGRVMIQATTSDPYNIQEI LESARLLAKEFQRAGIPKERYCIKIMATGPGVIAAKILGQPEEDDIPILGTGVFTVAQ AVACFQARCLFISPYYNSIEEWQNLREQGNSVILPTPNDVALKHPFSNRLAQMVKAYK DLYQKTGQRPPLIKNAGFASYHEVIASAEIGCHSATISTALLTEMLKPSIRRISLPDN LPTKLANMRKPYETSVSIPSSRLQGLLNADPLQRSSAKYKACDTDKDYLACGGDVLDK MIEGDVEGKKRLDQALELFRFADQASLQLVQDLADEMARFSSNL TSTA_011620 MRLLSIAFGLLATLSLSAAQTSSNPKENAFIVPSAGAQFTAGTT TTLTWNPTTSGTISLRLQWGATTVATDGVVIASAIKNTGSYEWDVPSNIPKESDYFIR ISSDADSSIVNYSARFDISGVSGSASTTTSASATSTSASSSSSSSTSSSSSSSSSSST KSSSTTSSSSSSTSTTLVTSSSTAAVPSSTAASTTSASSTSAAASKTSATATTAPSII PNLSGAGEIKIPFVMAGIAAFGAYALL TSTA_011630 MASQQGFSFPPPPPPPPPSTQQQFPQAGSHNPQNGYNYRGGSGR GGGFRGRGRGGMNRGGGGRGGAASFPSYRNNQQGIYNGGYTGIPQSYPPATQSFAAQT ILTPPTYPPRNYDGRFQNTPNGSPTATIPSYTGHIQSHSTPVGSSAVVAPPMHWGYDT TGAGGFYPGSTTYQSPPTHGHPQNNNYQNKAGHKRAFSSAFEKPTSQAPRPTAAPAVP SFGVPLPAKPPQPTDTSRQAQKKKKRKFNQLGLTPKTEEHESSGEEEDDADEETRLAA AASNLAGPLQFTFRGRTSTLNNAADIQSWIEERKKRFPTQARIEEKKKAQEEVKKQRD LQREEARRKQQEIKEQREQARKEAQEKKQIGSDDPMDAAIRAKAKAEKLRKKLLKEER RLQKAEADAERARLVAEASQQLPLTTEDASRNGDAPLTREPPANTDPLDENISNISES SSEDEDSGDDVPEELSSRRQGPERVPPPQREGHKKRSKLCRDFQRKGKCPRGDHCRYL HDLSTIDGTDKSRPVPKPGGGAKQPAKRGLFQMLVEQEIKARDRQVMHAITWLGSRGM LDDPQPGTNNAADDTVSVI TSTA_011640 MFILTTISDLVQIRPEDFSKFSAVAIEDNINEKYANKVVQNIGL CIGFYDLLKSSDGLIGHGTGLVNVNVTFRLIVFRPFKGEILLGKITGSSEEGLKIGME FFSDITVPGDLLFDGSEYDIAENLWAWHYPDAPEPHWYDIGEVVRFRVEAEEWHDQRP TGPDRMEAQTVSESKPPYSLIASMAIDGLGRPAWW TSTA_011650 MSIPLVNPQLLKPLTHGDAVETPPRPASPEHRFGTRAVHSGSHI DPNTGAVIAPISLSTTFAQSSVGKPIGIYEYTRSANPNRDHFEEAVAALEHARYALAF SSGSATTATILQSLAAGSHVVSVSDVYGGTHRYFTKVAAAHGVNVTFTPTLEIGNEAI IKPDTKLIWIESPTNPTLSLVDIREVTTIAHRHGILVVVDNTFMSPYIQNPLDYGADI VVHSVTKYINGHSDVLMGVAAFNSPELKDRLTFLQNAIGAVPSPFDCWLAHRGMKTLH LRAREANKNASAVAKVLESSSLVKSVNYPGLDSHPQRAIAQKQHRDGMGGGMLSFRIH GGHAAAERFCQNVRVFVLAESLGGIESLCEVPSSMTHAGIPKEQREAVGVFDDLIRLS CGIEDVDDLTADILQALEKSVAPANGA TSTA_011660 MASTFRFGFSGDDIDVDIDNDVEVDPGNNVSEKSQAEDVNAPDM FPAVRHALKDWTTNLPSQLSYNTFKVEISNPDHQTINNNEKNSTQTNKTITIARRELF DVRTQLMAEDDDSGFATGHTELIADLEQGDLKPNFYEGGFKTWECSLDLADVLLNSKY IGEGEGEDEGVNVIELGCGTAAPSLMLFAQVLLSSTTDQRQKRRFRFTLADYNSTVLR MVTLCNFLLTWWVNSPSSPRRTSTDGAVNGKDVEEEGELDIDTTLLDTFQADLANRVI ELDFISGGWSPEFVRLVLNRGQQFTENVSTLILASETIYSPSSLGVFSETLLELLRSS AGVQQERRNKSTALIAAKKVYFGVGGGVDEFLQVLHQQPLQDEEVVQVQERREITSGG VKRVVLEIGFSKN TSTA_011670 MFVVRRSAAPVRQLLRQQPRRFESHAAHDHHHHGPVNESFGPSF YVAVSTFAAGFLLYRISKSSEDSFISRLITKYSPDQKIFEERNAIHTVALEEAAADRH LFVGQGHQEYVDLRSNEVFNTGSPFNVAPGSQADLNAIAAHYRKYNQDLEKDRVARTK DGKVVSVFD TSTA_011680 MGKKSKSKAKADKKKNQKNEEAPPAAAVPEDVAAPEEPEVPEEI TSPVVDAQKETAPLEEDTEPVPSAPEQVDIVPGPEPVDISLDGPGNEETIDNSNDITN DNENNDEDNKNNDSNTNIVNDYDNTNISNEDNDNDKDINNEIDNSNDLVNDKETVEGL DKSIDLENSNEINNSDDIDNSIDLNNDNDNDNSKDVNNEIDNSNDLVNDKETVEGLDK SIDLENSNEINNSDGIDNSIDLNNDNDNSKDVNNEIDNSNDLVNNNDNREDLDKSNEI NNSADIDSNSNDIDEQPESQPPEDWSMIAPHQPTVEDDAGSMKSKKHSPAPSVSGKSA GTAAENALKEEADTFEDAKDGSDEVVESVDPGKAPEALPEEKLEDPEAATETAADAEH EEPESAAVQTAEEKQDGDAPESTDEAPETSAEEPQESDNVVPEEPVETAVEKDAPTDQ PEVRDEPEQPAESEHQDHPENVLAETAEEMSSSKHSIAGATHAGPAPLPSPVPSEPRS VHSPVPPDHPLSRHGSPLAYHTSPQYRYVSPTMQAYNPYMMGMPPQSMNPGSMHQASV APSSASFATAYHSPMMEHTPMASPHMYPRRASTFVNGARDYYNGRMDRTLSNGSGNSH VHSQRMEKSNSHNNNDDDHQEHHHLMGRVEKAMPDITRMLDSFKETRQKLQAREAEAR QLQAQHEQAIMHKDFYIEALQGQMKKAATEAAEEYGKFREIISSLRVDISSQQEKIKE LQDYLDTSRKQNEDLESIKSELEAEIQTLQKRIEELQLDHERALEEAKEHERTELAMQ KEELTNLFEEIRTEDETAANERYNEREKELLDEQEALKSAWEEKHRGLEEVHANMKTE HEAAIGEKHAELERTLAELEAAKNDLQTVRDSLVSKSEDLETKEKELETTKTELETKL QEIHKAQDDLHYKQEELQATQAELQSTLEELVVKKAELEGKHTELEEAHKRHAEEKGQ LIGSHFTELDNLRDMHSTELTNLRTTHEANAKELQDRLEKLAKDFEEKERTWAAEKSM LQRQLHEKLDELAGIEREKDALERDDVIREKHLQAAVEEMRRTIDNMENDREKLRKTL QSLGEATDLKSSKGDQFFVDAFGQLRRLIVELSKDHFSYLPIDPPKDILEKIPPEMPS FLDNTAASRELRSAYIQHVVSKTLTYRIFQPFLFTLGRRYDKADTFFQVLSMDIRRKS VRREAFWRQQTLKAAYTTSDAKQSINVVAAVIVDEIVDHIKHFADPKQLDALLSGIRR IVKLAAETWRHARVERELVLATMPAPESDSDVNEGWEEYTCGRPLPDPSSSGSASGRH VLLRVFPRIYREAAHEDFADDKEKMNACVYFPGSILYSDSPSVLARREEQGQKSGSRG SVNGDSSRSPTP TSTA_011690 MEVQDTYASSSTFLDFYHQIVHGGRYVPWRVPTSMWLNVIQKYY LKPQNGWDVSINGHFEHNIDGERQRTTMVATSMVDAETSQPRLVIAALEHSYYMETDE DAPTENLLQDTEEFMLSQRLKWIGEKAGGDPTVWERTYVISVVGTSVHFYVLKPDENQ LLEYFPERHGMWYDAIEDWAIINEALIGIQEDVEH TSTA_011700 MSSSPSPEPQPTYNIGLDGVEYEPALANHLQKNPNDFQAARDMW LNRIVEAFTGLGSHSAFSFNTDELIFNGLFVIDIANSLAHQIRVVDNEIYDAIDDKER VEASLGEFALHAAYHPW TSTA_011710 MYLAFFRKVMLYGERCISADESLGSHENQAHLFTTISSNIVLRN PVFKEIEGLPPASRGRIRTPTNKYLATSSQKLPASRHRHSTLRLSVQAEVLQSLNIHT CHSLKPPSSGRPMSFLAEVFLLLVFYTVTRYFANDGMNHTGNRVPLRYRGRGGRRTVL PSYPQHNEAETTSKISGSVDSDDTEDTDVPDDTQHKEFYPSLEDVSEVRNILRRLWSS SSKSSSRRNVMLPDEVIDIILDEAEYWPSMVTKLDTTPFVISADGDRECLKTPPLCYS LAKEGKKSQEEASPTGQESSPRILLHRGIHPCRKIVFDISSHDQGWGGESAHRGTFTG SWTWFDAYIRPSTPKDDADDGRKASDTTTATTTRSADSDSSSEGTPSSHLRPFLPEPT KLQCNRTATINSTDYHIFWHYRDNLPADSPEAERIERETGRGRATLDGNAVRNMKVGD EVSVWLRARFAGWRNHVDKMSVRVFWAA TSTA_011720 MAKKSKSKSDKSEQAKSNGAAASTTLTKDAPIDPLLASLFEKSA GPVKTPSIQYKEFVPKPQSAKPLPEVAELEDGAEDSEDDDSDDTSSSDMDMQDADQND EDSQFESEKEQPAEVQPSRKRKRGAADNLEDAYMQKLAREEEREKARRKMEKSKEHDV ESDASAESDEDFAADSEENSDTPPPVHETVSGAVEAEELDKSNRTVFLGNVSNKAITS KSDKKALLAHISSFLPSLPKSHTPHKIESIRFRSTAYGTQQGVPRRAAYAHKETMDST TLSTNAYVVFSTSIAAQKAPGALNGTVVLGRHLRVDNIAHPAAIDNKRCVFVGNLDFV GQENDAEGDEENPKKKKNTPPADVEEGLWRTFNANTGVAEKKNAAGGNVESVRVVRDQ ATRVGKGFAYVQFHDPNCVEAALLLDGKKFPPLLPRKLRVTRARKMSKKRDANPPRRA PTDSAHSTLRGRVKKLLGRAGASKLRNGNDGKPFIFEGQRAVEKTDQFKFKSKSRGGS KGKPKNRSSRRAAAYRASGGRAGRKAAE TSTA_011730 MDVAESTEPVAQPGVELAGAIGDADISLDHLVDDGRSSSLSEID DVSENEPSDDELPPPPRPAPEEADSEAETERLEESPNNHLIKRDIRLRGENRFESSPS KLAQSTTYDEMEEDEENELNETPTKTRRSSKPNGASESLEIPVIGDASSPPSPAAVAG KKRKHPQADGDVDTEMGEDDEPLRKRRGSVGGDEVPEETGADASTTREETEEVPQQDT SGKATPVDETRDSAVPTVVTRGKRGVKKGKRKGRKARDFDEDLENGDAATENTEDQLQ EDEEAGDVADDANDADAATKSEEELARKIAAIDALAVLEKEFATLREKIYDEKIAKLD YELEQLTSPEPSHLELQRQLKCLERHRDKKINYEQTLFQYRMQSLMSRSLADRAALHS TYFQRIRDTREKHSTAVSKQFYAIQHDRFKTEEVGTHHYIPFPTRRSQQISQQAAYNQ EVSVMAGVAKYVGFPAAPTLSEARATEVDEDFEKMGISIEHRPVVAPQSGIRGNVSSD LPRYTTAEESLHEQQAVWTNPQYVHANRPAHLSNMTSYTTPAAQKRVIDINAPNGSAS TIPDNASAANSSTANTPYGVEQDHRQHGAGHIGNVDFDALDRKSGFRSQSSSPLDVRK GPSSNPNHLFEGRHESTTRNPGYSPPRFGLFGTSKREPSPPLSTSNKALGGLHSSRLM TGSGPSRMIAR TSTA_011740 MRIIIDIMAAGFYFLHAYPKDSVLRMELLGVLRLLCLLVASVVA TGPVPLQKSGLAGIDGFEFYDFYCAHACYRSFSPFTLSCSNTIEEGGHTTADDLAHDI RASCIEKSWETEITGDVSVTPQWSYGETMSKIVEPPLRVLTGEGMLNETVLTTMAVFT DTENTLIYYFRETALESYFGLAICVAIFALPILLTWMDYLPFMTRVRERLQPQLWPSI IGTYHERPLPYFIGNAPTIGQALFILLMVILNGIFISVDYKTLYPERLMQWYENHYQE LMFYVCLRAGASAFCLTPVMFLFSSRNNILLWLID TSTA_011750 MLTSILIIRRQVYDLSLVTHVILAVIFVVGCWYHIQFEYGFTFG YETWLYTAIAVWFFDRLIRLLRLLKAGLHYAKIVRIDIPGLRWAIMPCHRVHVHFPPI HPWRACENHPFSIIPTSILSPQQQHAQEQNSDAEGRLDEKARKAIHITSDEDSQNRLS TNGFYINTGVTIFVRKGQDMTSYLKPCERLLTLVDGPYRCSANQGVLRSDHLLLIGGG IRITGLLSFLNPRQRIVKLYYSLKRELLMDARMRFESFTRMLSQNPIPSRYAMTDEIA RNQLRTVHRWWRNFKR TSTA_011760 MPSGIFNSSYYGKDYRAGAALLRARRPYLVRNTLTGLGLCGFVV GVYVFTIRAVGQEDFSDVVVPPAPATATNQQQK TSTA_011770 MAQVDLKEQLNQLEAARNLVLSDAALYPQVLQGILPIIGINARL DLRRWGADFLAETFANTTLPSDRKESLSGTVLPTLNEYLGNEAEDVAVVKSAVQTVSS LYPFVFRHIIHHPDDKAMWEQITSIKHNILQRMDSAPHPVRVCCIKFVQKVVHTQTPG PIADPRRPERNETSIAIVPRTHSLLSIPNLEAEASGLLDRLLTVLQDNADDAILVNAT INCLGILIRTRPTIANKILETLLNFNPVKVDHGPMTSTMRVKIKSMERTTRAVLINLL KRNPNHPLAGKMHQHMERLAQNCIEAFDASSRKRALPDEPTDGLDNAKRARLGAETPP LLKIPPLPEGPISYSQLYTLTEDIGLSSFDVKQLPPDLIVKIAVAVLGRVDQNALTQA TDAIRGRYQTLIARQAQQAQAPAEEDEDEYEPEYQPVDIPESEVQDTTAAIFEVEPEL GPFQLSRPPPLTGNEANEIGRAAADRVFEMMIATPFKAAAKPVTAGGAAASSASTSAS ASSSDRKGFARLAGGSLDSKEAWITMLVRMATRAPAKLEAAAGEIQTSDGRPTISNYI RDLLYRHILEDFRSRINIGIMWLNEEWYNDRMQMEAAASQRGKSEEVSVPLHYDSWVL RLLGGILPYLDARDVKILIRFLSEIPEVTLPIIGRVQTLARDPERINLCVQALHYLVM FRPPAREMCLNTLEEIYNTYEEARPAASRVLSRWRPEAISSQQTREVSVTGSTTNGVQ QQVARA TSTA_011780 MASTAVDPFLKGNTGRNTRGLLRIVILCTIAAAAIASRLFSVIR FESIIHEFDPWFNFRATKHLVEHGFYSFWDWFDDRTWHPLGRVTGGTLYPGLMVTSGV IYHFLRFLTIPVDIRNICVLLAPGFSGLTALATYLLTNEMTTSPSAGLLAAAFMGITP GYISRSVAGSYDNEAIAIFLLVFTFFLWIKAVKNGSIMWGALTALFYGYMVSAWGGYV FITNLIPLHIFVLICMGRYSSRLYISYTTWYALGTLASMQIPFVGFLPIRSSDHMSAL GIFGLIQVVAFVDWVRTQVPGKQFQTLLTSLVLLIFGVSFIGLVGLTLSGIIAPWSGR FYSLWDTGYAKIHIPIIASVSEHQPTAWPAFFFDLNFLIWLFPAGVYMCFRELRDEHV FVVIYSVLASYFAGVMVRLMLTLTPIVCVAAAIALSYILDTYLLLKSPSAGQETVPAK SSDGLRSERGTVHGISSTFSKVFTTASVSAYLLLFVAHCTWVTSNAYSSPSVVLASRM PDGSQFIIDDYREAYYWLRQNTAQNAKIMSWWDYGYQIGGMADRPTLVDNNTWNNTHI ATVGKAMSSREEVSYPILRQHDVDYVLVVFGGLLGYSGDDINKFLWMVRIAEGIWPDQ VKERDFFTARGEYRVDDEATPTMRNSLMYKMSYYNFNNLFPAGMAQDRVRGVRLPAEG PQLSTLEEAFTSENWIIRIYKVKPLDNVGRDHQTAVAFEKGHKKKKSTKRKGPPVLRV E TSTA_011790 MDSPSLSLEQLQKVLTDTPTNDALYEILSSYEDEACQQFTAAGE IRNATVLTPFYSSFLFSHLLIDEIQEARALTQRIPTTLIQNDPVVQRSIAVLRSIYQN KYSETYGLLRTQPWPEPVNIIVQRFDSYYTERSFRNISRIYESIRPKVAAEYLGLESN SELMDILVKKGWEWDADKEVFRPRVPEKHIDIGKYRQPLDQISRIVNLASVQGG TSTA_011800 MASQGVNVLRYSALVGGIFYGLWHQSALNTQAKQAEHDREYKHK ESLIQKAKAEWAKKNAPPDTKSGLVTDPEDPRFDLEKFLIAKAEEK TSTA_011810 MQARKDEILAKKAKLAELKRQRELRQKEFNQNRASIGDASDIVS PVPSRADSRAELDSLISRLVDRPSSSSYKDGDGPSRRGSRPNSVLSASQLSGENAEVF SPPPVRMVSQSVATQTEATEPFVSEPAPAPEPKKETVTYSKAVQTDDLSDLPSTIADG PLAENEEAPSSPSSKRLSRRIRERDEEIRERIRKEIEEELIASQQVNGIGIAASSSQL RYPLRTLNDDELNAVTSSDDFLDFVEKSSKVIERALDEEYDILADYALGGVNAEQEED GEYENKRRGIKEITQFYDERWSKKRTISDLSFSPKFPELILAAYTKNPTAPHEPDGLL QVWNQHLHSRPEYVFHSTSDILAAKFSPFHPNLIVGGTYSGQVLLWDTRSSRAGGGAP VQKTPLTGAGHTHPVYSIAIIGTQNAHNILTASTDGVVCGWTVDMLSQPQEYLELTTP PPSRTEDLAPTTMSFPQSDPTFFLVGTEEGGIYPCHRYDRAGAKAGTDQRLAYRGHAA PIMSTAFHPARGPVDFGDLMLSSSLDWSVKLWRVRPPATTAASATAGGLASQPQVISP LLDINREDVVYDAKWSPHRPGVFGLVDGAGNVEIWDLYHDTEVPAVRTQPTPGRGGVL TRSLNKIAWEEREGRRVATGGLDGVVTVFEVGKALSGPVEEVPADEWAGMKRLVSKLE QTDRGI TSTA_011820 MSNQDGGQQLKGEQLQLEKKLRLKVDLRLCTIAGLLCSLNLLDS GIISSASVTSMISDLGLEGTRYSVSIFIFTVSSVVFQLPSTIAVRFIGPRIWFALITF CFGLITLCTAFVQSWRQMIALRVLLGISMSGIYPGLTYLISTWYTRKEQQLRFAFLQS GEVLVLATGSIVNYGLNHLDSRAGLAGWRWMYIVQGSITCLIGIMTYWWMIDFPEKAA KSVWFLSEAEIQLAIDRIRADRGDVIPDPFSWKKVAVNFLDPKLYGFSVLFFLLNLVS TSMSYFLPIILESGMGFSENASIVLSAPPYYWAVIPVLFTSLIGDKYRLRGPLIIFNA LVLIVGFLMFGIKASHQVTVRYIGTYLATGAYVSNWAALNAYQANNIVGQWKRATIAA AVTACNGLGGIAGSFIVRQHEAPWYPTAVWVSIGSHILMIVIVCGFTVYFKMENNLEK RRKRVIEGTPGFRYTY TSTA_011830 MASYLMPLDRIDHYPLSSLISIPSVIALALAWTISKVVYNLYFH PLHSYPGPFLGRAFSIYISILELSGTQHNKVKEWHDTYGEVVRIAPNSLSYNSSQAWE EICGHRSGSHKALFDKDREFFVLPPNGEPNIVAANGEHHRRLRRLLAHAFSEKALRAQ EACIKGYIDLFIAQLKDRATTGNGVVNMVHWFNFTTFDVIGDLAFGESFSLLKQGVWS RYLSTVFGSIQFGIIERLLRRLLPLTWKNFTKLIAPKKLLDDRMFQYHLAKDKLARRI AHDTERPDFVHYMLKGSRETIGADGLTFEEMVSQGNLLLLAGSETTASLLSGMLYYLL VNPDVLARLAEEVRTCFPKETEISIQTVSYLPYLQAVIEESLRMYPPVPNALPRSTPQ PGEVICGKFVPGGTSVGMHHYACYRSSKNFFEPDSFHPERWLNNGDSRFANDDKNAFH PFSHGPRNCLGKNLAYAEMKLIVAEFFWNFDVELQSESRNWAQQKSAIIWRKNPLYVK LTPRK TSTA_011840 MGSSRPESNSVQKAAGLCAPLFGRCFSSTNLGSAAKEELLNEQG GLKIWAGNIGIFATGTASADYRLRNDPNIKEAMVSMLVADLKEDYGEGGTADKEGSQK STSSSEASLVVDLDEEETSNNAGTSLSGSAVQNLIETCKVTITNLTAFLSPLRSLFSY NENAKVTRFIQKEKGKTEQHGGEDIISEFLVHIKWLFSFRYRQISPNIAERLIDALVF RRKKLIYCQRHQEKLQHGMGDAFVLPFDEAI TSTA_011850 MSLVTRRRLALVLAQALLQLSENPWLAKKWNKEHITFFYESLGA LVLTKPYLSSVFSADQGGGDDGLDINQFYPCPSILSLGILLIELETVKTIESFRIPDD LTDGVEVNANTDWATADPCLDTPWVSAGQRVSLGDAGTRDGFTRDVVKPLEEELAFLS RARM TSTA_011860 METNTSDERPQAQLHSTSRTDVPPWMVEYIPPPEPEVLLPPLLA CLPTAFVSTRPPPALLPLLSPILRQRVQLLSSVAASPSDSWLRLLSWSDEKAERVSRL LDGVVFEPHPASGEIEVPEDLSITYKRLDEETLRSKLSLPEYQLNVLYVWCSNDADSG GPGWRIAELEPCEGPDDNDTTWSETVSEANIQARERIIDDALRDAEADRLQVGQKDGD EDEDDYWAQYDNTPGRTPYSKTPVSRMHHPTSGVSEDAYFSQYADVQPAMDNHDPSEN TAEAGESSLNGDIFAKIMQQARESRDAAPRDPSLPQNNEHADLVSMMINHPRPSSASS GSAVAKLEQEAENRSTSEIAIKQHIGSSIKSLYRLAKTTGMPRAEFQNLIRTEIDLLS LSDDD TSTA_011870 MSSTPSLRKRGGAKKDSVEPVTPEASPRHGNFSVSSKTATKPPP SEWDYWVAMFVMTALAFVTRFWMINYPDEVVFDEVHFGKFASYYLQRTYFFDVHPPFA KLLFAFVGWLVGYDGSFTFENIGDSYITNKVPYLAYRALPATLGSLTIPVVFDIMWES GYSLPACVLASGLMVFDNAHIGEDRLILLDACLVLSVALSILCYVKFYKQRYNEFGRK WWKWLLLTGISLSCVISTKYVGMFTFVTIGSAVIVDLWNLLDINRPRGALSLFRFSQH FAARGFALLVVPFFFYLFWFQVHFAILTRSGPGDDFMTPEFQATLSDNPLTANAVDLE YYDNIVIRHKDTKVYLHSHFDHYPLRYDDGRISSQGQQVTGYPHNDTNNQWQILPSVP FPENDRTGHKIKNGDIVQLKHLFTNSYLLTHDVASPLMPTNQEFTTVPQEIADGERHD DTLFEIKIENGKPGQTFQSLSGLFKLIHVPTRVAMWTHTTPLPDWAYNQAEINGNKNT LQSSNIWYIEEVPSIPAGSPRLEKREREMAQLGFVRKWAELQRAMFFHNNALTSEHPY ASEPFQWPFLLRGVSFWTNNETKGQIYFLGNPIGWWFASSMLAVFVGIIGADQLSLRR GIDAIEEIWGPGARSRLYNSTGFLFLCWAAHYFPFWLMGRQRFLHHYLPAHLASCLVA AALVEFIFTLDPIAPSKTDYKVDPTGRGRLVLGRFRTAKERLGRQSLYAGWAATMVIL SAVIYSFVFFAPLTYGTGLDVESVNARKWLAYDLHFAK TSTA_011880 MKLFLSILICITAINQVTELLSRVNDNTITTPVTAQDTPHQEQI ALPDKMTTARAVRMAFQALEQAEGAGARVRRSIGTPKLRNFSPFLMLDHFTIGKGAGF PDHPHRGQETITYLLSGGVDHEDFAGNRGTIGPGDLQFMTAGKGIMHAEMPHENEDGS PNVGMQLWVDLPKELKYVEPRYRDLRATEIPVAEVDDGRAIIKVISGQSHGVDSVRDL AYTPLWYFDITIKPGGRVKQILPLGWNAFAYTLEGATHFVMDNTDTKPIKQYHNVVFQ QSGDYIEAYVPDNAEETSRFILVAGQPLDQEVVQYGPFVCTSKEEVYQAMLDFQSAKN GFERSFGWQSEIGKRMNRF TSTA_011890 MYEISSRQVDACAYTMIVLTGIAVISRFFLRGLRKEPFRPEDVF ILFAYVTFNILATITLVVVPVAYQIMDVSADVKPIYNDLFDDEVFQLKILFASNILFP MVLWSVKFSLLALSKRVMYQQFEWIRAWMVVVGLVILTYVGNWISTLYSCTPIQDYFR PGGCTSPRDVRAQVANLYYLVASEILTDVLIMALPIMFLHQSWVGLPTGTYRRTAAIF SVGIICIVTSLARGITIGTRMKVDTPTFPWIVIWEMIEGGIGTMHLRY TSTA_011900 MATRYQSGTNDNHPLRWSINFLTHKMLGTIAQGGLNFRYQNKYV EAGKQGLVGGQVGNTQIVYI TSTA_011910 MEERWSVCLQTLEGHNGSVKSVIFSHDSKLLASASVNYTVKVSV IFSQDSKLLVSASDDETIKVWDASTGQCLQTLEGHDGLANSVIFSHDSKLLASASFDR TVNVWDASTGQCLQALDIGRVTSVKSFDITNSYLETDNGTVYSSLVADIGLTNTDSGV PRFEGYNVSPNNICITWNSEYLLWLPPKYRPYSTAVSLSTICFGCRSGRVLLFTLDSP RLLNILLGH TSTA_011920 MGKSRIGVSIYPHLPYEQILAPYTVKEAEDYESEPQLDLEQTLV EYGKQQYTIDGLRDRVKYQEAQVPAAMCFLRTVQVSYLADDGTDSEATTIQVPNCTCE ESCREVRGYQSPNDRVDRITDEKLSTPEI TSTA_011930 MEEVNQSWAPPIWAPDTINYRKFFNASVSGDMQAMQEALASGEI NVNACPKWEDWEGETALHRAAEYGHLELVQLLISHGAEVDRRDYSPLGPITALHIAAH NGHVTIVKELLQNGADVTTRGQMGGPLLNFVLWLKRSISDKEYEIIDLDPISIIKSTG SNWRQQKLHQAAEIGDLTLIRFLVDRGADCNYIVPTEEDYTVLRSAVKSNQIDACRLL IELGARVTPSAFAQASCMNMIKLLHPHLSQSDISTSGVLNHASKPGFVRDLLASQIVN VNDLDLRGESALLEVCTLPKSTEMLEVLIEFGADLHVCGSRVVPCLKFKGDTPLHRAV AFASSTAVTLLIQAGVDLEARNEPGHTPLVRLAMSSRNKPRIEIFEVLVKGGVDVNAV DLEHNTALHHLAMRNMHTRSPQETLTVFQLLVKAGALTNLVNLQGKTCLELFSSSATF REFVMRSIHSTI TSTA_011940 MMKISKSLLYLASIASGTLAAKRPFSIHDDVLAYPQYKVSFPEE YVLETEASIILELQKERSSKDTSSSNIVSDKRDLERHASQRPIGGWKTTSKKNGADLD WDPELSLNQFSYEEMKLEGLRYLCNIPRVNNGPENSTTTKTMAEGDGARNQTQDENEI ARATDRGLELLEEMEGTCMYYVSGWWSYSFCYKKQVKQFHAKSGPGVPNYPPIEDPTS HSFVLGKFLHDDDEESERYSTSSQKPANGSTAELQTKGESRYLVQKLGGGTICDLTGA ERKIEVQFHCHPQSTDRIGWIKELTTCSYLMVIYTPRLCHDVAFQLPQFEETHLIQCR EILAPEEIADFDAMKAHHDKQKLVDASTEESQFVGGIEVGAKKEVGSEGKVIEKGRMA RIGEETVQVIAKREDGNLVQLSNKDLKKYNLNPKEVENFANQLDEKSKGGDWRLEIVE SNGETSYRAIISSSGDEDDEGHGDGEKKNEETASEDQPPPAKKAKEDENTPKDEDKGS EETYKDEL TSTA_011950 MPTNSSRTNVSTAHGHTHCIEAAHRANALFRKAGNGAFYKKHVD QLILEYEGTRDDEELPEEFLSVVDKLILTDEYELEPQLQDASSNLFITMFFTTNNNDN ANYGPLIIMELANRPTSHRIASLFSKTDSNVDIKPMKQPMRPRTLNRVICTSTRAAIP QKTLRA TSTA_011960 MNLPSLTSHPGILHSVDAQVTHPALCGGSFGNVSHHEIYHTWEK YIHTNNLNVYSARLVCNVATGCVLTQQLIPLIVGLAAISVPLAVPALVACVDVQVDLP TVAIYASIRRLILLTVEHVAIHVQPVFPALMARANCPTGGLCNNGACQCPTGFTNCSN ICVNTQTNTTNCGGCGTTVKFATQVHVLAHALANSFVVLHKHVPI TSTA_011970 MTQYTERSKNDIPETASRSGSDATYTESKDDPFNETDVYQYQNK SSYVDVIDVCYLSNGPNSRAPNGGRRAHRRLANVIEMCSYLKNSGNRRDCDTRIISIC QTYSWASLDISQDTLQTILSHYNVSREFTPVLKSFYPKTMELEEGFITSPWRRINQTF PNGRLAYEMGYIFKYPEIKSVDQTTGFETWSIRQTGVYQRVVGDVDEDKGNILILLHP RYSSPLQKELEAALVYGDSASMLHNADGEGSMITKKNTSPLELHLFILGTYLYNWRAY MKKEEELLIKTSYEALCIDITEDLPFNELYEYLSGLHNLERRLAPLKSIFSATRRILQ LLTKLNDTYTHPDRVNIKERLENLEEMVNGFDDNLQYLISRLGSISSLLSNTISLKNE NTSNQVSNSMLKYNRFTVDDSATVRVITLVTLIYLPPTSVSGFFSMGALFSVQNDGIS QKANTLITPYIWLYFVVVIPLTAVTVAYWWWKSRRQRLERGKHETSLA TSTA_011980 MSSQNLFELFSWSHMDYGNGLNPGPEEQPQDGPEYRANRPGGSR LPEDFGRESDHPENLPWSLSRELGSIHIFVRYQLQVPTNETGHMLTLSSSFRLGGPSA AIYAYALLGVLATMVLHNIATMLRVWPVAGALLLYVKHFLDEEIGIVVTIVYWLTYCL TAAALTATIADLLAIFHLSDASTVVITVVVSVIPVALNYWSIRAFRHIEVGLGVFKLV VALVIILDMNILNAGTRSHILSVLTKTIKLIVYSSFQSNNPIARKAAGWFGGFMSSIF LGSFSIIGVEVVAVTAQEAIIYTRAEDNEETVTHEERQPRARSEEEFTDQFSWPARWT PIVVTLIYIWGVWSTMGNIPLEDLKMFYPNNNLAGSGHDPCKASIFIDTACPNPSGPG QSNDNLTHNQFGFYHHCSDLCFFENVKEQIRCTAKAIFVSAWLFWVPFLKFANAGTFN QVGYWNIRPFWLWK TSTA_011990 MGFVGVYTAVYDYQPQAEGELEIHENDLLYILDKDDDDWWKAKK KADPEEEEPVGLVPNNYVQEAQPTHKAKALYDYTRQTDEEVSFSEDAELSVYDTTDPD WTLVGTGTDFGFAPANYIQLLSEVAPSQAPAVSHEEPQPEPASSYTSAEPQASPVPSL PQRPIHTEPEEYASAQSSPAIPDSSPAAAIAGILHRQHGSMSSHDTSRAIPPAPQAFA SPEEEEEEGPAPALPTRPISQSTPAREVRRHEEEPASPPQPPRPQHLQVNMAREDNAR VQESPPYSRVGYSAPSPHSPSGYRLYNINEMISVLGKRKKMPTTLGLNVPKGTIFISA DGSPDDSQQEWTADKLTHYSIEGKHVFIELVKPSKSVDFHAGSKDTAHEIVAALGEIA GAFKAEGLREVIEAGQGGSGLQKKGQMLYDFMAQGDDEVTVANGDEVVILDDSKSDEW WMVRRIKNGREGVVPSSYVEVTGLVESSAPAASSSRSAAVEQNRLEEERLAKEASRKS RVEFTDGPRSEVGPGMTLPKRNSSLFATDGGNNKVQRHKREKSDKSSKQKPDASKTRS WTDRSGTFTVEAQFLGLHDGKIHLHKMNGIKIAVPTAKMSVEDLEYVEKVTGESLDED KPLSDIRRRSLQVKKEEKEKAESDKAKNGVHVQEYDWFDFFLKAGVGPHQCERYAQNF TKDSMDEAILPDITPETLRTLGLKEGDILRVMRYLDTMFSRTGSKSRLRNVSFGGEEV IGNGESGLFSGPGGALKNNTSRKGRPAPAMQTGDVVDPKAFEVKDGKETTEKREPAPA RQATPPAEKPVQRGFDDDAWDVKRPKGQSPAPVASTPTPASAPVEQPKPAPPTGAMAD LSLLQAPLQPTPAPSQPTPSTAAPAATTPPAAAPVTAPMLPQQTATPLSQPVAPQQPQ ATGANPGFFSRLGPLQPQQTAVPNQPQGMGFSLQPRQRPVPPQNMNQNSLLPPPPQRP LSAPQDFQQSPFAAPPLQPQLTGIPRNAPQLAPPGQSLTELNQQRFQQPQQYPQLQPQ LTAFPQQPQPQFQNGLMPQPTGFQPQSQFGFQQQQQQFAGLALQPTGFGGFSSPPPQQ PMATGINSVLPPALQPQRTAVNGFGTSISPPPPPVPPIPQMPTAAPLQPQKTGPAPPV RFGVKHDAKKLTPQPTGMRANLAQATPSNPFGF TSTA_012000 MTIPPWQLFLGFIATSIAYSILSSIYKNIKNRRNAAARRCQPPN KIPTGLLGISRYREIIKYASEYRSLDLMEKTHHEGGNTFEQVVLGTKTIVTIEPDNIK ALLATQFNDFGLGRRRNVFSPFLGDGIFTLDDAGWSHSRAMLRPQFSRDQVADVGMLG DHVNQLISLMPGDGTPFDIQEYFFRLTLDTATEFLFGESTNCLLENQNVQKKSALSSI GGEQGFAYAFNRSQDYVVQRARAQDLYWLVTSAEDRRNCKLVHNVVDHYVDTALARYN SAGGDQEKFIGSPNSDRYVFLNAMVRETQDRRALRDQMLNILLAGRDTTASLLSSSFY YLARHPRIWQRLREEILAKFPPTESAESITIARLREVKYLKYFLNETLRLLPPVPVNG RFAKHDTTIPVGGGPDGKSPVFVPKGVEVLYQVTLMHRRKDLWGEDAEEFRPERWEEN GRHGWEYLPFNGGPRICLGQQYALTEASYVLVRLLQRFDHVVNEQPEITRPAMKFSLT ASHAYGVKVGLYAA TSTA_012010 MFDNFKMFCIRSIGPKALLRHQLRGIPPLLSSYPTLRPGLSAVK SARPFSTTTPTQNQAGSTRQNPTILLQDKENGFGFARSNPRPKKPRMKGVTEIRGPYY SVMGKRYLADVLETMGAHVDGLKFAGGSFSLFEEKALRELIELAHEHSVYVSTGGWAE HLLTHADIESVFDKYLLKCKDLGFDVIELSSGFLSIPADDWLRLVDKVHSHNLIAKPE LGIQFGAGGDTGAGELEAIGTSDPGKLITLGQKFLDAGVERLMIESEGITENVKSWRT DVVSKIMKELPAERVMFEAADPKVYNWYVREFGVDVNVFVDHSQIVQLECLRRGIWGM ADTFGKIVSYRPGV TSTA_012020 MNSDLIGDRYFRKFNSESWLVFFGIHCGYHIVDSPLQETEAQIE VNNINKEVTKAAEAATARLFNMSSDAASSSVWPLHTKRIVPTYSSSTRTRSASKPKAE RAKEQKDLTDTKKPSIGERERLHDCRHCGGVHWDFDCPTRKPAVKAEGTEESLSNLTR H TSTA_012030 MPVKLVHNRRKQAMSSDLTGRNKEEVTLLYSEHPREEGVAKNGR VLRMMYDIRTPPFLTAESVVVSIKIEPDKPSFLIMATDGMWDMLSSQQAVSLVGKSLE TSTA_012040 MANSRNFGSMMSPMLLFSCFCLLVGDMLFGYDTSSFGGILANPG FVRQFGQYHPKTKTYAIDSLHTSLLSSLAFIGKFIGCLSAGPAIERFGIASCFLVSQL SRLWVLSITAADTGAGTGRLAQFIVGRIIVYISVGLVEVDITTYQSEIVPSHFRGAVV VSLQLFLSAGSILASGINKAFATRTDGLGWKTITGIQFIFPVLIILFTLFIPSSPRWL ISKDRNEDAITALCRLRSKAYAANGLCAAEIQAIQEALRQHVHKSPWFDLVRGNDLYR TMIVMVYYFSQQTTGQAFVSTYQTVFYKTNGYAQNAFTYPIITSCLTFICIVPVMYMV DKVGYAHNPIVDCRYCLMFSFTIQAFWMYLLSGIGELQQKTHSEKNTIVAAFMLYAIS YNMGGASIPYLLGAEIPNSAVREKTQALGSAWNVVWAFVTNFVIPYMINDIHFQVGWV FGSISVLALLFTVFLLPETKGLALEEIDAIFAVPYNPFRSAEIHETPTQGRVGELESK KQIVVEKNGDYAQEVVAV TSTA_012050 MLDTGNETLKRPSFLFILADDLGFSDIGCYGAEIQTPNIDALAA EGIRMLNHHTAAACSPTRAMLLSGTDAHLGGLGVLIEYKRSEQGAKRWSGKAGYEGYL NNDVVTLPEVLEDNGYYTVMSGKWHLGLRTSQGPWRRGFQDAFAMLPGCCNHYGWEPV QERFPVGGRPIHAEMGKKVEIKTNKTEDPDGFYSTKYYTDRLIQYFEDRSESDKSKPF FAFLPYTAPHWPLQCSKAQRDKYKGTYDDGPYALRERRLKNLVELGIIDKSVVAHQVE TTTQGVGEWDELKPEEKKLSSRAMEVYAGMVDSIDVNTGKVINYLKTTGEYDNTFIVF VSDNGAEGAASISRVDTSVKLTFAFIAVMGDNIQRAIHQYYDNSYDNIGSWNSFTWFG PLWAQASTAPSRLFKCFPSQGGILVPCVVKPPAHSFLPSFQPGSFSRSFTTVMDFVPT FLDLAGVSLPPTFRGKEVHAIRGKSWVSFFAKGQAVEKDDEIWAIHSSSEPIGWELFA RGALRKGNWKIVHISKAHGGAGEGDEGWELFNVLNDPGETNDLARAEPEKLKELLACW EEYVKECGILPISPPGNVAPRLVVNSTSRPMPSDSIPTKTPKKKERRGWNLANVEKLQ YAGNLASFVRQVTKKNLSHQNRVSDVQTEAGELVKCDEKKPTCTQCRKGNRSCDWLHS DDRRITFSRRPNATACDLCREKKGHGGGMYEVYTACSAWISIECSSCLFSRDHAAFQF LQIGELGMVQDSSPPLSNSSSETLHETLLLEDVTQFEQTPKGQLPTGQELSDLIRLYF SSVHHFGFLAFVHELHFTRLLEQGKAPRELTLMMIASAVRFAARPTPENLARADAWAD AAIESLLPRIYRGLGAIQLMVGRTLHLKGGAPLPY TSTA_012060 MMQMMSLQTFDRTYSSNLTSNLRLSPLLSRETLRRLAWSTFYND TIIDGGRYGFHTIDEKAYSIQLACDEASFLRNENVVTGPLRCNASVSANADSSNKSEE QLLDISDYLLRTAAARRRALHFAFRASHAEQTSEELTTELATIEHDMEEVINALPKEF HFNSSNMLLHRHRLITFILLHVIRHNLFIITGRAALHIYSQDNSTKADLISQIRRNRI SHALPTARIVAEGLRAGIYFDPDVAVDAYVALESQDPAEYDFRDFRWAKLERLRRCTT TSTNTRPSSIKTSFSDESLLEYMTDSDTVLPSLPPLDASDVYKTHPQAASVSYPVSLG SIPTSSAKGHALPIGQGSDDYNLNQPPIPPWYGSAAEAGDGLYSLDWSWFLDELGLEY QSGDSGMSLGLLPV TSTA_012070 MGVGAVLEPLTVIVLLFGGTWINRRKENSYHSSRSASQYRLSSG SSSDSDVDEETGLQSTTDKDAYAESRPLSPSLLHHEAKWRTRTIALGPYKFKAHSPNT AIFRNRTLSRLLCRLPFLVECWYWALVYWTYQLGRAFTAMTLKDDTVDIARTHALQLV QIEKALGIFVETDIQKFFLARPMLMNVTNWVYSFIHIPGTIAFLVWLYYYTTTRNSLD DPRYSPLDHRINGSPGGPPLYAARRRTLAVCNLAAFVVFTLWPCMPPRLLSDEHVAGS VGDLARSYGFVDTVHGEKGAGSVWTENRFCNQYAAMPSLHFGYSLMIGLSIMTIPLSP QHRQSTSIRLPLVSNRINLRFGLPSWRRALCVALGVAYPLTILAAIIATANHFILDAV AGTLVCFFGWWANSILLNLLPLEDYFLWALRMHKPERQVMDVYEDTDEQDEPKVLAHA SLLS TSTA_012080 MSEESPATPAVAANAGDSRVAAGESKPDSATAEQAQKQDDGKTT ETEEKDKPAEKQPENTEAAPAAEPTEVNGKDDGEPTLAEAPAVNGTSTPRPSSAKRKS VGGPSSSKKLNKKKSQQRITHLDAAPGEYYLARLKSFPPWPAIICDEEMLPLSLLNTR PVTTKQADGTYKEAYADGGKRVHDRTFPIMFLETNEFAWIPNTDLTPLDPAQCKDVSE KGKGKQLIAAYKVAAEGHDLQYFKNLLADHQRAIQQEAEEREAVAAEKAALKAQKEAA KEAREAAKEEKKKKRKSVAAETEDVEMADADEGEKKPKSTKKRKKDAGSDAEDEKPPK TPKTATKLKLSTPKDPNAEKPKTSKAKKPVEQPVEKVEEPPKERTPQIDPQEAKANKQ KRVLFLRHKLQKGFLQRDQAPKEEEMDLMAKFMTELENYGEIEVSIIRKTKIQKVLKA IIKLPSIPKEEEYHFKQRSMDILTGWKNLLDSDIPTPAPPADKEAKPESNGANALRHE AASADEPKADGPEPKLIGAEPSEAADDVEDQSMADAAPEESKNKPEVTEQTAGKETAT ETAGDAKQAADEASA TSTA_012090 MMHPSRQAYVEEAEDTDMGISLADLPTDRDYDLPSAAAGIAPER ASALLSQFERKRRAAAIVVPTDDTRVRLRLRELGEPITLFGEGPGDRRDRLRELLTTL QERQESGEDVDMLRERAQTATPAAAEEEEEGDQQEEFYTEGSRELLDARKKIALYSLP RAKSRVAWQLEQSKIPLRTHIKHRKAVKEKLQGFDLYGSQIAADRPVSIARFSPNGQT VATGNWAGGIKLLSVPNLDEKFSVKGHSDRVSGLSWFPGATLSSSNVSESSLNFASGG GEGNVNLWSLDKKEPLATLSGHTERVCRVEFHPSGKYVASASYDTTWRLWDVETTTEL LLQEGHSKEVYSVAFNSDGSLLASGGLDSYGRIWDLRTGRTVMVLQGHIREIYGIDWG VDGYRVLTGSGDGWVKCWDLRKVDCSGNIGAHKSVVSDVRWYKGCDSSHSYLPTTTTQ NGDGDAMDTSENTSAEPRKAGTFFVSSGFDRNINVFSADDWSFVKSLSGHSDKVLSVD ISPDAKWIASSSHDRTVKLWGIE TSTA_012100 MQGAITLRPQRLMSSVRARGPLSYSPSRPICLLCQQKQFTSNTL KLASQRQKVNPSSNPLTPDITNHYTIFPNTLPQGPPPNSSFSFDTSALRREFLQLQNA FHPDKFPQGPEKQRSEALSSRINDAYRTLIDPLSRAQYLLSHFHGIDVLAEDSAQTHP LDSETLMEVMDVQEAVEELSSAPVAEAEATVARLREENATRIEETVDKLHKAFDSGDI ETAQRETVRLRFWYSLRDGLREWEHGHGAIRIVH TSTA_012110 MARQLSLSSSFKLNSGYEIPLLGFGVYQTPPSDTSAAVQEAIKA GYRHIDSAAFYQNEAACADGMLKSGIPREEVFFTTKVPPNSISYEAAKREIGKSLREV SQLKYIDLVLLHAPYGGREGRLGGWRALVEAVEAGTVRSIGVSNYGVHHLEELENYIK DTDAKQGKGKGGTLSVNQIELHPWLQRKEIIDWCQKRGVLVEAWAPLAQANRWNDPSL RDIVKRTGKTEAQILLRWSLQKGFSPLPKSVTPSRIVENTKVFDFVLSDSEMASLETD EYDYHGWDPTTSGLDN TSTA_012120 MASPTSETSNPVYFWRPEGEYGFLGQWWPSSFSWKNGDEEFTYA NAEQHMMHRKALLFAGPSHPITHQLQKAWKLEPGTIRDLGRQIPDFSEQMWQQNRYAI VLEGNYLKFSQNGDLRRELLATEDRELVEASPRDRIWGVGFGAAYASENRREWGLNLL GKALMETRERLRR TSTA_012130 MPSYLITGTSRGLGLAFTTELLKNPENLVIATARNTGKSTGLQN LKAQYPGNDRLILVDMDVASLDSIRAAVKAVEPLLPNGLDNLVSNAGVSYAGMQSFEQ LDVEKFTSEVDFTITAPLNLLREFLPLIRKGQAKRALFVTSVIGSIELAAHMPGLLNA YAVARAALNMLVRKWSSTLKGEGITAALIHPGWVGETDIGDELSDWVAKYNPSLENVP SAKSAADCMKVLNNITPEDSGVFFNHDGSKLPW TSTA_012140 MNRLPDFTSLITSPPFTFLVGKDHTKLTIQSGLAKHVSRPLDDL MNNGHTRESKHRIAVLENEEVEVFTAFTQYAYTGNYVVPVQKTESLTVSPSGLNTASA PAPPAAASPATSPRSGSEFSGGGALEVQNRQSPTNEQQQQQSPPQNISAARTQSSASF FPPPAPTPPPFADRLDSRPPVRKYAETPLAVTQTTGEDDSWENPFASPAEQQPHRNGT SIREDTPPVENKIREVVVIQDRPPEEDGQPAFPLSRRHSRKDKKKKRKDTVVPASGYE ETVPESLTPPSTPPFDVKDVQDQTITPKINKSEARDYDPDCAIETDNGEDGEIEGGDG NWWDQPVTSQNFSRRRDYEETLSDARRLQSQNQQPPQAPSPPHLSPQQHTVPLIDTSF ASHPMSSTPRKKGGKSWNAFASIDYFHQERNEDSGVTTPTPSQTIIVPYILFHAKVYV FAMRYLIPGLAQLCLQKLHTSLVDYPLDPPTDNEDELNSHHALNHQQDTETLKFNAHA KMFLDILKYTYENTTRFEPESQTSATLLRECELRKLVSQYAACKMRELAVYTPAPIPV PSSPSHGPGSGVSVITAPGGGLRELLDKTPELASDLVFLMM TSTA_012150 MTKKVALIIGASRGIGRQIAIDLARNGYAVVVAAKTTSNPHDPS IPFPPPPNSFASTINTVAREITDLYGGTATAIAVDTRDTTQINHLISETVRIYGKLDV LIYNSGAIWWSSVENTPVKRFQLMQKINPEGLYASVHAALPYFEKNEWKGRIIVVSPP IYSRFFRGKTAYAMGKVGMSVLTKGLAMDFEREGRKNMAITSIWPAVSIESAATGDMV KKDPTSERDLRKPTIFSDAILAMLNAPVHKVNGLLDLDEDFLRRECGVADFSKYAVVP GSSPRRIMPAKFPILEVEEQDDEGRRMDSAQLRAGRVKI TSTA_012160 MGGIIHAYYDCVSPYSYFAFVHLQRVRDKLASYGVTVESHPIFL GGIMDRSGNTPPWKVPAKAKLGQLELARGIKYWNVEPFTQPDFFPILTIFPQRALTYI KHTYPLTQFEKILDLYWQWFFYQHLNISKPDVLRQLLVSPAAGFSVEQADEIVTAAMD KKWKDALTAKTQEAIDRGAYGAPFFWVVKSEGGKVLGEEPFFGSDRFHQIWDFLELQW EDFKLLPRIEAKL TSTA_012170 MSSSIKLVSSKASDGYIGENIQADGNENTYIKVDEAPKFDLESY IANYKGRTRFDRLFLIGKCSTILSADALRLAVGEAKSGKDVERYVQAVQALSQVAPND PDAVLDQRWVDITRQAVRAETDKLEHELKGYKNNLIKESIRMGTDDLASHYHSIGDLA AASKAYARLKDNCTTPSHFAAMHLKTINVAIDRGDWFGVQQAVARYRSGPKPEDEHSK SAPKISSASGLAQLGQGNYLEAANQLLNADPTLGDTYNEVLTANDVAVYGGLCALASM DRNELQRRVLENSQFRNYLELEPHIRRAISAFCNSKFRACLDILEEYRADYLLDIHLQ RHIPALYNRIRTKSIQQYALPFSRVSLEAMAKVFAPEIVGGVASPTDISSPFVQELIK LIENGTLDARIDLEKGLLVSKQMDLRREVIKEALESAEEYVNEAHLRLIRTNLINAGL EIRVAPSALTTEEATGRTPWS TSTA_012180 MSPKSTHVDSAFLGMGGYHSNPYRDNPVISEAPLSPAAAWPAPL SPCLLAEPTPVMNIKPVTSAETMEANYAHEFAPQGIPSAYPASSTAFQQDSVGYSHVP TTTASLMYPVNSAWTTQSYWATAEGATAGAASLVPSSVSGLASPSVDVVPVNGTPVTS DDHSVHYPVGTYHTFAQSYQHAQPFAYVAATYDSTYGSVTYGLTTNQGMTGPQIGAPQ QFMSADEVTTIFPAPVSYVVPSSIEPASAMPDPGTPSGRGAATLEASGVMPPTPDCSL THHHIPESAMVPPGAPVRPGAYRRRDKRYRYVTFAQNPGAQGMGGHYVVMTSTVPVPY DQGSPASDTDTDTDTSTDESDSWRFSSSFTTPLSPAQRDRQRVLDTIPPLKLREMRKI PIPTPGDNVARDRYIVMGKSLKLSYGQIRAIAGWTAAESTLRGRFRNCTKEKHERVRK PEWTLIDIVLLQQGVLEQATTLLNNTAPRRKPNGEIYRHEPGKVYTVSTLPLGIEKDI SWKGVGEFIINHGGTYRFGAMTTKKKWFAVTGRAQQV TSTA_012190 MNLGLTESLPSLVSRRFVAAKDSGNLIFSATQLAILSSKRGIGY QLRYCPALAKKPETQSQPSNPTGPKPDPFENPSTDLLITEVPSTSKTHFLILNKFPVI PNHFILATKRFEKQTDLLEKEDLGIAYACLEAWFDGDDDGLVRKEEKGGGRRLFAFFN SGEESGASQPHRHLQFLPIEDMRAQLEDSKSDNSSVSWTPLIDLFLTSTNVQISSSGI RHLPNLPFQNFALQIRSPPSIDALHEMYVTLYRAALLASRMTSPEETARTSGPAAISY NLAMTRDIMMIVPRKKEAHTIPGLPDADAVSLNGTILAGTLMVKTKEQWDHLRRDAEV ISELFGEVGIASYSSHL TSTA_012200 MAAQHPPLFTASRLQTATYLLGVCPFSIAFLVFLNSSISFVVTD LIGLEKGEGDAVGSLGFADELLALIACPVWGLLSDKIGVRYVCTIGYTIIALSLVLFV QASNVYPQLLLGRLFFSLGGSAVSTMVTATLPTITGGNLPHQDPPHQRRSNHAWRPSL SSEITITPSRFERSRSREQIPEPAPTSSSSRLAGFVGLFAGCGALISLAVFLPLPAYF EKFEKSGSTPAQAIRQSFYVVASVALLVAIACFFGLRNLPGEEEKHIQSLWQGSQSNE EYPGLRTRTTTNYGEDLLHALKLGFLHPDICLGYIGGLVARASSVAISLFIPLFVNYY YRKLGLCQKTDQDKVPSGGLGDIKKSCPEAYILASILTGVSQLVALLTAPIFGYLSER SRRYNLPLLCAALLGIVGYMTFPLIFNPQLQVPHVNGGEFAIVSSIGISQIGAIVCSL GILSNGVLNIGFSDNHQGSGSESSQEPTDSIQTADENNSHTEHDPLIAKQGKSLASSD LKHLKGCIAGIYSLYGGAGILLLTKLGGLLFDVSSTGAPFYIMATFNAILFLAGIGCI VWRSRKS TSTA_012210 MRLAAYAGVSATLAAGVILKALHQRSNFYAAAVYLSQSSANLMI LTNLFLVATGYFLYGLQRLLYGRLRPIETEQLYEKAWFAVTETCLAMTIFRGELGVWF LVMFVCLLVGKVWGWIGEGRVEILEQQPPANPRLFHARLATSLVLSVVYDILMLDYAV RTVLESARADMMVMFGFEFAILTILSTSTLARYCISLVEIYIKYRQKMAKLAERRAEI RSERERAIREHRESGAEGLPANLLEENDVDEMELDIPGWEEKGRWVFYLDLITDFCKL VVYLTFFAILFTFYGLPIHILRDVVVTIRSFGRRLVDFMKYRTATRDMNERYPDATAE EITREDVCIICREEMTPWQQPAADGHRRIVPERLRPKKLPCGHILHFSCLRSWLERQQ NCPMCRRPVVVPRNQQYPANNGNHDGRANAVPGAQLGPNQAAPVNNVAGGGQPQARIY QFGPFRIGFGAGRGDVFRNLHQQIHGNNPPPVNIPPGAQQIGFGFGFGGRPHQPQQIL QAPHAAPQGFNVLQPEVMPTNLASIQQQLANLEQQISQEYQSLRATAEQLHVLRALQT ELQRLRTAQQNLSTSQPPESHSTSAAIPPTSAATTTSTLNNTVPTTIHHLSGQSSSPI PAGDSRLPPGLTLPPGWTLTPLRRVEPSSNTATAGSPATASIPPSVLDPRLFEPLPPR ASNDNQLSDTTTSTQDNQLLHVSNNESNPPTETEKPRNEYNRISPSFQWGGDRNTDSQ HSKKNDIPADSSSSQNADAASSSDDKGKARATTVEDLNDEGED TSTA_012220 MKNALLALLGLMATAKGQANRYSPLLGPVFPHAANFSSNNAFHD MTMNLTTTLEDIILAGNSTSTSRSVINSEDTSFALQIFSSDTIGMDNGNNTLFQWFYT SPATQNSSSGVKEVDENTVFRIGSGSKLWTVLLFLVTAGEAHLHDPVTKWVPELQAAI NAAPGDDSVDYVSWEDVTIQELASHLSGVGRDYAFSDLATMDPDLTGEGFPSLSKNET PPCGVTAPCTRAQFFDGITNTHPIAPTSYTPIYSNAAIQILSYALEAITNETYDALLE EYLFEPLGLNSSYYTVPADNVGIIPRNASTSLWNLSAGDETPAGGLYASIKDLSTVGR AILNNALLSPATTRRWLKPITHTASPSYSVGAPWEIYSFENVDGRTVDLYTKSGDLGA YSSMTALLPDYNIGFTILAAGPGTTELVAALTDAVAQSLIPALELAAREKARQIYTGV YSGQGTDKKNSSLVISMDNGPGLKVTQWVSDSQDMLQVAEALTGSDSLEVRLYPTALQ QKRDGSCLMQSFRAVFGSPTTSNKFIGPVTGSSISWEFVDSYKYGNVGVDEFLFELDT GTGKVVSVSPRALRQTLQKA TSTA_012230 MHRSNYALPGLGISVCTFTLILAAWHLFFSGDKWRSIPQKVGLG DVIHSRPSSAASYYMPDGSAPPFNISEFVSGIPKKQGSPYSKTLVMAKTKEENTTWTE TKLNGSGWDVSIYVVDDPRAPLHPPKNKGHEAMVYLTYIIDNYDNLPDIMAFMHAHQF AWHNEEIFEFDAAEMLRRLSLERVTREGYMNMRCYWSPGCPNWLKPGAIDEDLDKKEE RLIAKAWSEIFPNMDIPQVLAQPCCAQFALSRERVRTIPRERFLHYRQWLINTDLRDT MSGRVLEYIWHLVFTGQEVSCPSQNACLCDGFGICFGGENEVNYYYQTLWRIRDANNE LKKWQEADGALDEDARIRLSLDELADAQPSENDSQGILLTAEVEEKTAELARLKKEAF KRGEDPVYRAWAAGRQSKPGDGAYGLSCGHSTTHNNVMFHLGTTLGLEEGMFKVCVPI LMAPDLSIMKISPVKSRSAQQNSHGPCMYATELQSDATTSNLQWPTWL TSTA_012240 MGLHLNQSQRLELVISISLCFFIAEISVGFYTKSLALVADAFHY LNDLIGFVVAFAALKISAKKNSPQDLSFGWQRSRLLGAFFNGVFLLALGVSIFLQSIE RFVSLQPLLSFTVGPYKLNPRWLSADFFLLPEHDHSRNELPGAADTPVNTEDGTGQVF AVHDNHRHNNLQPTKKGYDLGMLGVLIHVLGDAANNVGVIISALVIWLTTYPARYYAD PAVSMAIAIVILTTSIPLVRNSGKILLESVPKGINLGDIRHDLETIPGVLSVHELHVW HLNQEKALASVHVAISNETVSDFVQIAKTMNDCFHSYGIHSATVQPEMGSILTSGSAT SVDGQDDWSQLCQVKCGASCEVLTCCG TSTA_012250 MAPPPLPPANPEEHQFWTDPIICEETRARLEYFRSLGWFPPQNY KPKTLEGIAIVERYWRKYCIRSKEEYINYLLLEDKAIYMNFFDWMYKTSRKKLLQSYD EYWRRHLCQYFSLFARRRMNGDVHDQMRRFLDKEFPAERKISRRMKKKKHT TSTA_012260 MIIQFATIQLWPSITGTRPGVLLPQKASLPHNSSLSKRKRGSTF PSDLPKHVSANDLPDTVCYRDIQLFFLKDPEGNRDVLCAIIEFRNLKGRPEGTDGTQF FMHGDYQLAYCPIVQIISYAFRDGAFLNTKLSPEIIWRLQVPKHLSSLLLRWKPDILD TPLLRRVTRTEYGYELDKSLPMTYDSSRQALRELGRDAKFEDDIGHYNYRRWTANEGN RNFTSQERQRVLGQSGDGVFERHYQSQFMQRNLQHVVLL TSTA_012270 MLRKRDPFAPSYKLTEVQRRAICQDPRILELRRAKRELMEEMRS LAGIIKKAQELFPHLYQRHEAVMKELSHLRKALASGTRETARKDYFHSARVLEVDRQI EQLLNQANVEDFDSNNSDDEDWELPVPEYVFPERAQLYENFYGPDAGNFEPEKLLARR IQVTKDLVALNDEEETGNSEASSVAVYLAAQHLIPLPINFPRSGKILSVAISSIVIWL IHTRGLAVRGTCHDLPKFREVTEFLAHAVTVHAYDVKIKKQHLLAIHHDSCSEISSVN NSGILESDSQPDTNTPASSVSSEAANIDPRLFEPNAAILTKLPLRRSKRLRLSVAA TSTA_012280 MAVTGYFLDREWEYQEILLGFVPLSGVHSGVNLSDVVLKILHQH QITNRVLAITTDNTSNNNTMISSIQESIQSLELNINSNIIRLSLKDLLGQMEANPKNE IAEMQWPEDRMGPIHATDQQRMIVDTLNKVRNLAVYINASPQRREAFCNLQAEGPKLV PIQDVRTPWNSAFLRLRRAKKLQSAFDDFVHIFSIYNKLFGHLEKSISQLKRKKVPWK KQRGSFHNTMLLLRADPNINSSGYASRDPHIGKYLLPQAVDTLFPIRHLPYLNMKLSP TPEICSATPLIHKAGLTRNTLHIILIATTQKMFPLDKPSNLFAFILTGNGELGVCIIA FVLLFIALLAQLLLVIYRNGIFSSGGFPRALISCSRLGKDDSNILIQVRIALTRPMKT EGGQYINLRIPSVSWWSWAQVPPYIVTSWSHRPQETLDLQIQPRRGFSGDLLKHALAA SPRSASFLALIIGPHGLSENVDRYESVMLVTNGFGIAAAIPYLKKLIYSYNTSASRTW NPFGNHNRAVVYSGYANYDQILRAEMSGELIERLPNAREEKRESLVMVAASNPVRDQV QTILRDYVHQKVKIAVLEYQP TSTA_012290 MGRVKILKMPVRAVLILYRKPGLSPEQFKTHCENHVQLIKRLTG DDSPLSHRRSYIARKELGNDDDKSKITTTERNPTTPAEVVVGQQSDFDFDAYAELTFA SQEAVRAYRAKTQVPEIAAEIAADEEKFMDRAKMSIAFLGDIIENTKA TSTA_012300 MGSIDLQPTRVAPSNPQAYVVPEVPLGTKRPMRVVCIGAGATGL NLAYQIPRHLSNIDFQIYEKNEAIGGTWLENRYPGVACDIPSHLYQFLWALNPNWSEF YSSGREILQYLKDVAKKFDLERFVKLDHKVTEAQWDEDTGTWKLKIQNMVTGEEIRDS CHFLVNGSGFLNHWEWPEIPGLKDFKGPVLHSASWDPNVDCKGKRVAVIGNGSSGIQL VTALQPEAEQLTTFIRAPTWISTSYAQEWAGPNGVNFKYSDEQIAKFEGDAAEFLAYR KAMENDMNSHFVLAIADSPQQAIAKTYLAELMRQRLGPDFALTEKLIPEFGFGCRRPT PGSGYLEALSQKNVRVVMDPIDKIVEDGIRTKSGELIPLDIVVCATGFNVSWLPRFPI IGRGGIDMRDQWSKRPLSYLSFGVANFPNYALFMGPNSPLSHGSAMPSIEHIAKYVIR LIHKMQTQNYKAVEPTEQAVNEFINHADLFLQRTVWATKCRSWLKGGKEVGVPLVHPG SRLHWFHMLLEPRWEDWKWTSLHCNRFSYLGNGFSTMEEEGKDKSWYLNDPDIGYEAV VN TSTA_012310 MAPSTVQEPEAHPSTVMAVVGATRRPWYTQPHLMQLYFSLTSLI LFSSTNGYDGSVGGSQLALPQWNEFMGYPVGDWLGFINTLYGLATIAIYPFCAWTCNN WGRKWGIWMGYVTLAIGTAMQTAAPNPGTWIASKVFFGFSVAAYGTSAPLLVIENAYP GEQGIWAALFNCGWNLGGIIAAWTVFGTQNYTSSWSWRLPGLLQCLLPVVALPGFLMT LESPRWLVSVDRLEEARTVLAKLHTGGDTTDPLVDAEMAEITQTLRLEKEAATSTSYI DMIRTPGNRQRTMISVTLGAYGQLVGNNVISYYLPLVLDTVGITNVTDQTLINGCLQI WNLLWSVAASFAVDRVGRRVLFLTSTITMLISYILVTGLSGSFANSGSHAVGIAAIPM IFVYFAGYDIALVPLIISYPCEIWPYAMRARGLTISQISASVFGVAQTFINPIGLQNI GWKFYCVFIVFIAMILVNVYFGYPETKGATLERMALLFDSDDAAIESIDSKLQVETVE KGDITKVSVEAV TSTA_012320 MAIPDFDVDSILQQLTLPEKISLVSGRDFWHTTSVPRLKIPAIR LSDGATGVRGIKFFNGTPAACLPCGTALGATWDPELIEKGGALQAREGILKGASVVLG PTTNIQRSPLGGRGFESFSEDPFLAGTLAAATIKGLQSNGVAASLKHFACNDQEDHRM SVNAIVTERALREIYLMPFQVVQQIYPPWTYMASYNKVNGVHSTENIHLLKEVLREEW GFDGLVMSDWFATYSADKAILAGLDLEMPGPSYARGAALRHSILPGKVKEYELDACVR QVLRLIKRVLPLGIEEDAEERTDSNPDTSKLLRSIAAESIVLLKNENAVLPFCPDKFT AVIGPNADFAAFSGGGSTMMTPYYTVSPLEGIMSECKQVGYSLGCGGWNKLPALSRLT KTKSGLQGLTMAVHLEPSTREKRELLEVIHVSDSVMDFCDYKHKSMGDDYLFYADFRG TLIPEESANYQFSCSVLGTARVFVDDQLLIDNATNQVRGDTFFATGTREEFNTIFLEK GRAYEIYVDFGSIATYTLEVASTAPFGGGGLRLGCTRVFDPQGEIQKAVALAKAAEQV VLCIGLNGEWESEGFDRDNMDLPGYTDELVRAVAQVNPNTAVIVQSGTPVTMPWVDQV PAILQAWYGGNETGNGIADVIFGKKNPAAKLPLSFPSRLEDNPSFINFGNQGGRVLYG EDVFVGYRYYEKSKTPVAFPFGHGLSYTSFHFETLQVKNDGESFLISVDVANTGVADG AQVIQVYVAHIDPLIPRPLKELKGFKKVFIPVGESRVVDIRVSVRHATSFWDEDRNMW LSAKGDYQLLVGDSSANTPLCHQVTVEENSWWKGLCN TSTA_012330 MAGRARKIRWATYLSSHYREDAPTVEVGILKSGLLWSQMYLNFW AKRLGKQIYFGLQVTEFLMKFVTGDTPENPASIMPELVEYLRFQKFHRVPYQEASYSV KLGRYVGDIGFMCPRCYRQNQASTAQRVRYAEFRDCTKYLSIAARRPRRQSQCPQKMV SHRDLIAVNHETQSHNLEILCPPKTDILYKPAIPDKARPFQFTAPIYKLSMPAGQFKR ASVTITFNSNSFVEFDQAGLLFLRPHAKLPNPTSTNEGDAETSPGFVKIGPEVFQDRM RYAVSGSNHTIPDWSLWPVPDRFARTVTVSVEMVRHGPLLGAFVVERDGANVEKTLIR TVPWCFDDIADMDSEVWVGVYAARPDLDGASHGAPLQVRFSEFEIESTTGVLKFQDKW VL TSTA_012340 MAFFTEGKIILLEERLGNKRITEVLGDLEAISAARRDVSLENLF TSPVCDIDEFLEQQCPAERRALYIRTYFEDVYSVWPYLPRDAFEGAANAPNLGSRLES DHAWAATFYAVLSLGVLHEQGGSFAPLQGPAWPIFQRAMKLFPLLLMAKKTVAIAQAL FALNYSSWSLEELLVTEAARICVSLHMNKISQPTEDTDAYSRVFWTVYCLEKEYCFQS SSSTIIDDADTRCPFPELPPEQTSLSGFGILIEFYQLQSRAYRSLFTVTALNNSWEVV CTQIDSLRNDLEAWKLSTPVEYRPGLHLRMRQFPKPSFILMALQLHLSYHILVIGIAR LDIYLNCNTDAVRAAKSKTILMEAARSIADVVQLVPMEPSTPINMLGLAPIIATFILF ELVVFNPYHPETPRNQAFLQIMAGYWVRLDVVSDGQVAGQLLSEFASISQESLSRARA FEDSSVQQLRSPAASTVEQIVLSEDLALHGGRLAGGQIGDQPTLLATTNGIAGSDDFP LDIPLPNDWFENGMFEGELRFVDVFGKGVD TSTA_012350 MEKEYNLGELGQHSKENDAWIAVNGEIWDMAGFGGIHPGGADVI YEYFGRDASEVYNEYHSPGLISKHLGPGKRIGRLQQTLQQNDTAPSISAIGSALAISK PPLTSMLNLYDFEEVAKSTFSDKSWAYISGASNDSWTMAANHDWYKRIMLRPRVLRDV SACRLETIIFGTKFGMPIFNAPASLVRMAHPEGELAIARGASALGSTMIIPMMSSYST DEIVEEMPPDHPFLFQVYVHPDRKFTANLLQDVCSRLKPIAIIVTVDLPAFPKREANE RLAIKKAMEAEKAAMGGKESAPPGSSTASKGQNQARSAGQNIASNLVWDDIEWIKKLT KLPVVLKGIQSAADAEKAYRLGCDGIYISNHGGRALDTSMPSILVLMEIQMTCPEILD KMEVFIDGGIRRGTDVLKAICLGAKGVCLGRPMFYAANYGSAGVEHALKLVADELQVA MQLVGINSLDEANPSFLNSLELQRYVSCGTNGRSALGAARSHL TSTA_012360 MYIVLFKADYLDRLGTAPGTKVPESRTGPYKLVTAPLHYIFTTA FDKSSLKHRTSFCLTMSSKAHILTIGGGGVGTIVAYNIEEGGLATSQMVLRSNYDTVV TSGFSIESCDHGTIHKWKPAGGVVDSISSAASLGQVYDYIICCTKNNPDAPPPSLVDI VRPVVTPDRSVIILIQNGLNIEKPYIEAFPTNVVLSGVSLCGSTEIAPGRIIHNDADK LLVGYFPNANIDPGIQIRAAEEFVRIYKASGRVEVQYDSDVIRSRWRKLIYNTVYNPI CALTDLDSSRLRLFSMPEMNGTAAPSFNFVETLIRPAMLEVCEAAKAAAGVEFQPDVV DLQVNAESIDGFILTSMQQDARKGRLLECEAILGEALREGEQAGVSMPVVRTLYSLCK GYQFRVREKLGMLPMTLDEAVTIYKK TSTA_012370 MAPTAVASATQAAKHGHELSHSTPLEVLSHGERIAASLGPLGKG CILRNHGILAVGKTVNEAAFLFTSMESSCQVQLLVEATGRPKVLITEEEAKFNFDV TSTA_012380 MFTNFVRKVSELARLYPNQVFQPDHPSFQASQNSYWSGLQKEIK PRCFFQPHSPAQLARAVTLCAEAECPLGVKSGGHAHITGASCINGGIQLDLVKLNRIT INTNKKTAWIGTGNTWRAVYTTLQKEGFIAVGGRSADVGVGGFLVGGGISFYAAEHGW GIDQVQSFEVVLSSGNIVRASRDNEPDLFRALRGGGGNFGIITAYEVDIRPYSGMWGG RTTIASAHAKDAIEAYADFIPRLDVDPKGHTIIIFDALGGEVVVRQYIVYTEPKKDLP MFDRLRQVPAVDSSLGIADYTDLAADIADLQEGNGYRHAVATITVKLDQKLLEFIYDT YVQHAAKISEHSAGCLEFHALPRASNPEDNMYGLKKSDEHLIAIMLGFSTASPERDHE LITLQQLVLSTIKKEAQARGLYHQFLFANYAGPFQDVIGSYGEKSVNFLRRVADKYDP DHVFELLKPGGFKINFCPSPSL TSTA_012390 MHDMEDDMEDTNPVDRKAMPDLEAVVTTSLGEVVGSPPRLYKRR FYGLASIFLSNAVLAWAWLSFAMVNDLAQERFGVDATAINWFSNIYSLAFAPFFFSGW FINRYGIKKSLLVAISGTVAGNWLRYGGVVISSYPLAMAGQALLGVSQLFIVPLPMSY SNMWFGPDQRVLPTTIGSLATTVGSMLGSIATPYMTPTVDSLPRAVLIVSIISTVTSA LAIFVPAEPPTPALYNVQEPSNAQRLSRRESIKLLSKSLEFWLLAITFIISLGIFNTW STLLFLYLTPYGFPATDVGLTAGLFTGVGVSIALFLAPLIDKWKLHVPVAKVTASGVT VGYVVFIWIPQVQSRAFAFTVGTLMGVFSSIFVSVALELLAELLYPVPEEFCATFVWC VGNLISVPITYGLNAGANPNGNPPGEMKASLIAQAVLAIALVALPINLLGLFGRKEKT RFFRREHQIPNSLAVARGITSLYLLDLAATLT TSTA_012400 MTVADYLAAQLAESHRAYIERNPKSRIAYENSFAYLPGGNTRTV IHADPFPLTFASGEGSTLTSVDGHKYVDLLGEFSAGIFGHSNPRIANAITDAMTRGWN YGGTCTYEKELASKVCERFGPSGLQLVRFTNSGTEANTMAIATAQNVTGKKKILVFSS GYHGGTLVFPLAVMKGETVAPMNLPHDFVYAPYNNISETREILDHLPQDSLAAILVEP IQGSGGCRPADRRFLNFLRTEADERKALLIMDEVMASRLGYSGYSAEMNIRADIMTLG KYLGGGMTIGAFGGRRDIMELFDPTKSKLFHPGTYNNNVFSMAAGITGLDIYDASEVD RLNNLGEKLKTDIQAILMNHGLYPDSYRSPAAGLMEIDSLLTGTEGYIDDHSDKLIQL PSMFVTGRGTASQWQALFYHHMLERNINIAVRGYTPLHLQVSASDCKAYVESIEAFVI KHKAMLTS TSTA_012410 MNIQEQIDRRPKNRGPTCRYLATNRNSIFKDIPFEQFIPLAFHT TVPQLQAANLDQLVNLVCQKYKSDLYIDWQRYCKDLGSEEQRVIATLEGAFHFAAESI SSLEYRKLWKSSCCLLLRDLENLKTTAGETTGEISDRKRKRGDDENCSNASNRCYDAK KPKTSRDMSIVDVSARAMHAPETDNLHRQPISTIPLSYYQETFYKIVLAKITKVPAFK DILGRRLYEDVIKSRSISLGDPVVQLHMPATPDEDCVLQVSISISTGKIILDGKNGSF ELIDAIGHYLFRAMMKTKLSGRDTGLRGTIGLDGHDLFLAIDFTTGKHMMSLEAQST TSTA_012420 MDISELLNPSPTSSVAYAPEVVNHQRPVVYDPQPVVKELSPNTD QQKVGSHRMARQYSEENLLFLWYHFIDLKMTWEECLEAFKNRFPEESLTTGSIKRLFY RYFESKFPDKKRRRNLQKMAPSVFEVCERHYPWMPSSKPKVTNIAESPNPRPTSSAFE KSPPIIDLEKDDALSK TSTA_012430 MHEKFVRADAHAFKEKQITESVISMIEGDNGDARCIAGGIPFRN LNHLIDGTLVLGNPDRYYGARPEQLNRRIRSELEDQIVLSTQHDLPIAPNFFLAAKGP DGSASVAKRQASYDGALGARRMHSLQEYGKDEPEFDNNAYTISSIYHDGTLKMFTNNP RTFQEGATWYQNGRDWAKEQRDEAIRRANEKVTQNDIRSSAVNTSFSTVCEISSTESI ASITEQSYFSFSGTNTIETHSLQSTRSLSPKPSQEE TSTA_012440 MSLTHNSYKVAWICALPLEAAAARVMLDKTHSPLPKPSTDSNAY ELGELNGHYIVIACLPAGVYGTVSAAAVVSRMHSTFPSLQYGLMVGIGGGVPGENNDI RLGDIVVSKPVGKYSGVIQYDYGKAVQGGQFEPTGTLNKPPQALLTHISQLQAKIMTG DQEDVSKIVWKVLERNPEMKDRFAPPEQHMDFLFHSSYHHADKEDTCGKCDKDKLVKR QPRATRTPYIHYGLIASGDQVMKDSEIRDRLAQQHGILCFEMEAAGLMDELPTLAIRG ICDYCDSHKQKQWQGYAALTAAAYTKLLLLGIPNNRSDIDLVTSSKIQHWIVSLARNL KFVGRQEEIVKLEELIMAQDRPRRIAITGLGGVGKTQVALELAYRIRDRDKECSVFWI PCTSRAMIEQMFLHIAQKLGLHNLNMAEVKEQVKIYLSSERAGKWLLIFDNADDAEMW FAPSHTAPPLEDFLPESEQGCILFTTRNRKLAMKLAPFEVFPIPDVDKETALKILEKT LAREDLLRDTTTTTTLLEQLAFLPLAIVQASAYIIENGIKLSTYLVLLQEQEQDAVEL LSEDFKDPGRYKDIQNPVITTWLISLEQIQHQDQLAADYLFFMACINPRNIPEILLPQ PTSRKQKIEALGLLNAYSFIYSQGTGLGMHRLVHIATRNWLRKNSSFSHWIQRVAEHM QNVFPDNHYTNRGLWREYLPHALAIVHENEFVVQGDNYLGLTEKIAGCLASDGRYQEA EILYKKLTRINQDKAGSEHSSTLRSMANLASTYWNQGRWNEAEKLEVQVMETSKTVLG TEHPDTLTSIANLASTYRNQGRWNEAEKLDVQVMEIRKTVLGTEHPSTLTSIANLAST YRNQGRWNEAEKLFVQVMETRKTVLGAEHPSTLTSMANLASTYWNQGRWNEAEKLFVQ AIETRKTVLGTEHPDTLTSMANLASTYWNQGRWNEAEKLEVQVMETRKTVLGAEHPDT LTSMANLASTYRNQGRWNEAEKLFVQVMETRKTVLGAEHPNTLTSMANLASTYWNQGR WNEAEKLDVQVMETRKTVLGAEHPDTLISMANLAFTWKFQGKLQDALSLMDKCCHLHS KVLGPSHQYSRSFSCTLSDWMDEYNALPKQTTLTRKECPQALREVSAGPPAAVVTAQL VREEHINLSYTQRRSAAKLLLGNHPLIIAARTPSPAPEDQDLQDVD TSTA_012450 MGESLTPYYDGGLNYNNHIHPLMHELSSLWPSRKVVACIVSIDT GVPPDRNVGLLKINYFKDLITQTANTARNFQQGIDDRYGPEKKIYFRFNVEHGLGQID LAEWRESDSTKNTTQCYLNEQLVKVGTCADRLLFRGDVLHDPLQQTQPEQHILLVHAL GGTGKSQLRTKKFLLVFDGADVLNRPEISTSIDLTRFMPLADSVDIVITTRQRVTKIQ GRCCVSLAVGDMKEGDAVKLLLDNAHIEQDSIRQKRCNIFDLMATYNKLGKYTEAIAT CTEKKENTAPRSSRHHSSLTYADVPKCQGRVPEAAAIHEEGLPRMEKKLGHNHIDTLC STVKYGYEFKLGSFKERLFKEFLELKAKTVSDLQLPADIIYSKSNAIFEVEKLQREAV ERIIPTFGEGCPATIHAMERLAGTLEDLGEMGEAGAIRRDV TSTA_012460 MHSTTVAIALMSSVVAAQSAVTTLFIPGFDNQPLVASIIGGNAA ATTYFIECAPGTDASDCGAGMGFTLTEGPKTAHIQMVYSALSADVQCDLNTAASEAAC VETVGGAEANFPGTTSTTLSATDYASAFIPVTITAGSATGAPATTAASATAPTATATG ATSGASAATTAASTAASTGKAVTSAGLSTVASSATTGSASAKSSAVSTGGMPQVTGNA QWAMGGVAVAMALAAL TSTA_012470 MALSQPPVRLTSQKNFTYRLVLATLTGKPVHISQIRSNSPSNPG LASHEISFLRLLEAVTNGSQMEISYSGTIIVYKPGLITGSAAGLGTTSNGVIKHEIPS NCTRGISYFLIPLCLLAPFSKAPMNVLFTGPGVITSATPVGDISADAVRMAILPLYKM FGIFNNIELRILQRSNPGHNGKGGGGEVQLVFGHQTVRGVAYSTGVSASNNARMIQTA RGVLNPLVPDTYIASDASPALLVPAPEKSNPGNKKKIGLGFGLFLVAESSTGCLYSAD IASPPDGGVPPEDIGKQCAYQLLETISKGGCVAPAAAPTMLMLMAMGSEDVGRLQLGR EVLGDEAMIQFARDLTKFGAAGWGIRDAPGDNHDGDVVVSVVGKGIGNVGRKIA TSTA_012480 MLPPSLNIPKWLEANSHLLQPPVNNYCVYHPSNSAGYTVMIVGG PNARTDYHLNSTPEFFYQYRGSMLLKTVDTSTTPPTFVDVPIHEGSLFLLPANTPHCP VRFKDTIGVVLEQPRPEGAEDSMRWYCMSDECKGKASIVWEKRFVCTDLGTQVKEVVE EFGADEKKRTCSKCGALADFRFKEGQVVQPSRFPE TSTA_012490 MAPSDDIRVGDQVNVPGGMYGTVRFLGSVDGKPGRFAGIELAPE HAARGKNNGEVDGRSYFNTSIPGAGIFVPINNSKYVTRRNVVTPPTPSRPSAATNFSK SVGPASVVRPRVRRPSLPRPESPRSAPSTKLNFGAMRSPSATVAKPLSASSLGPNGSN GFPRSPQKPSSRLSNRPSSRLSADDDDIPTLARSTIGRPSSGGRGSGSQEIQALQEKI KSLEQELQARDRQLDDQASVLAELQQSITELEGQDGASMRAQLREKNEKIAQLTAEFD SHRADFRSTLDTLEVAATETERVYEKRLDELMQQNRELQDRGEDVEAVAMQLKQLEEL VSELEEGLEDARRGEAEARGEVEFLRGEVERAQLELKQEREKSAAALKDAEGRWNSRE LEQKDDEIRGLKAIIHSLSRGDVNPAMLNTNGTSHGESGSHDTERISQLEERIKELEG VAEFRSSRIDELQRELGQSRSPLGRDSPGRNSPARNSIGWNSLGRNSMNGSRSRSGTV TIAPLRQMKASHSSRGSRSSNPVSHGHTLSDKTVVPQDWQETAGINEQGPMPVNAYRD FSHRRDDNDDLRDSDNQSSESGSLWCEICETNGHDILTCTTFGTNINGTDSMHLQHEH NDHELERPRHDVAEIPPKTESPVMAHQPEIKQEHAEATSPTVKNGRDVVAEGLKGIGG MSSSMGPVAGKASGVIDESKWCALCERDGHESIDCPFED TSTA_012500 MAQYFFDLLYTFTDCMCCFPSSPQLKINNRSFKLLRLLGEGGFS YVYLVQDKNTSELFALKKIRCPFGQESVSQALKEVEAYSLFTPHPNIIRSFDHCVVNE SASKFRGGDDSSSKTVYILLPYYQRGNLQDAINANLVNHTKFPEKELMALMLGVAKAL KAMHQYKVKSGPASTRQAKSVRREGEQADEELSRKLGKPKRRNTHGVDDDVEQEPLMD DEVTQSQEGVGEGEFRPYAHRDIKPGNIMIDDDGITPILMDLGSLAPSPIAITSRSLA LAVQDTAAEHSTMPYRAPELFDVKTGSIIDTKVDIWSLGCTLYACLVGKSPFEARSEE TGGSLSMCVLGGDWRFPDENTSSAKGKAKSNTPAASGSSQASNENGISEPVKEIVRKC LQVEPADRPDIDELIQLISKAIKSLPDAE TSTA_012500 MAQYFFDLLYTFTDCMCCFPSSPQLKINNRSFKLLRLLGEGGFS YVYLVQDKNTSELFALKKIRCPFGQESVSQALKEVEAYSLFTPHPNIIRSFDHCVVNE SASKFRGGDDSSSKTVYILLPYYQRGNLQDAINANLVNHTKFPEKELMALMLGVAKAL KAMHQYKVKSGPASTRQAKSVRREGEQADEELSRKLGKPKRRNTHGVDDDVEQEPLMD DEVTQSQEGVGEGEFRPYAHRDIKPGNIMIDDDGITPILMDLGSLAPSPIAITSRSLA LAVQDTAAEHSTMPYRAPELFDVKTGSIIDTKVDIWSLGCTLYACLVGKSPFEARSEE TGGSLSMCVLGGDWRFPDENTSSAKGKAKSNTPAASGSSQASNENGISEPVKEIVRKC LQVEPADRPDIDELIQLISKAIKSLPDAE TSTA_012510 MFLATRSLAVTDICTARSTLVQKISNSCIRDHALRHTRPLRLDR AFSSFSSSSSHSSSRISYRVAGSCSAKGRRFHPEKHTYNFEPTLHDAIGVSLDNISEE QGLSRKNRPASGEDAFFASRIGTVDTGAVAFAVADGVGGWAEHKIDPADVSHGLCTYM AQHALTEELSRGKLRPKELLQKGYESVVADESITAGGTTASVGVALTDGSVELANLGD SGSVLFRLGAVHQYSAPQTHAFNTPYQLNIIPQRMRDQAHMFGGVYFEDSPRDAAVST LSMQHGDVLILATDGVFDNLNNQDILKIVTGRMLATGAWTEDPKNAAICPSTKLHALS QAGGLHRFSSKSSSSPSSSSSSSSSSSQLPPPPAPHFKNHHTLQALIAASIVGEAKLA SVDMRRDGPFAKEAQRYYPGHWYRGGKVDDISVLVIIGIEKTD TSTA_012520 MLALESSRQQQFPFFSQDQLALDPELMDSYGFSAMQPNLQSQNH EHIHSYPQQTYYESSSSWTDQTPDMEFQQKSQQHMLYPGGGANSPLSMVASHYSAASG ASVASASSSAMGSPYSGAAHTFQDSWMDGANGLLGMPMGMMPEIDYPLASMEGDATFG AGTKYPTVDPSAIHHPLHTPMPYDDQVNMSHSSGFVSPAQIGSPMPSHQNLGLPQPQM NTSSPYLGVQHVRSSSVAGYERPSSIISSLSPRSHPSPAASNTDVEDEGREKRRCPWP DCGRSFKDLKAHMLTHQSERPEKCPIVNCEYHLKGFARKYDKNRHTLTHYKGTMVCGF CPGSGSAAEKSFNRADVFKRHLMSVHGVEQTAPNCRKRSPTSSSVEHQKLLPGYSEDA TGKCSTCSATFSNAQDFYEHLDDCVLRVVQQEEPSEAINQKRLAEVANDEDVKLTMEK HQLLDTASSMANADEYNDDNDDTYEADDADESNINTLNNKASGKGAITKSNSQRSSSS RAVLGANSAVSKHNNKPRAITRRRGNRNSYPHSWGTPMAKMKTKKRVLCAYDGPRRLW KDEMMLDNEFEVRIALPGGAGDGTNRNAYVTDLDMVSLKRVEGIFSATEEERGPAMPN TTTSNRLMGQPAMILPEVTQEDYGFDIDELMAYKEPYAGNRQDGLCV TSTA_012530 MNSPFTTRRKPRKIGSEDATTEQDNGPVVKRPGSLKSKQKSKLR LSFGPGETSMTDDGGESSEVITPKRPGLGRRVLEKNTFQKAANASGSNQHLPFRVGQD QDRPIYGEDYIKELRSSTPSTPKPADSESAEVKNERNFIDIAAKFGEIMETSSATVIP SEAEIREKKARRARLANEQEYISLDDRDEAEDDGTALDSRKEQTRLVPDDEDFAEGFD EFVEDGKISLGRKAEREQQRKNREQMREMINEAEAGSEEEDSDAERNAAYEAAQTRAG MDGLGHDRDDTSRSKTPPKIAPLPNLPSVISRLRTSLAEMESSKKALVDRMEELRKEK ADIAVREVEIQTLIKEAGEHYEKLRVEAGLIPGEKGLPGDVSSTGRGLESLGTSLVAS RTDSEVEPPRLRQRSDANPIELFYDVFLVANLATFSATHEINNIQAVWSYVGFVGIIW FTWLQVTLFDIRFSRDSIFERICKVVQLSAMVGFASAGSRFSSQIKAENVWAFRSLSI LLSGSRFMLALQYGINLWLIYGKLRTAVKGMSVIIGLHSITGISYLIMFLALKPSEPY IWLVWFGLFLFESLVVITTSNYSPGIELDDTHLTTRMGLLTLIIIGEHVISVTRIVNK MIAGGGWTFASLLHVMGVVTTVYLLWHSYYDISPRQSYGKIRQQIWTQLHFPFHVCII LSSEACQILALALDISLKLRYLLETTNFACEEPRPAINSALNLLNRTITDMEIDYTKA PHEKAAIHHVLGTLWNQTNICSSANSTGAKAYGINEEQGRFLTGNVTVALFSSMGITL EDPDSTSSAGMQYVKLYLSLLAFVYVYYFITVGLEMLHFAIFATLTSRHPRPWFNAIA VAFRVVCAVILISQIAFAENFDLTYRYMTHPIILYTFSLTMLAVLLVDRLLDILASPE WSKGNVCRQSSTRSTAPMLLDDQEANKGSNEKNEGASACGYERE TSTA_012540 MPQAQPELKKYMEKRLFVQLNGNRKVIGILRGYDVFMNIVLDDA VEEKPDGGKDRLGMVVIRGNSVVMLEALERIGD TSTA_012550 MPGIVETPTATSAAPAWSTRDFTVAHQNLELEVDFATKSVKGKT EITIHPHHREFRVIKLNFRQGQIKRVNINGKAATAIKYTDPYSTLNLYGVQYHQRLSD EVEKLLSSPPEPELLITIPKTLKIEELDPFSLDAQDRLALRSSIGNPDDGDGPSVKPA ETSLPRYTALNVSIEFTVDNVRDGLQFVGVDSDDRRYPHAYTTNSTGPGAGSCLFPCV DDPLVRCPWDVTIKCPCSLNAVFERKVPEISKSPATHKVRPGATLSDDDSTLDMTVVC SGDTTDEIVDPKDSSRKSVSFSSVSNISAQQIGFAVGPFEHVNLSQFRESDQDEQLGD NAVPVDAYCLPGRTNEVKNTCFPIAKAIDYFSVTYGSYPYSGYSLCFVDDAACDTVST ASLSICSNRLLFPENIIDPIYDSTRSLVYALASQWIGVSLIPRTQADTWVTVGMAYYI TDTFMKKLCGNNEYRFRIKQMSDRVCELDFERPALFDMGNILKLDPSEMEFVALKAPL ILFILERRLVKISGKPTLSRIIGRLLLNNRMGDLTNGSVYTQGFIKLCERFGHCKLEV FFNQWVFGAGCPRFTATQRFNKKKLVVEIMIKQSQGEPQPPKNLEKSSFLRDMKEEIR SIYAAPVQPIFTGSMTIRIHEADGTPYEHIVEIKEAVTKFEVPYNTKYKRLKRNKRQK ERVTLNAGADSTAESQDDVLLYCLGDVLQTEEDMQEWRLSDWSKEEEERMGQESYEWI RMDADFEWICKMSLVMPGYMYLSQLQQDRDVVAQLESMQYMAIQKPHPLISTIFVRTL MDKRYFHGIRTAAAYALVKHAKEELDWLGLYHLERAFQELFCLPGTHVTRDNDFSDHA SYILQKVIPEAISRIRDNNGKTPLRVKQFLFDKLRFSDNSNNEYSDNFYIASLMASLV NALEGRIAETPSQDDMEFDMDKELERQAEDKLEQDVIAEIDRYRRMDEWSSSFQNIYS RTALHCQLQLTKARIMDLDLIHFLQYTRAGTFDMLRIDAFECLVELDIFKTPELLRWF IFNMSSDSSAWVRQQLHCLFGRALATVAFGTDQTVTESTQSEGVIIEQESTTDVRRAN LARKQTMSGALEALKQELAQNTTLKEALWAASNSSCVGTLEISNYADLCRVLYDAKTS VPVRLKYPRYWKCQRIEGGLMRFYKSNRYRVSLGPAKDANGTTVAGAKRKREETGMPP PGPRITFKQSKSSVDIHNISKVPSMPTPTPPPAPQPEQRKVTKLRIPSFIAMPPPAPI SQSPATTPSTPGGLKLKLKLGGQK TSTA_012560 MPGIFKMVQAAQDSLSDEALLPLKTYKYSSVDKSYISNYILRHY WNAAVELLPLSIAPNMVTLLGFFFIITNVAFVTIFVPDLVGPGPTWIYYSFALGIWMY STFDNIDGKQARRTGTSSGLGELFDHGIDSLNCTLASVLHTAAMGLGSTQIGAFTALI PCLPMFFSTWETYHTHTLYLGYFNGPTEGLLIAIVIMILSGIYGPQIWHNQVADVFGH KELFGTHSFLDYWVAIVFGSFMIAHLPACVYNVVQARRRQGLPVLPVFMEWTSIVIFT VSVMGWLFSPYSTLLAENHLVLFCIVMSFVFGRMTTKIILAHLIRQPFPYWTTMLVPL IGGAILANLPYLGFPAVSSGFELWYLRFYLIFAFVIYMHWAFLVINRITTFLGINCLT IKENKSAARDHVYRNFGEPRNNGEKAGLKHH TSTA_012570 MEDCFGDSELSNRSPRVCLNCKARKRKCDKTLPACSRCDRLLLR CEYDSTDSIVADNDFSNISSSLRLISSVFGSWMSWVRNFYLSVQKMHLNSSENFVSTY LVTVHRWFPIIDEERFKDRLESHSYEYDINDFLLLTSIYLIVRRPDEQQRPAIMDDDP YQAVRHFYFHVFADLTSEPSIQFLQSGLLLATYEYGHGLVDAARNTLFSCLSASMLLG LHQTKAPLGVDSAWKRAMKDEATLICWAIVVTDRKLQLPVTKIIDEANLLSDLEVTEN KSDGRLSWDHDAYTATSFYRQVQSSVLVGNVLDLILNATDPFSEECQRRFKVLDSQLQ CAIHLTLQTETAGQLNSVSEALSLNRSAFFLLNWWQYEHSQKINNEAALLQSRMALES VIRITVETVSDFLPRIYAGWFNGVQCIFLAAVTAMQLDPTNSTRVEELKEMLGIQSLR WNSAAEYLRIL TSTA_012580 MATHKALILRSRNEPLTLETVLRPVAKAGQAVIRTLAADIVPYM HQVLHGSRRVVEVGPDAVKLSPGQLVFCDITIRARDDPNVSILFGIHGGGYPAAQKLM DGEWRNATYAEYTKFPLENLYPLNEDVLFNRFGYAVSDLCLMPVCLVPFGGLSEVNVN PGEVVIVAPATGRYGGATVAVALAMGATVVAAGRNKNALEALEATHASTGRLQTVILT EDTSSNAEAFKEAAGKPEGADVYIDFSPPTIEDSALLVAAVGALRSFGRFVLMGGHSG NINVPYLDIMFKSIRIQGRFMYSRQHVLQMIQMVESGVLKLGSGIGIKETQEFGLESV GDALQAAGRLSGFESHVVLKP TSTA_012590 MGEQNIVLITDVDQGIKAASSLSSLGSGNVSSLQLVVTSDESIS SAKNTIEDKYGRLDVLVNNAGITLDVKEKGTPIRSLMQRTFEVNVFGAAAVTEAFIPL LEKSSNPRIVFTSSSVGSLTRASDLTNPWSKTPITTYRTSKPALNMLMLSYAALLHEK GFKVNASCPGYIGTNLNDHRGTGSIDDGAVSLARPVTLRKDGETGTFSTAEEVRPW TSTA_012600 MASNTTTSQPQQHQPDFHPASNPHIDHINYTTSENQLAGLVQAA TAAAGQADSDWATAAAAAAAAGHHHLENYSTELHLPDDGFDESAFGGLGSPAGAGRQN RASIVNGQNAQGLSRTVSKKRKRGDEALDPALTGMPDQNEQHQQPQQQSQQVFDGTEF GEMSRSQSLTDARAAGVHSAAALFRQPSSNKKYTRPPMSRLYASLELSPENFLHLQAA AKSYMLDDAHPDRRDCVGQRGKGDTEMVKLRLWNCVRDFLEVEGYGERFFGEHVVNEG MPPRQYIWPRDQHKIISLVIPLLRRMVTNERQRQYAIETRKGGAGNQPDERKRRKTED IVQAETAEQTPTQESHPQTQQAEQKIHPQNDYQNLQNHYVPPPPPLPHQDFSSGQQPG IGLSPLVLEGYPTDWDAVSQAYNMYNQNYQLDTLWGVSGLQQPDWWGLVAAVDSHWKV VHDGNPTQCAPQCEAHHLNTILSSSELFQLDWRIGGSADAPARTEFANSVTRDLDRII KESLIHRQENAQREPEQTHTSEHAPFLSAAYGSDSAQGQHAHPHDTSPITLHLNVLHD GKRILPRLDVTAEQCPDLASVRNLIAHRWTGQFPASTCDENGNVVTTNWKIQVWLPEG LVTADNDGDWTIAQLSAGTIDWMDNDLRVIVDLGA TSTA_012610 MATDDKLVVETTNPTDEKGLSSQDISLEKPSSMDRLIADAKAAT DKEHKMTLLQGIRLYPKAVFWSILISSCIVMEGYDISLVGNLYGFPAFNRKYGELGAD GTYQIPARWQSGLSNGAAVGEIIGLFINGYVSERFGYRYTVMSCLVLIAAFTAIFFTA QNVQTLLAAEILCGVPWGVFQTLTVTYASEVCPVALRSYLTCYVNVCWGIGQVIGVGV IKAMLNRDDQWAYRIPYALQWMWPLPLFIGVWFAPESPWWLVRRGRVEDAKKALLRLT SLNKETNFDANETIAMMVHTTALEQKITSGASYWDCFKGTDRRRTEIVCMVWAIQNLS GNSFSNYSTYFLEQAGLSSSDSYSFALGQYGINIVGVFGAWALMAWGVGRRSLYLFGL CGLFSMLFVMGFLGLVPASHRTQGALATGSMMIIWALFYQCSVGTVCYSLVSELSTRR LQIKSVVLARNLYNVVGIITGVLTPYMLNPTAWNWSNYAGFFWAGLCFLCIVYTYFRV PEPSGRTFAELDALFEKGVSARQFASTQINVFEEEVDKGVGDTYKDVFEHEMKC TSTA_012620 MHTTVIIIIALSLFTSSTPLPSPPPNLTTTIHNANHIFNALHSS MRQWGSAWNHNGMSFFLASVPKGTQFYHGTQSSQRVNGTEWLAFEPEHALSFAYAGPF PHPDPEKPSQKVFAGDEGEVRYGYLHTYTAAKDLRLLYIDGLSAGKTDMGTLDSGDRV FLRDSLSTPSPKEQTPHPNPKKKPGLPTEYERALKGCQIAKNDWQDRIHGILRAEAGF EIILCDFARDLDLVRISAVPPRRQDRNNNGWFGGNSYFYRSIASRFHQIGGERVRVNY DRFVTAFAHEELDLFDKGKNEMPRLIQFRSEELEAVREELTRLVMEHDVATEAGAVNW QAVVDMIVTKYSDLLQFFISKEVDSLMDMKSNVSSLYVAFVDDRKRDVDAESVRCAMQ FIPSTALDRESLAGRVVYDISYTICSTLRAVLDDVDVVVAKSRIERLVEYLAWTTWKD CKTKCGYNEVCYIPIWPFGTVEDRVNPRCKSPREEMSGGERYWRDGGLKVEHGELQTC QVCEELLFTKSYYVDNHANGNQVCNLVRPRLCCQFWRERSQSRRWWQRWWWEEAPSPD PLQGAPALAQAWPLLSSKPAARVTKIATTQRCQQSQESQSQQQAAERAQRQVEELERQ VLQQQQHQGQSSETIK TSTA_012630 MILLDITDKGIRKMPKKKANPSSNGEQRTHLSGIITSLLSAFES TRHLYRRIRNNTRSSTPKTKLNKRQHVSDDALTREEQILQHQLQQAPRQIATIFRTNS ERLGEGYRRGDERAKTSLNRILLVLNTGLTKIISNFLRKNGSADNDAMIRDLMSLSER SARYSVIELEGFRMRLESTTSLGISDGRVSLVQRRNRESQEKNVGGQVRRPNNTPTGQ TGTVSANGQGKTRVEGVKKSKGVIPNTSSTMGTTKTKTSTTPKRNKAPQQSHPVWVRS KNSSTISIDLRPINNKHTKPADNAKPRSTVKPPHTATKTAMQSSPDIPSTSIPAHYQR AQLPEQLSYPVVTAHAPDRRREVLLDKRLSMISHSSASTKLGEIPQHKWINAWIPPIE VDDEEDVKNEGDIHDTNGGQNGRTGVKFWRRFGRNRT TSTA_012640 MATTSGSANPLGEQTAPVTGPPPAVPENVKSDIQQAAKDGQSEP GKDTSAPPEGKVKTEKELERERRKAEKAKKFAEKQAKAAAAKPAQPKAEKKEKVIDKT TDAYDPATIEAGRYEWWEKKGLFKPQFDGNGNVKPDGVFSMACPPPNVTGALHMGHAL MVALQDTMTRYYRMKGKTTVWVPGTDHAGISTQSVVEKMLWKEKKQTRHDLGRPDFLK LTQDWKDRYQGSILTSLKAMAASLDWSREAFTMNPNFSAAVTETFCRLHEEGTIYRAN RLVNWCCALNTSLSNLEVNNQEIPGRTLLDVPGYEKKVEFGVLTHFCYEIEGTGERIE IATTRPETMLGDTGIAVHPDDKRYQHIIGKKAKHPFVNRLLPIVGDSTVAMDFGTGAV KLTPAHDFNDYARGKQHGLEFVSILNDDGTLKDNCGAFSGMRRFDARYAVVEKLKEAG LYVKWENNPMVIPRCEKSKDIIEPVLKPQWWMKMDDMARAAMEAVEKKEITISPASAE KNFFHWMRNIQDWCISRQLWWGHQAPAWLVQIEGQVADDGDSNLWVSGRSEEEAQAKA AAKFPGQKFTLKRDEDVLDTWFSSGLWPFATLGWPNTESHDYQKLFPTSTLETGWDIL FFWVARMIMLSLKLTGKVPFKEVYCHSLIRDSEGRKMSKSLGNVIDPLDVQKGITLDA LHEKLKQGNLAEKEIGVATKYQKKAFPKGIPECGADALRMALVSYTTGGGDIAFDVNV IFGYRRFCNKIYQATKFVLGKLGSDFVPSAKPVKTGRESLPERWILSKFNKAAKEINN AIETREFSISASTAYQYIYSQLCDVYIENSKSLLQSDAPAEVQESAKQTLYTALEGSL LLLHPIMPYITEDLWQRLPRREGDKTESIMVARFPEYNASFDDEAAEKAYELVLDTSK AIRSILAQYDVKEKSDLILAAYNEASHKTISDEVVAIKSLGGKYAGEIFVLGPDNKSR PDGCVVSPVGADAAVYLKVSKQVALEQAEKAKANLQKTRDLVTKIQKTMNTPGWREKV KVEIQEQEEKKLRDAEGEAALIEEQIRELEKLRLDA TSTA_012650 MARVYADVNSHMPRSYWDYDSVNISWGALENYEVVRKIGRGKYS EVFEGINVVNYQKCVIKVLKPVKKKKIKREIKILQNLSGGPNVVALLDVVRDNQSKTP SLVFEYINNTDFRTLYPRFVDYDVRYYIFELLKALDFCHSKGIMHRDVKPHNVMIDHE NRKLRLIDWGLAEFYHKGTEYNVRVASRYFKGPELLVDFQEYDYSLDMWSLGAMFASM IFRKEPFFHGISNSDQLVKIAKVLGTEELFEYLDKYDIELDSQYDDILSRYPKKPWHS FVNAENQRFVSNEAIDFLDKLLKYDHAERLTAQEAMAHPYFAPVRAAASGQNSAAAPQ S TSTA_012650 MARVYADVNSHMPRSYWDYDSVNISWGALENYEVVRKIGRGKYS EVFEGINVVNYQKCVIKVLKPVKKKKIKREIKILQNLSGGPNVVALLDVVRDNQSKTP SLVFEYINNTDFRTLYPRFVDYDVRYYIFELLKALDFCHSKGIMHRDVKPHNVMIDHE NRKLRLIDWGLAEFYHKGTEYNVRVASRYFKGPELLVDFQEYDYSLDMWSLGAMFASM IFRKEPFFHGISNSDQLVKIAKVLGTEELFEYLDKYDIELDSQYDDILSRYPKKPWHS FVNAENQRFVSNEAIDFLDKLLKYDHAERLTAQEAMAHPYFAPVRAAASGQNSAAAPQ S TSTA_012660 MFYDLNVPYAADEAQLTNTLNFLAEVGYTTVALSQTMSGKLPQN LTAPQLPSNAPKSLTLLTRLNLILSDPSQSYRMANLIPLFSIVAVRPTNEKSLLNACT NLDCDLISLDLSVRLPFHFKFKTVSSAISRGIRFEICYSPGITGSGLEARRNLIGNAM SLIRATRGRGIIISSEAKRALAIRAPMDVVNLACVWGLSSERGKEAVCEEARKVVALS SLKRTSYRGVVDVVNGGERQTPKKTEDASKNKVEQRGAIDKSAEKLKRKASLESTQDD DKPLSKREMKRRAKKARLEANSQP TSTA_012670 MHRAILISLLAFSFEFNNVVAAQGLEVFGIDLGDILDNGQDPNN KNGAGNRGGNNIHTNTLSAVAGPGISQTAGVLAPLDPAAGTAVAAATTAVQSTPAVST TQAGSKNGDKNGKDGKKDGQGNGKAKHHKSTAVAAKAGTSQTVGVVAPINAATATTLV TATAVQSVNAAASSQASGQKKGHKGGKKNGQGNEAAKKKKKHSKSSAVANAAASQTVG AVASLDATAVQSAQAAAVQSAQAAASATATQKKGHKNGQGNKAGQEKKKHHKSTAVAN QAASKTVGTVTAVDSAAVAAQSTQAVSTARGKHHKNGNGKGKGNGNNNNSQTSQVVAN QAASQTVGVIVPLNPATATVATTFATAVKSTQAATQAVGQASSSAVVPSSAVAAQPTR TITITAGNGGATFTEGSETIIISQAGGQAQTTTPPPLPSATVSVVVVSSASTAVVTAS SAAVSPSSFPAIGASVVTPLAGSTSASSFVTIASPSSSAAALAATNPQNACPCTCACP SGFPLQATPIPAGPSPSPQAISLPSPSSSSSAGQTQTIATTTAIDSQTSTPSSTAVVA TIATTTSTSAIVTPTTSLSLLASGTSVVQPALPASVRPAPSSATSVATSIILTATTAP AQAGNVNINTVQFYSALTIALG TSTA_012680 MLREVKPKNPRTARLLKKKEPQLIESSKRTLLLHGTKCPLPLNT LLKTIHGLTNPNSVLFHKKNDNIHPFESTESIEFLADKNECGIVVYGSSNKKRPNCMT LTRIYNKKVLDMFELLLLPPADGETIPPPNALKLEIGIGMKPMMLFAGSIWEDATSRT YAMIKSVLLDMFKGEETDKIDVEGLQYLMMVGAEEPAEAGLLPIIHLRWYKIRTRRSG HKLPRVELDEVGPKFDFRIGRVRNADPDVMKEAMKQGKRPNEEARTKKNITMDSIGDK VGRVHLGKQDLSNLQTRKMKGLKRRAGVDTDSEEDDDDEPSNYGSDVSEEITFVDEDE PSDVDMMDVDEEEKGRSKKPRLS TSTA_012690 MDSIMDTLDAIALNPNLKPLLILLKAARNGAVYGAKVRFPHALV MVFLFRSGTFREKVKLVLKATRQHARNLATFAVLYKSTMLAMKYLNPTGPKEGPYDTF FAGLLGGYVVFGRNQGSVSQQIVIYVFARVVLALAKLSVQPNMHPLSSLITPEARSRI QENAWPAFASLSWAAVMYVFRWHPESIVSSLRSSMTYIYVDSDHWTSFHNLLIHNK TSTA_012700 MASISTDGNCGSNSKTNSTCLGSTYGDCCSAKGYCGGTSDYCGG GCQSAFGNSTAGAMNPTKASSTTASASTSTTTGTVSEDGNCGSNSAVFATCLGSEWGN CCSSHGFCGGNISYCSAGCQSEFGNCGLAAMTTNTTSNSDSSSGHLGAGAIAGISVGS AIGGLALVAVLVWLAFFRTKKKIKYSVRIEDELKPYPPSKPFVDSHELDGHGNLKHEI DGRGGYVRQELDTRMISELPAEPGHK TSTA_012710 MVITDLDEDTLSKLERDSTCHRFATEAIRGLRKNHVDNLRDLLT ILGPRSTSWPRDMERISALLVGTPKASEEKQHAVYPQVLLDIGEVAHGHLFHRSATMT GHFSWCAAKLLTMSIAPQTQEQLRINYNGALESRWRVIKQRSVPRERYVLHNGFPLVD MIINVTLETADRSVLLGEPAAKVLDDTHHHYEFMGPETVNYVTIGGSQEETDSREISG YEISAWFAHFPPSYIKPHNPMNVDTYTTGKERLLLSAMEGDSDRTRKVLHKELWMSLS NWLDGTALCSAKMDELGQHCIHLAAEHGDSDLLIDFEEFLTGHKVCKDGQTALHRAIL AGLWSWWLLDELGDNLKDVQDFQGRTALHLSAGLGLGKIFTELLTGGANHLLTDNIGR TALHYAALDWNEAIDTDIISTLLDYGELNVPDKDGRTPLHYATENECPAYVKVLVQNK NLAIVDSRGETALHVAVTGGYIDAVKEMLGQDLECLELPQIVMDTILSLAASINYLEV VQALVNHGTGIWYCHWRGNKTAVEWQ TSTA_012720 MAPTLVSSPPTLRINPEPLTPQSFAPFGTVITTPFPRDLLGAPN NLPSLKLPHRVPATPVYANQGSAVKISPIAPLANGYENVCPSGKAAQARLSMFACFPR KLRRERQSAHRLVEHSLKHININEQQDEDNDFEGPVFDVRILERHPYTTQTFIPLDLS SQRRVLRDRVPDKKSHDWKGYGEGDVSITLDGSAEQDEEQEEPMFLVIVAPTLKGQSA AATTLSSSDSTNSSSSSIATLSDPVNEGSADSNRVLIRDPPDLENLRAFIARGGQAVT YGPVLGPRRIDFVVVQYVNGVDDEDCQEAAFKDGIVVDVKSLTEEEDELWNDLKKLSK L TSTA_012730 MQARASCGRGIIATCLRSIPSRDVVGQRAISAFACQHTFRASTL RIAVCFARGAKTKATAKLKDLPQGALKLETYSDGADDVPRYPTVVQGHRNNMEKFKNC VVLTRVGGFYELYFEQAEELAPLLNIKLASKKTNAGPVPMAGFPFFQLDRFLKILVQD LNRYVAVSEEFMNPVEEKSKSGGLLFDRKVTRVITPGTLIDEKFIDTSQNNFLLSLYI DTQYYEDQQQGGSSSPITHLLSSSSGRVGLAWMDLSTGDFYTQVTTTQMLPSAIARIG AREIVADQRLQNLMGQELQMLVGIDHQLLTLFHAPTTTQPMSEWAHMLEASVRPEIAS TFTNEEVAAGFNLLEYVRVQLQGVGMKLQPPRRRHLDESMGIDRNSLRGLEILETARD GLGKGSLLHAVRRTATKSGARLLRDRLTSPSTSLSVIQERLDLVTYFLQDEETRETIV SLLKRSYDSQRLVQKFTLGRGDPDDLICLSRAIEASREVYRVLSDRRNNSTCRIDATR QSLEALIRRLRLDGPVQLAEKILAAIDEEGLLQKHRIEDSQAAEVAGLAQDIVLSEAL PEEAESMPRKIRFKTTGRPGIAVESDRGDIDTWIMRRNASDALRRLHERLDELKEEKQ ALTTRLQEQVGSSMLTLKWTPGLGYICHVKGAKISQQALEDLGVTRNVSSTKSTRSFY LPAWSQLGARLDQSKVHIRAEEQRIFEDLRRDVILNLVKIRRNAAVMDELDVACSFTM LAKEQNMVRPILNTTTSHHIVGGRHPTVKLGLEEQGRRFVSNDCFIGDEERIWLITGP NMGGKSTFLRQNALITILAQVGSFVPAEYAEIGIVDQIFSRVGAADDLFRDQSTFMVE MLETAAILKQATPRSFVIMDEVGRGTTPEDGTAVGYACLEHLYNVNQCRTLFATHFHI LVDMTASFEKLGAYCTDIKENPSGSFSFVHKLRKGVNRQSHALKVARLAGLPESAITV AERVLCQMGASNPTSQADWSKHRDESKAASSG TSTA_012740 MADPNNVAQYKYSAMSNLVLQADRRFITRPGDDATGDPESLAGR INIRDMGSRVAHDQAPKTKKAAAAQVDIERGSIREGADVLEREQRKRKRGDQDQLRGA GILSAADMLIEGLKYRPRTPATRATYDLILTMTANHLGDVPHEVVRSAADAILEILKD ENMKDFDKKKEIDDLLGSTMNPKQFNELVNLGKKITDYDAQDEDENMEDGLDGANEAE LDERQGVAVVFDESDEDEGGLRGMDEVRDEDESDEEASDIEDRPEADEVAAVDLEGDE EMILDGGGVVDAKISEAQKHIIPVREIDAYWLQRQISTIFPDEHEATASARSALEILS GKDADGNERQLRDIENDLMELFDYEHPELVAKFVKNKDRIVWVTKWRRLAENDDARLL VESEMVEAGHRDILDEIHGRSTSGEEGQLPGKKIKMDLMDIDVPTAGGEAKAEEGKAP LSSLLPTRLVNLESLKFDQGNHLMTNPNVRLPQGSTKRTFKGYEEIHVPPPKPKRDPG EKNIPTTELPDWARVGFGSSKELNRIQSKCYPTAFHDDGNMLVCAPTGSGKTNVAMLT MLREIGKHRNPNTGEIMLDDFKIIYIAPLKALVQEQVGNFGKRLEPYGIRVSELTGDR QLTKQQIADTQIIVTTPEKWDVITRKATDSSYTKLVRLLIIDEIHLLHDDRGPVLEAV VSRTLRDNETADEPVRIVGLSATLPNYRDVASFLRVDATKGLFHFDGSYRPCPLRQEF IGVTDKKAIKQLKTMNDVCYNKVLENTAKGHQMLIFVHSRKETAKTARYIRDKAVEME TIGQILRSDAASRAILAEEAENVDDAALKDILPYGFGIHHAGLSLADRDSVASLFADG SIQVLVCTATLAWGVNLPAHTVLIKGTQVYSPEHGAFVQLSPQDTLQMLGRAGRPQYD TEGLGTIITTQNELQFYLSLLNQQLPIESQLMGKLADNLNAEVVLGNVRDRTEGVQWL GYTYLYVRMLRSPGLYSVGADYANDEALEQKRVDLIHSAATVLEKAGLVRYDKKGGKI QSTELGRIASHYYISHSSMQTYNHHLQPGISTVELFRIFSLSEEFKYIPVRQDEKLEL AKLLGRVPVPVKEGIEEPHAKINVLLQAYISRLKLEGLALMADMVYVTQSAGRILRAI FEICLKKGWSSVAKTALNLCKMAEKRMWPTMTPLRQFPSCPRDIMQKAERIDAPWPSY FDLDPPRMGELLGMPKAGRIVCDLVSKFPRLEVQAQVQPMTRSLLRVELTITPNFVWD DSIHGAAESFWVIVEDCDGEEILFHDQFILRREYAQGEVTDHIMEFTVPISDPIPPHY FLQIISDRWMHSETKVAVSFQKLILPERFPPHTPLLDIQRVPVQALKRDDFKSLYPNW QTFNRIQSQAFKSLFESDEAVFLAAPAGSGKTVCAELALLRHWSQPDKGRAVYIAPFQ ELIDLRYNDWSKRLSSIAGGKTIVKLTGETTADLKLLESADLVLATPVQWDVLSRQWQ RRKNVQTVDLFIADELHMIGGYLGYTYEIIVSRMQFIKLQLESNLRIIGLSVPLSNAR DIGEWTGASRHSILNFSPSARPIPLDLHIQSYNIPHFPSLMMAMAKPVYHAIANQLSP DKPALVFVPSRKQVRATAVDILAACVADDNEDRFLHADVEQIAPLLERLHERTLAESI SHGVGYYHEALSTNDKRIVSHLFTIGAIQVMLASRDVCWEIDFTAHLVIVMGTQFFEG REHRYIDYPISEVLQMFGKASRPGVDKIGRGLLMVNETKRPYYRRFLAEALPLESGLS LTLHDTFVTEISTQTISSTQDAVDWMTYTYFYRRLLANPSFYGLTDVSHEGLSTFLSE LVENTLRELSEAKIIELDEEDDSISPMNAAMIAAYYNISFITMQTFLLSLSARTKLKG ILEIITSATEFESIQMRRHEDHILRRVYDRVPVKMTEPAYDSPHFKAFVLLQAHFSRM QLPIDLAKDQEVIVGKVLNLLSACVDVLSSEGHLNAMNAMEMSQMTVQAMWDRDSPLK QIPHFTPEVIQVANEFKINDIFEFMEAMDPSENKDYATFVKRLGLDNKQLSQAANFTN NKYPNVEMDFEVEDPDNITAGDPAYLKIKVERDVEEDEDPDTTVHAPYYPGKKMENWW LVVGDEKTKSLLAIKRVTIGRRLETRLEYIVPTAGEHELTLYLMSDSYVGVDQAPTFN VTAAEGMDEDESEEEVLLSAPRRSSAVPNATGKLAVYTQTTYSFDTHSKTNEIRIIDL ESGETSVITNDASASNPRWLDDGDLLVWLKGKENGNTSFMIGDARDPGRFYTAGTVPG PVSDLKVTKLDSGKTGFVVSGKANPDGSLYNPKDAKKPLSSGKYYTSIWVRHWDEYIE PQRNSLWYGVLQSSPSAASDKEKRYATSGMTNLLAVSGLTDVEAPIPPFGGTDDFDIS PNAVVFVAKDPAVNKATHTACVCYYCPMPSWTDLSTREAKIYQVKGLEGAMSSPVLSN DGSAIVFLAQEKDGHEADKNRIIFVPNPWSGQLLEVFKSKDGKGAWPLSPGSVSWSKD NKSLLITVEEKGRGVLYQLPIENILEVTPDKLKKLTSTGFVTGVAPLSADTELFLVSS SNLIESSVYTIVDPNVIDKPKLLSSISRGGAAVGLSRSQVDEIWYKGENDQPIHAFVV KPSNFNPDEKYPLAYLVHGGPESAWNDSWSTRWNPAVFAEQGYVVVTPNPTGSTGYGQ DFTDAIIGNWGGLPYLDLERGFDYISKNLKYVDTDRAVALGASYGGYMMNWIQGQPLG RKFRALVTHDGVFSMVSQLASEEQYFPLADLKGPLWKVPEEWHKWDPSRFTANWATPH LIIHNELDYRLTIAEGLAAFNVLQMKGIESAFLTFPDENHWVLQPENSLKSHKSSIEM IDGAFVDKSSQEDLGTIKIDTGNDGAEHKISRSKCLFTIMEEHSDSKLEPAPDKPKDD IATSTNTVGDDNSQLLGKIETFIASILATYNKHNNILLPSHNHTHHPDLIPTLTHLSH RLFKLVSLTTGLPHPYCPDTVLRYHLLTSSQLDDLARHYHQIWPPTPETYRYPKSIEP WIGTAEQDTTDVETKRERIGSFIGLRRTHQRGRDKSGTGGEEGSSTLLGKDVEDEVTS QMQKEWEEALERARREGYTGIVQCSKAD TSTA_012750 MSGNPHKPRAGQQQRHPASSTPESVASSEITLTRWQKIESIIWD GGYRTPQERALVRRLDIFIMSWATIGYFVRLLDWANVTNAYVSGMKEDLHFTGADYNL LSTFFIIGYVIGQVPSQMVLTRVRPSYWLPTCELLWSIVTFCFAAVQNVRDVFALRII MGFLEAPFAVGVLTIMGSWYTPRELSKRIAIFYSACYAASMFSGYLQAGIYNGMDNHL GLAGWRWLFIFCGVISLPFSIYGYIAIPDNPYNSKAFWMSAHQLEFARKRMEACDRRP PVLLTWAKMRRIVTHWPLYAFTAIMICQCLVTQPLNYFAVWLKSLHRFSVYQVNVIPT AGQAVGLITTLTYSWLADAWGGEERWKALLIPAVVNLVGLIIVSIGPGFGATLFGYLL NGASWGFWPICFAWTNEVCASDPEERAIVIGVAQTMGQAFVAWVPILILNTSKYAPKF TMGWSILTGISVCQLAMVFVIRWLVMRDKRRTQQGDVGGFSDDHRGTDVEGGVTDNVG RGSNEQGSSADNHAVPSIREETKV TSTA_012760 MVFKYYPGAKVAIIGAGVSGLVTAKECLEEGLLPTVYEARPYIG GQWHYEEPDPLTGETFSSVYDSVVSNTCALRSQFSDFPMDPAEYPDYPTHQDYLRYLH EYVAHFGLERHILLNTEVLSCEKIPGCKWRVKTGTSEDEFGALFVCTGKESVPYMPEV TGVELFEGRVIHSHVYRQPSVYAGRRVAIVGFGSSAVDIASEVSVHAESCHLITQRGG WVLPRYVNGRLVESLQSRLAEYVLPRCVLNMAYELTHRLVTGEMHPALKPNHRLLEAN PVVSNTFLDHIRSRRITPHRASVERFTESKIVLSNGESLEVDEVIFCTGYNVTMPIIS EDTYRGEKPNSIHLYRLVSPPSEDTLFFLGLVEFTGPIHPTVELQARWAVASLIGRLH LPSREKMQREIRKAERQQQKMFVNSRRHTIAVPALRYMETLADDLEVKPNLPKLVRRY ILSRHPLDGLSIVNYYYIGMITSAPYRLFGHGEKHELAEATILRMARYGDEFSETEEV LLAADGAVWNATKDK TSTA_012770 MNEVPKLLRLKSVVHKHSKTLYTLLIRCHVTPGSRGFQGIKQIH NEQVYVHVGSEPRKGEANTAVARVLSEVLGFPKSDVIIVGKQRVKIGQVTGVDIGKLS EEEYISKVRQKLVDACFGKPQE TSTA_012780 MSIFVDGYTSTHGASLLAIAAASPLSTRQTSKPETVVYWGQNSN ENADLAHYCSSTAGIDVIVLAFLYEFGNGIDIPSGVIGEECYISTTGQPQLCNDVASA IATCQAAGVNVILSLGGATSSYSLQSQAEAESIGQYLWESYGNSGNTTVPRPFGDVFV NGWDFDIEVNGGSSQYYQYMISTLRSNFASDPAHTYYITGAPQCPLPEPNMSVIIQNS TFDKLWVQFYNNNNGLDNIPYESCSLGFGGNAPFNYNEWADFIATTPSANAKLYIGAP ASTLASNGNSAGAMYYITPNEMAALVSETKGNSTFGGVMLWSAGYSDSNVNNDCTYAQ EVHSILSTGSPC TSTA_012790 MDFRFVNVKTPKDALQLAKEPEIRSHVTRHQWKQFGRRDKRQHG RKRILPSITHDMNGAKHSADLFTPESISIAPQIGGLRVDPFRSYPVSIRSWTPLLVDH YLIHMAVDIPELDLPGNHGLLRTSWFPLVMSEPALFSVIILLAASHYASLQGGPSSIK LDLLNLRCEALLSIKRSLDAQHIVHDALIGAIAKMASYEAMFGSLENYDIHMQGLARA VSLRGGLPSLGLNGLLHRMVLWIDRNAAFLHGLPMYFFADTDLPGDPNPGHFLGSS TSTA_012800 MALTGKVALITGGVKNLGAQCALNLASVGSNLALHYHSSSDKKQ AAALEATLKEKYPSIKVSFYEGNLVSAAAVTKLFQDVIHDFGHVDIVVNTIGKVLKKP ITEISEEEYDTMFDVNSKVAFFVLKEAARNVTDGGKIITIVTALLGAFTGYYTCYAGS KAPVEHFTRGVCKELQARRISVNNIAPGPMDTPFFYPQESPEAVEYHKSNGMGGRLTM VEDIAPVVRFLCTDGAWITGQTIFANGGYTTR TSTA_012810 MKRHLVILRDPSSNPRNDHTPPNPRRLPRQHSLHPRHRKTSHRQ IFDQVYDAADFGKLGGDANYYKIGRISRHNVVLVKLSGKGTQYSSRSVSDLSQSYPNI NVYLLVGICAGVPFRGRERKLETETILGDVIIGDSIIQTDYGKQYSEGYQRQTNRREV LGNPPLDIRNMFSHLWSEPEPLRDRFTHNLDTKNDPTCHSALGDSCTTIGCSDYPHHL INRVRLAPDNKNTNFTPKPLIHFGTIASANTVMKSGEYRDQIVERERDQWDENIIAFE MEGAGMWDSRPCVIIKGVSDYADTHKNDIWHAYAAATAAACTKAFLEL TSTA_012820 MKYAAAHIINPTATDPIAIPAICPPVRPNCVRHPWENSVDLREV NEEVTDVVASLVGLLVVWDLDPAEGNEELDAAGVTSVGPLAVIMRRACQNDFIYMSSR EENIHEEIGPPPDPVVVVAKTKIF TSTA_012830 MNTSPAHQKQNTTKHKMVKGAPDYDDAAYWDKKFITGQDVGEWL NEGDLLIERAMAELESRDVKKDIIPGGPRVLHLGPGISALGSKLCEAFESRGWKGCDI VNVDFSSEAVRLGLERENTKSDQDHIMHWTQADLCSWKDISQRLLPLAPFDVFPDKST SDAIATSVPRKFYRSAIQSETDTICPVVQDLLQDPSNFKGPDESLTLSPVELLSLHLV PLSRAGTIWLVLSYSSARFDGLEYLDRFWEVASRTPLRAPSGQTSSAAAMVPEVFHWL YILRRK TSTA_012840 MSEPPLRFNLIELESEGTIPVYVNDRFAWQLPKKLLSMRAPKVL EGDATSWKIQNFSPDAFKLLTGWLYSPRGILSGPPAGKPINPYLELARLANRYEIAGL APLVNKMVQKSFDDPENMAFMGETYNALDPHSPDRASISARFAALVVSKNMDVSTLKP LLKSSDLTRDMLAWIAIYYEHRCEHKELDEIMIWMAGQCQLWLDAVDEAAN TSTA_012850 MNMTARARQRAQIQRIGRELMYQLPAELFTATLDYLNFDDIMWL CEDPEFPSGLLQRKHLLDHYGSSILGDVVSNDWKFHSAIRNFIHILGEWDVKTRRHNL RPLLATIDSYGRYELCETFLEEDYFMGMREETLGKYIDIGASETKNELAKTPLEPLHA AVLAMKKEIVELLLKHGANPNSRAGVLGTALHFAKDDKVAKLLLAHGADINAKDALGY TPLSNAILKDKPDLVKLLVESGADVELLYDGNLSALDLAIKYSRYNTNIVRTLADAKC YINGPPGVVPPLHRAVENNEEDIIPILIEAGADLAVRYEGRTVLHVVQSPCIASRLLA YGADVNAKDKNGSTPFMLATERGIDLMDVYLMAGAAVCTTHLREKKILFQLVSAGQYH ILKSLMSQCDLKPAIYGVENLLEGQSLLDFAMSCGFEGIADLLVETFPHLVISEDGEN EDHILWTAAKHSSRRMLQRIIDLRKKVEVKDVDFQDGLVVALDRGQADIVEIFLQALI DPSNLGHGVHVPLFVLTAGTNLALCDMAMYLPEDPSKWIPDCERYYLSMETCHDNIEI NMKMLRILLDHGADVSRAEYEGIGILHCAAWRNSEPLMRALLEAGADPLFKDENGYTP ADWAAKMSHFQIEKILRQAEEEALAKQPKEEGQSAGVKGQL TSTA_012860 MPLSKEAIVYERLELCQMLIKAGASLDSPVPTEPPIPRIPPNTQ RNPDRLTDFTDNEANFCWAPNYPYLGPLHLAAIVDNLEIVELFLSCCADTGASAGAVV PGHKEATRILLENGANANFLSPGWGTLLHIAQDSKIAQLLIAFGADIGERGLEE TSTA_012870 MVNSKTVVSALALSALAAAAPAPSSTTSFSINQVAVKKPAIHPA VKYAKALAKYHAEIPSNVASAAASAQSGSATNKPTADDEEYVTPITAGSSTLHLDFDT GSADLWTYSASTRGVGSHSTYDTSTGKKVSGASWQISYGDGSSASGVVYKDKVVVGGV TASSQAVEVATQVSSEFSQDTSNDGLLGLAFSSINTVSPTPQKTFYDNVKSSLAKPVF AVTLKHQAPGTYDFGFIDKSKYKGSLAYTNVDNSQGFWQFTADGYSIGGSGGGSSFSA IADTGTTLVLLDDSIVDEYYSQVQGAQNDSSQGGYVFDCSADLPDFGVQIGDYTAVIP GKYINYASTGSTCFGGIQSNSGIGFSILGDVFLKSQYVVFDGDNLQLGFAAQA TSTA_012880 MENLQAALWRASVISVGLLLSITIGVGVYRLFFHPYAKYPGPLL AKLTSGYAVYHMWIGDAHTDIWECHQKYGDVVRYGPNRILINAEAGFKAIYGHGANVH KSRGYEKVSFFRGTHATLCTLDDKRHKLLRGLLNQGFSDAHIRAVDHRLTRIAASLAN ALGETDDRFNTSLKSTGDGWSVPKNMSNWSDFYTFDVISQVVFGASYNLIGDSENHWI IDGVMAQLKRFGFLLQLPDLEKIGLHHILFPGARQKAIRFTKKSGQIMQERKEKGEKY SADVFSKLLSATDPETGESLSNTQLWVDSNLLIIAGSDTSSTGMAALFFYLTRDPAAY DRVTKEVRSVFTTPEEVSQGPKLNSCTYLRACIQEALRLSPAVSGALWREVLDGGLSI PENGVHVPAGYEVATGIWSLNRSEKYYPEPFRFRPERWIPEESGQEAVSLAKAAFATF STGPRNCVGKGLALTEISLAMAAIIVQCDFRKAEAELGDVGEGKGVFKGQFQTLSAFT SLKDGPYIQFRPVGSGK TSTA_012890 MQLTVLLGFLVYLGQATPTPEVPAEETKTLEQRSTGVWLDVYHE GNCNSGWEDQPNSGWVWSGQCKNFESFTYGARLGQVDLNKGQVEWQESCTLKFWENAD CHGKATVHHVKDTGTWKQGNGPFFYMAYNCFATANTADGSFHLQNGAASVLMTCKITC IEGD TSTA_012900 MSLYFQLRCYDKAVKKAVDEVLESTVEKAVKMALNKALGSTVDR VPSSAYGTSPAASQMELG TSTA_012910 MQEPSETDTLLPHHRNNGKNSALKRLLHHLNTPLSTHHTDLILL YCYIITGLLDSSAVFIWGSFVSMQTGNTVYLGLGLASQSSQSTGGGGGGEDDRWIKSG ISIASFCFGSLCFGAFHRTFSPRKRWVLMASFAIQMACIVCAATIVSLERPSKHSPLT WRVSVPLAMVAFQSSGQAVISRVLKLGGLSSVVLTSVYCDLFSHAEFLSGVAFRKDVE ERRRFGAVVCLLFGAVMGGVWAKSEIGLMGALWTAVGLKVVIIVAWLVWREDRGPFRA WQDQFQLGPMNKLIIPKPYLHFGSKIFIKFSFPIAKA TSTA_012920 MHKSILLSLGLLLVATANPVPNENIQRLSKIPASSSQEYFFGGD QTGTSRPFTPGHQDPYDRKVDPVGDDLEPLPYRNGDGATVMGPRNRDRERQNPDLVRP PSTDHGTLSNMRWSFADSHTRIEEGGWTRQTTIRELPTSKELAGVNMRLDTGVIRELH WHKEAEWAFMLSGNARVTGLDTEGGSFIDDVEAGDLWYWPPGHPHSIQGLGPNGTEFL LIFDDGNFSEDSTFLLSDWMAHTPKSVLSENFRIPPEIFNSIPKSEKYIFQGSKPGSI SAEKPKGFKKSTKSFTHKMLAQEPEITSSGGEVRITDSKRNFPISKSVAAAHLKINPG AIREMHWHPHADEWSYFIRGRARVTVFAAEGNARTFDYMAGDVGIVPKNMGHFVENLS DDEPLEMLEIFRADEFLDFSLFQWLGETPQRLVAEHVFKDDKKAAKMLLEQIEGGEKD PIKEKFEY TSTA_012930 MATQATSKPPRETPSTPTKAKSAASSVKGSPKSVTSKTQKQVGK DNKTPRKLKRKVDDTITPAAERTSSPAESKELPSRPAAGKKNVSKKRGEQRDPEPEPE SEPEPDRVEEQEEPQEEGEEAGIQLEHNDNDNDDVGEEEDDEEEEEEEEASEPSVSAP VEQRDEPADNQSQASGSATGGFFSRTGNGLNSFARGLRGVAGSLSQGNAKGALDNTAG SVKDTAQGSIGDVVNSLPLDLSALKGLEVGEGGKIFDKADNPLGEVVEGEPEDLVGQT VGDDGEILDEDGDLIGRVELLDDVQKKVTDTLDQVKDKLPSLEDLKDLPVSERGEIKD KAGNVLGRIVEGDPEDLVGQTLNESGEILDEDGDLIGRAEVISPEEALKTIQEKGADL GDIEETAEDAGPDDVQDKVDKVKNNLPDLADLDGLPVSDGGEIKDKDGHVVGKVVEGD PEDLVGQTVNDAGEILDEDGDLIGRVEVLSPDEAAQKVTGQVDEAKDKVGDVENQATE AAEGLIPQIQILQGKKINKKGKILDEEGEVIGQIAEGDVKDLAGKKPNERGEVLDKEG NILGKVDVVPGEAADEALKALQEEAEEAGQAVEGLADRAGEPAEDVKDKAEETADGVK DLAALDGLQVNDQGNVVDSEGNVLAKLESGNLENIAGQTVDEKGLVIDDDGNILGRVA LVGDATDDAKDAVDGAADEAQDMAEDAQDAAPELPPLSTLEGLKVNKFGKIVNADGVP VGELTEGDAKKISKLGATLDDKGQFWDNRGNIIGKAQTIPVEDNDSEGAFAGLEGLIV VQDGWVEDENGNRVGELVEGDTKKLVGRAVDEDGDILDKRGNVVGRAERYEQPEEPEA EKPDFSSVAGLKPNKAGNVIGPDGIPIARVVEGNLKEVAGRKIDNEGQIWNDSGKVVG RVELIPEDERETKPEGIFGGLEGLIVNKDGLVEDEEGNIVGKIVEGDAKKLRGRAVDE DGDILDKHGNVKGRAEPYEVPEEEPQEDDLSSLEGKKVNKMGNVVDEHGTVFGRIAEG DPKKLAGKRVDGKGQIWSDNGKVIGRAELIPGNEQGKPEGAFFGFDDAKVGKDGVIVD SNDKIIGRLTEGDAKKLVGRPVDEDGDILDKSGNVLGKAERWEPEEKKRDVNPMSGRK VNKQSEIRDENGDLIGKVTDGNLQNLIGKSIDDNGYVVDNDGNKIGEATLIENIPEPE PEPEEPKEEISPEELDKQKQEENDRKLAERICAIVQDTLGKIEPVCKQITNLVEKADR TPKDELDEEKLVNDVKPLLEEGGRMLQECNGAIRALDPDGRIAATAKARAQTHEASPE EYRLADALKELTQTVVKTIDNGRKRIQDMPHAKKKLNPLWSLLTEPLFQIIAAVGLLL SGVLGLVSRLLDGLGLGGLVRGLLGGLGVDKLLEGLGLGSITESLGFGKKK TSTA_012940 MEVIPIPATDQQKPINNIRTRTFFIIDTRLEESTLQSSLDDLIR NHWRKLGGRLAKNSKNGLLEYRVPKAFADDKEYKLFEWSCTQFESGIDKSAPLAFFHD PPAAEKGATLLHSVQEVDELVRPATWPDEIKDDPVAPLLFVHLSLFTDASVVALSVPH TVADQMGVGNIMRAWFGLAYHNKTPPEMTGYTGDILATSAKKYTDWPKHEIYRKGLSR VRNKFEYFFVVLGFVPELVLNKKEDSHIVFFPRPMLERLRERYTTELKEEYGDDPGLS LGDVINAILTKVSHTPRVNWALLMGRQFHRIGNKKSRTITLSQLVNLRNKHTSLPPSK SSTYIHNGIHYVSSRFLITPSTSAREIAYKNRQAITTQSSSQSYEIGLAVMREQVRRG QGTHICEPFEWSYFVTNWCLAWKGLDLFPTTATDTGDSEKEGEGAEKRIMVLGRSKEK DMPGRFTGIVMCQTEEGYWCEFAAPEKRIRLIEEHLRRDPMLEDF TSTA_012950 MSDQIKMDTGAAGTATHHNHILDSEAIKHADRAAALANGERPVL TEEDSKRIRRKTDKRILVVLMWVYFLQIVDKTVLGYGATFGLREDTGLTGSQYSLVGS IASIAQLGWQPVSSYLIVRVPHRILMPTLVLGWGIAAATMSACHNYSGLLATRFFLGL FEAGCLPLFSVITAQWYRRAEQPLRVALWYGTNGIGNIFSAAISVGLAHIHSDVLRSW QIIFLFVGLLTIVTVPFIYLLLDNDIPSARFLTEEEKRMAVERLRANQTGTGSREYKW NHVWEALIEPKTWLFFSMSFLLNVGASVTNIFGPLILKGFGFDSYKTSLLNMPFGVMQ TSVILLGCYCAQKTSIKAAILLSLVAPVVAGLAILYAVPHAGHTAPLLAGYYLLAFLF GGNPLIVSWIVGNTGGATKRSFVASVYNAASSAGNIVGPLLFNSKDAPTYHPGLRACL AIFIALVVVIAIQWINLIWLNKRQEKKRVRNGKPAKMVDHSMQKHYHDFAEDNKATKV AQVERVESQVNVEGGQEEIGENAFLDLTDRQNDEFVYIY TSTA_012960 MAASSDPEMEHDVTASMNQKEERAARTIQRYYRGYRTRRELKGW SLSSSTRWLEAVQEAQWHQAMRNQYVETSCDGEDRDDIIHNDDEGEEEEEGEEEGRKT SRGLSPEVRRKWKLAGQVALRAGGDDNLQDKVVEENVSEEKGQTVHTFQESISTMKKE NREPLIPTSTAAEVEKKAKMMDLQYFLEMVDTKHRYGSNLRAYHSIWKNSPSKQNFFY WLDYGEGKDVEVERVPRERLEREQVRYLSREERQDYLVVVDEAGRFRWAKNGERVWTD SDQFRDSIRGVVPVGDKTPTFQEYTKEGDEVITSEDDDDEDEDDSDEESDEEIQRYVN PDFDNAKGIKKIEYVSPAVVFNHLMQKSLKKRDKWIFVHTSFRIYIGIKESGAFQHSS FLRGARISAAGLIKIKDGQLRSLSPLSGHYRPPAANFRAFVHALQDNGVDMSRVSISR SYAVLVGIEGYMKFKQKKKDVKDMIEEEKSKVSSKKEKEEGEEVPDVSRSRPTRKKSK TTTTTVAATEEENEKGGFFSTIARTITRRGTTQESKTVTISGRGVPGTAPEEGVPAPE GKR TSTA_012970 MDLLSAIIDCIRGPQYIETHSEEDIAEKVVHIISTTEKRGLALQ AQIKDTLVSTEGWTESLAKAILVKLQQVIEKGQRSEMGAVLQEAVDSTSRVIDEIFRF ATDHPVAATVFCTLVAIGILVAVSPWLIEVLGFGELGPVEGSFAAQWQSLYRGYVSKD SLFAFFQRLGMVWH TSTA_012980 MAQPYDIQTYLLDKENIRDTLLRMMFAYDHHLPLTPTLINQVYT PQITINYPNTLIGTGREEMTSQTWAERLEGFHDAYDTTEHIIQFVTPTTFSHFRWNRK DEVDGCERNLLAHLPQPSPTTTRPETCRVNAYAHGYFYKLDEKGLPREMARRQGGYYD LEMVRVKEIEERGENPWRIRVQDVSLDWQDLPKE TSTA_012990 MEHTPDDETGSSERSAQPAPVEEANPDENNNADNDNSIRDVSEP TSDEIASTASISEHHPNNTNGTAHHNPDTNKPRKDRPVSGVVPPYWRHHRALSRASLA SVDTHHSSSKPAITLEDHTADPDATTTKGLWARSVTIDDHVVVSGKTGIGAYVVWMCN VQTLDGGPMMIPMRYSEFDELRLLLSKAFPQSTNALPPLPPKSVLFKFRPKFLESRRI GLQYFLNCVLLNPEFAGSPVLKDFLFSRIN TSTA_013000 MLAQGVLYLAWMVSLAASQSIDPSTIPIATRDQWCSQQQTSCPL ICLQLPNGTSDTQSNTCDPDTLDFSCVCNTGQSPNSTEYSQTIPYFICTAYNTQCQNN CSGASDCQAACVQNHPCGAQDPKRYNATTSAASGTGATATTTGSATTSGSGDVLITGF GTGSAAKSTGGSSAGSVGVVRPFLSEFGQVYGVFMVVAGLLGGFAVIL TSTA_013000 MLAQGVLYLAWMVSLAASQSIDPSTIPIATRDQWCSQQQTSCPL ICLQLPNGTSDTQSNTCDPDTLDFSCVCNTGQSPNSTEYSQTIPYFICTAYNTQCQNN CSGASDCQAACVQNHPCGAQDPKRYNATTSAASGTGATATTTGSATTSGSGDVLITGF GTGSAAKSTGGSSAGSVGVVRPFLSEFGQVYGVFMVVAGLLGGFAVIL TSTA_013010 MRTSVSGHEAWTTTYLSFETSANSLNRKHQQTRSSPLRYSMDSP LLNQLFRQLFNHRACQCTRSTSVRRLKATRHGSGLRLYQQSRSFLSRRETSSKRNATN DGTMWTKRDDYPRDLDQQLRTFPLVTAKDLRSRRERPRQVKMLTREFIEDSLYNPHYG YFSKHATIFHPGEPFDFSRIEDGPHFHRLLGERYTEFEDKLDETNPDIARQLWHTPTE LFRPYYGEAIARYLVTNYRLSLYPYHDLIIYEMGAGNGTLMLNILDFIRDSDPEVYAR TKFKIIEISSSLAKLQWENLHASLSAGGHTGHVQIINKSIFDWNEYIHSPCFFLALEV FDNFSHDAIRYDYETEMPQQGGVVIDSDGEFCEYYNTTLDPLASRFLRARQAASRRPF PTPLPSKLSRSLRSSLPIGRRDYTLPEYIPTRLMQFFDILRDYFPAHRLLTSDFDSLP DAIPGINAPVVQTRYKRRTVPVSTPFVHQGYFDIFFPTNFNVIEDLYRAITGKLTFVG SHQEFMERWAYIGDTETRSGENPLLTWYKNAKVLATV TSTA_013020 MLVTMADSRHIYFGSFIAGVLVTAALTEIYHRYQQSQTSSETSK KSGFKTVNPDDEKLLSSVRHGPPAIVDGVEGCIGNTPLFRIKSLSEETGCEILAKAEF LNGAGGSPKDRVALSMIETAEREGSLRPHSGDVIYEGTSGSTGISLATLARARGYLAH ICMPSDQAMEKSDLLLKLGAVVDRVPPAPIVEQGNFVNRARNLARERTASSNNTPNKL SSTSGTQLTTTDPTTEPGAATGRGFFTDQFENAANYAAHFNGTGPEIYAQCGGKLDAF VAGAGTGGTISGVALFLKPRLPDIKIILADPQGSGLYNRVRYGVMFDIKEREGTRRRP QVDTIVEGIGINRQTANFEAGRELIDDAIRVTDAQAMAMARWLVEKDGIFMGSSSAVN CFAAVKTALKLGPGHCIVTVLTDTGARHLSKFWAMAGDVGGTTDTKLEDVLNA TSTA_013030 MEPPHKRQRLSWAKSAESTNDVDLQIARAQNDQKLKSLFEGIFK KYGKDFSDIGDEIDLRTGEIVVNKGHVQRMEHEDDTGELTEEELEEEIPPDEHRTTDD GNTAFMFQKAGKAILDGNEDMETGPVRSISPDNQHPPTIHKPTDPKWQAPEIDANFWT PLRKAIPLPVHETPHTQRSKSPPTAKSVWAVPSPGRSRGSTSKKQSVSGSKKTSTVRS KPKRKTPIKLDWSFARIQRDDSDSDDPLQDDSLPSSIRSKKVPGRTSVSVTPKALDVI SNNITDNGRKRDDKAGEVEIIETSEKVEESTPVPDTPQLLSSSPVMTYETPTHTRYPV NFDSPSIIKPEEVILTPDEVKVIVKFKCQSPNNLCMGDMVKHLQGRTLEDLLNWADHH SLLFLSNRFVSTAGWSTEDLEKLDGFADESGIWWRDIQMKLPHRSRREIEKQMIRIWS ERIVDEPKEKIEEDDEVTELPATEREQSQAPHSDGTDPALKADDVVSNNNLEDDGEVI EMPTTEREYSQSSHPDKIESVLGTGDVMSNNDLEDFSEEELDNDWSSISAIGVRPPIS MPYNEVIAASLL TSTA_013040 MYCQKCRTPLKLDGSLEALNPAAFDLLVGSTGKSLPEHPDHAPS HRQAYPPERRELYDRASRHANAPIYRRSIPPPREAGAHTTPPKLSRGESSGGMSFVML TESQLAPPQLSTGLNGDAFLGQGKGATKTNAGQETKNQSLSDEVEKTARLFDIISARS DIDHPICTECTELLVEGLHKRLAGATKERDAYISFLKTINASIPTESEVKAAEKNLKS TLQEEEAAYQELLALEKEKADLDREIADLEEESRQLDLDEEKFWRERNAFALSLAEFQ DERDALNMRYDHDSRQLERLQRTNVYNDAFCIGHDGYFGTINGLRLGRLANPSVDWAE INAAWGQTCLLLATIAEKLGFQFRGYRLKPMGSTSRIEKFEDSSQNSSQPSSQPTTGA DSSPAAIKTTTLDLFSSGDMPLNLPWVHRRFDAGMVAFLECLRQLGVYVENTPVPMAS RRGQQGIQTTGLRLPYEIKRDKIGDASIKLGFNQNDETWTRACKYTLTCCKFLLAHAS NVASIAASNSPASAGAVSPSPSPRDTRGQTSK TSTA_013050 MGILCDKFDITDVILLSSLISAVSVLLFWGLCPIHGAVLLALFS ITYGFFAGGFSSTWSGVLNEVKRANPGLETGLVLNLFAGDVVLGMLLVDRWVTEGAIR RIMEH TSTA_013060 MWHLIITLGVLSAVGKELLFTPTILYLDEWFIRRKGLSLGSYVD ESFSLWNE TSTA_013070 MIEDGAAYFEKKSPLGVSEDLAEVTRKIHSLWHAELLSNRKEPG WGHRFDAESNRLFGEAAVLLEKNGKADDVYKSAIAYGRLSNGLPYVPYHTIDAIILAN VTSPNCADLMEPQESSRPDRSSESAIETWEADQEIEQPELPPADRGRGAWLALLGCVL AQVPIWGFSLA TSTA_013080 MNLTITKLGMAWMAIALEAVAAILLAANATEDPMEIQSEFHSSR AGSDDNMIHWARLLTKLNFDPLIIAILSVKGKNKYSDRVEKFRKLAQETVFNLDDLKS GQDRCFWRLSHEYLGTINDFENSRPMKVPRKAYINHQLSPEIVIGMHALDSIGSAYMR CDGAAWLDDAGMDAHIGSALPNDVVYLHTDIHTGETRNTIRLFYPDELSMA TSTA_013090 MSSTEPAPTGGIEPVSENADNSNTEIIHRPEYEDDAFNSSEKNK SHSSLGIVLRQILNTLNWVPPSCRYDPENPREFTLALNLLFGFAGTFTVANLYYSHPI LDVLAKEFKVSQERASIIPTCAQGGYAAGLLFICPLGDLLKRRPMVLWLMFFTATMTL GLCLTKSFDAFVVLNFIMSVTTVTPQIMLPLVGTLAPPNRRATALSIVVSALMLGLLM ARLLSGIIANYSSWRNVYWMSFALQYSILTLLWIWMPDYPQSNKNISYFRILFSILEL LVKYPVLVQASLIAFLNSSCFTSFWTTLTFLLSGDPYNYSTVIIGLFALCGIGTMFFG PFFANKFIDRFPIHISVLLGICTSLIGIVIGTYTGKITIAGPILQAIIFDFGSQITQI ANRTAIYGVAPKAANRVNTAFMIFSFCGQLMGTAVGNKLYARGGWIRSGSASVGFMGG SVIILLLRGPMETRWFGWRGGLGPNRRDIVAGKNGSDEEKQQQQQNSGVGQSDSEKTV VGDGDEIVRSRDSRLQSKEVIHLYNEEQEQKDDRTEIREEKDVEDR TSTA_013100 MASVSPPKPWERAAAGASTALPASTPSASTMTPAAPTSAPAAGT ITGSAPSTTSTSTPSLPSRPDSLNAVVNRTASTYSPYGANRFGATPYSTYGGYGGYSS PYSRFGSMYGGYGGYGGMYGGMGGMGGMYPGMPGDPNDPNSLTNSFSQSTAATFQMIE SIVGAFGGFAQMLESTYMATHSSFFAMVSVAEQFGNLRNTLGSVLGIFTLIRWFRTLV AKLTGRPLPADATSLTPSAFSAFLSGRSAPATLPDGSPAPPRPSRKPFFMFILAVFGL PYLMGKLIRSLARSQEEEARRQQAVMGPNGEIQSAPLDPSKLDFCRVLYDYTPDAQNT AGIDLAVKKGDIVAVLSKSDPMGNPSEWWRCRARDGGVGYLPSPYLETIQRRPAQAAI TAGTATSAPGSRTSTMTTKVDGGANQRSQSMTSVSKDTVKPAISGKLGDITAESFQKS AFNSS TSTA_013110 MVARAKMQSSAKKFFLAVFLLTVPAHGAADKPKESSPFAPNLCA IDAKSTVSDTCASYATIDSLNDEIHPLLKSVTRDNDFFSYWRLNLYNKACPFWNDETS MCGNIACAVNTIDSEEDLPPVWRIEELSKLEGPRAHHPGRQQQKERPSERPLQGSLGD NVGESCVLEYDDECDERDYCVPEDEGASAKGDYVSLLDNPERFTGYAGQGSHQVWDAI YRENCFVKPSSSPSSQLSPLQGLQVQAANDFRNVLQREQPQPEPDDECLEKRVFYRVV SGMHASISTHLCWEYFNSTTNEWAPNVQCYKDRLHNHPERISNLYFNYALVSRAVAKL HNYLQKYKFCSFDPDQDLATKQKLLALTEKLHSRPQIFDENFMFQDEYAMDLKEDFRQ RFRNVSRLMDCVGCDKCRLWGKLQVNGYGTALKVLFDYDENDEVDNPPLRRTELVALM NTYARISNSIAAVHSFREAVESGHEDRIVIHPEVSSSPLPAKLRESEKVVRYYKDGIS NLHIVDEDHDYGYEDEELPWKKRTGEAESISEAFMQEWTAFRDTFVWVIRSWLTIPRI AWQVVVFESSRLWNYWLGLPVPPRQWKIQIPRPPRHDEL TSTA_013120 MRFAFQTIPKVARFHPKRPHSFFTNHRGPNQPKYPLFTRQIMAT TAPRLQHPGPIHPAEVEKHGVKPSIARPVRIAVFDPRDHEAPAILHEPRQYTADDAKA AAVILISGAGGGVSGPAGIYPSLADKLAMLLSIPCIRLDYREPAQTNYCSADVIAAMD YLAAEFSSKHFLLVGWSFGGSPCFTVAARETTRVAGVATVASQTARTEGVAMLSPRPL LLLHGTGDTCLSPSCSQSLFESYGQSGKKELKLFDGDDHGLSRNAVQVEKLIFQFAAS TLGFKEILGDPYVDIQASQDLAGSKQERLREMKEGHDFDGERL TSTA_013130 MPCTFAAERAFGPAVSSCRRVFDFTLFFEEIFFALLPSSLLLAG SAVRLSVLVRRPALCTRGFLYFLKLITAAIFASLELLSLVFIGTDHGKTTRVSIPAAV LSLLASLAIAVLSHYEHTRSHRPSFLLAFYLCLTVLFRSAITRTYWSLETYHTVASIA LAALAVQIVMAVLENSGKQRTSKDAHIKERSNEETAGFVNRSLFLWLNRLLWTGYRRK LAASDLQPIDQNLYSSRLSARFSSISVENADSYFGLPGRTLMSLGHYLLFPVLPRLCL TGFTFAQPFLTTALIQYLSDNSAPKNNGYGLIGASLLVYGGISISNSWYSHMTYKSVT MIRGGLVDVVFDKMLRLREDKELESKALTLTINDSQRISSSIIYLHELWGGLLQTGIA TWLLWRQVGPSSLTVLGLAIVSTTISTFIGKSTGKQQQKWMAATEKRIGTTRKMLSSL KAIKTTGSEQRVSSTIQTLRAREFAASKKFRTLLVSSVLSSFITLTLSPVLVFGAYIG TTLSSQHNLDSSKLFSSLILISLLASPLIRILQLLPSFGAAKGSFDRLNEFFQKPDRL DFRQLPSAPTSSDVMTVDANVMTSKSSNVAEMAQDIAVIIEKVELGWTTEAYLHDISL KVCKGNHVVITGSTGSGKSLLMKAILGEVECLAGRVRISSPSIGYCGQSVWLENATLL ENAFRRASDDEEWRRKIIDACALTELLDAQGPGDTIGSGGIRLSGGERQRLGLARTIA LRPDIIILDDTFSALDRKTARQIRNKLFGPNGLLRSLQITVIETSQDARWATMADQVY QIDKTSGLPPCEAAVAGMISYVVEDEAEIIESSDNVNVRSTKREKSDAPEPASLVTDR TVYRTYFRAIGVGDLFIFGLFGLAFAFCIKFPDIWAQWWSEDNGSRKIGYWLGIYAML QILPLVMLCIWLGHLLLQIVPASGVGLHRQLLDTVLNATFRFVSITDSGDLLNRFNQD IMMIDMSLPLNLFNTISALLTCVIQVVLVAVAAVYALAILPALMIALYLIQHFYLRTS KQLRQHELQSKASLHTKLIEACAGLVTIRAHQWQSIFKREFHEQLDRSQEPIYLLYAV QRWLQLTLDLVVAGLSVTVAGIALGIRDKTSLGAIGVAFLNLTTLGQTMTDLLTSWTA LEVSLGAIARIEAFKKETPPEIDPTTPTNVPPSWPESGEIKFENVSSSYTSATDKFIW SIDKISLAIRPGEKIAICGRSGSGKSTLMLTLLSLMEMRKGTILIDGIDISGVKPSLL RSRFHVISQDIYIHGETVRDAFDPQRTSSDDNIWSILNDCALKQKVEASGGLQTAMNE VSLSAGEMQLFALARTILSAGHRPGGIVLFDEATSSIDMEAETRIMHLIRERLRGKTV ISILHRLETALEYDRVLVMDQGKIIHSGTPSDVLQEADLFSSMRDNRSS TSTA_013140 MPNPGEHIAINEVTATAEMKKSVTGETVIVFDPPYAMVLFAEGI NRRNPELKFPENEPAGDWLVTYNLNKSLTTRGRAHQCHRHMPPELLEEGRRTKGGWRD GRQLLSEDGQIALGSD TSTA_013150 MSGESPEPPVHWSVVLFPGFQALDVFGPLDILNLVARYKKIELS IIAATLDPVSTDVAPLFPGRQDVWNLAGSKIGQSVVPTHTFDSPPEKIEVLLIPGGGG TRSRPSAEPVVEFIKKIFSSIRYLLTVCTGSGLAARAGVLDGKRATSNKRAWKEVTAL RTEPIWVQRARWVAEGNIWSSSGISAGMDMMFAYVEYIWGKEFANTIAKRMEYIRNED WDNDPFWEVMNE TSTA_013160 MQAVLADKHIETRALVVEKPQGPFVLHDVIFDEVRDDEVLVEIK YSGLCHTDLVAQQGLIPLAGFPVILGHEGAGIVRRLGGCLKDQSLKVGDHVLLSFSSC MNCSYCAQGQNGLCPQMHAINFTGTRLADGSNPASLRNGTSIRSKFFGQSSFSKLAVV SENSIVKCELSDEEFAIMAPMGCGYLTGAGTVMTVLKPRKQSTLAILGMGAVGLSALI AAKAMGVQRLIAVDILDTKLSLAKSLGATDIVNSNNIESLGKALRELARNGVDHIIDT TGLSFMIEEGINALGHGGSFAIVGAPRPGGTITIDPLDMLRSCKRIVGVVEGASNPAT LIPELIRLHREGLFPVERLSRTYSVTDLDIAIEDLKAGNIVKPVLSWESV TSTA_013170 MLDMYKFGTVEFSPVKPYDIVIVGGGAAGIGAAIGARQAAQDAT ILIIESESCLGGAATHRGVCAFCGLFTVDEKPRQAVGRIWQELRQRLRALNGTTESPV RIRGVFQVVDPEALKLVLDDLMKDYNIDVLLHTTVVGATRSESNTIVSIDIQEKRGRS RVSAYAFVDCSGDCDLAYLAGASTRYGNHGTLNLGSLSVRFSGIDQNARPSISTWRDA IIAAKQKAPELSQILPKNGSALLHMPVSRDVIAFLPSAYYDTRSSASITAAEQSGRRQ AQEYLRILRTLPGHEDMYVVSTGPNFGCRESRHMNSMYQLTEEDIMSDRRFSDVIAIG AWGFEFHDVEHENWESTFTYPPKGRFEIPLASLRSIDTPNLFAAGRCIDADQKASSAV RVMGTALATGQAAGVAAGLESMRGSKDLWDVTQVQDCLRAHGAFLDSASLPEGGPIEQ IH TSTA_013180 MNEVLAATMEQNLNDQTRRELEQELHTEIIPGTEIMTDVSTHHF VKSSQGDHSVLVPQPSNDEHDPLNWAQFWKLCTVFCAMLCALVQGIGPIALAPMFPYY MKEFHASQAEVVELTGITILVLGFSNFIWVPIQSCFGRRSVMIISTLICLASSIWKAK ATSYSSFLGGCVLNGIGAGPSESTPPTIIADVIFLHDRGKYETLYFATYFGALQLGPI LSGKMAEYTGLPSFWWLNAGLQGFAVLCFIFLFPETKYKRGLVDGNTTAKPPTPGDKH SKFEQLEIKSGSSDLEEEGTSQVQQVDHLWLAQGKPSKSQWKLFQSYEGNLLLELWLP LKVYFFPIVQFAALVVAWSCSSSLTLNLTQSQVFHAPPYNFGASKIGFFNFAIFAGVL IGLFTAGPLSDLCAKWLTKRNHGIREPEMRLPAMIPYVIIMIIGNIVVALAYQHSWDW KIAVIIGYTCAGIQLAGLPAIVSTYAVDSYKPVAGPLFVAITITKNVWGYGYSKYLTK WTMSSGYIAPIMTNMALITLWCLCGLIFWYRGKSFRKWTRDSKVHTM TSTA_013190 MPRGSIDREPSPSDYSPTAGVAHLEQEEPPTKRRKTRLACDECR IKKIKCDGAKPLCAACMKKGWPQEKCVYNDADRKDWVGMRQLVEQLQGRIRSLEHENA AAPISGPASQLPVSRPPERRLSVLTQSDSPQLPYNVRVFHFFYSSSGYGVHYIYHQDA PRLPNHPNGDQFSTSQGILQTSPGLSTIPDRDELTSIHDRSTGVSAIVGAVTGERQNQ GFHGTSSAATFMQQIREAINARVGVSPESGHEGYQTFTNAGHRQPLSGRSAKKPVASD YVLPPRRLADDLLQTYWVYVYPLYPFLDKRGFMQTYHRIWTGDLASNSSSATYKSGAD TDEPTAVCIFNMVLALACQYSDAIEEGSRRNTAKTFFLRAKDCLQFDPLDSSNHSIHL VQAFLLFGQYLQSIGSPHEAWGAIGVATRICHELGFHRTSTMSERTVQGARERETVRR VYHGCVMIDRMLSMNLGRPPVVSVSLADSVPLPMDIGEDCDDSTSNEPAENLGRVSTG PSTLSFFVHSAKLYGIMHKILLSFYPDESIECANDSERSFMGFESTLQIDYDLMKWCR SIPDHLKINPDFNAKPTEEQPSVFCRLSYILWARFLHVRIYLFRPIFAKFCIYSQNDQ TASSTDHYAVSQIPTRDMITYRMALQCSILCVKSARELIAVIHKQFTIERSWGRKPSW LFSVLHVYLAAAVLIAIRLRPDIPWEVAEEEIEESWTCALQTLRDYQNDSRSAQRCVA ALEFLHEKLPSISQQRSEAQQDQQQQNWQGNLAEFETFFPLDQGYTNVDDATGAWNLD YKQIGLEECIFLDPFNVL TSTA_013200 MENGASVLGHVPVIPLLIDNESIVTDVKFDVVSPLNNQCCYQSS SASVAEANAAAQAAQAAFSQWTKLKPNVRRELLWKAADLMDSVSDELVRYQQEEMGAL PAAARRSIKRASDLLRDAGAMISLIEGTVPSLGQEGYAAVVLKEPYGVVLGIAPWNSP FILGMRAIALPLAAGNTVILKGSELSPKCFWALGQIFYRAGLPKGCVNVIFHRPSDAI EVTNSLIAHPAVQKINFTGSTQVGRAIAAVAGKYGKPVLLELGGKAPCIILDDADLQE AASGCLIGAFAGAGQICMSTERIIVHRRVAESFRAILVEKAQEMFGASLPVPTLVSAA SRKRIQILVSDALQKKAEKLFAPRDNKEQNDSQMQPIILENVSPDSDLYHTESFGPVA SLFVVDSEGEAIELANDTEYGLTAAVYTNNLARGFMVAGQIQSGAVHINSPTIHDEPV LPHGGVRSSGYGRFGGVHGLDEFLQTKVITWKAD TSTA_013210 MHKEFKWVNKLSGARLFIIGGTSGIGFGVAEASVEHGVSSRILS SSPKTRLEEANSKLNESYPNSSTDITARIVDHIVFTAGVALALKPFGEVDFESMKQTG TVRFFGALLVARYGHKNHAPSHKSSIILTSSVSSKKPISGWTVTRSYLSGLHGTMRGL AYDLKPIRVSLVTPGGVDTEMWNILDQKSRVTLIKGLKRQTTTGHVGTVESVAEAYLY RLNH TSTA_013220 MASNPPGSCCYKGVKHEGQPVGSISTVKDFEVYTSYPADKSTDY AVLLLTDILGHKFQNLQLIADQFASNGYFVFMPDLFHGDPVALNPPEGFDLFKWLSGH PKETVEPIVEASITELREKYKVKKIAAVGYCFGAKYVVRHLHPQQNKIDVGFIAHPSF VEADELKAIGGALAIAAAETDTIFPTEKRHESEVILKDTKLPYQINLYSGVVHGFAVR CDLSDKVAKYAKENAFLQAVQWFETYLKE TSTA_013230 MIEINMASSTESDNHSSPDSRTKTKSGANRKLRIPKACYPCYKR KVKCDRNSPCSLCVKRGYAHLCTFTHPPTASKERPSSRGHRQQLDTSRHHNTGGCCGG KKEERPDTQLTGDFIMTEGDNVLIDPQEWQSIQDKLAVLSQSMHSLRSRLEIAQSPPP PSASSPASSNPPSIFDGRQNVSHSSPITNPASPLTTIDEEKAGEGVRTRNALGGSPVH CGSDSVTAFLLEKPNQRAIFGEDSVLSQLALDNQSATYPFLDLWSSNITSYSNESVCA ALPDDGVCRRLLRSYHDVRLTLYPVITDFDSFQKDVHTFLENRALQGLCANNSMASAA PFGFSISFIGILFAILASGCQVSDFSRKERTSMCQLYISCAYQCLRNGNFLSQPSMEA IQTLLIIGDVLSFNMNPGIAYVTFGVAQRMALTLGLHAESFVFRDPNANRRQELWWSM AWQDSHFGLSYDRPIETLAPCPQIPRAADSRPGNRGYFETMCSVISLILQLLREEILE GHKISDHTIPAYKTDLDKILADAAPHLRSEDYCFTLKDHIQRLTLKLRSSYLVSEICR RSLKQSSIAGGKKAMAPHLCQECIESLLNTIEAFIEIHQIIPHGSRSWIHLHSAISAA FLLSVDEGAQTDPSVWAILEKLENVFCDLTSSAHISSSNADKITHSPDSMARDWSPPP PFSNTQSINHTPAPPAMGDLFSMDNWRAFGQTFMLSDFPLSTDSMMMGGGHNKGFGMS HDFSQDMPMGGEADGGVEFLMGTLSSLRKINAGFRAQKAEAMKRNSLVAVEKKAAGGS CCMSRSREGTGAATRCH TSTA_013240 MWTAQHTSTSSRSSAKNIDLRLAMLKDPAAEEQQISYPHPSEMW LGKHQRSSQSSSSERYSVPSLSPRSSSFAHEDNDDDVMSQASGSTAFSTTLSPAERQR EGSVHVHILSADKYEPASMHVDVEAEMGEDVSVVKSFFQQDQISLSMEAFQSQESSFI DRPRNTARAIDHQPPSPATSKPTTEEQSEPEQVPNPGPLQTQLQSLLTKIAILETQSP TIMASDYTSLQSRIATLESEKASLLSNRESLFSLRDEDVQNLIKLRVLLAQERREHEA LRKLRDDDLQNVIQLRNKLAQATWSSKSQNRLSSGSFSSAGSPSVGSRRMEKTQSLSQ NNDLWQTAKTAALEQRVLELESANADLRGRLDNALQQSQREQEISFIAATTNTGDNEQ GMAALTEMRLNAMRERESHVAEIKDVKAENVKLKQQVEKMKFQLETSQAVIECFSRTL KVN TSTA_013260 MANEKEQARRKPCQNGDGYESSSTDSKSAVTHDTASIMVEPDND EYQDDGTEDDSDTADGSNPGVEQDLQYNGAQFSDSELTVDLA TSTA_013250 MGLVEYPDSSTDSEAEELLQPKKKQRTNSHAVRSLPELPTAFHD LYASSIRVSVRDDPNLHGGRKRVIPHVEGNWPTHIYLEWYPRKDELIILEDILKKCET ELAHGHSKIDTLLQSDLNVQLPLHVSLSRPVVLSTDQKQPFIEGFEHAIKESNTKPFT VISDTLDWVSNTERTRWFLVIRLKKPKDDNLNQLLRISNRILAVYNQPPLYATEVKLM DRVIKHGKSSSRLTENEDFTDCFHISIAWSLTAPSSEERQKASDINLDTLRLEISFNS VKAKIGNVSRPPHIIIAFQPPVTTTSFQPQGYTETTSYNWQVFFSQHDQLSDSNCHTD LQKFHANHFFGASGRHDAFQSDLADNEVEWLCQDNDLGYYADGAKRTLTDEQIEIFRH SEIHALLRERERLREEEAEEERDGEEIKHNNSKSVKISKDDGKFDGKSTQDEPTNGAL KRKSAEDNEDFSAKRAKDEDGGAAKLRQPQQLAFSRDLNSGRKIVSYADD TSTA_013250 MGLVEYPDSSTDSEAEELLQPKKKQRTNSHAVRSLPELPTAFHD LYASSIRVSVRDDPNLHGGRKRVIPHVEGNWPTHIYLEWYPRKDELIILEDILKKCET ELAHGHSKIDTLLQSDLNVQLPLHVSLSRPVVLSTDQKQPFIEGFEHAIKESNTKPFT VISDTLDWVSNTERTRWFLVIRLKKPKDDNLNQLLRISNRILAVYNQPPLYATEVKLM DRVIKHGKSSSRLTENEDFTDCFHISIAWSLTAPSSEERQKASDINLDTLRLEISFNS VKAKIGNVSRPPHIIIAFQPPVTTTSFQPQGYTETTSYNWQVFFSQHDQLSDSNCHTD LQKFHANHFFGASGRHDAFQSDLADNEVEWLCQDNDLGYYADGAKRTLTDEQIEIFRH SEIHALLRERERLREEEAEEERDGEEIKHNNSKSVKISKDDGKFDGKSTQDEPTNGAL KRKSAEDNEDFSAKRAKDEDGGAAKLRQPQQLAFSRDLNSGRKIVSYADD TSTA_013270 MDGPGNHFYPEDKNPFRNRKLNSMTLERRRSNSSPSTAIEESRN EFRETLKQQHDIQRRKTQRSSNSHNGKRHSHRRNRVNPDVIDQLDNVNFMQYHHEGPY DAVYPERNVCSKRSPLEAVKDSNEETLRATPMYMIIDSVQRHRPLDGVAFYPPGTTDK EGQTYEYTEGDNMMAEREGLFPRVPGEKITDEDLKNDPFYQQDNQRPPKRMGSLRKAL TSLKGRSRRYSATTSGVVLN TSTA_013280 MPEHFSYSRLWESIPNALPWHTGDGVKGDPRKTVKWIDGLRGFA SVLVVATHLARAWDYDLFAPRDNPDVPPRLLQQIILRIPWQGRIGVTIFAFLTGYVCA LKPMKQSRAGDTNGAFVTVAKSAFRRPPRLVFPATIAMCISWTLAQFGAYTVGNRCDS SWTRDASPDLQDTLWKEIISLFKNFLVAWTNTDYRVHMIYDEHQWALLPLLKCSMLIY IVSFATILCKYRYRLLICVLLIAYFHQDPQLDRETFQIQALYGMVLSDLSYEQRYKSF LQSETYRWPRRILGFSLFIGGLIVCSYPGEHPEWAGWSNRMFEWAEYLFPLGSNYGKR WTAVGMDMIIMSIFLTNWSKEFLSSSILLWFGKQSFAVYLIHGTLLRTVLVWMVYGIS GEPWVWTQDPEGNPVPPPWLPRRAPWVFAVSIPCWLVLLYFCASMWTTYVDPFCARLT QRIEKTFFDDGDEKGPELIPMTSRPMPTV TSTA_013290 MPRCPSIMASFRDHLHRSRLSTLFKFLIVACAVLHFCSVYNWLP FNNHVARDMLLPTNPHFMTPSRNWTAEDRSIVVAKTRGENIDWLLPFCEEYMCKPFAY TMDPEPEEGLLIPHTTMGHEAAAYLTYIVTNYDQLAPYTIFVHANDEQWHNELFGPKT TTALRFLRYESVDANGFVNLRCTGIPGCPNTLVPVHREPVDDEYAYVSDKFFELYSYL LQVPMDQVPQVVGHLCCGQFVVTRNQIRARSREDYERILTWAATTDFTDSYGIGWTIE KIWHVLFGREPVDCPRLEQCRCDNYGWCGPLPDGEILIPIMP TSTA_013290 MLLPTNPHFMTPSRNWTAEDRSIVVAKTRGENIDWLLPFCEEYM CKPFAYTMDPEPEEGLLIPHTTMGHEAAAYLTYIVTNYDQLAPYTIFVHANDEQWHNE LFGPKTTTALRFLRYESVDANGFVNLRCTGIPGCPNTLVPVHREPVDDEYAYVSDKFF ELYSYLLQVPMDQVPQVVGHLCCGQFVVTRNQIRARSREDYERILTWAATTDFTDSYG IGWTIEKIWHVLFGREPVDCPRLEQCRCDNYGWCGPLPDGEILIPIMP TSTA_013290 MCKPFAYTMDPEPEEGLLIPHTTMGHEAAAYLTYIVTNYDQLAP YTIFVHANDEQWHNELFGPKTTTALRFLRYESVDANGFVNLRCTGIPGCPNTLVPVHR EPVDDEYAYVSDKFFELYSYLLQVPMDQVPQVVGHLCCGQFVVTRNQIRARSREDYER ILTWAATTDFTDSYGIGWTIEKIWHVLFGREPVDCPRLEQCRCDNYGWCGPLPDGEIL IPIMP TSTA_013300 MTNKHIINALAVAMSVSPAAAAIKGFNYGSTFNDQSVKVQSDFE AEFKTAKGLVGAEDFTSARLYTMIQGGTTNTPISAIPAAIAQNTTLLLGLWASGDTFD NEVAALKSAISTYGDDFANLVVGISVGSEDLYRNSPTGIAAKAGIGKNPDDIVNDIKT VRSTIAGTKLDGVPIGHVDTWTAWANSSNNAVIEAVDWLGLDAYPYFQNTQTNDVKSG KGLFQDAIKRVNAASGNKALWVTETGWPVSGPKENLAVASTDDAKTYWDDVGCGLLFD KVNTWWYILQDAGKSTPSPSFGIVGSELTTTPLYDLSCPAPSETRLLLLALLLLLVQF RTSGSAASTSSPSSVFPSSATGPATGTASGTATGSATGTFISSASGTATGTATATGTS TPGAGVSPSNSTATGTPGSATTPGAGSTGKGGASGTSTSTSPPLFTNGVSSVSASMTG IVAAIFAAVVAF TSTA_013310 MRDYPYQSCVLGGDEIRLLNLRSGDAQEELVGNLEVVKLTTTPE GEAHTLQQRYDTLSYTWGDQDPIEHIKIIHDNQQYRIRIRPNLHQALRHLRSHREDRY LWVDAICINQENEREKSSQVRMMSQIYNNSSCVCVWIGPEKDDSRKAIQFIKSRLGHE DADQLLENVEYCHEWLALSRLMRRPWFSRRWIIQEIALARTATLHCGTDWLPWEIFAE AVMLFTWGQSKVYNMLRTAKDCSPSSLGDLRESAAARLIQAQDNIFRKSREGQLLEKL MSLEDLLCRFSASEAQDPRDSIYALLSIARDAKAGFSTSGTTREGTPDGPSPEHIHMY MEGDLAGMHYGHLTRFQMPEEVGTNAEHGFLVTSPRKRAGSNPELHADEQQARKVQRI FTADQPVSAGPSAAEENYTEPPTIRISTILNGNPGAFQVSPTAISGHDVFPEVEEQAA APNYLIPSSSQDSLLGTAGVNGNAAKEDAAALFSAPRGTTRHPNGSMHTTDRSVDEQS TSLLQVPRRGRGDSSASIISTLTESYLLHTEGIRKKQTREYAIPVDYSKPVPEVCKDV MIPWAPRGATGTVKNKNKGGLPSWIQPVTKSAFGPAYNGTYTRINADPLVGKPGAGQC FYNATPNVPARWKIDEEGKNVLTVHGFILDEIDRKAPPANGGVIPVEWTELADWDDTS TSPPPEAFWRTLVGNRDDLGQQPLKLWRRACQIAFSMKPRDGPLNVEKTLEEASSFVR EYLEKVLRTTCSRRLSIGTTGLWALAPPKSKKGDKLCIINGCSVPVVLRQKTVPIVPA IVPQTSGDAAVKCSKPECQAAGQCKCKTTTAQSRGSWSSDEKIFEMIGECYIHGMMDG EAGLYQQKKEIKTRTFRIR TSTA_013320 MYLPNFTANIQHVQRRENTMNYVLPNITLDAAGLVAIAEITAVA QRTVLTGTSIYSDSLILCPGLHRQQSAPELNRGEFPAVAAMTSGYVFRVENPATVSFL QRHGVTGHLVTLEVLPISDGKKKRFSCCMRDLTSHLFYWVSAALSPVVLSYFLYVREW WGVFCLLVLMFARLCNVFVIRRRTKDIGWKGASEPGAKGDLLILMSGDCWIRLQGAVD DLKAVTSGKWWRERTMAEDCLTAVATVLVYINTALVSNIHTFNQIILLVMFVLSAALL FLANITTRAMHMYGRKIQVKGQPKKYYRRRDMADELIAESGRKDWARRLGLIVDVDGV ANTPVIM TSTA_013330 MGILRKACRNCTSAKRKCVVQLPKCARCAQKGLTCTYDLEHLNA PTGPAEETPQLSFNPSTCDSPGYCVFKTLQLRPSSIDPAICRPGHSDAFELMRLGFQA VPDLIKADKPAVFVHPKLKAHSSYYDHLAIFREAGVFAVTEQRFNYLLQLDIRTVTME EALTAVQALLIYLATFLFAYVGTGKRSHTDQYLNILYKWTQTIFPSAQNRMPRDQSPW QEWLFGESVRRTIIMSYALTMAMDSFEHGYCSNWLFLESLPFDSRAGLWMAESPQAWI AAARTKTGEEVGERLSSYHEYSESLKGMKDDFKGDMFLRLLVISHNEDYVAACYHPCK PVGTIPTLGIGHGNIIRFNVAYSKTWTGGN TSTA_013340 MTAEKPLLVVLGATGNQGGSVISHFLSQSPSPYKLRGVTRNPSS PNSIRLVSRGVEMVAGEFDDPSSLDAAFQGATAIFSVTDFWQSFKNPALREIASASGQ KISILSRDNEAQQNRNIIDAAAKVDTLERFVYSSLPNTSKLSGGKYSHVYHFDGKAIA EEYGRSTHPKLWEKTNVLYVGYYLENYFDAAGGIFRPKLSKDKSTLILSVAAPLATSP LPMYSSIDDTGLLVDALLQASPGHKIIGAKQWLDLQGFTKVLGQVLGKNVESIEESPS FESLGDPDLIEDLTDMMGWCVEFGFDGGKVDNSVLQQSDLGVPVLLQSVEDWCRKQDW EKWLEVVE TSTA_013350 MEDPEKQPGSSVSSLSSDATPDVLKIPPPPDGGTLAWLHVFFGH MVFFNTIGVTNSYGVFQQYYTETLGHSPSTVGWIGGIQMFLIFFGGVFSGRATDAGYF RHCFVTGVVFQAICYGLGCGLLFTPGLSVTSSYFLKRRTLAIGIVAAGAATGGMVYPA VANALLYHSTVGFPWTMRVMGLIMLITHIPSIIGYRPYVPPRSTGPLVEWAAFRETPF VAFIASMSLCFWGLYMAFFYLGTFARGTIHLADSLNLVIVLNGVGVIGRMVPNILAQR FTGITNMNIFCNIICAICIYFWMAVDSSSGLYVWSVAYGLFAGAAQALFPTMATRQTT DMSKMGTRTGMIFTIISFFCLTAPAIEGALIQVDGGDYMGAQLFAGIAVVLGTGCLML NRWARVGWRIAKI TSTA_013360 MPPIRNKNQKNSAEQEGRILLAISDLKNGRIRSVRKAAEIYNIS RSTLQNRINGMPYRAEKRANNHKLTQSEEDSLVKWVLDLDRRGLPPRHSLVREMANYM LLQRGNQQVGENWVTKLTKRRPEIDSKFSRRYNYERAKCEDPKIIREHFDRVRAAILE YGILPEDIYNFDETGFAMGLCSSAKVITGSDRYARPKLLQPGNREWVTAIEATNSTGW AVPSYIIFKAKKNVRLGWFDDLPSDWRINISENGLEWLTTHFIPYINDRTMGKYRMLI LDGHGSHLTAEFDRICTENNIIPICMPPHSSHILQPLDVGCFAVLKRHYGQLVEQRMR LGFNHIDKMDFLMAFPQARTVAYKAQTIRNSFTATGLVPFNPDRVIQQLNIQLKTPTP PPSRSSNTQSSCLQTPQNIRQFVRQSTTITKRINERTGSPNQVIDQAIMRMSKAYETT MNDLVLVQKENRDLRAAHGKEKQKRQKSKKQISIEHGITGEEAQALVQDQVEASQAVT TAPGEPELPASQAVVRRQFRCSGCGVEGHKINRCPNRTSS TSTA_013370 MEVRNLSDNSPPTTIVSTPPRKRRRAKLACDPCRSRKRKCDGHL PCETCIQFEYDCYYGSTTASKKPTIRGQSSEHEHSHISTISPVSDHNVVSATKDTPTN RLTSPAISNNANSQMESLEANSGAAFVRRLGLKIDPSNAPRLHLFAWNTGERLAGCPI YTKVEMKSLALIYFDKVAYAYGFIDRDIFFQRLEERWGAASLTKIDNDDTYDPVFCGV AALGLLFSQKFPSVFEPDLVETARVLLEQHSLSTPPSLDTVTGWVLRVAYLRMTSLPH ITWLASCSLMHAVEAARIHLESPSRTVFEGSSSEYENIDLDIRRRLWGMAQHLNIWAS FDLGRTKITLSGASTKPFTPKAGDHTSELLAFIPLTEPLDPNKTRSVEDLEADLTRVL DEDLERPPVIMAQVNLTLCIFRRLRAQKSHMLSLQADRILELVIKGLRAARQMVVDCS PWHHVANVPFQVVCLLLAIDSRASLALLGDAMGTLQLVANMWNSAVMREAYNTAYLLI LLHQRRKEEDAKALRDVLSMHSTAPAMTAVDSSSTWQFGGFGNNQHSGYQHPPQTMMT ATAADSAEFSWLENLISDMPSLREFDLEQFLVQDATQPQEPEIATGFAPDLNP TSTA_013380 MVDDHSDEHHHDEEPTESSPLLPKTDKKKQNETPNKQQQSNTNN KTDTNRNMDNSTAPITTSPTQSRANTTIGPPIDIKPGPTGGTALAGGNPNAPKRQDTQ SWPAPAGLPPRDDDDESLIIFRRAIGINYNLAAADTVSMEEGRRKAVGIYHAVIKAKR NKMWQFRTMWCVILFCHFAQIIIGAALTALGPLANDHGIVITILGALNTVIAGVLALV SGQGLPDRIQKDEIGYRKIQDWIEETEALLSVGIIGRNRKEVGLLVEEAFKKYNAAKS NEENNRPSSYVNAPEEPSRATGDGRNASTKYHHKFLEKEEK TSTA_013390 MSRTFYKMCSTPLVSPHVHSDTLRKLDNGKSQLNGIGRLLKFLA IIRYEDTDVGPYDELIVMPGMSVNPHSGTRHARISNIYVSTDTSVWNGRRNWNIPKHR ARFVFEQVGRQDQILKLYHPEDSAAPLDPKGTFFTALLKGSALPKWTLPAFSPGGLVQ PPLPPPRYRSQSATTAAVIATDDPGNGRENPWLMIHPSYRGSWGLTYISKVPPSINDE ESLEWYGDGISLPKMKVWSVGGYFEGNIAFQRQR TSTA_013400 MKSIALALAIGAKCALGLGLQMPILRFTDKGTFQISVFSDLHYG EAEDLDWGPQQDVNSTRVMSSILNHESPQLVVLNGDLITGENTFRDNSSHYIDQIVAP LVERNLYWASTYGNHDSQFNLSRQEIFAREKLYPNSLTQSMVWTYGYDSGLSNYYLPV YSADKSDKTPKVILWFFDSRGGNEFQAANKNGDEIPIDDFVHQSVVDWFTKTRDHLNK IYHAAIPSLAFFHIPVTAMLAFQQAPGPKPHTEPGINADNPLAEQGPSDGSLNYTGLD KPFMKALVETKGLIATFSGHDHGNDWCFKWNSTLSEMDIKGAGVVLCFDRHSGYGGYG TWTRGSRQILLDEKTLGSQTDTWVRLEEGSISGAVTLNATYGLDYYPAVEDTSI TSTA_013410 MSASRIIKSPLITFEVGEDKTPVKIHAAAVQNLSDPLTERICDE GCDGKAVTLEGVEDETFCRFMEFAYCAQHVQSAQHSNINRLENDGSARDPHRLYLTTP VARTILPAPNTSPFYRRFSKQFRSLEFNNVPAMRSVNPNIIFHTKLYVFATEFQVLSL RRQCLSKLHRDLCEFYMNPSDANLVLDLIEYVYTHTAQYELGEESPLRSLVFQYILCH IDKLVVQERFEEIVSRTGGLAISILREFLKAW TSTA_013420 MHPIFHFDMAKGKKSTTSARRQQRPGPGAITPIAGINLSRCGGN LSNPVRDIEWRAPQAQELNTTYRRKAAKIYTHGAVADLVPCERCAQGRGPFQKCVIAW DDKGYVGNANCANCYWSHKTSSCSRRYVLPCQALMEEGHPLGDFEEACRIHCHGAHIP DEDGEESSSDEEDDSDDEYEEETDEASEAGADGDYGTTCQKRKSVGSPYDSTGGDTPK AKKMR TSTA_013430 MALTASDVISILDAVVIDSADYGAETYTCRYIEAEEQRHRLKTS YITSTVPSRWLPKTWDSLGLKVIELLNEVGVDWASVDCFDSNGDRGGVPWMQTTLAIT VYTIPVINWSLLQTICDIHVMAKARVEIRVGKLERRGEGAVRSDHFTDQAFLPPGSEV SFNYTLGDFTSGTVGGIVELFDSNGTCQKLCALTCRSYLPSPKSRSETDTDSRRTPLE QFGDIQCTYPSTSLWARNIRGTIEQIKLLNAEIQDLEEDWYRSVRESNRDVIRDHLVY TKLKKDEYRKRLKQIFEENISIGTVCAHSGSRVMENHHLDWALVELKGGRTQFINPNV VRESISFTRIKMPSDTLKPGSAVYKAKYPDFTEGNVNYTKSYLRYKDENDRVIQTTEW AVLPTPDNKQFCEPKNYGAWVVDRVRDEVVGVIFAMNPVTGLTYVTPIQEIFEDIERD TGFNVRLPIGN TSTA_013440 MAKFLTILGATGNQGGSIAKYVVSDPVLSKEYSVRAVTRDTQKP AAQALAALGMEVVKGDIDDIDSIKATFKGAHTVFAITTTVYDEQLKAREVRQGKALAD AAIEAGYIRSLPIKSSFFAPSSFMQNYDRMVQPHHSGDGTFAITNVVRPDTKLPHIDI EGDTGKFVGAILAEPDKFEDFYSFEDVAQMLTRLTGKTVKYYQVPVELFKGFLPPHGA QCMAEMMLYSEDPGYYGSDSKEKVDWTVSVARGKLTTLEEYFAKHMPPALD TSTA_013450 MPPIRNKNEKNLAEQEGRILLAISDLKNGKISSVYQAAIIYNIP RTTLYDRLNGIQQRSIIRANGHKLSQFEEESLVKWVLDLDKRGFPPRHSLVREMANYL LSQRGNQQVGEKWVYNLIQRRPEIESKFSRKYNYERAKCEDPKLIQEYFDRVREVISK YGILPEDIYNFDETGFAMGLCATAKPGNREWVTAIEAINSTGWALPSYIIFKAKKYTR LGWFEDLPDDWRINISDNGWTIDKIELEWLKTHFIPLTNARAMGNYRMLILDGHGSHL TAEFDRTCTENNIIPVCMPPHSSHLLQPLDVGCFAVLKRHYGQLVEQRMRLGFNHIDK LDFLTAFPKARTMAYKAQTVRNSFIATGFVPFSPD TSTA_013460 MWKSTGWREMEIESTISQKYNYERAKFEDPNIIQEYFDRVQEVI AEHGILQEDIYNFDETGFAMGLCAAAKVITGSDRYARPNLLPPCNREWATAIEAVDSI GWILPSYTIFKAKKYTRLGWFEDVPDDWRINISDNRWTTDNIGLEWLKTHFIPLTDGR ILGKYRMLIFDGYGSHLTAEFDLTCTENNIIPVCMPPHSSHLLQPLDVGCFAVLKRHY EQLVEQRMRLGFNHNDKIDFLTAFPTTRTMAYKAQTVWNSFAATGLTPQITRQFTPQL TTVIRRIDQLTGSPNEVIDQAIMRMSKPYEKTVNDLLIVWKENKDLRAAHEKEKQKRQ ISHEQLRHSYKVRF TSTA_013470 MPNLKSIRTLILASSKYYETYKKFRSQVLYDLLLRQHNDEVDIT EAVVAMRSEGVLAEDIRNREMIFELLDCRRRGSKERKTKFNHASFTVNEILKMVEMHQ AAEYFLEDYAVSIPVPVWWDHKSRQWKTPISFSHTERARFFRAFYRLQTWCHIFGQPE YRCSSASSSSSSSSSSSSSSSSASPFSAGLGRQSENEWTDRTFTHEQAWRMIWGTMPP WEIEEVGCLLDYFMLKYIHIFQDITAALINRYHPGNSAEEEDDNPDPSSPNSFSRGTP TPAVGTEPLRSIHISYELDGDPWSLARTFRYSMIEIGPCFLYKILQQPYDERHVAVSH NLRSVFTTKLWEVTGLNDEQKLPLVSPADKYEREGLATVISSWHVLDRPNKAWIRHWA GLEGQLHPRLWLGGEFSLYSSYPTMHRREWKWGYVLWDDERLDEWKAYLSTRH TSTA_013480 MPPSKAAPQLVLGLANVGDTSADPMARYGTPNAVKQLFDTFFNR GYTSLDTARNYPPHAPGTAEPLIGAALKSYEEHESTKPCFTISTKIMPRGDRPHTREK IEQNIIESLTALQLPQVDIEYLHDPDRTTPFEETCEAMDKACLEGKFRRFGLSNHKPE EIERVVKICEENGYVKPSVYQGHYNAIARAVEKDLLPVLRKHGISFYAFSPAAAGMFS GNHKRNAEPGNRYDPTLPIGQLYTRWYLRPSVISAVEAAVEIASKHGISGHAAALRWV AYHSILDAKYGDAIVLGASKVEQLEQNMDIIEQGPLPKEVAEAYSGVFSQE TSTA_013480 MPPSKAAPQLVLGLANVGDTSADPMARYGTPNAVKQLFDTFFNR GYTSLDTARNYPPHAPGTAEPLIGAALKSYEEHESTKPCFTISTKIMPRGDRPHTREK IEQNIIESLTALQLPQVDIEYLHDPDRTTPFEETCEAMDKACLEGKFRRFGLSNHKPE EIERVVKICEENGYVKPSVYQGHYNAIARAVEKDLLPVLRKHGISFYAFSPAAAGMFS GNHKRNAEPGNRYDPTVCLMCIHPFSSTWRTNNKISSPSANSIQDGISDPP TSTA_013490 MASEIEEKHAYNNSNELPVQKDELVINVGGRGATQRRLRDYQVS MIGFCGGIGTGLFVGTGAAYARAGPAGLLLAFIVVGMVLWCVMQSIAELATLLPSAGS FPHWATRFIDPAVGFSLAISYGYCYTIAIASEVSAAAVVVSYWTDLTPAVVITVGLVL ILAINLVNVRFYGDVEVASGSIKVLCFLGLVIVAIVITAGGGPNHQTIGFRYWHNPGA WTNYNGITGSTGHFLGFLSSFVNASFSFIGVETVVIAAAEAVNPHESIPKAARRVTYR IALFYVLGALLIGIIVSPKNPDLISGSGNANSSPWVIAIREAGIHGLPSVVNACILVS AWSAGNSYCWVGSRMIVAMTTDGYLPQVFGRVTKKGVPYVAVITAWLFGPFAYLSLGS GGAAQAFTWLLNLSTVAGLIAWATLSFCYIRFHAAMKAQGVSRDTLPWKSPFQPYTAW VGFVGSTIITLVAGFPVFLKGNWDTSSFFASYIGIPIFIVPIFVWKFVHRTKFARATT IDLYSGRLAEGEIIPIPKPDTWWQRVLDWIF TSTA_013500 MDAPLALQSTLKPAGFAISDFIAIGQLPWILYRDYYTVARNAPH EFQMVLGEISILCNSLKILQEEVENPQSALIGAEEDRVRMNKAKHVWLKLKWSAELKS VDSLRSRVRIPISCRFLVTLSYNRMLIAVGMSQHRHEYPISVCWQFLARPHRDIQWSK TMYTSSRLAQNYEKCRDSTTMELHFSEEMGATRKVVASSGLYLAIEENQADVVQPLLD NGTDPDSRRYVSYHKGREEPRREERLAAARLSLEIGANINAEDDCGRTVLHLAAEGGS RDIVNLLLADVNINVNAIEDWDSDIGLKRKGRTPLHVAIRNGHYDIAHTLLKGGAKVN ATESFLEGDNPDIIITNDWYTYYPILTENQQHIAPTKKENMNKSQLLLSHGADVYAEA EEQEERGASAKRELRKQL TSTA_013510 MATLAMHYLKDALKADLTSTAGSVAILAVTLHWFVFRVIAVENH LAPLLWFYVVAISGVGYAYLTLANFSILQTLIRLAVVTTSFNASLILSIAVYRLFFHR IRRFPGPFWSKLSRFPDVALAAKEVRYYREVAKMRETYGDFIRTGPREICIVRKSAVP LIYGPQSQCLKTTWYAQVSPNPKKCSIHMTRDFDDHRKRRKAWDRGFSIKALATYEPR IKAKVDSFVSQIQVNEHKPLDATAWSMFLSFDIMGEVGFGKDFNNLSTGKENPAIRAI HEHMTVLSVLSHVPWLLYLISSIPGATAAYADFFRWCGNEIDLKQKTWNPEEYPQDIV SWLLKSYVEKDISASPSLESLHEDSRVVIIAGSETTATTLASIFFYLAKYPSTLKKLQ HLLDQAMPGGAADWSYEKAKSVTFIDDIINETLRLKPALLTGGYRCTPAQGLQVDDVY IPGDINVFVPVQLIQTDERYYANAQEFIPERWGERKDEMATGDAPFFPFSLGAYSCPG KNLALQSLRIAISVIAQQYNVAFAENETGDDFDKNALDTFTTTLPPLQVQFSRR TSTA_013510 MATLAMHYLKDALKADLTSTAGSVAILAVTLHWFVFRVIAVENH LAPLLWFYVVAISGVGYAYLTLANFSILQTLIRLAVVTTSFNASLILSIAVYRLFFHR IRRFPGPFWSKLSRFPDVALAAKEVRYYREVAKMRETYGDFIRTGPREICIVRKSAVP LIYGPQSQCLKTTWYAQVSPNPKKCSIHMTRDFDDHRKRRKAWDRGFSIKALATYEPR IKAKVDSFVSQIQVNEHKPLDATAWSMFLSFDIMGEVGFGKDFNNLSTGKENPAIRAI HEHMTVLSVLSHVPWLLYLISSIPGATAAYADFFRWCGNEIDLKQKTWNPEEYPQDIV SWLLKSYVEKDISASPSLESLHEDSRVVIIAGRYVYSICFFSDTDSTSETTATTLASI FFYLAKYPSTLKKLQHLLDQAMPGGAADWSYEKAKSVTFIDDIINETLRLKPALLTGG YRCTPAQGLQVDDVYIPGDINVFVPVQLIQTDERYYANAQEFIPERWGERKDEMATGD APFFPFSLGAYSCPGKNLALQSLRIAISVIAQQYNVAFAENETGDDFDKNALDTFTTT LPPLQVQFSRR TSTA_013510 MAILSGLVSTSSFYSLLHLSFLRYEMDSHIWIGPREICIVRKSA VPLIYGPQSQCLKTTWYAQVSPNPKKCSIHMTRDFDDHRKRRKAWDRGFSIKALATYE PRIKAKVDSFVSQIQVNEHKPLDATAWSMFLSFDIMGEVGFGKDFNNLSTGKENPAIR AIHEHMTVLSVLSHVPWLLYLISSIPGATAAYADFFRWCGNEIDLKQKTWNPEEYPQD IVSWLLKSYVEKDISASPSLESLHEDSRVVIIAGSETTATTLASIFFYLAKYPSTLKK LQHLLDQAMPGGAADWSYEKAKSVTFIDDIINETLRLKPALLTGGYRCTPAQGLQVDD VYIPGDINVFVPVQLIQTDERYYANAQEFIPERWGERKDEMATGDAPFFPFSLGAYSC PGKNLALQSLRIAISVIAQQYNVAFAENETGDDFDKNALDTFTTTLPPLQVQFSRR TSTA_013520 MAINNLQPIAYIVVSLAFAVGTSSIFLRLYCRAILLRTFGWDDV AAVFLLLVNTMQQTILYIFLHYGCGLHAAALNKSQIYNIQKWLIVEEIFYMFTHWTIK TSFLFFYLRLSPDLTFRRLVYATMALNTMVTITNWLLALLQCIPFEAILHPADYPHAK CIEADIVLMLPSGLNIITDLAILFLPIPTVLSLQMPFRRKMAVLGVIGFGASAVIVAL CRFVVLKELGSSDDISYVLGNMVIVAALEIQSAVVAVNLPAMKALFSRVIDFSGYSGG SAGYKLSENPHHSGADLANGRNHSSAVLGGSNSKGSHNRSANRRLRKSITGMAERDLI TTVNETMNGSEEDLIEGQQHPGKIDGIRVATTVDVDNTLRQPGDAHLYGKLVSPYKSW KKDERA TSTA_013530 MSFEEFLYYASQEVVNLFPFLDIPWGPYFEVTRIHQPNETIMAV LLYSVGLTHAVSLSWPLLQPKELLPLASKCVLWIFIIRHALCAFKGAVDYEYDKHVRR RRSRPVARGALTPDEAFNFSVVQLLLGTGVLASLPNDCHGLGVISTLVMMLYPYGKRF TSFPQLIMGFGFAVSVFMASMVIGIDVSPGSEHFVSTLYMALVSMHIVTIANVIYSYQ DMRDDAKAGIKSMPLVIGDRPKMWLSMMTAATEILLWKVGNRNDYSILYYIISCGGSF FLLSVMLALVDLRVAADCEWWFRRGAMGSVAVIVSGLYLEYVIRLLSFSIPSTYSTL TSTA_013540 MRSLALSTVAVALAATADAASADDWAKRSIYQVITDRYARKTDS TDNCNITKYCGGTWSGLANKLDYIQDMGFTAVQISPLQENLPEDTIYGEAFHGYWPQN FYELNAHFGTVDDLKHLVSELHRRDMYLMVDVVANELAYSIGATNMTAVNSSAIIDYS VFAPFNQSSDFTPYCPIVDWSNQTEFTNCWLGYEGVATPRIKTSDPAIAVTLDQWIAD LVGMYEIDGIRIDGAKQIESTFFSNFTKSAGVYTMGEVYDGDAEFMCSYQNLTSGLEN YALFPKVINAFTAGKMEDLVSMIATMRQACNSPQYLANFVENQDNPRFASLTQDIALA KNALAFTILSDGIPKIYYGQEQHLPGNYSPYNRQDLWSTQYDTSTELYNLTVTLNKLR NHAISIDDHYVTNWSSLLYTDGSTYVARKGPNGAQIVAVLSNQGLQGGDYTLQIPGVA DPGMNLTEVTMCNSTVTAEENGTITVPMGQGQPRVYFPTSNLNGSGLCDFSSSSSSST GSSDNSNSTSSDVPAATSSLPLGNGTSIHVSGWTMLLFAIVSIVVI TSTA_013550 MVAKTGLSFIAASALFARSALAANNATTVSATGCVDTSGMNTCL NNAESKFTSCMTAAGGDDEVSIACQWTQWVDQMLCYQKSCWNKIYSCEYQYLVSSYVT QQTVSVSIPYYPAPDNAPGGCSCNLGEVFNNITANTNAAANGCSQYTGSMSTALEQCQ CCGWSAAVSAFYGICPDTSPNELGLSSFDSKAANFEQITGSCAGLTSQICGQYGFESA DNGTFLDPTNLPAGGTNPLSTTAGPSSLTSPPAGETITWTLAGQTFVVTAAPYNGKDV AAGATTTGTDAYATTTGTGTRSGSGSGSGSGSGSGSNGSTGAKTTATGTVLPSPIKTG AATKLQGVTEAWGVGLLSLIGVAAVL TSTA_013560 MARSYSIIRSKVGTRRVLKEDWPSMVIQIEHLTNELKLALGIQE NLPTLLESNEIPRAPHADMMLYSEVMSEKNTQRPVHSGQVVVISYDLKSDWWKNITVW AWNRPPYGRCLDEVFIVITPFHIIFARVPRDTNDAWLAYDYRAIMGVIDKTLQSNVSP VGGKHWSYMYRLIPAFTLNPNDAKKPRPAGPQRRLNSLRELRLNTVYESRFNSYLYYV PPAEWPDRNPNFTIRVGNYGRPGDEDRPHVQVNDMSGEYIITGKNEEGPDVTVNDNGN ATENPVVAENPGQNGRENPVGDGVESTPGTPGTTDTAHNGA TSTA_013570 MLAPAILYSGLIAKDERPVQVIGFSVFGIYTRIYIPCNDANTHQ THNIPWNTLASHLKIYWPDIPTWQNPSNLYFRSKDNQENDVRYFATCFAKTIREHTTC ERKKFAENYDVSGKDDIILDDTTVQKTSPLVYKWRQSYRWDLADKSKPKVCMSTSIRK LCTHNDGFNCGCAIPFKERKVSSFLRRYYSNDCYKFYRENRDQFYNIELAKTLILYDE MEPILRVCSHPDVDYKRWHSVLECYDTDQQAGWDIVYISALNAYLCLNILYCFPALWD DASSTRPIDYRSTKVYQRTLRSCTWSDVTSEVPHYPHRAFFGIDDRQFREWPRMSPEF GTKYMPDIYTLSEWSFRDRVFPYGAAPIDDFLKSENEVGYLPDVASVALVRTILYSKG LPPELTADVMEKADYTVKRRLSHPHDPFHPGNLDELHKYLTYCWRLMVNCNMMADALG INIEWEVYIYRFLTYYISLPGHGSFVKYFILIFKTSHKVLSPTIPSMGDPLGLGSAES DDVVMTGAGNQDASGNSFPNDLDAFWNNTDPNNAFMLQNQRSQNFDIASEYWLNSLPD SPNPESFGYVRDQTLGPDIAMGNTLAASNGPVAGTGFDEQFIDPELLETPANVPVSSS PKTPSRTPTSRNSVRRVRAISDNFRPIAPSPTRETKSTYPSGFEMPPPRTTQTPSSSS QVNTANVNEPQQDFINMSIPEFQVTDIDLILANENFHTFDFSAGVLNGFGAQFDAFPS NTATPDNVANQAMGTATAQPDMQSAEPFSGNCDTDRI TSTA_013580 MTAGLLYVTMQPKPGLHPSQFHDWYNNEHGPLRLRLPFFPNGYR FRAIDSDETNPYSAEEHEWVALYDITDSNEFTRPPYTTLREDGVKTEREKETMSQITV DRRMFDLIREWKADDYTPLEDVQTSNSKGYIIVPVYFRIQPGTESKVDAWYNDEHIAL LQKVPGWRRSRRFVTSSVLNPSAEEKEYLAIHEYSSTEGQNGPEMKDAVSTEMARDIY ANVVIGRVRRVFEWYYTFGPAPKDLHSLSDPSYSAAFSSRDGLTHTWAASVTSNKRAV IESFITTPDGAQLPYRLEGSPDPEAPLIILINSILSDWGIWDEFLDIFFSNPKNQKYR IVRYRPRGRSSDPGNTPITMDLLSKDVIAILDALRVPRAAAVVGVSLGGATTLNTALK YPSRVEKFVACDTNSLAPPSNPSAWAERIALAESDTPATDPHTGARLVGEKLAQVTTK RWFTPDSYDGGIRQSRAEKVKQYVFTNHLEGFKKSVKALYSYDLREDMKTGSVRGLFV VGSGDGILPQGMKKMAEEEYAGGKRAELKIVEGAGHLPMAEQPEEFAKVIDAFL TSTA_013590 MTTFRDPTSVHAQIHRKQGLQLDRSQKGENGVPKSSSSRLAKRV SIAAFSSLVKDGVAWTSDRLNTYRDRLSKEGREEKLRRDNRRQLLYLKMRNAVSFEEW RSCACELDELEGNNKWKEIFDSDEYNPDLVLERMRELEDARISCDVSRMLFLVRTALT RDLGNMSSASLYRHSHIGTKNLIDQYITTALSTISTLLDLSGSDRSDSTEMQYILDQL LSARQAFGRSAISLSGGGTFGMNHVGVIKALWEAKLVPRIISGASAGSIVASIFCAHT DDQIPAVLEAFPYGDLAVFEPEGNQLPPLQKMARLLKYGSVYDSSNLERVMKNWLGNM TFHEAYNRTRKILNICISSAGLYELPRLLNYITAPNVLIWSAITVSCSVPFVFSPSVL MAKDPLTGENVPWHNEGGQWIDGSVDGDLPMTRLAEMFNVNHFIVSQVNPHVLPFLEK ETGPATDDQPQAWFSSPWLNSMTSLARDEALHRMNVFSEMGVFQNQFMKTASILSQKY SGDINIYPEIPYAHFLRILQNPTTEFILQTCLNGERATWPVVSRVRNHLAIELALDSA VQTMRARVALSPPVTVTLSTSHPTAQSRMLSERDQRPDMFPRRSSYSEAGRAKNTRSS SQRRPNGDLRKAHSFVSIEIADLQRSFSPVHHYKHAQTSSLTESPQHNRANVPRYNAD ESYFIVPSDSDDESYTSWPQRPNMSRHATWSGPPLSGTSRSGRTSPAISRRSSIAKTA SNPSRPTSSGEVYLSPTPGL TSTA_013600 MKPLHEFFIPSVYDGTRLSCRIYQLEDVNYQEQPAIKAAVVAHP YASLGGNNDDPVVALITAELVRKGYIVLTLNFRGASYSGGSTSWTGKPEMGDYITAYG FILKYSQLLAPTKPIELVLAGYSYGSMIASHQPNVEDVISIFAKPTSDSISARILAKA KKFIAGEEEPEEEYYSTKEVHLDDDTASDLPPAHISFLLVSPILSYALGFVTLWGNLT KLSVQSRQIVTPAVEERLPKYRSLIVYGTDDMFTSEKKLQHWTQKLKDAPDSKVDVVE VPMAGHFWVEPSFHQQLKQAIRGWLEHEQWL TSTA_013610 MTAINESQVKVLEQTRQRLLHLTHSLDSLTGSLHQSDPLPSWSS LQSQASIISNNLINICSQLAEHQELLSSLVAYPAPSFPTNTQQGVLEQLLRTKLDPRV EDWVTRGRIAGTEASLKENGGLSDADLAELWSWAPVEANQEARRRNWGGNYTLEEKEL GIQNVVTGLKRALPDDDDEEDEDEDEEFEDVNEDGGENMEIVGVHTRPGAGGVEFDIA GGRDHVPSASASPALPLDEVFRYMMTGMRPKSGA TSTA_013620 MDKLQTFVIEPLQPVLKPISNALPAPVHDAIISLIGGPCHSALL LDLDVNKDPRCTSLAISKALGLAIVGASAIVKVPQILKLVNSRSSAGVSFMSYAMETA SLLITLSYNVRQQFPFSTYGESALIAVQDVVIGVLVLSFAGKPAGAAAFVAAVAVSIY ALLFDASLVDAHTLSLLQAGAGVLGVTSKLPQIFAIYKEGSTGQLSAFAVFNYLLGTL SRIFTTLQEVDDNLILYSIVAAFVLNAVLALQMVYYWNSPAKKTAVPAKENKKAIANS PAAGQASGVSPKPAGKTPTTRRRG TSTA_013630 MLLRPALIRPPVARDAASLCSRCLFKASVASQRSFATSEGRRSS IGSSPNTPRLFQKKEFFISYDPSKRVVKKSVLSSLPTTRDHTASLSTGSSAVNTPIPG APEQTQELPHRRRKRLKEEAKSRDDGPEEQLPLDASAQLSALSSSLPTSSLRRKCAAY LALAKPRLSVLILLSTTSAYGLYPITTLLALDPSVAQLPTLSTSTLTFLYLTAGTFLS SASANAFNMFFEPEYDALMSRTRNRPLVRGLISRRGALIFAIATCIIGIGLLYIGTNP TVAGLSAANVFLYGFVYTPMKRIHVINTWVGAIVGAIPPMMGWVAAAGQTATTGHDTW KDMLLSEESLGGWILASMLFAWQFPHFNSLSHLIRYEYKAAGHKMMCWVNPARNARVA LRYSILMFPIAFAFWWGGSLANGWMLKEAYRFWKYQGAKGSARGLFWASVWQLPIFLI GGLATKKGVWDGVYRRIFGEPDDDFDDDEESYEDETEEDNVPTGKTGNIVPLKVAESR A TSTA_013640 MSQFCGCISSSKPEPRTQVPAHSEAGFMSRPELQMQMHHHQPPH YDLVDNGYAPVVPLPRYTPRPMSIHEKTLENNSNFQRTHDQQQHRPDEKNRQDFEPEE TLQSQSTGGSSSATVTPAIVDDASSAYSFPSSFGHTSTETRDTPPPPYSSCASSFYSR SRASSLRSHRRSGSLSNYSLNENQVQQGASEAAPSAAYTVPSAPPAAIIASPPMAHVH MSHRQHHHHHVHHPHPHDQYPRSINTNSAFTILSPINSTLPHLDSPPIIMGESVIYAL LAL TSTA_013650 MPALPPDGDYVTISQLVEPPTIPSWRSHDPARNAKRTDPFAFGQ RYLEEGDDVFSYNAWDHVETDEDYKAYAELQYAKQRENPASDWHKALYNSNPAKFWDR FYKNHNQNFFKDRKWLRQEFPVLAEVTKQGAGRKVVLEVGAGAGNTAFPLINNNENEE LMLFACDYSKNAVKVMRESEHYNEKFMRAEVWDVTQEEEEIDGEIKSSLPPGVEEGSV DVVILIFIMSALAPNQWSAALRNIYRVLKPGGLVLFRDYGRGDLAQVRFRKERYLAEN FYVRGDEELRKIWSTWTSEKGLTMVHSGNDSDSSDRYDKTENLEGNQTEDNPERTSDE TADKQREQKLIERTDKTGESVEGAFEVLNLGKDQRLLVNRQRRLKMYRCWLQARFRKR VVDNSGESQQLAPTAESIAEGLAATTL TSTA_013660 MTVTHDTLPPKALLEAPNKSHPIPDAAGRLAVYVQSAYSFQSHS MQKQIRVIDLKTLHRSWPITENITANYPQWLGSSGKLVWLESSQNGHTNLAIRDARLV DDEYVAGTVPGHISNLRVTRMPFIGDTDDDLGFAVVGKVNTDGSLFNPMDQISNSGNC IGHLHTGFPHTNSVTSPQKTVIWFGTLTRPSETPSGRYTMGKLTNLMNHFKLTTVYLR ITPGQEEHCRNFDINSWTITFVGNDLDDFQQNSCSCYVCPMLRWDGYPLDSLYSAFRH RGLGGNISSPILKHNDTTVIFLSQKSKGYNSDKNRIIFIYNNQTGESEELFGSDDGEG QWNLSPSAIAYGMDRSLLIQVEERGRQVLYKLGLDGWWPDKPTPASLELLNGFLLYGS IVDVISISSESSKSKLLVSCDALSHSRKYVIFDPQSPGQTLFAPHISLSRGQIDEIWP PGANGRQVHTWIVKPSFFESGQRYPVVLFIHDGPQDVWLDQWTTNWNLITLAEQGYVV IAPNPTGSRSYGQDFTDAIRGSWGGLPYEDLQKVFEYLKNNLNYVDTERAAAIGLGYG GYMVNWIQGHAFGRLFKALITDNGIFSMTTQLASDLQALRHDFNGLPWEHPSEWEKWD PAQYAGHWQTPHLIIHDKFNLQQSYAQGLASFHTLKLRGVETKFLIFPVENRHQHPEN LLLWYRTVIDWMNKHVKPKNKEYRLYEEKISGMS TSTA_013670 MKIKNSAAISKFYRPSGLGSILWHELELCYGFVRRDLGTGLLPV PAFTLASLLYRKASTEEIYSVIPYAFIYGFLYLYTFVVANQIDGVNEDKVNKPDRPIV SGATTLQAAKIRWVILTLLYLAYSFHLGVEKPTILWILTTVAHNFLGFANFGPTKDGC MGAGCIAQLTAAWAIGGSPPEMGWRWIKYITLYMSWPIPLQDLRDVPGDRAVGRLTTP ILLGDTICELSSPAKGTVM TSTA_013680 MVSAGNSSSDSRTQTGQKLQRQGTRHVKSGCRTCKIRRVKCDET RPACGRCTSTGRTCDGYGIWSTSSGSGISRGFHQRQDPRLVISADYHRDKTHHECYRS NLLDNDYRTFNFLNRPVDADELVCLEFFRLRSLVKLPGFFDTSFWEQTVPQLSHTTPA IFHAVVALASTQRSQEYTSCMRKGSHNPFIDYKVEIKKWDRYALQQYNKSIAHLQSYL EDNSHESVQVALITCILFICIEFMMGSYTRANVHIDHGINVLKTLQRKRQVSNVLAKE RGKAIIRATDPRTVDDHLLEILARMNTQCVLFGYPSRYIHLTEARPFAIGMIPDVFNT LQEARQYLEVLLNKVLRLINKYMDDTLLFSLCGSDDCLTETREQLQEAFNRWKIAYEK LALGLLSHISVHSYIASHLLRIWSIMVDIMLRSCPEPNEPWSEMAFDKYTHLFEEIIS VATDVVMMRRYPGRFVPADMQCHVGPLNFSADMGSVPVMYYTALKCRDPSTRRRAVQR LKIAPHREAVWDGIAVAAAAEAVIRLEEGDHFGLYNDAQLSSCSPSSVPSDILPECLR FQDVRILMQDGLERGGKILGRRKGRNNISSSHWEIVEESFTCGERLNTFTWTNIREMG RTAGI TSTA_013690 MADQATTQGHAVKRRRVALACDACRTRKSRCDGRRPECGMCEDL GFSCVYTAPITTTNVIVQKDYLSSLEERVKALEDSLNIVKSEVSAVKSNMSKGSSDES VRENGHSYQNEQSPEFVETEDAIDAMGAVAFADEEECGFFGASSNIAFLHYLSCAVAR SENIQREITSPRIDRVTFDGGFVNATRPSSPTLAHPPESRSNMFVLPPAEEALALIHQ YFSDTGLLFPYIHPDSIFGTYSELRKGSKKIRRTWLGLLNMILAMAKLTTVSERAPAE ACIRESAVYYSRAFNLCRGEILRGTTLEVVQYLLLMGQYLQGTQKSVQAWTMHGLTVK AALQLGLHSKDASKAFPPLEQEMRKRTWFGCVVLDRVLGMTFGRPAAIPNCYVQLDLP TFQDSPDALLAPVDNVAYYSIQFFNLTITLYKEMGCIIDQLYAQNLGCGPPLSVGETV SRVLGIETQLQSWLLTLPDNLRQVTATVIRDEIERAGIQPQFFPLKFRVILTLRYLHV QILLHRPVLVKFLDAMEASEAEISEDRLLKDIGCSSMKKIIESAMGIIDIVYELVSSP EWTRNLLGAWWYTLYYTFNAALVIIGATWVHRTRRSAINWDEVYTNIDIYPGRAVTSL YKLNRNRMVDRCRSYLEQLMSALCLQQSQEIPDSVSNTGLPEMNIEFGEFMIDDLFSK HGPDFNHW TSTA_013700 MTEYKSAFPDLPQFSGFMRPCRLEGEAFNLEVLGQIPQEIEGTF FRVMPDPQTPPFIENDTWFNGDGNVSAFRVKDGKVSFKQRYVRTEKFIRERESQRALL GKYRNKFTDAVEFRIRSTANTNIVHFNGQLLALKEDSPPYAMDPITLETKGLYDFDGQ LPSLTFTAHPKFDPKTGEMVCFGYEAKGDGTPDVCYYNVTADGKFTETVWLVAPVVAM IHDFAVTENWVIFPIIPQVCDLERMMQGGEHWQWSSTTPMYLGVIPRHGAQSTDVKWF QYKNSFPGHTANAYEDKNGILVIDLGLSEKNQFFWWPDAEGNAPEPSSIHGELVRFTI DPQSEDLNLSEPKVLQADNSEFYRIDDRFLMRPYRHCFFDMMDPKLGTDFERIAPNIG GGYPLYNSLGHFDNLTGKTEVYFPGKAHMVQEPVFIPRRNSTVEGDGYIMVLVNNYET MSSELHLLDTSDFTKLQAKILIPVRLRHGLHGSWVDGKELPLPSQAE TSTA_013710 MQDPIAVSLIIDGVDVRAPSNGCVFEANCSVASQDDKILIQGAD PNLCVLAVESCSRAFQKWKKTSPERRRKLLQRLAQLIRDRADEIRSLIESEIDSSRQW SEINLEAALDLVEEMAALVTSETMAGSIPVTNNRKAQPMIFKEPLGVVLGIAPWNSPL VLGLRAVVPPIAAGNTAILKGSELSPRIHYYIAKLFQEAGFPPGVLNFILHRPQDASL TFESMISHPAVRKCNFTGSTPVGKLIASRAAAVLKPVLLELGGKNFAIVMEDADLDKA AQLILAGAFLNNGQICMSTDIVLVSRPILPEFRERLLSLLDNASSDVTNVITQKSCSR LQSLLEDAESKGAVLTKGTNKNKPPIPATLIEGLTPEMDFYHSESFGPLLGIMAFDKD EEALRIVNSCPFGLSAAIFTRNHFHGIQLARELNDGAIHINGSTVHDEATLPHGGRGD SGWGRFGAHWGLQEFLQTKTIILNR TSTA_013720 MKWPSHTILVMATHPIFPRTIVADRVPHITPGKRLSINPPDPTH IDTSRKIVAIQNTARALGWTSTPDWPLSWRIMILLNISFYNMMGNIFTSGIPPLFSLL IEDFHCSANEAAHLTTYALLMLGLANCWAVPVVEYLGKRYTILISMAVFLAANIWAAT AQSYSSLRATRFLGGISGGAIEALGPLIVSECFSEGQLASAMVVYVGFLAAGSSIGPI VAGGIASGLHSWRWFFIVTSIATGINLVSCILMLPSTSHTVDNCTSDDATQQIKSTGT VNQAEFVTPSTDNETRLSENLWKLWIRQSFSLRTDRVGHKENPLRLFIRPFPMLLVPE VLVTTLVFGLTIGWVVVTSVLVSTLFAMPPNLWPSWKIGFLNFGPLVGLVIGLPVGGA VADLLSRRATKNSDGEHDPRSRLPLVLLGALLSPTGCVIIGWSLNKDLLWAGTTVGWG LLAFGLTSSANILLTYCVDSHRSRAMDVGVLVNVIKNTIGFGVSYAAIDWRSKSGAEA QYGTMAGILWAFYLLVIPLYFFRDSLRKITARNFAHMTDAVVITP TSTA_013730 MLADKDFGIHTICSVGSKLVKDRGRDQYMANVALKFNLKLGGIN QIVENRNLGIVDENKTMVVGTDVTHPSPSSSSNAPSVSAMVASIDKFLGQWPATLRIQ HARQENVTDLTEMFKSRLNLWKTKGTTEEKVNVALVARTYGVDPSNLRKRLRKVTGPK EAQYDNQRLLSGGQSQALIRWINHLTEKGLPPTNSMLANFAKDICGKKPGKNWASRWL KSHSDQVISRYSTGLDMDRKKGR TSTA_013740 MPPHSSHLLQPLDVGFFSVLKRLYGAAVESQIRFGIYNVDKLDF LDMLYSVWNQTYTTQNIKGCFSPTGIVPIIRKKSHLSCKLLSGRLLQPQLALARQATA SGAQKRLTMPLGRTLTYTKQMISYRSAVLAVQEPYKPIVFLQLLKAESLLRSSLRGQI PSSTTQWERAFTASSTGSPKEL TSTA_013760 MRPTLRPRTFQRHCTVSRSDNAFIPTIINSSKASIAWLHTTTSR SASITCQHHFPDIFSNNNNNNKPESIRTLRASRTLPFPPSPLYEIIASVESYSEFLPF LTASTVTARDQTSGYPSQAFLTVGYPPFTETFTSRVTCDRDRWIVEARSGGGVGDDGQ PIPGADEGLFSHLSTKWELVPKLATSSSGAETEVKLEIHFRFQNPMHTAMMSAVEDRV AGVMIDAFERRIKEQMTLGR TSTA_013750 MLQRLIRPQSGLRSASAVVKTSLAGLPRFQYRSLHRVPQLANER IFREHGISEFMSPEAFDFAWTQYQSLLVEKLNLMTQDTADADLDTLALVKKYAKRRET AHLFNYASMAHNNHFFFHRLSPVKVPIPDKLASDMSDSASSPESLKQDFLATASAQFG PGFVWLVAQANTGLLRILSTYNAGTPYPEAFARQQGVDMNNEPTPAAGQTPKFYSFLG LPSSGEATPDLAPGGMPLTPLLCVNTWEHVWMMDHGIGGKDEYLERWWDRVDWNAVED AHKATVGYNVNLNKRSLSM TSTA_013770 MIKYLHPIFAAALLQLGWSSWAAAAFIDLPPNGIFLRDASASSC AANYTSCGSSYSGSFCCSSDTTCLRLDSGSSVLCCPTGSDCTFIEPITCNVQAMNATA NPTAPIQSTKLNEDLPTCAEGSTTSASGSPTTVASGATTRPTATATSTISISDTAPTA HTTSTASAATATPTTAFSNSTQTETTAKCPQFPATAVIAGFFPGAVAGALAAAIMMMC RRRGDQKNRESTLQKTHRSSGGTIVGISDPILDDENGSFRTDFLLQRKLSGRYPTPGT VARSKSMMRRTGTRVKSIFVGTNHSSNSNAESPQWNTATPPMPPMPAMPAVRKPPVTP PHQTDRPGGGPRQPSTESIKVYSPPNMLGISSLNQDRNTTFSEVMEKAGFQNAKGEPS FRVTETPVQGNSPLRKN TSTA_013780 MAKNGEGITASAKPLPVTTTRSAMEALPSESAVVSHALPPEKPE AIRTRTKVILAFWVVIIFFGIPMWWKTTSVYRASLPLDEMMSWAEGKACKPEFPLEII LRTPSVSLAEAQSLLRTTQHALDDLNEFPVHHLRLKLADHTETKENNKLDSDVESVDK SNAALVVNLIPTEIATPTSQLHSYSPRMDIWYPSSQLSSITSTTSPLITFIAGEIQKL FNEEKATIAYILGQSNPALASSYPGGGQLAELVSKRVGRSLKYAETYHLSFSLFTPGS QPSSWEIEDAIQEYMVPLLDAFSPISNFSIDTQVQLYASFAPTSPHPEYDERTEQWTL KEEDLSTFVNAAEWPLSPSIGSGPTINFVLYVPAAAQSPLVVKESLATSWIVPQWGGV AIVNPPVSTIAAGDAVNPPHLSKESLKPALLIFSHQLLTLLGTPATPSSLPLRLQTSI RTRAATLLLSASSTMGSLARLTQSLSSIPIPLNVASSVLTTLSHLKSTCQLLREGKFT AALASARIAEKEAEKSFFEKSMVGQMYFPDEHKIAVYLPLLGPIGVPLVMGLLREVKN FVVELKRRKSSNS TSTA_013790 MAETACMTVRDIVTDPSLLPVLQVSANAHNECQKLLQLLDPNNA ATTATIPSQETILAISRQQKILYALLAQLRGLNRDAILRVRETKQVTAQARQEIDRLH LQLQNLYYEQKHLMGEIAACESYDHIYRSLPLIPEEDFFNYSLNTQTQTPTNS TSTA_013800 MACKAPAVTIGAFEGGISTSSCTTPNEETPLIRSRRRHSFQHAR RSSCDYDGDAVFLRVEVFLVELERRLQWLEEYRKSHMESIDASMRRGYAALEAVRDSC CAASGELMGGGKKRAKILVETLEGRYNEALATKETLSEKAYAGMRLMESFLSDIEARS QLVREAAGISSAFDEGWKAVDSGLNHAREAVNEAVDEGMESVRRAKEALRESIDRAIL LAQERRLIHYHDLPHPWRVNPHILQGYRFTASPKECVTSVFSFSNELVNIWSHLIGLF IVLSIAFYFYPLNPNFHLSTRADIMIAAVFFFAACKCLVCSTIWHTMNGIANQKVMER FACVDYTGISFLVAASIMTTEYTAFYCEPTSRWIYILLTFSLGIGGVILPWHPTFNRP DMSWARVAFYSFMALTGFAPLIQLSYSRGFSWCLYFYAPVMKSILVYGLGACIYASQI PERWFPGLFDYCGASHNIWHIAVLGGILFHYFAMQELFADAFLRAKGECPNLTA TSTA_013810 MADDTNMNGAAKTQPKAKRSRVQFSCTACRYRKLKCDRTHPCDR CTRRGDAASCTYVGHGPRGRVNHDAGTNPSHIQDRIQHLENLILSFAQRKRQEDEGTS SGRTSTARGSNSASATPVSNTNNNDSNHMNGGVKGLPDQRPSVRTDPGKLLNGGTTYV DSSHWQAILDDVCPSFELSDRADHYISIAQGSQEICAVEVEQDASRPTLLFYGDRVPT KQDLIADLPEREVVDRLIAKFFNSDDPMLAIIHFPTFHREYNQFWNDPSRVSLPWLAF LYGCMTMAVGIFRRSMETLPSPLGDAVDEINRFHRRCCHCLINSNYTTVGRYKVEALL INATIEYTKRGNSSMSVSIVLGIAIKLAMRMGYHRDAKHYDTLSALEGEMRRRTWAIL SQLDTLTSFQVGIPKQAQSWQSDTDLPRNIHDGDFDENSIELPPSLPETVQTPATYLR SKNRIMAMFGKICDHVYSRQPSTYEKILELDRGLEEAHDLMASALRMRPMNQSFADNV SIIMKRYTLDVLYQKARIVLHRKYLVEARYNPQLSYSRSVCVTAAKEILRHQYDLYKE SQVGGRLEKDAWFFRSLQNSDFVLAAMVVCLELFKNNDTVTVSNTQQQNDSSPARDLN ERNKLIESLQKSLEVWKENLKHSVEARKAFYAVNVMLKKAEIDDEARKRGSQSPTQIT SNMSMSSTRGRTLDHISMGESASTREIGANGQDVQMENYIPSNISTILTPPISNTSHQ LPDRHNSTIQPSAPAPNLDVFPQPYLDFQSSLPGLEIIENMLDTPQNLDWHAWDGHMH DTNPSLWEEAYWNNSSEGLQAQTNTNRNGGNDLMHVDDVKHHQLEARNISADSNDNTN GNGTSKMDDSLYSLYTARGYRG TSTA_013820 MRRLGSKVDPAPAPSADFSQSENKGLQMGQNYGNLEASFYSDSI LGVNIAAGASVYMGEKKTVEDKLLDVLIAGDGKDRCDIGRPEPGTCGWVFEHEAFRGW VSSGTATHPPLYIQGTAGSGKSVLLKFLAKELEKRMRVRTPSTSSPVEEALPLELGVP GKTIAAICFCDDKNEMRRKPIWILRTLLYKTVQQNRDLAKYALKHLQSAEDLDSPGAD PDEFHSVDVLQKILQDIVSDSELEVLYFIIDGLDQCGPHLPAVVRLISDISTSVNKEA ASRGAKFRLRCIISDRGSKIVRDKLLPQHIIDMPKDNRHDIDKVTEKKIKDIQDYREF SDDVLKSTIDLLKESCKGMFKWLSLVLEDLSTWDGAWTEIKVKERLHNIPSDVATYYK TMLERQQRDSVSTLRTLLTWMYFACRPLTLQELNAALTLQEKTYTCGVSTDEEIDALQ RRIENSWGALFLVHDGTVHLSHQSVKDFLSDVFSDEGAKEYEGYGMSRSDAHRQMAAT CLRYLQISDVYKREVPKPPVNNNGMIDETQLITVKEKYLEGFPFLQYTVEFLGHHLRE SRIQEETDVPGMKEFFSADSAALLSWVRSYDLLKRWASGKYSGFSSSTSLLFVAARLN LPWLANRATTWRSIGSLPVDVRTPDMSGWSAIHLAADSGAAEMVAWLLENNAYVDAET MGYSHPGRTALHFAASKRSDAGPQMVQKLLEGGAKATAQTRQGGNTPLHYAVDGRSVA TVQALLASGADANATNGSGITPLHKAVAIPGLEELVEAMLKGGADPNKKTSIGTVSAA RALVSLKVSRNMWQNRYDANVSQSALHIAAKAKDAERTVEVLLQRGGADPNCRDGAGR TALHVAVVRPDAEAITKLLIDSRIDVNAQDMDGKTPLLVFLTAAALEAKTQPPLPLEI DDQAYRERVLDILLSAGADPSIEAKDKSSSISYAKEAQLQWAIDKLEQRLRETGNHTN IPKQEPEPVNGEKGVKKGFLDAQASRWIPKRSWS TSTA_013820 MRRLGSKVDKPAPAPSADFSQSENKGLQMGQNYGNLEASFYSDS ILGVNIAAGASVYMGEKKTVEDKLLDVLIAGDGKDRCDIGRPEPGTCGWVFEHEAFRG WVSSGTATHPPLYIQGTAGSGKSVLLKFLAKELEKRMRVRTPSTSSPVEEALPLELGV PGKTIAAICFCDDKNEMRRKPIWILRTLLYKTVQQNRDLAKYALKHLQSAEDLDSPGA DPDEFHSVDVLQKILQDIVSDSELEVLYFIIDGLDQCGPHLPAVVRLISDISTSVNKE AASRGAKFRLRCIISDRGSKIVRDKLLPQHIIDMPKDNRHDIDKVTEKKIKDIQDYRE FSDDVLKSTIDLLKESCKGMFKWLSLVLEDLSTWDGAWTEIKVKERLHNIPSDVATYY KTMLERQQRDSVSTLRTLLTWMYFACRPLTLQELNAALTLQEKTYTCGVSTDEEIDAL QRRIENSWGALFLVHDGTVHLSHQSVKDFLSDVFSDEGAKEYEGYGMSRSDAHRQMAA TCLRYLQISDVYKREVPKPPVNNNGMIDETQLITVKEKYLEGFPFLQYTVEFLGHHLR ESRIQEETDVPGMKEFFSADSAALLSWVRSYDLLKRWASGKYSGFSSSTSLLFVAARL NLPWLANRATTWRSIGSLPVDVRTPDMSGWSAIHLAADSGAAEMVAWLLENNAYVDAE TMGYSHPGRTALHFAASKRSDAGPQMVQKLLEGGAKATAQTRQGGNTPLHYAVDGRSV ATVQALLASGADANATNGSGITPLHKAVAIPGLEELVEAMLKGGADPNKKTSIGTVSA ARALVSLKVSRNMWQNRYDANVSQSALHIAAKAKDAERTVEVLLQRGGADPNCRDGAG RTALHVAVVRPDAEAITKLLIDSRIDVNAQDMDGKTPLLVFLTAAALEAKTQPPLPLE IDDQAYRERVLDILLSAGADPSIEAKDKSSSISYAKEAQLQWAIDKLEQRLRETGNHT NIPKQEPEPVNGEKGVKKGFLDAQASRWIPKRSWS TSTA_013830 MSINIIKDPSDARLDIILVSGLKIDQKDWTSAENSIFWPEKLLA TQIPKARILVFEYDEDLTLDVFWNKRDLISSNSDDLVNSLMDERRGEKAERPLVFIAH CLGGLVVENALMRANKNEKKRQLVTCAIGLLLLGTPHYQSATLSQAKKYFQLAQKDVP SDSDLQALSKHVLAIPQSFAEFIQSNPINVESFYEGAPVIIADDQEIELVEESLAKLP GDFPDPTMLKGNHHSMSRFESERDKDYKNVSRVLADWVEDLPQPEEKGTTNNISNASF SGSTNYGYQLGQNTGTQSGFTFGAR TSTA_013840 MTTSDLSQLWQSAIQDYETTTGKKLLLSAQPFTNMQDVMLGTEE LSLKFKSFRDDKSKVSKMRTAFKNNMWLIQGIVNTVQSVGNAASAFPPAMPANLIFSA FGQVMQSFADVSADYDKIMGFFEFTHRFLDRMSIIDQKLPDMPQFQRCVSRVFSSILK ICATAQKYTAEKRMKKWFDNLLNGTDGALTSATTELEEAINELSQAVGLTTLRTIQII DETTQAMNGNIDFLVSNAHLIDERTRTIESNTDTIIDQNRGLASKQAEMTDMQRETLQ EMAQQSRLLGNIVGYFGSIQMGEKFGRKSFQDSVLKLGVVRLRLTRWSQAVGLNKELD NVESLSSVKISEEDLPMVKELLGRIMESFDDAERTSSRIKKRNPSLSSGSVLDPAKEL DDAAVALHERMDTIVKTRQGQEPEEETDLILYEEKNFTRLIEDISDSVDDLVNLFPAV QETQQKLCEEEVDEINNKAENALSLLKEAAEGQDNMLSKTVTKVIESSTTYNNSVVFQ GTNSGFQIGNNRGKISNVRFH TSTA_013850 MADAGPLPSGLEPTSNQGPALLRGIWAGMAIAIIIVCLRVFAKI KIGQFRVDDVLMIIAMILAIVGTIFLTLCVHHGFGQNLQYLYLVLNEHHEVSLVLKYI AVLVPVITISTTTARCSFILYLLAILGNNKKYRYALWAVMLIQFAGNVVSAVLPLSIC RNVNILWDPTIVTTCGDANAVIKFAYYSNTANSACDLFLAVFPTLIFWNLNLKTPVKI GLIILLSLGIVAMIASIVKTTKLDQVPGVTNLGATGAVELIRWGFVENIIIIITSSVP CIRPLIISSVRKLSSRGYSRSYELTGPQTGQRRTGHDETARRTRGSRFTKNGTVDDTG SVERILDPSSNNVNTTVSGRRDSPTHEDQGITKYVEISVVSGDHFDRRNP TSTA_013860 MKYSWVLATLAVLASASDDATCPTGQCRCMPTDSCWPSASSWAA LNSTIGGRLIATVPIGSPCHDPNYDADACAALQSAWNLPQTHISSSSSIMQTFFANQS CDPFTPESTPCLMGNYVDYAVNVSSANDVIAAVNFAKKNNIRFVIRNTGHDYFARSTG AGALSVWMHNFNAIQYKNWSDPHYSGPAFKFGAGVMGFQVLEAAHAKNLVVVGGECPT VGLAGGYIQGGGHSALSTSFGLGADNTLEFEVVTAAGKLVTANRQQNSDLYWALSGGG AGNYGVVISATVKAHPDAIISGAGLQFASSSTTPDIFYEAVTQFHSLLPAMIDSGATV IYEIAASFFALNPVTAYNKTSDDVKTLLTPFLNVLDNLNIPYAVSYTQYNSYYDHYNK YMGPLPYGNLGVGEFQYGGRLIPRDTLNTNPTAIAAAIRNITEHGVLAVGVGLDVSNP GDVSNAIFPPLRNAAVTMQIGTPWNETAPWSQMVANQYQMTNEFVPQLEAVTPGSGTY QNEADFRQPDWQNTFFGANYDPLLKIKQTWDPDHFFYALKAVGSDYWTVDDNGRMCVA TSTA_013870 MLTALRKRLPIIKTVPKHRYLTSKSHVSDASTISTSFLSHHQSL GPKLRTQYLDSNQMHLLTLTLNRPHLYPDYPTKPPTNNVPIPPGYHLVYFTPSSLESE LGADGTDTSYNPAAPFTRRMWAGGEISWPRTHNPNGEGRANLLRIGQEVTETTRVVSA EPKTVRRTGEEMIVVGVEKRFENEGGVGVVDRRNWVFRKTLSDSPQERNRIDNTSQPL TNQPKLTTQESNPNLLHKRVLCQTPISLFRFSALTFNAHKIHYSVPWAQQIEGHRNIV VHGPLNLINILDLWRDVQYGKAQQRDREEIVPERIVYRATSPVYVDEEYEIILKEETE SGVSWVNVINHEGVDCMKAEIKA TSTA_013880 MPRLPALPPVPYKYTVPALATVFAYLNARWSMFYDWFLIKSLAT INIKIKLAERADRLNLFYVLEKHALAPKSASRPFIVYNGQTWTYKEAYDTVLRYGQYF KQTYDIKPREIVALDFMNSATYIFIWLGLSSIGAIPAFINYNLSGKPLTHCVKVSTAR LVVADREIRDKFTAEQLAEFASPDFRDGKGPVDVVFITPEVEAQIMQTPAIREDDNLR SGVALRDMAVLIYTSGTTGYPKPAVVSLSKCWSGAIFMEGFLSLKTDDRVYTCMPLYH ATGAVLGFCAVMLKGSTIVIGHRFSAKKFWKDVRDGDATIIQYVGETMRYLLAMPRGI DPVTGEDLEKKHRVRLAYGNGMRPDVWNQVKQRFNIETIAEFYSSTEGFSGHWNRSAN EFSAGAIGRNGWIGELLLGGSMALVEVDHELEIPRRDPITGFCKRVPRGESGELIYAL DPNDISHKYQGYFNNSEASEKKILRDVFTKGDAWFRTGDTLRWDTEGRWYFTDRIGDT FRWKSENVSTNEVAEILGSQPDIREANVYGVSLPHHDGRAGCAAIILKNGAIDVPADI LESLAVHVLANLPRYALPLFLRVTAELERTGNNKQPKHVLRQEGVDPGKVSVKDRLYW LRGDKYVPFTEEDWARLSAQQVML TSTA_013890 MVKIEPFAVEQWMDDHETTATYNIAETCCASISLDDLQNLSTQQ PDPNNQNSIFSFSRSTKLAYGAIRGSEQLRGHLSRLYSIKTSTPLPADNVLITSGAIQ GNFLLHHALVGPGDHVIVHYPTYQQLYSVVEAIGAEVSLWKAKEDDENGWVLDTEELK GLIRPNTKLIVLNNPQNPTGAIIPKSKLEEIVNIARSQSIIIHADEVYRPIFHGISPV DAEFPPSLLSLGYKNAVVTGSMSKAYSLAGIRVGWIASRNKEIIDRCMVFRDYTTISV SQIDDAVASFALAPHTIHSLLHRNIQLAKTNLAILEKFIESHRWACDWKKPLAGTTAF VRFSKMGRPVDDVAFCTLLHEKTGVLVVPGSRCFGRDGDFKGYVRIGYVSETEVLEKG LEKLREFMKGEYVDDVPLAR TSTA_013900 MRAIYLLGITSLLAFSRSTIAAKNYTSRGVDPLLSAFDDRPPQC PPCFNCQLDAFQCHQFADCNKFTGKCSCPPGYGGDDCSTPTCGSLADGVNRGPRQDKY CDCNDGWEGINCNVCKTDDTCNVMMPDGEGGVCYTQGLTVKENHQMCDVTNRKILDQL KERKPQVTFSCKEEEEGDKTCNFQFWVDQVESFYCALDSCNWGLDAEYDRNTTNYKCE HVRCQCIPGRMLCGEAGSIDIGDFLTEEIKGPATFSTVSTSSGKNDGSTFKEPAMDDL IKSVFGDESITLQCRSGECLYKTDVPGYQRPVKKINTPLIAGVIAGCSLFVVAVILGI WYISRRAAYRGYGAIRLDESDDEADKLLTDQRAAALLFDRVSYTLNGKQILSDIQGVA QPGEITAVMGASGAGKTTFLDILARKNKRGVTQGDFYINGEKISDAEFKSMIGFVDQE DTMLPTLTVHETILTSALLRLPKDMSRMAKEQRVTEVEKQLGIHHIKDQIIGSEEGHG RGISGGEKRRVGIACELVTSPSILFLDEPTSGLDAFNAFNVIECLVTLAKTYNRTVIF TIHQPRSNIVALFDRLILLAKGRTVYSGPFSSCQRYFDHIGYPCPPGFNIADFLVDLT MHAGGSQTPVEDVFLDVRRDNLKTASSSLRAVKSIASASNISIEETVRHTQENSSRPN TKRQPSLKQRQDKQLFTRRKADTETPPTPKTDEEDTVTPADNSQWLRLSNHPSRNGAV SQVNDDPDQVPPPASGTTDLDALVASYATSDVASSVHEEIVSAIDRANDANATPNAEV FGNGPAKGYARIGLLRQFIILSNRTWRNLYRNPMLMLTHYAISILLAVLCGYLFYGLT DDIKGFQNRLGLFFFILALFGFSTLTSLTVFSSERLLFVRERANGYYSPLAYFSAKVI FDIVPLRLIPPLIMGVIVYPMTGLIPAWPEFSRFLLILVLFNLAAAGICLFIGIVFRD GGVANLIGSLVMLFSLLFAGLLLNHDAIPPAAMWLQTLSIFHYGFESLIVNEVTFLTL IDHKYGLDIEVPGASILSAFGFDTLALWRDVAGLGIISAIFIVLAYAAMHFLLVEKR TSTA_013910 MSGEAWLYLLAVLINAVNLFLQVFFTIMYSDLECDYLNPIELCN RLNTYIVPEAAVHAFLTFLFVINGYWLAIILNLPLLAYNGKKIFDNQHLLDATEIFRK LNIHKRESFVKLGFHLLMFFFYLYSMIVALIRDESH TSTA_013910 MSGEAWLYLLAVLINAVNLFLQVFFTIMYSDLECDYLNPIELCN RLNTYIVPEAAVHAFLTFLFVINGYWLAIILNLPLLAYNGKKIFDNQHLLDATEIFRK LNIHKRESFVKLGFHLLMFFFYLYSMIVALIRDESH TSTA_013920 MASLVNYDSSGDESDKPKSTTFTSATKIVAAPEVNTEDSSSLQL IAGAGNSTALTYNATYDDLTRPAQGPVNPFKPNGVGNGLKRKNVPTGHAEEAAISEAT FTAQHHTFQSLGYTRDPSRPDAFVGDLARAAQYGGRDFVQMKPSREASAALRRKRQKK GDASIVDGEGAYLGPWAKYENDDQVYEEEAAEAGYELASDEEFVEEEDGTSVSKLPTM ATDYQDDASQAETTEFHGSEQFDYLGRTYMHIPQDLDIDLKKEPGSTKNFIPRKLVHT WKSHTKPITSLRFFPGSGHLLLSSAADGKAKIWDVYHQRELLRTFSGHSKSISDTTFH PTGKTFLTASYDRQIKLWDTEYGKCISRFSTGKTPHVVRINPDPEHSHEFLAGMSDKK IVQFDTRTGEMVQEYDHHLAAVNTITFVDNNRRFITTSDDKSLRAWEYGIPVPIKYIA EADMFAMVRACPHPSGKYVAFQSGDNQIVVYAATDKFRQNRKKGFRGHNNAGYAIDIT FSPDGQFIASGDSGGYACFWDWKTGKMYHKIQAGGKDGSAVTCLDWHPQETSKVVTGG LEGVIKYWD TSTA_013930 MSHNIVITGASGYLGGTLLARWKEANLPPYKNLYALVRTDEQAK AVKELYNAEPVRIDLNDDADIEQAIVSREISVIYYLIDVMDKRKPAAMIRALSKVKQN TGRDDVHFLFTTGAKIFSSHTGLPTNRPLLDTEEEIYELLRTAKGPQPLIVEAGKTNV KIIDDAEALGVRSYIFSPCIVYGKGEGFGNPISIQTVAVIRAAKRTRRIYTVDDSSAT WPVCHVYDNTTLYLSILRAILSGQNPRYGKNGFYLAASGLVKWHDLYRALAKRLLEKD VIDDDIITPANDAALEKMSTVLRGDKSFVSAEIGGRCTFTAARGHTIGWKAKYAPEHI LEVAGEEVDLVLEHS TSTA_013940 MDSEIEYILSLKAVREKAHKVLELAEQGKLTHFNYDRDKLDVAA DYVIGIIQRDFGPDRYHLIPPHGRWQHFEVGGIPRIDKLLSDWKNDQKFDDVEKVRGL IDLFFVSVLLDAGAGDVWRFQEPGGEQSYSRSEGIAIASLHMFLSGDFASDKSLRKDI VEGAALHTLDADKLYRHFQISETNPLVAVDKRVELLRRLGSSLLSFPEIFGKNGRPGN LVDYLLSKAKSSGEIDYRDLWNLLQKVLIPVWPSDRTHIDGHPVGDAWPLKALATSSR ANSSKTSAIQPFHKLTQWLAYSLMVPFDRLLKITWLNADQGTGLAEYRNGGLFVDIGV LSLKEESRARGLQLSSSNIDGLPYFGASDDEIVEWRAMTVALLDKLHAIISSSSQFNG IQLTLPQVLEAGSWKAGRELAAEKRPLTKSSPIGILGDGTLF TSTA_013950 MKPDYNNSKDQKNHHFHSIMENETGQIVAHKAVATYSMLWVLQA VATITFTLRIISRYSITRDVGWEDIIMAFGWAVNLAATILMTLSIHAIVHTKDCTENL KAKTSDAHKLSFIAAALVPNAAWLPKISVALLLSRLLHPRAVVKAILIVSSIVGSAAG GIGSAFLMFIQCSPISGQWDRERIHPKCWNPRVMVNYFLVWTVLSASLDVLYAIYPAT IFWKMRQLPICRKLIVSILMGLGLISAAVGIYKTTLTPLLRKRDQGSDIALVSTHIVI IWSIIEADIIISAACIPLTRSFWLLVMSYVKSAWKCVRTRVGLGPPIVDLDIESIETR RDHGGYLELSGSMRDVNVIVSGDPEQRTPFRDEIDEYHQMMSSRTPIRSSIQEYVGRL SFA TSTA_013960 MAEFSKAQNAALKALNDDTSIVTRDLEAGLQGASTLPKSDQAWV IATMQTLKSHDWMTSTKSSVLFINSNTSSNGKPSSFIPAKLVQSVNTYRFNNVFAVSF FCDAHQKDPNSGVALMMRSFIAQLLRFYTDFDMETLHQIRLLNGADVHALCWTFHQLV LQLPSDIVVFCIVDAVTSYETRESQADASELAVAKLIDIVQKTRKRGCPSIVQADARP SDECGMDAIPDVVFGGIYSRKMESKHRSPQELAESIERNHIAHAPVRDPSSPEAQALQ TLGIAIFHGSFDEVEVIKAAIWCCSGVFLTPFQTPQIHNYQSDTEG TSTA_013970 MSEARRTPHGPRLSSLVEDYIRRSLQRGVHPALDLLKDKEDPVS VSHERRTHGGSLNTEHESGGADNKRNKLYRDIIEERERFRQAMEDYERTTAGTRFQTD VTSKPLHTWEEVLEEVDKVSRIYNSKATVWGKVRTGLHQLESNNKAFEVWADLCRREI IVQLSVEVFVIHHIFNPHKQSANPLKAAARLADLRCIISDTLTEIPVLLTCIHRALNI FEKSEELQQCSAALYIATIAVPHHIICWYKTKAIKKLSLRIVKQDSYETKLESLLQDM RNKSESLDKLAQLCSYQAIEETRKRKLNKLDGLVWKLNAIMAEFLGSHDRLYPKTKES EGGSP TSTA_013980 MHPKLQRCPILFLFAYLGLGMRLSQWFNLRGISHEYLLNKQVTY TMDIAFSHFLLVLNDTSDFLFTRPFSTIFNIENSNLKSMENNALSTALSQIPPFVPVT LGDTLANPAGSGLSPGEKLEKTSSTGTSESDSTQVSSLGIDMLKKITTSREICSDSKE GVYASGNTEKFDTKDDKAASAEAKDTNEKKKVLQEIKITRVPLEHWRKIKKDRLATEQ NTQPPPENSIAPVLDMGTSGRQHAPYRLAINYTYLLNALEACTRTLFTEEQNVLVRPF KYLVEFESDIREFLRKTEMSCDHADDELSRCSQEIVDHESKEAEVCTGEARAGKINRI TEFMDQDMRDIFEVKQQIFTRNLKDIPFEHLWQLYKPGDVVFRPLQHEKRWEMISFII DCIYFESDGIFIAPRPKRFVIPPFLRTRPIASLPLRYQLFGPEWEKSNQTSTPYANYR IDRAEVYGEVIVDQEVGVTPLKERCYKWSLKTGGGIIKTPTKAGRRETNDFFPKKNDG DWVTDVFDDSIFEEDRRHELLFTTDVVTSLLINSSTISDEHLMLLPPRLYGFSLLDHR WCALDISMLQPITSKDGQSDQPKLEGLILPDDHKTILQALITNQIGTPPETRNHINKI QDSFSMDVVPAKVILALQRTPRKINSMHFADWPIDEDVYSFWTRQTSSWLSENEVISK GTVWCPVGPKPNLFLRMLEYFSGVLILTTNRVGEFDEAFRSRTHICLYYPKLEEIFTK EIGRENIQRIQNKVKGIKRFADKHWKANLNRPSRWWNGRQIKNAFQTAIALARWDFHD MSNVAKLDRPLLKAEHFRRVARITALFDDYISKVYGMVDKDTYGVLAERDEFRNDNSP SAMSQPTMQKGYTGRPESVLRGTDYWSPPDISKPEDSEHSTYGSDSDHPDADDESKDD KQGEADAVAGDEANKIRQLELELEPVKLKQKKSRSAAGKACN TSTA_013990 MAAAGTDATENTPLLREDVSSNGTIDPEEVSNGTSLPEDDGSQK GSSSHLKYIVPAISIGVFLAAADQTIIVASYGKIGSDLKALNLTSWIATSYFLTLTSF QPLYGKLCNIFGRKACLLFAYIVFGTGSLFCGLAQDINQLIAARVYQGIGGGGMTTVV SILISDLVPLRDRGLWQGIINIIYATGSGIGGPLGGILADYIGWRWAFIAQAPMCLLA FLLVTFLLHVPGPDSGDWIAKLKRIDFLGATVLVGAVLGFSIGLDRGSNVSWSIPESY VSLIVSIVLFIAFILVEIYVAPEPFAPGHLIFDQNLLACYACNFFSFAGFMGILFYIP LYFQAVNGVSASVAGVRLLPQIIFGVSGSLAAGIFMRRYGTYYGITIFGYGLLLSGSF VLFLFSGAIADAYQLMIVGAMISAFGNGIGVTTTLIGLISNASSEDQAVVTACSYLFR SLGSVLGISLASTVEQQLLRDRLKLSLHGSQDIDRIVDGVRQSLDFIKTLPIDLQAAV RRAYGWSTDITFAVQTGVTLFALYLIKNSSSSAIVSVMLDEYSRYRPALFAAAGVALL GGIFYFHSHANPTTTASSPQLHRRGAILRRHRRDNSDRTQNEAADTPSALAIAHLEQL ERENGAYGTFRIETEDGRMVESGLLPSLLVTRDQLMAEVGMPESHAERMREMMEDTFL ESFFALDFPPNHTIPEGSAEREYLTRELSHRGISNEGIQRALSAFNGDPAFGEALRVR RQLGTRVTLSANAPAAELEEVNEVNPVVADAGETESVFSWRNDNADGEPAREGQNLLN LLYHIAEDQAKKDGYIHRGVTCNNCGMLPIQGIRYRCDNCVDFDLCENCEAQQVHNKT HIFLKVRIPAPYMGIPRQGRPLWYPGKPETLPKNLPTALARRLAKETSFENTELDALW DQYRCLANTPWPADPNKLGMAIDRKTFDRCFIPNTSSRPPPPSLIFDRMFAFYDTNGD NLIGFEEFAKAIASFNNKDAIDEKMRRIFKGYDVDGDGYIERKDFLRMFRAYYALIKE LSADLVQAYEEDFGPGDGTAARDIVTGTRPISSAFSGNFGNAETPRTGEGKRTNLEGD MEVVDGVGVLRPDGLDHGDMYTVVGDAAFRRQFIRRQQDLTGIHPDVSPPSSVSGGVE VEGESHNEVTGEQARPGEAREEDDEESTDDEQDETTGSTSAAEARRRAIHERWRRRQF YTDEEDGATAPEGFEQDADENESENESIYPGQSSRPPSLRSRSSSKVRFEDDEYDVRS NGSTSVGERWGGFEVPEPERDIGKEILYQATKEGMNELLDVLFKEKEDLLMDLRRTRN DRIRWAAEIEWFKDPSKRFERNDPEFNLPGGLDYENAELDELLGAAGYSVIEDTEPTP SAHVPPTAEEAIEEDEEYEEIGETSEVEAVDPTLPQHRPNEAPAIPSSAAAASSLHLR LDNSTLFPVPQPDNSNQAEATAPKFSPVLKPTPSTGSPVHQSPKLPPHKRTAPRRPPS KPSPRQLTYWAALNDAEREILVRGGGAKLNYDEFRRIMNTEEGKKLNFLSTYIEMASF TSTA_014000 MAAVETRKCIGVDCANNAGTLQCPTCLKMGTDSFFCSQDCFKRS WGSHKAVHKTKTTGQFNPFPTFPFTGSLRPVYPLSPRRTVPESIPHPDYAKDGIPRSE QKFVGRHNITILNKEEQEGMRKVCRLAREVLDIAARELKPGVTTDYIDEVVHKACLER QSYPSPLNYVHFPKSVCTSVNETICHGIPDQRPLQDGDIVNIDVTLYHGGFHGDLNET YYVGDKAKANPDAVRVVETARECLEQSIKLVKPGMLFRDPGNVIEKHAKSRNCSVVKS YCGHGINQLFHCAPNIPHYAKNKTVGAAKPGMCFTIEPMINIGTHKDKTWPDDWTSVT ADGSLSAQFEHTLLVTEDGVEVLTARLPDSPGGPVPMPESSQTVEATA TSTA_014010 MAAPTRQKVVIVGAGPVGSLAALYAASRGDDVEVYELRADLRDP ATVPLNFTKSINLALSERGIHSMHLSGRKNVVDVIMSQAIPMHGRMIHGRDLTGKLWQ AAQAYDVHGQAINSIDRATLNNALLDELEKTPNVKLFFNHKLTGADFHARKAWFERRI PEDEHKIDHTHAPGSVDFDRAPEVEVSFDFLIGADGAHSASRYHMMKYARVDYQQEYI DALWCEFRIEPTEDNDFRISPNHLHIWPGKEFMFIALPSPDRSFTCTLFAPADHYARL GNTPEALCKSFQQYFPGVSPELISPEELYRQFTHNQHLPLISIKCKPHHYDASVVIIG DAAHAVLPFYGQGLNAGMEDVRVLFEILDSHGVYDPSLDTISRDKARNAAFEAYTKTR VADAYAINDLSKGNFIEMRAGVNSRWYKIRKFIEENLDRYMPELGWRTQYSRVSFSNM RYSEIMKAVERQRLLLTASLGTAVFATVVAGLALGGTIMWNHPRQSGIHGLLKYVARR R TSTA_014020 MPPFPGEDRLLTLFADVHYYFTNPTPNPAYHRFDKGSYLYVYHD VARGSARLEVANNPGTNDQDAFQGALDHIHMVHSTRFPTLVTVTVGSQVENSPVSAHS PVGIQDSWLLPFTDPRDQRKHNFRLHTLDIYFWTVEDADQFLDAVARILPPQQLESDR QVQQPQTVSEPAVSRVVEQLENVAITDPAYQNGQTRDSRSEPQPTSKEQPPAKAETTD YTPLAYNPAAPAAPEPIKHREKTPPPEDAGEGTGLVAAAVADETQHYPPAQGLSNFSH PQAYTNLTLARYGYSSPPPSAGLSMSQGITGPPSYSATTLAGPPPTQAGGMTFAPPPQ NPNAHLFSQNSFDSQPHHAPHPQYADYLQQSHNGNNNHSPSTPEDERVILNGGYSNYS YAQPVQHQYGQLNTEYDIHNQIYIPTEAELKGSKHGKAGSQSSKSSSRGTKIEAGLGR MDSKVNGFLKKIEKRIG TSTA_014020 MVHSTRFPTLVTVTVGSQVENSPVSAHSPVGIQDSWLLPFTDPR DQRKHNFRLHTLDIYFWTVEDADQFLDAVARILPPQQLESDRQVQQPQTVSEPAVSRV VEQLENVAITDPAYQNGQTRDSRSEPQPTSKEQPPAKAETTDYTPLAYNPAAPAAPEP IKHREKTPPPEDAGEGTGLVAAAVADETQHYPPAQGLSNFSHPQAYTNLTLARYGYSS PPPSAGLSMSQGITGPPSYSATTLAGPPPTQAGGMTFAPPPQNPNAHLFSQNSFDSQP HHAPHPQYADYLQQSHNGNNNHSPSTPEDERVILNGGYSNYSYAQPVQHQYGQLNTEY DIHNQIYIPTEAELKGSKHGKAGSQSSKSSSRGTKIEAGLGRMDSKVNGFLKKIEKRI G TSTA_014030 MSLTHLSNMCSHLNNVSKARLGLTSIVDTKMHRNLILAMQQQGL IGTIVRGGRSPPPPHLLLGQPTATSVAADGSSAAGSSIVETEPVTQANIASRRLWLGL KYWQSEPVLGKITPVSKPKRRITMDLQGLRRVIRGSRSGTVDGLRSPGECLFLATDRG IMEARQCVEKKVGGLVLCRVE TSTA_014040 MADLMESRSHTEVDLESLENKEAQRDNDEPEKSNVTSTATAAPA ARAAPEQPEGGTKAWLSVLGASAALFTSFGWTNCIGLFQDEYQTHQLKQYSSSTVSWI TSVQFFFMLGMAPVAGKIFDSYGPRVPVLIGSILHVFGIMMTSLCKEYYQFFLSQSVV SGIGASFIFTPALSAPQTYFRKRRGVAAGLTVAGSSLGGVIFPLMVQHLLPEVGFGWT MRICGFLILGMLVIANLFISSALAHKHRPFHIMQYIAPLRELNFAILCVSEFFMFLAM LIPFDYIVTAAITYRMSSYMAWGLVPILNGASLLGRTLPNYIADKYGRFNVMTMMSLM STVLTLALWLPGHNNAAMICFAAFFGFSSGGVIGLGPSLIGTISPMNELGFRMGVFMA FTSIGALVGPPVAGAIISADNGSYVFVALFAGLCFAIATIGMAFVRVRLAGPGLTAKI TSTA_014050 MSGHVAQSALIRIGSAPTFAQIEKLQGEIARFKAFISFLKQSST QARAKLLEETEINGSQVSIPDWSKTKVRPNASEEALGSLQPPSVSPRSASDSSDDEND VAPFVSLDDTGNPSTFGLSSAFHCPASKEQALSRLPHPVTPDYARHELVANAALQRQR EYNIAQLPDIDGVPTELAMHLLDLHWTRQHHTFLLTYRPAIMANLLQENGPYCSKFLL NAIFACSSKFSQRLEVRDDPGDSMTTGQRFFQRCDELLAQDSLFLRPSIPTVIGLLLL GSTYNARGETSKGWLFTGYALRMVYDLGLHIDPKGPKYTLEDMEIRRRVFWGAFICDK LQSLYLGRPVGIGLRDCHVSRWFLDVYEEEELYLPYLDPKFPPASTPSIPPRLPSPIH SVTTFQSFCLLSRIMTKIINRLYVVGAKLSRAHKSLQVIDNTLQGWKRNLPEKIDYEP TVALSQDEGVPIRYPPPNVLNLHGIYYSLIILLHRPFIADGHLRSTGTPASSWERCTT AARCITSIALAYKAAYRLEAAPYLLSYALYVACTIHVRNAAAGTNGTGQEYFFLMESL QCLDDISQANPGVRTPANIIRRLMAATRLDRIDTGIQNTSSIDFHLSSIDLDAILNMF PSKDPNDAIDHNTSTSMNMFEQFVAVDPLFGLMNARLHTPSDVADFASLDGSNTIPEV TSTA_014060 MTTEPKSDSVAEHMEVQEIQEPDPKVSASTIMAVFFMGMTYVPA ITCGLVLSSFLLAQIGVQLNDTKNVSWIPTAWSVASAVSFAIAGGLSDIFGRRNVLLS GQVIVLVGSIVAGTAKTVLTIVAGSTIIGFGAGIIFVSYPGISELLPNKYRGIGLGWT EFCIGFPWIVAGVLLANQLNEHATWRWIYYIGIIYSGVVIVGTAVFYFPPSRPQGDYE RTRWQEFKDLDFIGLLLFTAGIVLFLVGFAYLGQPTYSKSLVASTTVIGGLVLIGAFA YDFTIPKNPIFPFHLFSMFREYTVHLVVLFIAGMIWQTVTTWAPQATLFIFTHDPVQI GLVQMPNNWAGFVGGWVFPSLVHKIKNIRYQIIFALVLQTVFTALYAVAIPKHKWMWA VFQLFGQCCFTWVTTLAYVSSSLCVPQEELGVSAGLIGTFRSAGGSVGNTIFSTIITS VLNKRLAPNIIKAALAAGFPADQLEKLVPAAIGAGMGAPNTFSNIPAATAAVIAATQA AFKDAYAHAFKVVFLATIPFGVVAIVAALFLKDASHLLNNKVAVRQEKEVLARKSVTV TSTA_014070 MAPSAATGADIDSELFANVNNNTHQLWWKDPGLRRLNIVLLSTF LGPIANGYDISLISGLNAIPQWFEDLSGLEDASTSGLLIAVADRLGRRWGIIIGGIST IAPVIGQSFCKTAVYHAPIEDRTSAVAGVLFNTFFFVGSITDAWASFGSHYLNTRLRH IYSGVSALPINNNRPGEARKIPARFHANGNETDELVVIEFSEICASTEISRNTKVSWA SLFATPGNRRRVSEDLPGLGLGLATQWVGNSNISYYFVPVLETVGISDPTKQQGVNGG LQVYSWILAICGAPLAERCGRRRLFLVSACTMLLFMILVLAWSAVFANTGNPASGAAV IVFLFLFLGWIRYRIYTDSNPLRQRNMAH TSTA_014080 MTTAAVFGCTGAVGSQILASLLNADGFSSIKTISRRLPSVQSPK LQAIEEGDTSKWSGMIASLSPKPKLVFNAVGTTKAAAGGIENQWKIDHDLCIENARAA KEAGVKTYVFISSAGTRGFLTGYVPYSKMKVGVEDAIKELDFEQAIILRPGMILGREK PKAPLFEKVVENLNRIGQGIQDRLGQDQTVIGRAAVAAARIAEEGKAPSRYWVVEMGD IIKLGRDEWKE TSTA_014090 MELPTQDGSSIKHKRNRTAQSCVQCRLRKVRCDRKLPCAPCLRS RSSLSCSYDKRNTSKEPRPVVQNIQSVARQGTPFSLSSNDGTGEDAAVVAIEPGATAQ GDRLIEDLQRRVRQLEEIVLKTTDTAKNSGSVGLSVEAHMPREQPLEAPTPRLRNAWS KTKIFGPNNWLQTAEQFQVLGEFIASDLPFAERFKDRADLLNTLRECISLRRSFKKQV FNEAALPPTDISSTFPQKPVCDELVQNGVYIFWEDPQLIPDHFKIKLALVLAIGSAFY SIQDDLTCYQSTVQNWVHAGQLWLVGPSSHADFTNDGLQIGCLLILSRLTGPASTPTW ISTASLLQMGLMMGLHRDSSLFPSLTPFQVETRNRLWATIIELSVQALLDSGVPLPLS LDEFDSRGPSNINDHDISPESNTEVQPKGEHIFTDSSIQILLRKSINMRLEVARLLND PLRKNLTFDRAMKLGQELRAACREISAFFQLHTSTPQSSASGQMEFHRKLLDMYLHRY IFFLYRPFMIEARKNLRYYVARKMCVESCLIIASHCEDAPDPSLEMGNYIRLLNCVGS GTFKGPLCMDVIAVLGLELVMQVEEDTSLRSMSSRHTAAIDPLSEMNKANRAPLIRCL DRIKNQLEQIIVAGRVSLKRVIFLVGLLSLVRAIDCGLPIQQTIFGDIMTALREYYSY LSGQSSDQSLPEPVGDMASDPDKTPDLSTFFATDDWDPSSIVFPDLFSLLEPSSARW TSTA_014100 MTLPDVLITGGCGFVGAAIARAIAEKHPECRITIIDINPPGPIH QVPSQASFIKVDITSKTQVEEAISKTRSAIVIHSAGIVPVLAERFGRRMQSTVWKINV EGTRNMLDVSKRMGVKAFVFTSSCCVLIDDMRLSYRNVTEEWPTSQSSLIYGESKAAA EALVLQASCAEMPTCSLRPSVLTGPGDYQLLPAIHACIAKGETPWVVGDGLNYWDITL VENIADAHVLAAENLVSTEKTAAGEAFFIQNNEPMTFRDFCLAIWRNFGHIPPFTVHI PRSLAWLTGLVAECYTWFTGTPTTLSRGSVNDACHMRYASGEKARRILGYEARFGLEE GIRLSCEDYARRIGIKLPDAPGIRIGQSMNTKRW TSTA_014110 MGFQSLPGAATSMVDHYISPTPGMDGFSRHGTSSSSSQSSYFGS AAPSPVIYQQSGGHGFQNSRAYTDMESNRPMPQATPVDYQTYYAQPANSSRHRRYSSA SIAQAPIGRPHRHVSVHSEWDTAARISPDVYMHDVDSPRSYETREYVVETSKSRHGDK ASKTGSVKYHSQRRPSNRDEFDGPHQLLEPPSPKTIAAQERELPTLPTNLDVSEQDRI LCEVNDRLSRCAFDFVAKYQFPIPIEPDKRQVRVPADREWTEWVYLLKRLATKRRIPA RLVTVLENSLEMRHAAKHQSRPVKDDRNVLQLVSAGTQVAKILKDATAMEYLDRLYQE TERRIKERQNRRVKFAS TSTA_014120 MTALPASGGVRSLLAKFENTNNNSNNPDVSPSRGRSSVDSQDNA TNRPLSKVRASFVSVERNGQGSPTPGVLRRAESYNSADVDSNHRSATVSPTGQLETAS ASPFNPSPHKIRTALATFQGDRIENANAKLASLVAKDNAHPPPKVTEKSETTPELLQP AIIEKPVDKPTNAASTKQTAPSKTIKKSASTLSIAKESSQNKNPTRAPTVKERKPAVT PTRSATTTTRPAAHSDKPASRSTAARSSTPATRAERASTPSHDITKRPPVQPSPKTKP ASKSPTRPVRLPASLVTPTAASAARTGTLARSQSHVNLRSSPKTEAAKTLSRKPSTLR PDTSRLATHTIPDRPKSRVSTTSSRAPQEESFLARMMRPTASSASKMHDKVEPRSPPR LGKAAKLTPKKETPTKTAEVKKEMHEKPLQDPTPATPKAKIEKVAPDQTTIKEDDALE NGHHVAQSATLAKDEFPKEAGVSTAQSTSDSIMTEKAPETTAEPSSELAEESKTKVTA AEPSPEPVTEQNIHKEAVQELETQATQADDVNDIPGKDLESVPETVPEVPENDVKETA QGTAAESDSSHDNQPETIAEPVLEAPVPLEGIWNKTDDVPEDQDVKHAEPEQPTEKSV PAAAEETPAEPVEKAEEPVQNTSVDKSLESTAEKTEEKVEYVPEDDN TSTA_014120 MTALPASGGVRSLLAKFENTNNNSNNPDVSPSRGRSSVDSQDNA TNRPLSKVRASFVSVERNGQGSPTPGVLRRAESYNSADVDSNHRSATVSPTGQLETAS ASPFNPSPHKIRTALATFQGDRIENANAKLASLVAKDNAHPPPKVTEKSETTPELLQP AIIEKPVDKPTNAASTKQTAPSKTIKKSASTLSIAKESSQNKNPTRAPTVKERKPAVT PTRSATTTTRPAAHSDKPASRSTAARSSTPATRAERASTPSHDITKRPPVQPSPKTKP ASKSPTRPVRLPASLVTPTAASAARTGTLARSQSHVNLRSSPKTEAAKTLSRKPSTLR PDTSRLATHTIPDRPKSRVSTTSSRAPQEESFLARMMRPTASSASKMHDKVEPRSPPR LGKAAKLTPKKETPTKTAEVKKEMHEKPLQDPTPATPKAKIEKVAPDQTTIKEDDALE NGHHVAQSATLAKDEFPKEAGVSTAQSTSDSIMTEKAPETTAEPSSELAEESKTKVTA AEPSPEPVTEQNIHKEAVQELETQATQADDVNDIPGKDLESVPETVPEVPENDVKETA QGTAAESDSSHDNQPETIAEPVLEAPVPLEGIWNKTDDVPEDQDVKHAEPEQPTEKSV PAAAEETPAEPVEKAEEPVQNTSVDKSLESTAEKTEEKVEYVPEDDN TSTA_014130 MSSRPRIRDLGYSPGRFKTGVKNSLLDVEGVAVGQSTIHRGQDI HTGVTVILPRGIKNTRYLPCYAATHDLNGMGEMTGTHGLTEWGFISGPIALTNTVSIG KVYDALFLWQLEQGALAGDNEVEVMRRVSIPVVGETFDGLLNDISASVIDKHVVYDAI EASKTQSDVLEGNYGGGTAMRCHGYKGGTGTSSRIVPGVDKDYTLGVLVQANHGQKDD LRIGNVPVGEFLVREEARAAAEEKGTQFPKGGKAAEGSLLVVIITDAPLLPHQLRRIA QHAGMGVTQVGGHAAGRNFSGEIFLALSTGASPNELATSSSGFDYLPPLETQPVETLK NEVIDSLFYATSEATEEAILNAMCKAEPLTGYKGRSQAALPVDRVKELLDKYMVKFED E TSTA_014140 MRLRRLDGKAADLLCWLSLICTGNYRKPYPSSRRRRLRYRGDDP RKLFTTTVAPVTDYTSEIGGIAATGAFRTVATAVVEAEANICSFGERHIEKSTKLWAD IRALPRTNPLNEAESHINSKAFLSTTYTDTRKRALPVKHTHDLYDRLKRKEAMALAQL RMGMTRLNSYPNKTGAAESDLCACKQCIEMRRGSLSFYLGGKARSDPEQWRPDIKAPL SWSINLNSLGVKNPSSMKS TSTA_014150 MAGDGVELQVLFYDDEGWWGIAWLAVYNLTENPDYLNLAISIYN DIQGGVDMPCGGLWWDKSKGYIASIANELYIALAAGLANHVSSDQAQSYLDAATIGWD WFTNIGVVGDDWLVVDGVDTSSCEPTGAKWSYNQIAAVELAQATGNSSYLDYAGNISN ATTAINGTFPDANGVIKDCTGGCDIQSDMFKGSLFRGLRQLQLADPHDNWKNFIETNA QSLWNYALKVTNGGECNTGMMF TSTA_014160 MAARTLRIGLIPGDGIGREVIPAGRRLLESLPTSLGLKFSFVDL DAGFDTFKKTGNALPDKTVDILKKECDGALFGAVSSPSTKVAGYSSPIVALRKRLDLY ANVRPVKTTAGGSATGSPIDLVIVRENTEDLYVKEEKTTEGPEGKVAEAIKRISERAS SRIATMAGEIALRRQKIRQVSPGTSHLSKPMVTITHKSNVLSQTDGLFRETARKALAN PKYSTVEVEEQIVDSMVYKLFRQPGYYDVIVAPNLYGDILSDGAAALVGSLGLVPSAN VGDGFAIGEPCHGSAPDIEGKGIANPIATLRSVALMLEFLGEEPAAAKIYAAVDANLD EGKYLSPDLGGKATTQEVLEDVLKRL TSTA_014170 MPTPGSKSPVNYRLRVTAGPEYALDTHRVVPVNADKTLRIENEL AIIYLCVRIQDYTGLPNGSPKTSKYFSHPLHESDQYSISFILIPKQDISGNDLVWGND FNRPIRDSIPPGFNTALRIVKWAIDPGLDGDPYADKPYLYSPGLASWNYFRIGEKVGP EELSAGDEKKENVHDRISVVEEGAEGTGEKERGRLQIPNDVSQRKKYFLDENVRNDFV FEKGRQYLIDFGNPYLGFNDFTLRLPGFHLHVAKYIDARKHKLRYTLKNRKLDKTLLV VLFTLLVEGSEEEISERELSVGSGEDGNAGSSSKR TSTA_014180 MVQPNMSTRRTSAGNGQKRAYACSPSIEAAGAASSVPNETGNMT RGGNQADDSSWTPRNASKRVRFSDPGPSPDDRASDASTGLTPGLKRTSLLDRLRSTRR RSGPLAEILSDASIGSVTTRRAKPTALLSETDDSRTRRRLFRARISDEMNKAENERRD LRRAKAQIASLSRQLEKYRPASDNNSYDPSDSDEAIPLDDYTMGDSMLMSSSPAFRRH HDGRSSDSDHFPSPEPFSPITPTAGSNTEYLIVDDHPSEELASVAEELAAARKEKQDL FIEWRKLHSQSSAQPGADDTDPPADFMKQIVPQLEAAIRKESDLRQTLKTAQEELTSM GFSGPNMHAILSEIRSAFATARLELENIVPGETAAGLFDCKATLKALVDRLRQIGGAL LTERRRADSAADSHRLLTEKFQEALKERDDVQKKLKRSEESPERNAEDTLHLRTRLQE LEEEIRDQAISIDRKNKELKRYDAEEKNYERLIEELEQEIKENHDNKRELSQQVEELE ESLAAAEHTAAECLGTIEMQGDSLKNKQEDIDKLAARVEGVLAENALLIDAMTKQEEE LGCLNARLAEQATELSAVRDELAETENVNDMLEELNKQEARTRHRIEREVAETFTKLQ GIFDHERKEEQRRQTTSNIIKGENSNKVEGSEPSLPTNSPFKKSGSGPSENVRLGRGK DRRTLFSSDLDSGIGPSDHAGPKTDPPVGSDAFLTAVGQEELINEEHVDEEHVSEEHA NEELAHNENMDKGLPDDILWGNVGAEYHVDEDQNIE TSTA_014190 MEEEPAITTRVGRVVVPSTRAREALEGADSTDPIAATRKMTSKA KPTAVRKAASQIEERQCAQDENQVMLRKMCQYLKGTYQEVKSLQEMLSKQEKIIQEQN NMIKGLQAQIEVIQNQSTEDCRQFWEQLDTIAKVLINAASMQSKSQPSFANITNSQSG YQHSTHLGPLGPLVPPTLANTLFCTIDTSRVREEDKPKAQIANIRQMIEKEIQGNEGM EIWCCVATAILDMNSNVLLGVAEALGKENNVDIAKITWLSKRDSNKVYGSIVVYITKG TDAKRLLEGNYFDIAGESAYT TSTA_014200 MSLSQTKEVTIHSVFAIYNYLFTHLEKCTAQLSRKRVGWKKVML AALDSAKEKLSEYYAITDHVGALQNKLEFFSTSEWEPEWRVRYRKSLEEYIVPYEKRY LET TSTA_014210 MYLSYSRYLLVIRPRTAGPNRVQSGAVLKKLELHRTILRCGAVW SRFLGTDRLQIRGEHDREKAIRITNSTEGILIATSSYTKGDIVGMRESIKDI TSTA_014220 MASAQSRIAELATTVAQHTRRIDSYLAEKDLPYPSFDAGGPVDL GLPPEIEESRTIVLEASQELNDLLQGPRDLLFNHQHNLLAYLKLISRFDLASKVPITG EITFVDLAATVGVDRAALTSILRLGIAHRIFREPRPGFIAHSAASRLIAEDTRVASWV GANVDDMWPAAEKVVDALVKWPLAEEPNQTGFALANGTDQSFYAELEKNPDRARRFGG AMSFFTTGDEYSLRHLTDGYDWGSIGSGGTVVDLGGSHGDAAFALARKYPGLHLIVQE LPQVVANSKEEFGLDVKFMVHDFFEEQPVHGADAYLFRWTLHNWPDKYCIKALRALIP ALKAGARVLIADFVMPPPGVLPNLLDRKLRAMDLTMLEIGNSKERALDEWISLFAQAD SRFIFKGMRQPPGSRLAILEVTWELENK TSTA_014230 MVNTKDYSRLSSSEDDSLNVPRREWRLSALQKAFFSSIILIGMI CMVTLGFILGSWYGHMSSYTSIEPGGDLHYYMRFNGSYPQRSSLQSDALWDSLFPEKK GFVKHPKLAPNVAGIAVFHELHCLNILRMAFFASVDGLLEEMGAESEEMNHRTSHHHI RHCFEYLRQSLICLADSNLESMNYTTRGVSGWQTERTCRDFERLKSWADDWGISKEDA LHNWDSQGHFDGDSSSM TSTA_014230 MVNTKDYSRLSSSEDDSLNVPRREWRLSALQKAFFSSIILIEKK GFVKHPKLAPNVAGIAVFHELHCLNILRMAFFASVDGLLEEMGAESEEMNHRTSHHHI RHCFEYLRQSLICLADSNLESMNYTTRGVSGWQTERTCRDFERLKSWADDWGISKEDA LHNWDSQGHFDGDSSSM TSTA_014240 MHVQMRPHSAAEEGTWAIHSPMRNARYIHSNNRECTPACAVKML NGDEDDTATAPLYTACDEEHDSAPEKEVQYYDRRRFRSIHVFTAICYLILMGMHVKLW IHSKKLESDLRTMKPDLFPSLAHSSAFRKDNRVFSLTVADTPFAGDPSLELDQAWHNL LEDSTIRVSKEDLDYYNITSLPLADGSGFASELFVTHELHCLKKIRQYIYKETYFEHV QGFARNELKRHVDHCIETLRQGIMCRGDVSLATYTYLQGSNDVTARSWGSHQCIDFES LTAWTRERAVDIFRPGVLPNPESLGPEHITAKKEPH TSTA_014240 MHVQMRPHSAAEEGTWAIHSPMRNARYIHSSNNRECTPACAVKM LNGDEDDTATAPLYTACDEEHDSAPEKEVQYYDRRRFRSIHVFTAICYLILMGMHVKL WIHSKKLESDLRTMKPDLFPSLAHSSAFRKDNRVFSLTVADTPFAGDPSLELDQAWHN LLEDSTIRVSKEDLDYYNITSLPLADGSGFASELFVTHELHCLKKIRQYIYKETYFEH VQGFARNELKRHVDHCIETLRQGIMCRGDVSLATYTYLQGSNDVTARSWGSHQCIDFE SLTAWTRERAVDIFRPGVLPNPESLGPEHITAKKEPH TSTA_014240 MLNGDEDDTATAPLYTACDEEHDSAPEKEVQYYDRRRFRSIHVF TAICYLILMGMHVKLWIHSKKLESDLRTMKPDLFPSLAHSSAFRKDNRVFSLTVADTP FAGDPSLELDQAWHNLLEDSTIRVSKEDLDYYNITSLPLADGSGFASELFVTHELHCL KKIRQYIYKETYFEHVQGFARNELKRHVDHCIETLRQGIMCRGDVSLATYTYLQGSND VTARSWGSHQCIDFESLTAWTRERAVDIFRPGVLPNPESLGPEHITAKKEPH TSTA_014250 MAWTATNFIAIGVTFMMLAIISVALRFWARANCDTKFGADDVLI IPAAICVVGIAVTMIIGTQIGEMAQHQMSEYDSSGHPIYMQTLANYEKCNYILQLLPL ASLGFSKTSVLCFYRRVFFISHRFMIVNTVLIVVVVLWAVSFFFATAFQCRDPTTLWS TFEYARTNCVDTIPFYYAVSISGFITDIMILASPLPVIYRLRLPLKSRIAVAGIFLLG TVVCGAGIARFVTFVNIGHGIFANINDITYFTTPVFVWTVIESSLAVVGANLPLLRSI PLKRAYISYFPTGSKNRFQSSRKRHLLENGNNSLPRYDYMDKGAPLTGLSDNNTELSG AP TSTA_014250 MIIGTQIGEMAQHQMSEYDSSGHPIYMQTLANYEKCNYILQLLP LASLGFSKTSVLCFYRRVFFISHRFMIVNTVLIVVVVLWAVSFFFATAFQCRDPTTLW STFEYARTNCVDTIPFYYAVSISGFITDIMILASPLPVIYRLRLPLKSRIAVAGIFLL GTVCVPHPSRYLDECHVIINKSSVCGAGIARFVTFVNIGHGIFANINDITYFTTPVFV WTVIESSLAVVGANLPLLRSIPLKRAYISYFPTGSKNRFQSSRKRHLLENGNNSLPRY DYMDKGAPLTGLSDNNTELSGAP TSTA_014250 MIIGTQIGEMAQHQMSEYDSSGHPIYMQTLANYEKCNYILQLLP LASLGFSKTSVLCFYRRVFFISHRFMIVNTVLIVVVVLWAVSFFFATAFQCRDPTTLW STFEYARTNCVDTIPFYYAVSISGFITDIMILASPLPVIYRLRLPLKSRIAVAGIFLL GTVVCGAGIARFVTFVNIGHGIFANINDITYFTTPVFVWTVIESSLAVVGANLPLLRS IPLKRAYISYFPTGSKNRFQSSRKRHLLENGNNSLPRYDYMDKGAPLTGLSDNNTELS GAP TSTA_014260 MPAFDWSHETALGRLRQFPDVKIVCSHDLVALVKRGTMISLPEF GSLIRSQEVLSIVPGFAKEGHVLLGSDFPHATVPFSQSFTKFIDVYNMDDAMRKNIYF GAAEELFPRLNGTFA TSTA_014270 MGQLTDLPDELLHDVLSLLPAVDLVSVSATCRTLYRLSQDEKLW QRLVNANIPHKIDKPGVFDTFRDLYSAHHPYWFLPRNKIWFSDGEYTGTLIISRYDNR RGVVEAFRLVAEYREETAVPWRSHPDVEIQPFNPRLRLWLDDPVIELRNPKLLHFRDR QYSPDQFRMTMVGQNTRVCSSFSLCRGNLGNAPKIKNDLLWPPVTIPGERSARRHHEH LVDEKSNTYQQIQKLCQDPERISETSFYLRKWLHFGVGQPVIPRQPVDQTYIFATLDP ALYTPTKDKPFQGIWVGDYNFHKCEFLLFLQRDPGEPAPLLNNARRHLRAMIGGARIF EETNNDDNDDGIEEEEQEVALLNGRFEDAFERASPPVTQPSDEVEEFNGTRFQGRLEG IKLTGDPNIPRGEISFVAEDIGPRGLIGVSQDGEFRGARVVQSKGHIAFQHYTDDQWV NTQLFLISPDYVAQYWEPMRHISFFRRVDIDQFTKI TSTA_014280 MVVRTSAETPQQQANDTNLAMQLGRLTHYAFDAVLISAFLAGIK RSTGLTTQAHSPSLNADKITDSKDIKRWIESYLGVGEWVMDQSVAVLGSTSYFERRLG SRDLFASPSFKLEFGRAELPGKTLYMTTNRSREK TSTA_014290 MATNAFDHLTNRMKLEWYSQLNTEMAPEGNYRRTSIICTIGPKT NSVEKINELRKAGLNVVRMNFSHGSYEYHQSVIDNAREAERTQAGRPVAIALDTKGPE IRTGNTVDDKDYPISAGTVFNVTTDEQYATASDNKNMYVDYKNISKVIQPGKLIYVDD GILSLKVLEVVDEKTIRVQCLNNGNISSRKGVNLPGTDVDLPALSEKDKKDLLFGVKN KVDMVFASFIRRGDDIKEIRKVLGEEGKEIQIIAKIENQQGVNNFDEILEETDGIMVA RGDLGIEIPAPKVFIAQKMMISKCNLKGKPVICATQMLESMTYNPRPTRAEVSDVANA VLDGADCVMLSGETAKGNYPNEAVSMMHETCLLAEVAVPHFSIFDELRTLCHRPADTV EAIAMSAVSASLELNAGAILVLTTSGKTARLLAKYRPVCPIIMITRNEAASRYSHLYR GVYPFYFPESKPDFHVKIWQEDVDRRLKWGISNGIKLGVIKKGASVVCVQGWRGGMGH TNTIRVVPAEDDLGLEI TSTA_014300 MASNDRESTVVPGAEVIRLLEEIKKLLKTRNHQLESSSVPALEQ PSSALNKAVETSVSQDQSTTSGAQPSGNDNSTQSSIDILGLKNSSRPNGPDRTKYYEI HPDSRGAKADRGTELELL TSTA_014310 MTIHSNVDFNGEMVEDRQGPRGRACPNYVPEWEIIQVEGEWYHL DGMKLDPEIEFMPNSEFEEEERLVPYSLLTWRDKPWISTHLSGLNDQREYLTIRFIRG HSLTTLKRHKLYLSYYRSTAGISKSMMPRLSPLTAARTEGATRIKRSRSLCGRVCTVI SGTFILIAEAVARLQTVSNRNGVLFRLGPDKRWLVFSAWKSGRLYGATDGAYLEEVAF TMSFYPPNAILQDGSNELSTAASYFRFGSPCFDAVDIHNESQPALEYGGHLAPLRLIT VALKDAAHSWEGIADHLTLHINQRGAIFDPDLHDRLLFDDNIFSRSRLYFWAIDSLEL FIPNGRIWHSRHILLRITRNDDRPGVSHTIDGLHFKLCVQRVEKQASCLVALKTRLEL LRDQIKTLRDGLFNANAVIESRSATELGQNVKLSTFCAAAWAVDYSSDTVVFIVVTVL LSTVTYLTVLNANNLARLSKGLYRQSCKDILRSMMKDDEWATMAGKFGRFQPEREDNT PLQWYILKFLVSQMWKRITGARINYEADVPEWNKVVSNGITAIPN TSTA_014320 MTSQKANEPPSPSASSIFLPLGRRGSRSSLSTQAERETLTEALD QIHSAAYQSHSLTVFNEFANPSTSSSVVENNNVQNDSQGGLSGLYSRLRASVGGAKES GTSGRRVDGGSTPVVRQAGGEGSVVAVNDFQTSNPGSAHASKIHTPSIGALSPATESF NAAPSLKNSKLVSKPSSISSSKTSVSSTPAARSPVPPLTKATDPTVTELNVSAAHDSS RNLESSSANQSLKKEQGAIQQSDPLRSAEAENVDGISSRRSYSPVMSSKLPNSNRDIS NPKDPYLKSISGNSVPANDKSARMFDRRDLTELDTSVGGSRNLSESNDEVPNTAQEDV LTTSSSSITADGSTAPTSAGGSMSRELDDHPPSHNWHVSITPSSVVSLEDRDVKSPVP SKLSIDRPQDKLVNRVSTSRLPGYVMSRASSSETATTNSAVMGYTAQPYRHQATNRGT STPSSTFNQLRSKLLSKEFWMRDENAKDCFLCGEPFTTFRRKHHCRTCGQIFDSKCTS LIPGVYFGQGSSVRVCKPCEDMINSREYDSSDLSDIEQSPITTVNPGHLEFPFVGSPV RDDEDDSSSIRSQSIEHVLKTPTMAIPATRRVNESGNRRSAVLEIGSERPLTRPTSSR SLRSSASLRSHPMGHKRHHSRQQHIRGFKSYHEDRAPFQQRRHLEDANNADRLPAFHR DNIIDPDLAQYLSDDASSGDEQPNLLSVTSDTNLAKSSGEHERATFGGLLAAVKKGRS RFSDRSTANGSVPIRDGDDASMTSSKAVNLPRNSRRRNLSIASSVHQRPSPRLSKDNP LLFHDHHAPSASQLVGPSSTSGFKMTRSSSMRGSSAPAIELNRLSLEHVRKLLRQLLE DSSIPHVNSWETALLPILLKATDDVDPDVQRGDDMDIRHYVKLKKIPGGRPGDTSYVS GLVFTKNLALKSMPRSIPQPSILIITFAIEYARHQQHFMSLEPVIRQEREFLESLVSR IAALQPNLLLVEKSVSGLALELLEKSNIATAYNVKPSVLEAVSRCTQTRIITSMDKLV TLPSPTGHCGSFDLKTYVYGGRRKTYMYISGCAKELGCTIVLRGANSDVLSRVKRITE FMVYVVYNLKLETCLMRDEFAKLPTVEDFSNGVSSAGVKPATSRGLLITETTTVQEDA SSLDAREGSIATAAVEVPDDVPMPTYYDDLVEKHETKLLSASPCVKFEPPYLLMRARE LERRVSYLARLRDRIVQSSDEKNKSQKFVLITPELVHQNPDNASAKVREVLRAVHDAE LDRATHNYLTQKRQWEAYLSGNSNLFDPYAHQNIVVLYSLVCTTTSIPCSGPDIFALD FYNEHESDDQVFEPDFTLGQYVEDLCLEANSVCTANGCENRMYEHHRQYVHGEAQISI FVQPYPSKLRGLQETILMWSCCKICGNETQVIPMSANTWRYSFAKYLELSFWSRNLRA RAGVCQHDIHRDYLRFFGFKDMALRIHYDPITLLDIIVPRTRVTWKVDNDLRLRNEVY NKFEHRISKFMLSVKSRLKSIHVESVMPEKVEDCRKEIEILVKKANDDHNGLIRHLQE QYMNSRYWEVIPLNGVLRAVQEKVVEWDTTFADFERNFFPSEKDIRRLASLQLKKIFL DKDASATSLTSTEEAPTTPTEKDSTAVEGEVDGEAERPRSVRRMTLSPEKAQDVLVSV VEEHSGKPDAQDEVAETAEPIPDICPATAPIVPEPNVADQEVEHLDLAVPTNFSSEEL PLERQISIDLPQISRVATSPPDAGRMTPSPELSSRPGSGLRKRSLNEFGTSPKQIVPS SGIPRLADQNLRQRGKGKSPPMIRAYSQPLQTLRDKGANGSRSLLKLNSGSSTKNATP LPSPSQERPKFGDKKFTERILNKTKTANGHSMIPRSITPKSKSPRVSSLARHFEQLSR EFEKERQRERLQRAAKSKHSRAYPIISSKATVEVYKNVREAVEEQEPSREGEDLMSNA GRSSSEGKADRINEETRESEAAADKEHVTENPALTPPENEPHPVAEIASEAEDVQSDE EQSLTLTGSPEESIKLEDDLDLKELPKHERNTLVKMLANFWAERSASGWQALDYPLKV SDHVFADCDIIVREDEPSSLIAFALDSSDYKNKLATIQDHYDKEDRSHDDVAGVEARD QNRVESALLRPTGTHLKYQFQEGQAKMLCKVFYAEQFDALRRKCGVADRIVESLSRCA KWDSKGGKTKSLFLKTLDDRFVLKSLSQIETQAFLKFAPAYFQIMSEALFHELPSAIA KMFGFYQVIIKNPVTGVEFNWFLLLMENLFYDRVPTRIFDLKGSMRNRKVQSTGERDE VLLDENMVDFIYETPLFTREHSKKLLSQSVWNDTLFLGRQNVMDYSLMIAIDEPRKEL VVGIIDCIRTYTWDKKLESWIKDRGFAGGGKNRPTVTSPKEYKSRFRQAMARYVLQAP NCWHEFHSTFSDNRRIENHLSHMQTPIEIETSELTVATNSE TSTA_014330 MRSRISLTTMTRVKADGVNGTTKHTHSKTSTVAVEKGRGHSSGS DPFRAADTEDHLATQLPSRPPSRESITSQDGDIGGHTAPSSESHEGLATQVHQSIPRQ NIPRATPAEPTMSNYTRNGASLLKLLGNGNRQGPLSKQPPPQQESQQVGEASSHPGTP SSELGTNHEQTYGEDKLVTEPLHSEHTRFSSNGQQRMSSPPRRQLPSFQKPDESFRDR FGKAGTNSQEDVTSFQVQPPSSLETTATIAENGNNPMGPPEKNSRIYRSRLTQPAREE NTRHDPWSGMKKLRRRDVFIPDDQEELLDRPDCWIPPDVGHPYPRGHVPPSLLKEWNT KMIRLFRDARRAHASSQKDEPSREQEEENHEPSPKPLSDDDSDSDSESQTSWSQSPSQ HLRKPLAPPDSSPIRLHDGRQPPDISADGIDRPSEPVEEAGHQRKVVTPQPVSQPSQR DEPTREPVASTVDQGQESDPANGPRPIVHVPIEDPRPGGEVAEPSDDSDMETSIPQGL TVRTQDILSQVESSGLIAPNLSDSTSAPAAQVQILDTPAGGLKRAATKRTENLPSHSQ EWAAQKSSSGSNKSSSQIIANSLGSTAGSSDQDHQLQDAQKPLVADSQNTNWDLHSSS IPSHQDQRLLEVNPESSLRSADFHTQIIPERHASPKERFDFGTQTSPQRHASPEERPQ DDPNPSTGMVIEHRLKRRAADLDAEVSEESPCKRPRRSPTRDVDASRTQDVDNVVDVN HGLVNKDCQEHVCFIQDALRVYDMFKRSYPKYAGNFDHFQSLCHELQSLHRREMLQNS SLWDDFIMRNWVDYGTYVQSCISANEDYESYEDFFTRNFTKPSFRKRNLTPRTLKMIV SKSEPSIEKPTTVDRGVQTIDDNSVSASVIRRSPLVVDQGSKSASREPNTQSTPSNVL LVVDRPHQANIGVKLSTPSTPRKRPSTNDGGMQANIDPPTPRLFINGQSGHGDENIVS REIQETQPPDNILTDEHISDSTKDLTTDYHDLASIELVLDAPMENDSHSPDDENNDRN DPDTIEAEARFNQIPRMRRDNSHAVQALFRTYIPPPPEEQDESTPFKIWARYEHNIVS ERRRRGGYRVPLDEDGNIVFEEFEPRIDGRQERVSSFSRWLWRPRDIS TSTA_014340 MPPAPWQVQLEAPFKCALCKKIVTLRGYEAWMIHVYADLKAYVC IHPSCNQSFEYFHLWAEHVLTSHFPEVKRYCVYCNAEITSTPEISTRNAFVEHLVHNH WHSLSDAERTAATMNTERFVVATFTSFPCGICRRAKWRTWYEFVAHMARHLEEISLAA LSEDMYSLDENPAMRNEILPSVTRDHRSKKRDAPRERTNTLELSFHHHHRRQHRHHEN REETDLSQGEPDYIRIDHEIPSISVKKPHRDSLRPRKVREKAKERNPDPEEQNQEEGE PASSIHVTFEDNDPHRHNRRHSPLTKGKNPIQDLIINNIKTNNNSMNSPIKEGKVSRC MDTQQQQQQQQQYTRMRVGMG TSTA_014350 MSHRSQRPTGSTPANFDATELSKEFENLMRNKRFNDFQQQSSHS KSRTSSPAPSQSTTLSSDSSQTTTTTQSRINRRLPIMPRRPHDSASVKFCNLLHVLSV TPTKYENPGLLDEALTVIPLDRLYAEADDEHQIHKARYASMGKKPQWGYQDFVIRSLL KWFKKSFFTWVNNPQCSRCLMPTIAHGMVPPTPDETARGATRVEGYKCSGCGSLERFP RYSDVWQLLQTRCGRGGEWANCFTMLCRALGSRVRWVWNSEDYVWTEVYSEHQRRWVH VDACEGVWDQPRLYTEGWNRKLAYCIAFSIDGATDVTRRYVRSSSKYGAPRTRVAEDV LLWAIYEIRRMRREKLSEQDRRRLRKEDEREERELRMFTTSALAAEITSLFPGSRRSA RGDEQKTPLTQDEAAAQWIHGRPQNESEQGPDSQRDFDRR TSTA_014360 MSPAPAVAAPPESGRLLLVSNRLPITIRRSEGGKYEFSMSSGGL VTGLSGLAKTTTFQWYGWPGLEVPEDEIETVKSKLKEEYGATPVFIDDQLADRHYNGF SNSILWPLLHYHPGEIVFDESAWVAYKEANALFAQTIADEAKDGDMIWVHDYHLMLLP QLLRERLRAQGKKNIKIGFFLHTPFPSSEIYRVLPVRADLLKGVLYCDLIGFHTFDYA RHFLSSCTHILNLSTTPGSVRFENRSVSVGAFPIGIDPEKFLDGLKNPKVQNRIASLE SKFQGMKLMVSVDRLDYIKGIPQKLHALEVFLSNHPEWVGKVVLVQVAVPSRQDVEEY QNLRAVVNELVGRINGRFGTVDYMPIHFMHKSVNFEELLALYAVSDACVVSSTRDGMN LVSFEYIASQQKRQGVLILSEFAGAAQSLNGSLVVNPWNTEDLAKAYHQAVSMEPEQR AAKYQKLWKYISKYTSAFWGQSFVAELSRCTAHE TSTA_014370 MTLYVASLFLPYTIDFEAAGVRLGQSDFDGSNPLPASEVEGRLA ELHQETLPKVLSMTPGATTEHEKIFKPFYAPQSASDGPNGPAPTENPVESWGSSRKFN QPMSKAAKTPDSSILSRPGAVDGPVDHRKADDTDSEEPSSPRVLLSDVDWVVKSAVQG NGGLRNAIDAAAKAGIVDKKVWVGTLGMPTDSLQEHTRNNIASKLKEEFESLAVFVGD SEFEGHYFHFCRNVLYPAFHYQMQESPRHKEYDDHSWKQYVKLNETFAETIAAQWKPG DRIWIHDYHLMLLPRMLRDRLPNAEIGFFMHTAFPSSEIFRCLATRKTLLEGLLGANI IGLQTAEYVYHFVHTCSRILRLEVSADSVHLPDRLLPVKAIPMGIDLDLMNEQRHLPE VKEWVSKIRERYEGKHLIVARDRLDAAGGVKQKLLSYEKFLKRYPEWRDNVVLVQVAS SSAPDLTDLETQISKIAMRINSKYSTLTHQPLVLLKQDISFAQFLALMSVAEIFMITS LREGMNLAGHDFIVCQDGALDASQKYGSLILSEFTGSASIWSGYELLVNPWDYVQCAD AINRALTMPAEEKEKNWRFLADRMAKHTASMWCDTYLKALRQVYEMHSSRELHTISSL SLESVREKYNKSKSHVFILGDQAIFPSLKEKEEVAVSSNHEILSALAADPKNVVYITS SQTPKQLELSMGESPNIGLIAENGAFLREPGQSEWQQLLDESDTRDWRRGIQRVMEYF QERTEGTSLEERRFSLTFNYKAAVDKELAIRQAAELSDQINGSRGNVPIRAVLSDGAV TVEPTNVTKATTAELALERLSNNPDHDSPDFLFIAGNTRGSETLFRWANQLEREGRVE NVISVTTGGTQAVSAAATVLYDNETLMNGLAGLISQ TSTA_014380 MPTLFTPLQVGRLQLSHRIALAPLSRYRVEDNTHTPIVSLVKEY YTQRASTPGTLLISEATLITPQAGAYTNAPGIWSEAQIKAWKEIVDAIHAKGSYIYLQ LWALGRAADPVALRAQPGGEKFEVVSSSSTLLVNEERVHDTPRALTEEEIQQYIRDYA TAAKNAVERAGFDGVEIHGANGYLIDQFIQNIVNHRTDRWGGSAENRARFALEIVKAV SEAVGADRTAIRFSPYSTYNDMNMTDSDRDETFTYLAQEVAKFKLAYVHLVESRVSGN ADVEQPQGSLQFFLDAYRDASPLVLAGGYKAHSAKEAVETRYKDHQVVIAFGRPFISN PDLPFRIKEGIELAPYNRDTFYLFKDPKGYVDYPFSERLACIVEYI TSTA_014390 MKATPLLIAWHNGNAPVYSVHFDPHVKGRFATAGNDNNVRTWKL ECNGEERKVTYLSTLVKHTQAVNVVRFSPKGEMLASAGDDGNVLLWVPSELSMAPLGE DHSDDKETWRVKHMCRSSGAEIYDLAWSPDGVFIITGSMDNVTRIYNAQTGQMVRQIA EHSHYVQGVAWDPLNEFVATQSSDRSVHIYSLKTKDGQFSLTTHGKFSKMDLPARRIP ASSPAPTDTKTQSVVGGLSIASPAPSTPGTPKTMTLPMDPPPVSHSRRSSFGSSPSIR RSASPAPSLPLPAVKPMEVSSPSLFGGLGVRNANLYANESFTSFFRRLTFTPDGSLLF TPAGQFKTTQGSTSDSMKASEEIINTVYIYTRAGFNKPPIAHLPGHKKPSVAVRCSPI YYTLRQGSRPTNHITLDTSSGDDSFPALPDPVVSANITSHPSMEPPPPSSDQTKPSPK TENDSASSSAFALPYRLVYAVATQDAVFIYDTQQQTPLCVVNNLHFATFTDLSWSSDG LTLIMSSSDGFCSTLSFASGELGQPYTPPVPSSNSTAHHHTLSASSSSNNTPLPTPTH APSPSLTKQSPAPASSGPNGAGRASSPARSSSASSIQTLHASQSGNVINNPTPTLGTV PPLTATNSLPLTTPPQTPLPGISHSATSSFSSTVLGKRDIGAASESEREEPRRDGKQA SETEKPAKKRRIAPTLVSKDSASFASDVESKPVPPQASDKVEPQPTEDAEQVDQ TSTA_014400 MGWFWGNSNQEDPTKKLDPELQDYLKKERPATYTTVVRPSRETP TEPQTPSSQPDTNNATEGKSAVPAASLYPDGRYAHLWKTYKPLADVEATDNSNSAQRV VERLKRRKDSVHSAAMENCAIEHEGLTTCFNKSDWMSMIKARATMCSDENRKFSRCYT TQAKFLQALGYASSLDYDEEREERIQMHADKLYHQMLDYESRVAEARAAGQEPPPITS LFNPNAKPVPANDGKTIIIPGAEQLPEGYKLPASLDKLSPHERELEIQSIKAEIEQKE IYSEAAAPFVKVQQESQMKRQEKAKSWFGETLGKWIT TSTA_014410 MAASREERLQMRQRGAGTRKVQALDFGFSFGSPTESTQAPSVAQ QLESVPEDTNVVESTTTTTLPSAIATVTEHSTRETPTRVLRNSTAAGSSRRLDRVSVG RVSTPNNTTEQEEGRSSKRRRISTRNDDSTLPATSQSEIVNLSLAIHEDTTQNDEQQN SVDNSAEVPEVPTADVRDDQPPGNTIVEDAPPQEIITTNRRGRPKTAESQENRKEKSR QKDNQTRRHSIRQEAGSSDPPEDTSNQETQPRLPRARSRRGAENQPAAEAEPEIDREA VQEDEAPEASTAKSGRKRKNQPENGQDKGKEPTQQAPRGRRKRTRPPIEDEAEDREDT APQPRKERKGKNREVEAVAEMPGEETQERAEEIQKSKENGESSRRRGRPSLDKEQDSQ PEAETTTTTRPEPDQAPKRRRGRASGVTSEDKPTRRREGTVAITVHRLANAHILDSTG AASDPSDNEQDSTDELEAVGKNFPSRNGVNAADVLSQICKEILDKHLTTLDNNIANDA GNQSKRSEYARQRKTIEAYGTQLEGRLFELSELLDSNFSLGVQLKKAKREAAEMRNRL LEIRQQRHEITLRMDAVRRRHIAEENAKMARNAINNTLHNLDLTLDRNRVRADDDRQN ESSGIAGLEFLLRSVSENVSSAAEGSYGGLLQQAKAFNAQLEMTVRKLEGSTTV TSTA_014420 MASAPDAAPPLDKETTNLDNTGASTNGASPAAYPQMSREEHDVA RAAARFGYGPLAQVNTHEARLPAFGGEFQPGLYRPVSDRKIANPAPLGLSAFALTTFV LGCINMGARDITEPNIVIGLAFGYGGLVQLLAGMWEMAVGNTFGATALSSYGGFWLAF AITLTPGGFNIVGALEAADHNSPIMFYNSFGLFLMGWFIFTFILLLCTLRSTVAFFSL FFTLDLAFLLLGIGYLVRENGAPDPKIIKAGGFFALLAAFLAWYNALAGIADSSNSFF IIPVAHFPWSEKGRERRAKVARDTV TSTA_014430 MDAKTGSVASDDTHRAAHSRQHSYEQVFTTFATPQQPQFVQSIS PTQDDPSNKEDNGDDKSPTMSQQPKTGGSRSPLFWVNSDPQTVRKGSREETLKRIRSH VMSEHNRKKRLENTRRYNKSKTWKNLAYRPEPIATSRLSRAIESSISPPYSSSSSSDR SSSKSSSSPEIREQSPPDSLKKSRSAGSLTSETSIAFSTIQDFSQESTSSTQSSPQEQ QLSLVRHEASVPVAPRVGTDELDPFHATQVQLSESQYQHFKFFLYDLIPQAAPFMNHG IAKLRNHWVSLAQSNPSVLYCCITSGVTNKSLRTGDFFADPATRRDSPLVLDRLRNRG LTISLINRDLSSASSAASDASIAAVSMLISVEITGSNPQDIAPHLNGLRKMVSLRKNF ADISKNVRVQVEWNDIRSACKTMSKPLFPFIRYAMPAHTPPQVRVENAGKLASTLRLL SRIPGVFGVEMCRTIDDLTSVTLYAEIFRLQPKLASVLFDEDIEEYFNNEALYTEYCL VNDRWIRASSTANSTFTLRGDDTIEGAVRLASLLFHNTTIWPFYPAIAPLFPLPVIAL ETALRHGIAAGTYTYLPDLLIWLLFIGASAAKYLPTSRIWFMDELCKAIDTYNTTIPL TTSISPGRGGGDGGNNYNEYESTQFMSTVDDFRDVLMGFFFVDRCYGADVSEIWRSLT GR TSTA_014440 MSTPKTWLIVGASRGIGLEFVRQIIGHGHRVIATVRNPNSDIDA LAKTAPDRVRVLTCDVSREESINGFIEQFVQSGERKIDYAVINAGILKYPNVRHKSLP LTFERFATHLHTNTIGPIIVAQKLLQLANVTIGTIAFMSSDSGSTTRFLAFEDGFAAY SASKAALNQALRHMAEELKRKQSQTAILALHPGEVSTDMSKIDIAWEISGGQITAEES VSAMIDVIQSKSIEHTGTFWTWKNEVCIFLPR TSTA_014450 MPILNWLITGASNGLGLALALEVLKAGHKVIATARNVTKASAAH PEIEQLGGSWLQLDVNKSDTKLIVESAVCDLFGGRIDVLANNAGYSILGSIEDLSEKE IEDQFNTNLYGPIRTIKAALPSMRAQRSGVIVNVSSIAALDPRPSGGIYGASKSSLEN LSEALCLELAPFNIRVLIVIPGGFRTDFLSGNLEPEAPMTKDYEGTPLHATLNSFRAY NGNQPGDPAKGMRRVVEVVEGTGLGEGKQGLLRLPLGADCLERAYSKVSALQRDLDGF DSIARSTNLD TSTA_014460 MKSSLQPRKLRLACDTCHQAKTRCSGGMPCTTCQNSRYECVYSI SNQLGRPKGTTKNRRENSVHASNGREALSNNNGPQQQRTPPHSTSATTTTATTTTTAA AVANFSSANIFKDLTAFDNMLPDASVESNPGMFFANGKGFPDPIGLDLISNLAFNQDR NDLNVKALSKGSCAMGKPSSICSTDDVMDTVTEDTQFYSPDAFGFGVHPLSSFHHAGT NGINKVSNSLSGERSMTTREDLYQPQLQKLDASLPRTNTCSCLQDHADLLCRLGELEN SNGSLSVDVTLMCVQQALVPWKQLSQCKICNNDDDQGVLILSAMTIRAVLRRLQRLCA AIVLSNDDPSHNDTNFLLSLSDGGRLVMGKYEVPFGEQIVVTYLLISYSLAKIRFAVL SLKSKLGHAPARAKENFENNNQPSATYDANKPAVDAGRGADTTHFSSSSIPAIASASE DTGCMHAMLRGLDATVEAVGKALQKANISLVKNAGHIS TSTA_014470 MYSVPNCQLLPSVRDQAAKEAADQTLSSPYLWSYAALKRHTKSS ATARAHTQWKKVAPQAYQDLEIITSPTRPEELKLPRPILGRILAARSKHDDFADYHER FNHQDAHLFCRCGTRKSLIHFFFCRIAKEKDFPAPWTSWTPI TSTA_014480 MNQSATLQSLSSTIGLKVTEFSNLLKEAGLPEPSFAEKSYADFA HGTATSTESTLRQVRNDLIDAAKDIIRLAMGPIDQVLSLAWSASDTANINIINTFSIA QNVPSGSSISAEDLSAATGLPVDELTRTVRYAITNGIFTEYTPGLFAHSAASAALAHN KHLRDICDFNTDFLASIQIKLAEALKARASGASDAPHAAFNVAYRTKDDLFQHFHKDA DLNAKYHAYLAGRVNTPLWSVDRLLTAWNWSALGDATVVDCGGSSGHTCVSLASICPQ TKFIVQDMSTDAMELGRESVAFLSSSDSSSPYHDLSSRITFATHDFFTEQKTLADVYI FRHILHDWNDENSVKILKALVPALEKKSAQCNGRHPRVLISEGIVPPPPEKRRGTVTE KMIRIEDSIMLAAHNACERNVQDFIDIFQAADPRFSFIGETSGAKEGAFQSLLEFEFV TSTA_014490 MPLEVIIVGAGIAGLCAAVSLCEAGHSVRIFEKSKFAAEIGAAV VLSPNAVRVLSTFGFSCERAQARQLQMWETVDGTNLGLIGKIDHREAEQKYGAPLYAI HRVDLHNELLRLISQESKKPATIHLHSKVVDTDPEKGMIELEDGTKHYADLIVAADGL RSVLRKAVFRGKDVNEKPTGLSAFRFLIPTSTLESQPSLADLRQWKEPGVTIIADTKD TVNERHMVWYDCRNGEVQNLVGIHPTRTIPGNGDNLEDTKASMLEEFAHFHPDLLELI RIATDITYWPLSIHDPLPRWSYGRVVLIGDAAHPMLPFGGQGSNQAIEDGGALGYLLR DVDNHAEIASRLALFEQVRRKRASRVQILSNARVGQEKTVEEELKKYADPPGSAVPTS HAERTMHDFGFVSSFFDLRDNVNST TSTA_014500 MATTKTFKFGPRETPGLIHADTVTEESSRTLEELLEQNHSFHHI FTTTEDHKGVYFHNHIAHHDITIWALGATPATLRSQHERNGLYQRGPMAIQEPLVKDM ADDRVFKRCLGREENFLNFCGFFEDYIDTYGYQALFQKYLVGGSEIADDMLCCIYMGY VHGIIHIGMALEFDQPRLLAEGFAQAAVHHDWWYTLYLQSAEALAAKAEEPAVPLSDC VDLCRADEKIRTCSSADYHTQTRPITGEMCLDREPARDGVMVKAKDEIIRIAARYRVD PNDLERATAELQNTAVYLTAGAQRPPYVCAFDFFLLHSVTSSIGHTMFLNEPSLTRAQ KARLLEYTGRVYLMSYAGQGCPELRLDWLVSHLPKFPNQGWAEVFDRATYHEDDGHMS KLIRCMAHTQQTSKPYDHLPEFRFKQDMFLIAGIAAIDSGSAQPMEGTKHYDFIRGAG FEEAWKRFPIRSTVSSAA TSTA_014510 MLRERSIIFFGDLSLSIHPAFSQLLRSQKEHSLLSNFLNQAKSA LQAEATNLSVIERKELPSLTDLYSLSESENGNASDHPILSPALLVILQLGQFISWYEE HPERRYPESDSTLIAGICVGQISATAISLAKSLTELLPLAVDAVRIAFRLGTLTTTAR NDLEASLHEAWAVVIPRDITIPTEDVLDGIVKESEILGRKKPYITAYFPKTVTIQGPP STLRLVSDWLQKTRGSSPSYFASEIPIYAPYHAPHLYNQDSVLQILKGLEFVEKTNDT WSFDQTKVISPTTGTFYDVSDRLDIMKKALHDILLKPIDWEKLCEGCTSFVTSSEPAR WTIRPFGPSKAAKNLLYVIHSEANADIILDETFVSSSTDQVSTTTKVPIAIIGMSGRF PDSKNPGELWKLLEQGIDCHKVIPADRFDASLYVSQDRNSRNKSKSPYGCFIENPGMF DPRFFNMSPKEAAQTDPQQRLALVTAYEALEMAGYVPNSTPSTQLDRIGTFYGQTTDD YKDLNTSQDIDTYYVSSVIRAFGPGRVSRSNQLGGPSVSVDTACSSSAMALNLACTSI WCQECDTAVVGGMLLLGSPEMYAGLSKGHFISETGPCKTFDDAADGYCRGESVASIVI KRLDAAKADNDNILAVILGAGTNYSAYAASITQPHGPTQEMLYRKVLNQAGLHPFDID YVEMHGTGTQLGDAVEMSSVSNVFAPALSKRPADKALLVGSVKPNIGHGESASGITSL IKALLILREQKVPPHIGIRSGVLNHTFTDLAKRNVHIPLKATAFPRNNSRKRRLMVNN FGAAGGNSAFILEEGPAYEGRGVVDSRVDHVISVTAKTASSLQKNISNLISYLEQNPE VSLSDLSYTTTARRVQHPLRATVIASNIDELKGHLIQLLETKISQPDSGKLPGVAFAF TGQGSVYLSLGQQLFQSNSQFRADLTRFDQICQGYEFKPFLPVINRSAADINNLSPTQ TQLALVSVQIALARLWASWGITPNLVIGHSLGEYAALNVSGVLSISDTLYLVGIRASF LENLCTKNTHSMLAVHATVEDVKSAAGNKLDRLELACVNGPEDIVLSGLVEDIQELNQ HLKLQGFKCTVLKVPFAFHSSQTDPILDSLEKAAQSVRFLKPEIPVVSTLLGTVVKET SVFGPSYLRRHAREPVNFDGALRKSYLDGLVDNQTVWLEVGPEPICLAMVKSILGSEI KAFPTLRKSESPWRTSAKTLSALHSLGFDVTWKEYHRDFEDSQRLLHLPSYAFDEKNY WIDYKNDWLLHKGTEVVEKKEPPRINSGPATTTVQTLITEQVKDDKVFIVFESDLSEP KLHALIAGHSLNGLALCPSGVFADMALTVGDYIRRKHQTKLPASSGSNIVDMQIVKPV TVTVPRVKTPELLRISGTADLQKGIVEVTFGSYSAQSGKADQNAKCIIEFGDTKLWLN HWSRSAYLIQKRIEDLERGVKTGDVDKLFEKTIYRLFSAVVDYDPRYHGMKEVMINSS ELEAVALVRLYEGTDAGNFFCSPLWLDNLAQLAGFIMNGVNVVDPRKFVYISHGWGSY QLADDIDAAKPYHVHVKMHPAEKNVVAGEVSIFQGGTIIGLCSSIKFQKVPRSLMEML LAPPSHQQQSNTQVPSQRTTSLSSKQPRVAPERKAVIPEYYSTKSQPGIKSMALETIA QEIGITAVELVDDATFVELGVDSLMALTILSKLRESLHLDLPSDIFQELTTIGDLRGF LDKFDNANDDEVDTPSSDSMSPILPTPDDETTIAGDILNTVYSIMAQQIGVEIEELLA VDDLSSLGLDSLMSLSIQGAIQEALSLKVELQLDGTAKLPTLVNIQEALGLSPTVPLS VPQPRIPATSHRASLGPSASTLLLQGNLRSGSRFLFMFPDGSGSPAAYAALPEISPDL VVYGLNSPFLQSADKYDCSIEEIAHIMLQAVQSIQPHGPYTLAGWSAGGMYAFEAARQ LTQAGETVSKLILIDSPCRSTYEPMPINLLNFLVSSTIFKASNRIVDHFRSTIRALQN YSPTEIDASRAFEVFIIWAEKGLSEDLKDAERGNVDWSHGVANWLFKREANAGPLGWE KLLPGRPISVTDVAGNHFSMVNALNSKSLGRSVADAMRDDLRDSKQQWRIF TSTA_014520 MAVTDAVPVNSTDQYEKGDTTSTSPAHSSGNNTDIEKSGDDIEP VTTAAEEEDPHPVKGFKWWLLLVAIYSTTFLYGLDNTIVADVQGSVVERFGQVAKLSW IGTGFPLGSVAVIMPFGFAFGTFNNKWVYIMSLVIFSTGSAICGGAPNMDALIVGRVI AGAGGAGQYLGVLNLITRFTTMQERSHYMGMTGLTWGAGTILGPVIGGAFADSSATWR WAFYLNLVIYGVFSPIYFLWLPSIPVIPEKSFIRKFLAMDWVGITLNAGIYLTWVMAL TFGGSTYAWNAGQEIALWVVFGVLLIAFCLQQYFLVFTSTKQRIFPGHFLKRRTLVLC FIATNCAATSFFVIVYYLPIYFEFVHSDGGLKSAVRLLPVVSTFVFWCIASGTAMPYV GYYFPWYVVSGILIAVGGGLMYTVDISTATSAIYGYSVLIGCGGGAIMQAAYSIGPAK VIPVWEDIPPVIGFINVGQIGGIMHSLAISGAVFQNYAFRYVSEALADLHLTTGQIQS AIAGTDSTVLQSLDPAQQALATQAIVHAMQKVYILILVAGAVCFTCGVLMRRERLFME KAAAG TSTA_014530 MTRIISKAKQSQIIKESIMTEQFKAIIVGGGIAGLATAIALRAP NRKITILEQSSLNREIGAIVSLQLNASKTVEKTWNLAKTLQDKGPMMDEGFQVYNLDG ERQMRIPLSTTEKYGAERMLYHRIDLHDALKKRATSDEWPSEPAEICVSSRVTHCDCE EGVVYTQTGEMFQADLIIGADGIKSVVRGSVLGIKISALRTGHSAMIMGPARNGSVYS IVAMVPDEDMNEDASNTSWTTHGDIPKMQETFADFPEWARGPLSCISDGEVGMWQLRD IDPLPTWMKGRVIIVGDAAHAMLPTQGQGASQAIEVAEAVGAFYADIDVGKDGVTSLS LEQIKAINEKIFACRYKRATTIQRYSRQTAKPATEEGSVKVKMSPSDFMDYNCSYNGA LEWARRQAEQDKVVDDIEKMRIVEREVQAEEVTIQVQP TSTA_014540 MMLTSNRNRSCLIPTNYNDFCDRLNRDVYAVIKGAIPRDRAEEY ADAFLSYIEDFGLGFNRNDPSTVKQDMLPVINEKGMILNYGITHEQWVWDICGEPAVI DAFAKVYEDDDLIVSFDVANVGFAKYLFHPSWLRNS TSTA_014550 MSTIGRIVAVGGDAVSLREGDLVLCDIYLRGRDDRDVGALLGVH DGHTEGAKRMVHGEWRDSTYAEYVKLLLENCFKLDEQKLLSPVSSDGIVPGGGMGYSV EELLHITSFAVPYGLRDVGLDVCEAVLIAPATGICPCNGRKCDCHGPEWRSFEALKVS HPNGGNGHLETVLITNDIQQETAAIASFGMPVDVYFDISPPMAAESTHLRSGLLSVRH GDRVSLMGGIKGDVAIPLGYVMHGNMTIKGKWMYEREDVLKLIKMVESGRLRLDGGSS SVKRYGLEQWEEAFEVGLRRGKLGRGELLRQFFE TSTA_014570 MCHRIFYQENHSETKTPMGNAIVRVYTAILQYAAEVRKTQQANR KEGARKCYCDSGSTTFETEVPHQRGGTELPEIGSSRSISPAQNRSTKYPSSNWRDDCI DLRSPPDL TSTA_014580 MDNVVTEKPTSALELEEQPTSKGGPIYDGGEKVDFDRAGAINAE DIEHNMTVLQAIKAYPAASWWAFVMSCTIIMESYCVFLMGQFIATKRFAHDFGVWSDI KQDWIIEASWQSAFQCSGPIGAFIGVFIAGPITSWIGYRWATIGGLMFLNGFIFIFYF GNSQGMFFAAQILEGIPWGIFIANAPAYCAEIVPLRLRAPATQMLQMFWAIGSIIVGG ITYHYQSKPNSTAYRIPIALQWMFPTPLAILLFFAPESPWWLVRKGRLAAAEKAVKRL GRASANDNPADAVAMMRRTIELEKTQKKPSLIELWKGTDRYRTLIVCGVYASQNLTGN LIANQAVYFFKQAGMADNTAFALGLITSALQWIMVMLSWILTTYLGRRTIYVYGQFIN CAFLIALGIAASVGKSNAATNAQASLGLIVSVLFCLGPAPASWVIIGETSSVRLRPLT TGIGRGAYYLVNIPCIFLSSYMLNTDKWNLGGKSGYVWAGTAFVCTVMAWIWVPEMKN RSFREIDILFRRHVPARKWKQTVIDINDDE TSTA_014590 MYLNSQPVWQLYFKYKESLWFVIDWPRSASHAAIASPTLLPFEA KSTSLDSTKHSTPDETGSPKVGDESITQTTADNEVPAGLPPLQPSPENVILAADAVGD WIELSLFPCELDTVSEPIYEYWRQAAKGELPVPLAAWLSTVAFHASRRNFMAMNHISP TFENITSRILKEKESVILLQRHTTACLTAWWSLYWRNYTDHYRRSADYFAGRRIERLH GSDIDKIHQAILQDARWKQEPADIIRPDMDSQPNMEIFDDDLTFDLMLSMRKLLASKG AIAGLEISQDNEHICESLLAPLRTFLRDNSEYAFIPFHLMYGLELLLTIYKAFLWQTG DIEKPNCRNLALEFAKEVRRSILEAGSALESSGNQEYTAKESSIRLKVQAAKLDKFSC EQRSDLYYQSPWTAGCHMVEILDSSIKV TSTA_014600 MAPSARGWEEIKIGIALSVLATIAVFLRFVARFVKKVKLGTDDW LALASLILISAMLIELIICTLTSYPSTSSFSLSDAIYRIGAAIGNSGKHLTDLDTDEV MMFYKTTDASLNSFGSHIFLVNEFTYAVASPLVKLTIIFFYRRLFISSGFRTIINMLF ILCIIWAAVAILGFALQCRPLARQWDMTVSGTCDDQIAFIESIQGINIFLDASIFAVP WPMIWKLHRPWQDKVGLSGIFLLGGL TSTA_014610 MSPSRSSFRYQVLSGLRSEDDKETLSSAYRWVGWVGVMLLATIA LLVSYWAGRRVSTFISCSKENYGLLGIVYHIRLLSLLLSISSAAAPPGTIHQVWEHQP IFSQDLTPESEAAWSSVIPIGRGFVYHPQVTPVVANIAVFHQLHCLHTLRVGYYTKLY QLNVLNGINTSKSFDEHMAITPEDVHMRHCFDYLRQALMCAADTNLEKVSPETHITTG WGSDKVCRDYWRIFAWAEQWANSSDTGII TSTA_014620 MSHLHQATGTAPHHVPLIIDGREEPGQKAFDVISPYMNKVCWTA ASATPPDAVRAVESAQKAFPAWSNTKPTLRRDILLKAADILESRLEENGEFMRTEMGA DVPSSTGFIVPLAIRMLRDIASRITSICGSVPIVETEGQSAIVYREPMGVILGIVPWN APYVFGVRAAASALAGGNTTVIKSSEYTPRCYHVMARAFLDAGLPAGCFNLISTRAED AAAVVNSMIEHPAVRKVNFTGSTAVGRKVAAFCGQHLKPCLMELGGKNSAIVCEDANI ETAAPAVLMGAFLNSGQTCMATDRIILHSAIADNFKSTVKRLLNENSFAPPPTLVSMA SKARVTEMITSALSAGAEVVHGVFHNTTSSQPDSDVPKASMAPVILGNVSQDMRIWKE ETFASLAAYVVVTSDDEAIGLANEGGYGLSASIFTEDLRKGLAMAKKIQSGAVHINSM TVQDEAALPHGGVKDSGWGRFNSDEGLKEFLVTKTITWMD TSTA_014630 MTNNFEKSVKGATKIKLAPPKSKYIEHILLATRSGEAGVGEIFR TLQFRLRDSTWTIVFKGLIVLHLMMREGAENATLEYLAENPRKVAISSFSEVQAQGHN IRRYFDYLITRAKAYADTKVDHVRSGQGRLKRLTVSKGLLRETEVVQRQIKALLKCDL LTDEVENEITLTAFRLLTMDLLALYSVMNEGTINVLEHYFEMSRPDSERALEIYKTFS AQTEEVVKFLGVARHFEYATRLEIPNLKHASTDLTQLLEDDLKDPDFEQRRREYQLQR GAKTAGRAGPGSAGGRSPILTKKEPISSSSNRPQTAPAPKTETKAPAPDLIDFFESIE PKQQQTTIPPAPQQPQLNFQQAGFGQQMFQAQPTGFPNQQGVFTQPAQPGFIQQQTGF AGDFNQPNTNPFNHMQAQSIQPQPQLQPQPTGAGFGGYGPQSYTFQNTLSAIPQEGVA TFPQQQVANGLQPQTTNPFRASMLVTNQTAFNQPGQITQPLTRQNTNPFAKRLSAIPP SNPPPMPSFQQTQSPVQSLQAQRTGTNPFAKQSPSPQPSLQQQTLQPLHPNPTGSTNP FRQSAFINQQTGQGWQVAGQQGTMGGLEQLGTVPVFPRPGMA TSTA_014640 MSRTAIFAIDIQNGLANSATEIPHAPRIRQAAETILSSLRARSS GPDIFIVQHEEGPESGDLVRSTEPWELVFPPRGEEEKVVYKHTCNTLDSNPDLINQLR AQEIGTLVMFGIQSEFCVRETSLGALAAGFKVIILQGAHSTYDDSGTGKSAMQIEREV EEEVKANGGIIIPWEKWLEN TSTA_014650 MANLQIEFSESLFYKQNSFWVTKNPVFRTDQYSCVVTKLPDTTC EDHFPAELFEGINVRTNAAYIIPFKLGKFTNNAREQVRLWTTIYALFPELKDIIGQSS VNEP TSTA_014660 MFEHNLYRSTKKGISGPPSYSTTQNGSNNQEPKASAGQQNSSVE PDYNGQKASQGASQYVQM TSTA_014670 MQELPGQGEDVRIATLQDRIRDLERQVEIARSKVREDVIDIDLD EEEDESDSNGIDYEAKVKSEPVPSASPDLNQLEDSQRWNSEHFESIHMPTIHSNQRHF YGRSSSFYFIGRLSSYLGLPPNQLTPDELMQMDSDAESFKNSLNSDVSISEIYLSKPQ EEYFLHLFFPSYNVYYPIIDHAEFMKHYQSIWTSPTSRKPSALVDIILALSMQYADAL MPRDYPALRTSIEGIVNIDAAVVGRKFYRRCQLLLIDELDGPSITTLQCHFFSVIYLS NASFHNAAHSRLAMAIRAGIILGLHWDSPEGLPDQEAEFRKRLWWTVYAMELKMALAL GRPLAVTMSQIPCPPPDRHQDDPYTHQGTNRFTANRHFIQLMLAGREVYATFQRKCSE ALAQNNSHTIHENPASLEIIAEAVRSAATQYFLTWRQELPEPLKTKRLNSGESLSTDR SALNLKESVPLGMSRQRLFLELLYHTLCMNIYRSFICFYPSRQSDETPLADSNAALSV NHAISITNIVHQVLKESNFLAGFHETFQWQWNATLTLIGFIVAFPNGSLTGPARDALI TAIDVFDVIGKYLGIAYSAARVARELFARIDVCPR TSTA_014680 MMKRSQKTRALEAQVRRMEEILRTAGIDPGESVFDPDAIDDDDE LESENGDYDRSNHLSSDYSSASPEDKKSFESGTSPQSTSSISVETPSQALVLKTDRGR DGIFFGSSSSLHILSQEGIRFIREKSGIEDFPNGLLAQPCWSAINFSPLPIDIYTDLF ECRVYKALPPRSEVFSLLRNYFGTINRIFPIIHEATFMEMVEWQYTQQKCTDVGRWAS INALLALSFRYKGDRGPRPEKDTERAWLYWKNAAAVYTELSLRPNDVLGIQALLAMAI FARVNGHPQLAIPLITAAMKSAQALGLHRRNLNPDISACELETRRRVWWCIFIMDQGI GIKTGRGYLQHPDDSDVALPGEDAKAFGDFQNGCRWSIKLFRSICSHSVLVSNIYREL YTTKAFYKSFPEVCETVTNLSDQLREWKREYSCLSYTPPKSKESNDLNREEEVKIIAH ISEKLTYLNSLILINRMPLLFEVAAQKGAHPDRDAKTRIKNISATSRHHHLICLHAAR DSLQLLERLPWRDVGFSWAILDFLFYAASILFTHIVDQPLVSETGSVEENLAMLNLAT NYFFTLASLHGRSKSVKFMASMSSIMERTAKKIIDRALKEINVAAGNKKEEATTAPAP KQQPPPPSTTVEQPNDIQIPDTNLPSSMSFSVPFPDDTFSTGLEDMFSQNSGYPYMDP MSEQNIQMTGPYTQVYNNLYQYDIPSYMMAPVPDAFWGQETTPDMLGNIPMQGKYGQN MDNDAMLEYMWSNNR TSTA_014690 MATRDKAGTVRPRSRRSIAYVPKTGSTPDQENATTDIGAMKGFT GEGRGATRDKKSRSKSLGPGGLDALQSLSGNRRKSTMAIPLKSILKPTIPVSPLRTIP TFDEARRQRDTANKSQADEGLLIDFSTPAKPPVTGTEQLDNPFDGFNVSSAIRDAKER EERERKEREKKAILEQREARRKSMASRRVSFAPEATLHTWNVVELAEDSTSSSAANST RRTSSLANSRNQAPTEPQEFKAEDEEDPDAIFSPIQPSLHQQPHELSSSPFSGSSVGG SDGTQSPVKDVDDADSDSEDFDAESTAMSLDDITSRTSGTAPMDDNTSSSERLNEALR QAAREAGTRGIDLEDDNDVSMEIADQEITGAFKPWIQKGQRISFDMEDMSALQDQENI NPFSQSPRYDHAGSSDSAEDDYNENEELSMEITNAVGKILSNSQVSRGPRKSISEQTN YDEQTMELTKVVGGIEGQQSPDAESNMEENEEMTMEFTSVIGGVLSKHVPSTVRPIGG RVADAQGQEDTSRDFSEWGSGDEDEDAGMDMEFTGAVGGILSPIMEERTGSQDGHTAG MDITAAVGRILSPGLATSDRNQAKELMELESESGQLLSSPFQVQVPPSPAKPLPSHHI APIASETGSPSLASVRSRRSRRGSGSPAIHLSPSRQLTPSKKPRTPSKQATPQPPRPT TPGKTPPSSNISLRSASPKKLFREEIKASASKSQSPGRRSLFEASANGDSTPVFILRP HPRQSSGLGIDKEGLGSPKVAEILDRRRSIGEDAQEFVPQEPSPRRLRFEDPIKIHEE VDREREEEENREERISVLQASDLDPTTNLRDLISSLTPKKRKVGTRKSLHVGAARGLL GKRPAELDEDEEDESPKRIRAANASPVKPVKLPAPPSKDETVRHVTRGSMGKSSDLSP VKQGTFTPRGQPLARIESPLKLKSPSQALYRSNDEGTQEQQIEAEPVVYEAQDAPEED LEWEPIQLQDFLNLTNIHFMELTTTKRRHTTVAGNDRMTDFRGTRQTDKRVVSLEDCV AAGFCTVPMLELYQHSCRELKSYISEGRQIIHSIEEETFADNPPLFREYVTARPDIRL LMDNQFRNVKTHARLLSKAMWYEWRMKLLEGLKEGLDRHVEEMQADDALLSQQEAILQ SVVPGLVEKRSLLDSEASRIQEIVDEMENIDPNELRMARERLAKVDTEIERKKKQLEQ MQEDLQNKNDTIEAGAELKAEFLKQIREAERVQEECRGWSIKEVNALKDSVHALEIQT GWSIVSAIDGQEPTGPGMTLRYKGELEVKVYPKLFKSATETKEDKSFASFELAYNSQH KGQSHTLAHAKSFIFSHLCTAVRTIPSSTPLKQVFRFLTDAWDLTYRFEEETRFLNFH GVTKTAVTDAADVGVTSRARCILIGMVDSGEGPKQARIDVDFRLTPRVNDVKEDSSNT VVEKLQLYTDVAVSKVYGFPKEGTRKKILSDAQMRDVILRKLRGHKGKGADVTSGLMV NLGQGSWGRSVQELALKVFS TSTA_014700 MATELTVQSERAFQKQPHIFANTKTKAKSKRVGKGGRRWYKDVG LGFRTPKNAIEGTYIDKKCPFTGLVSIRGRILTGTVVSTKMHRTLIIRREYLHYVPKY DRYEKRHKNLAAHVSPAFRVEEGDQVTVGQCRPLSKTVRFNVLRVLPRTGKAVKSFSK F TSTA_014710 MATRPRLVALNMKHRRPQHSWTQEERLLLCCAKRFFDLRNKDIT KIFNYLYNPKIRAEGFTNGLPTSTLTTQWADMQRRSHKDWHAVHTNVSFAQGPLVFQE IIEKIRHACRVLGIGLVARAIDLIVHPQQPIHRRDSTNSNERPRMAHNTILHPPVVDQ DREPTPMPQTQASRAPQAPTDTTSNNQVSTQAISRIRSYTLGNRNEQAVRCGQVPNIL WRFSNDESMGINHRSGYVANTFIGDLGNIPTPEERAQEFPTWVEIHARPRKIPSPFIS TSTDPLVAVHRALTRDKNAFVSIIDATQIKSQNIFYMKDLMQQYNIYTPSYRGAKEYV VWGSICRPAIVTSVRADELLQIAEENADIKAALQLDIISSSPNCKSQLYNRLRLKTFD SDLAVGRTIGTFLRLIRLPNDYLDDVANSLNTSWSFTTSGDTSEFCRGVHQGFQATPA PPDEFLETASLIQDTVMQDHDESTSDSSNHQITHDIDIRDYVIVDHPQQENVGTSQAF QTEVREPMDRLSIHDDTEELTHNQPYGDVLSLPIATATAVRTISLFNPDSESLIMVPN TQRSTPVLESIESAEPLLAQKEEEEEEEEEEDEVKPPIVSRTLTPTPNISSEAVPNTS TQSHARAPSESSESTIGPQTPSSNRFSMERSRIDRVLNYDWQSFWDYLHNVPNQ TSTA_014720 MTVTVGILSIGDMGVGIARLLNANSYRVVTVGTGRSQDTLDRIQ AASIEALPTDQDLVIQSDYILSIVPPRDSLATARRILEALNLPDTLSKRKAQQHGASE KVYFFDLNASSPRLMREIDDLFSMPSATGETIVQFIDGGIIGGPPSYDEIDQKWKKPS IVLSGPVDDLPSSFHTLLQSTLNMTLVGTKIGSASALKLSFASLTKGLTALSLLSFTT AQTEGVLAHLLQHLEDYSPKTGALAAAGVVGMSPKAYRWVEEMRSIGEAFDTEGHWGS LGGNVFDSFAEVYRRVAEETVLGQEKIGRRERGRSVEDVADILAQANAAIDG TSTA_014730 MAEDHQPKQQPQQLHPPPITTSQANLSSVRLQHFNFLAPAGQLP PPQQATSGPPAQHFPAGGVGPERRHYSFDTDTESQRYPLGSVPAVEYDQTEGLGGLSV SSYDSIDDDRIDPAMRGYPYHHGDKHINYHAPDHDVPYMANSLYPGNSYSVEDSGYPR GGHPAPGAGGGGDRTPSDISSSISPPNGQIGNNKYSTIPPGERLARALSIEEQNRLAI EEDKRRRNTAASARFRVKKKQREQALERAVQEATEVKASLEARVTQLEMENRWLKNLL TEKNENLLSRLAALQPADIEKLEQQQQNQQDSSVSSTSSSTLTQVSSSKKSDSHTLSA RKPIKPKPTVGVGTDDDH TSTA_014740 MHRTYSMRQSRAPTASQIENPPPPPSSTKSGRLFGRNNFGHAFR KNAAGAFGPDLAKKLSQLVKMEKNVMRSMEQVGRERMEVAQQLSIWGEAGDDDVSDVT DKLGVLIYEIGELEDQFVDRYDQYRLTIKSIRNIEASVQPSRDRKQKITDEIAKLKYK DPNSPRIVVLEQELVRAEAESLVAEAQLSNITREKLKAAFTYQFDALREHCEKIAIIA GYGKHLLELIDDTPVTPGETRQAYDGYEASKAIIQDCEDALTNWVSSTAAVRSNLSTR SRTLSQRRRNNIKRHTEDAEELKDHDSWVPADQHAGYHEDDVSEDGIVEDDGSIAHSG ANGEVRGRAEEREPVAA TSTA_014750 MGVTGLWTVVQPCARPVKLETLNRKRLAVDASIWIYQFLKAVRD KEGNALRNAHVVGFFRRICKLLFFGIKPVFVFDGGAPILKRQTIAARKKRREGRREDA VRTAGKLLAIQLQRRAEEEQAKRTADEHDVEEQEPLGEPNYVDEILMTPQERRRNRKF RKQDQYHLPDLETGLDQMGAPDDPRIMSQEELEEYARQFHNGEDINMYDFSKIDFDSP FFMSLPATDRYNILNAARIRSRLRMGYSKEQLDSMFPDRMAFSKFQIERVKERNDLTQ RLMNLNGMNGADVAFDFTSGRRIAGERGKEYVLVKNPDVEGGWALGVVGNKEGTAIDR PIDVDKYGLPDVLPGKDDEDDDDDDAFEDVPIEGLNRLPRLPFLQKGVFDEAVRRQAT ETMNRRNSTARRHAVDREEEDEDALFFSAPGDTDDLFVDNEDEDLQKAIAMSLEPHQD EASTFPLEDSLKAVQAPHFEHEGTPESGDDMDFTAALARSKQSQAEPKLKSARPEVAP EYKFSGPLPFESLKINKRAKEELPEPTLDDEAGGFEKQAESKQSKAKKQAAALPPWFS KEDGIKQDFIADESEEEAEKKTYDDVIYNHAEDVKQRLSPNIIDVDELPDTKEVIDLD SDTEVPKEQVRTVDAEPITEENPVLMNDIAEDVRANPPNPPVDVTVPHVPPRGHSVAD AASEKVVSDWEPTDDERETLQADHASAADQPTTKPKSPSPEFEDVPSPSNVEEVDKSA PLVYEHDAALFEEQDHTAVGNDDYSDPEEEDLMLQLAAETEEHARFVSEFNNKTQVEN ARDFEQELKQLRNQQRKDRRDADEVSQIMVSECQQLLRLFGLPYITAPMEAEAQCAEL VSLGLVDGIVTDDSDTFLFGGTRVYKNMFNQSKFVECFLSSDLEKEYALDRIKLIQFA HLLGSDYTEGIPGVGPVTALEIITEFTNLEEFRDWWMQVQMGMEIPNDPHQRFRKKFK KMATKIFLPPSFPDRHIDEAYLHPAVDNDPSEFQWGVPDLNGLRSFLMATIGWSQERT DEVLVPVIRDMNKREQEGTQSNITRFMSGTQGAGAFAPRVRGEGKSRMDKAFGRLRVE AESRKRGASGANTTEPAQTDEDSGSTRKRRKKKEKSQKDT TSTA_014760 MLHLLIFLLLALGDFSAAKDVVYNFNVTWVTANPDGLAERKVVG INGQWPLPIIEVDKGDQLIVNMYNGLGDKSTSIHFHGMFQNGTNDMDGASMVTQCPIP PGSSFTYNFTVNQHGTYWYHCHTDYCYPDGYRQALIVHDKDAYFAHQYDEELSVTLSD WYHDMMEDIKPKFMSLYNPTGAEPIPNAFLFNDTTNLSLSVKPNTTYLLRLINTGAFV SQYFYIEDHTFKIVEIDGVYTEPTEADTLYISVAQRYAVLLTTKNTTDKNYPIVTVAD STLLDTIPSDLRLNSTSWLEYDGSAPHPQADITVAESSDLVPIDDSTLVPYDHMPLLE NPVLSIQLDVVMGMLDTGKGYAFLNDISYTAPKVPTLYTALSAGNQTTDATVYGEFTH PMVLGHHDVVEIIMNNNDSGAHPFHLHGHNFQVLTRFPSYGADFFELKGGDPVPYDPS NHSAFPAYPPRRDTLVLPPQGHFVIRFVADNPGVWIFHCHIDWHLAQGLGMLFIEAPT QLQEQMTIPADHLAACSAGGIQSKGNAAGNTEDYTNLKGQNVQPGWIPDGFTARGIVS MVFTVLSAVLGMGSIVLYGLSDLKFSSTGSTDGQDVSDEYRD TSTA_014770 MGANVFAVQVFFIVFRECLEASIIISVLLSFLKQSLGEPHQDHA LYKRLVRQVWYGSFSGILICLIIGGAFVGVFYGLGHNIWAKAEQLWEGIFYLIACIIV TAMGLALLRINKTKEQWRIKIAKTLVEKNKNSKKSKLFGDWARRYAMFLLPFITTLRE GVEGVVFVAGVSLGYPATAFPLPVVTGIIAGLGCGYLIYRGGNAMSIQLFLIASTCIL YLIAAGMFSKVVWDLQYYRFQLAVGADVSEQGSGPGSYNIKETVWHVNCCNAETDNGW DVFNAILGWENTGTYGSVIAYIVYWIFIMVTVGYMLWEERSGKRTLRERILMALAKVP GLKGYARRKLDVIQQNPDDIVRQVHSGMFNDGVVEQTVEMNSCACQSMSCSEHFDGCF PVTALKEIHLSSSRYLLKGQGPFVSVIDVESGESIHKLKIFKRNNVHGFMTDEQRCQE QDKITVLAWGGSSLRFIHILGQGEDPRVRIVCGTLEHAAPDWIYDICSALVDDGPNFA YLITGHNSVLSVSLKRVTDSKYPNAVQLRHLVNGVQSTLYSANIVALSSSHILIAAGT VFGEIIVWSCFKSDSTDGNATTFSSSIHHFFTGHDGSIFGVGISDELRFTIAGKEQQI RLLASCSDDRTVRIWDISDCTHATSADRAAYVTDGFDLRSTGFGITAPKRISTGSESA VAKGWGHSSRVWGVYFLPARGDHTRIVNLVSRGEDATSRLWRLDLTFSTSKDGVEYEL RNISTLGHHAGKHIWSLALSKGDDDTQVVYTGGNDGAVRMYKLTYNGGNDVSPYIPKI LLKAAYSKVEIDGLMPRVFGFVSKDSVAVVYTTGLVQLGTFTTFAPLSNKPQMRWETL STEEDLRSFATISSLSSYGLAIIGGSSGRLRLYDQTTRQMTDLIKVPRRPLKIVMLDA VTNGVSGSPRNFRFLVGYPHSEEAHLFSVEIKGERYDVKQTNLILPPALRIYSGSIIG GGKCLAIGGKDGQLLLYTLDPTKGRLEYSFVKWRAHGRETVNFINSIDDDKNSDTEYF LTGGGDCHYCVYRLHRQSDAENAYGLQQIHRSSAPLSQIKGAYFDEKTGQFILYGFRD VSLILWNETTQTEIITLDCGGVNRVWQFMPDPSTQGAGTIVWNQARSLHAVVADTVVH HSLQLGSHGREQKTLAVTKAGIQNGDQTLPLIASGGEDTLIRISVPSRVSKGESSTWN AAQCLRILSGHVSGLQQIKWSDDGRFLFSSAGGEGFMAWRIRSAPKFGLAVLMEAAIP REETEVELRVTSFDVLRMKSSVDEDKFLLALVYSNSTVKVFSYTSSMDSHTYELLGKG TYSTNCLTEVHFMVQESRIFLVTGATDGYLAFWPVDWITHEGCYQFSVNTTFIVNTLK WELHHAIHASSIKALENGEVYPGYQVLVSGGDDNSLSITLVDLNGGVRVVSSVRIVNA HASAITAVKILSTRTDTTATLKIASSANDQRIKLWSVIIDLVKETSQEQVISVTLESD RYCPVADIAAMDDMEIADNATGTTEKLLVLGGVGMEMVRWSD TSTA_014780 MTSIGRIACIVFPYAVTVGALISLIFVGIGSTNSNSSTKTDLYF FRADLSNLTSAGGSSLANEAASALGDLTNFGSSCFEAALAEALAKNHIRDFYDIGLWG YCAGNKTSSGKFEAEFAFDPVSVWGLNNTGSSKDVEDILPSNLHKALNTYKAVSKWIF IAYIIAFGVTALELIVGLFAICSRLGSLVTSIISGVAFFFTAAASITATAMFAVFTGT FNTALKQYGMHGSMGHNIYVATWIATAFAFCGTLFWLFSSCCCAARSPYHGGRAGRSR VMAEKAPYTYERVSSPYGGAPAGPAAPYSGQHNVPMQTFRQDAYEPFRHV TSTA_014790 MASSNTPSSLLSPPPPRIRDILPKLRIGRYIPGPNNSLTVSLVS CHAINTGVTTILPRRDWFNFGCYASYFRFNGSGEMTGSHWLDETGLLSSPIILTNSFS VGPCYTGIFEYAVREYRDKETGLVDWFLLPVLAETCDLFLNDIGTMAVTSDMVVRGID LASSEPVKEGNTGGGTGMMCQGFKGGTGSASSVIDGVAFGAETTYTVAALVQANYGAK RDLHFGGVPVGRLIEQEEAAAAAAEEDVKKAKDGSIIVVIATDAPLHPTQLQRLAKRA TVGLSRVGGWGSNSSGDIFIAFSTAEQIPRAPDFSWKATVEQKVSVVQDVTINALFEA AADATEEAIYNALVGARDMEGPRGVVVKALDHEKLKSIMEKYL TSTA_014800 MGYISTSRPSVEVGGAIAAAYLLYLVGLVVYRLYYSPLAKFPGP KLAAASKWYEFYYDVVKRGQFTFQIQRMHKKYGPIVRINPFEVHIQDSRYWDELYTGH KEYERYAWMSGRFGANTATSSTVSSHLHYIRRAPLDPMFSKRSITKFEPIVHEMLEKL SKGIAAYKDSGRILAFNDAFNSLREIFHAAYEAVRKFAHFGLQFPSVFIVLGLSPRAL LKTVAPNMFKMFVLQKDLQDRIANIIHNEKVNSDGNTTIFDALLKSNLPPPEKTMIGA GVETVAWALTTTVFHLLDNSKHCQRLRSELQEAIPNPAILPKSVVLEKLPYLAACVKE GIRLSTGVSVRLPRVSPEKPIQYGDWVIPPGTPVSMTTLDVLRDEKVFPDASSFIPER WLDGAKTEEGESLSRYFVPFGKGPRMCIGVNLAYIELHLTLAMLFRRFEFELYETDIT DVELAHDFMVPQPKLDTKGMRVRVTGLIDW TSTA_014810 MDPIPPAQLLSKSEAHVRAVPLDYSKPESRTIRLFARTATRYSN PIVPSTAAKKVQPPFLVFFTGGPGAGNPAPQESPITSFMIDYGYDIVYLDYRGTGNSS PISAETLELIGGPTEQAQYPRLFRADALVKDCEVVRQCLTDNYPPELKKWTIFGQSFG GAVSLNYFSFYPEGVRECFITAGLGSLRTQPEELYARMYKRVIKRNKDYYAKYPADVG LVKDVASHIRKLGGQEGILLPTAGRLTVQRLMTLRLHFGGDGGFDRIHDLFTKLKADL DIYGFFTRPSLHAFEQSMTWDIVPIYAMHDSWMVNGPGIASNWTADRVGKTLGTFPWL AEDWQQSSDNEPLYFSGGMLYPFLFDTYAGLVKIKEAAQLIAKFDDWPYLYDEKQLAK NEFPYTLLALMICL TSTA_014820 MDVLSKTFYDQLVQLGSQREEITPIIKERATDFIKSLTIWQILA LVSCLYWALTSFAARTEDGYLKEWGDQWAMNTLWSHSEVPIKAISENRNDQMGKYLEA VRKELDYALEMEVPDAEDWISIDVQLMIQKLLARLVGKMIVGKPACRSPEWMDLAEHF TEDFITASIIMRLVPKWMHPLLTEVIPQRRRLRKRLQGVFNITDPCVARHEEAKMKRK QGLEIEEEDNMVAWILDNIKDQDYVLKNLNKLVVVMLVPAAHTKSPTLHEEILQVNKE HGEIGEKLPMKEWVTKLELLDSFFNGSQRLSQPLAITPNRYAVEDITFKDSLQVPKGA LLGWVSIHNQIDSNIAPEPEKFDPMRSYGKRQVAAEECHKHLAGQPSLENLTFGYGSQ ACPGRNIAISILKMVVSRLLRDYEFKFADDQSKPKNIYLLEFIIPDPKARLMIRKRK TSTA_014830 MSPAAVETESASHDAVPKQRRFGTFDVPDVATKRMEKAGIDLSQ GYPEYPAELKTFKDIHNLQPGPIEFSDPGARADREKKALFGAAKEVRHLTYYCGTEIV GLQLKDLTPTQLDELALLVAERNVVFFRDQDLSPQQQRDVTGHFGPIFCQGPHVPGVP EASVIWSQFFASHIRKPTFRTPFQGWHTDIVHLKQNFGITHLHYDTVPPYGGDILWAS GYAAYNKLSPSFRDFIDGKMAVMRSGDPYIDENDPGAGPKYVEKIHPIVRVHPATGWK CLYVNGPWVLRILGLEKAESDMCRWHWTPGTSALWDNRCTLHNASWDYENRVTRHGTR VATIAEAPYFDPKAKSMREDLGLED TSTA_014840 MGLLSFAKSIGPVPVDFKTFTYWHIALAGIGVFITYGVGLLIYR LYFHPLAGFPGPKLLAATTWYEALVDIGPHDFPQRLAQIHKKYGPIVRVAPGEIHVDD AEFFQVVFATAARHRTDIIPPRGLGQEDSIGATGNFELHQLRRKPLEKFLSRQNVTRL QHVIDEELRILDRKVANAKNTGLQIRLDHMFTSFTGDIVGEIACRENPSLLDAPASTP EWYDMIRGAARIIPVIRHFPQVGEFTQSVPAWLVQTLIPRSTGFKILQMLGEKRIAKI REEVAREKTREYGAGDSMFHNLLRSDIPESEKRSDRLNAEAVAFLGAGTYPTAATLIF VAYYILAKPEIEERLRNELKDVMAGFDNDVVSWVKLEQVDYLTACLKEGLRLLRLFRR KSRICTDKDLVYEGYVIPKGTPVSMPTYTMHIDPEVFPNPLEFNPDRWLSPSLHPRME RKWNPFLGGARNCVGMHLAWAQMYLILGTLFRPNKNYRLKLGDCDESDVFPVVDNEFG VPKYGSRGLSAFVN TSTA_014850 MLSLRSLALLGLAASALAEQPKVLHMPMARNPNANRLAKRGSAS VGVTNAVSEGLYYVNASVGTPGQKVQLVLDTGSSDIWFFGPHSCDAKTTECLGGIYNP VNSSTVKTYQPMGTFSIQYGTPNSNVTGNYITDAFSVGEASVKNLTMAYATYAAYVPT GVMGIGFDTNEAIVGRGGRAYPNFIDVLVSQNVIDTKAYSLWLNDLDSSSGSCLFGGY DTKKFTGELLTIDMQPDEQSGQFNSMTVAWTGLSITTAHGTQNVTSSSFASPALLDSG TTLSIIPNDIYEELLQFFQAQQDQQGDAIVDCGLLDSAEGSLDFTFGGANGPVIKVPF SEFALPAIGTDGSWLTFQDGSLACVLGLQGTGSQASSQLPVILGDTFLRSAYVVYDLE NKQISLAQTVFNATDSNVVEITSASPVASVVTGAVVTQTATGNPNEFGGPTATVATGS SPTGSSGSGGFGGSDTAGTLTVSLPSVTHHAATSTSTSSSKNAANIAPTPDFMSTALI AGASMLLGGAFFVLH TSTA_014860 MDNKPSDPEKIPNPNSEQDQDDTDLEAQNRTGRITFLPDERDPV TRRPQTRGRSSRSRRRSQSRNSISRTRSHSVSEVPIEFRRLSYQLSESQRVRLEDDHE KPRVQTANSSKDTKIDSAGSFENLEFHSLPPEILCKQLGVSDADGLSPSEASERLARD GPNALPHRRENYLLKLLTYIFGGFCSVLWIGVIIFFICWRPLGDPDPQPYNLGLGILV LIVIFLQAAFSAFQDWSTARTMKAILDLLPAEALAIRSGQSVRVPSSDLVVGDIIKIS IGNKVPADMRLLTTSGDVRFDRSMLTGESEEVEGVTNMTDENFLETRNIAMMGTLVTN GSATGVVVLTGKDSVMGHIALTTTSVKSQPTLIQREISRFVKIIVCLTVFLAALLLFT WAGWLRKDHPAFMNVVAMLDDVMGCVVAFIPEGMPVGVALTLMMIARRMKDNNILPKG LSTVETLGCVNVICSDKTGTLTENRMTVVTAAFVDERMSAADAAAAYKETNAAPIREL LKAAAICNDGTFEASTMSMPAMERLVQGNATDGASLKFVESVEDGSCQRLRDVNPRVF AIPFNSSNKWMLTLHKPETVKTETEQENQIEQIDRPSFLLIVKGASDILLPHCTQYWS YKQNSIQKLDENAEAQLATLQEQMSRNAERVIMLCQRTITPVETQGSNAFSDEIREEG LSDLTLIGLLGIVDPPRKETASTVASCRRAGIRFLMVTGDLGLTGAAIARDVGIFSGT ADPDTIDTIISRRESSSSSDVNSDWSQTSLLLEGPSLSQLTDADWDVICGYQEIVFGR TMPEQKLRIVNEFRTRNYVVAVTGDGVNDAPAMRAADVGIAVVSGSDVALEAADLVLM DKFDSIVEAIRLGRLVFQNLQKVISYLLPAGSWSEIWPVLMNVYFGVPLPLSSFLMII ICVFTDLFLSLSLIMEQEEFDLLDLPPRNHRKDHLINIKVYIQSYLFIGVMETICAHA MFFLYFQKHAGIPFHALIFAFEGYSDGFYGYTADELTYFNTVGQSVYFITLLFLQWGN ILSVRNKRLSILQADPIVRKERRNPWLLASAVISLAIAVFVTEEPGLQRIFQTASAPI EFWLYPIPLAVGILLMDEIRKLVVRMWPRGWIARIAW TSTA_014870 MDLPFDTVLSASSSFLKKFRTRNQHDQRLLSRQYSYQRDLLDDS SNDIRICLVRSKLNVRNQPTRLFAVKVFVHPQKNRFRLYTRRVTAEFCIAHTLQHPNV VRVIELLHNDHGDLCQVMEYCDAGSLLDLLTQVNHLTHDEADCFFKQLLHAVQYIHSV GVAHRNLKPENILLTKQGTLKITDFCYAECFRLPWAAPLKRSFQERSIQHRELKGTIA YMAPEQFTKAAFDPAAGDMWAIGIIYFAMRSGRLPWKRATEEDAFYRQYLADLENGRV NKYMDFVSTQTHRHVLYSILQPSPRYRFTATQVLDSDWIRETKLCAAASGSMQST TSTA_014880 MSRISPNQFLLSSSLIGLVAVLTGGAQGVGQSLVEQLHSAGAKI IFGDVDDKLSTNLVSALNQNDTEETKRVHFVHCDTSNYTDQLRLFQTAYKLYSRIDIV IANAGIAIHRDPFAPTLDSEESILIAPNTAEIDVNLKGPLYTTRLGLHYLRKNDPSRT NGYKGDIVLVSSIAGFKECEGLTTYTASKHGVIGLMRGLALQGEKEGIRINTICPWMT KTRLVTGIEQGWYNLGLPANEPEDVARAILICATANRSKSQRHEGAVLPFSGKIVWVG GGKSYEIEDRIRALEPEWLGRENSEVLKKGQEYLHSGKTSWDASS TSTA_014890 MKELPMRAQAPFRRRPFRVGRLVLPVLIVIGFLWFTSDYHTPQS KYIVEFHGEPQLAQSQWDRLDAHLQKCEEYNRPLVSYALPVSANRSNPRWNPVSGQSQ TTVLRNVTLFDGDSISAEPVDILFEEGVVRSISSTSANDIEIDSHTVVRHYNGEFVTP GLVDMHSHHLALSWPILSVSKDENEINPETGPLTPMVRSLDSLKPYDIATTIIASGGV TTSLILPGSANIMGGEAFPVKNLLRGGEHSEEVVEDLLLEHGIPKEDRRRYLKMACGE NPKRVYHHTRMGNAWKFRHHMARAKDLRESQDAWCAAATIAKQNRDSSAAAGLLADGP SGKGGLPEELELDSTVAMLRGKININVHCYEPEDFEDMLLHSEEFGFHIQAFHHALSA WKVPELIKSSGQNITVATFSDFSLYKKEGYEGNLQAGKILAEHGVPLAFKSDHVEPNT NARYLLSQAATAHSFGLPEILALQSVTSVPARSLELHHRVGYTKPGYDADLVVWNEHP LSAGASPLQVYIDGRSTLEETAKHSEPAVVQKPSMRAETAPEDVQSFCSDPKHGVTVL ITGISSSYLESEHVKQSSEGNLTMVLTNGKVDCFGEHAECASIKSFDKVISLRNGHVL PGLTTISNGLGLVEILSEDSTADGADDSKVNIYDPESAVYAKYGIHFEGKTFDRSRIG GVTRSITYPATDGFLKGVSTGIKTSEGSNPLNGGIYKDDAALHFVVGQEAKGSTQTPT VSKSVAALRKILSQNKGKDTLYGKAADGKIAVVVHTENKYDILQIIKIKKDYPKARLV VYGAAEAPSVADEIATAGLPLIFTAAHSAPVTWESKDLFVGPPLTISPVQVLVESDVN FALALLPGFDWHIANLPVEAAAIAKEAGLEAKEVVNLFTRKIDDILGFEGSERNTDFV IWEGNPLEYGANVVLTVDGDDKAIVGCWPEAQ TSTA_014900 MAKRTTKVGITGKYGVRYGASLRKQLKRLEVAQHARYTCTFCGR NTVRRHSVGIWNCSGCKKTMTGGAYTLSTPAASAARSTLRRLREINE TSTA_014900 MKSLPLLPHGYSSNSLLTGSLEVGITGKYGVRYGASLRKQLKRL EVAQHARYTCTFCGRNTVRRHSVGIWNCSGCKKTMTGGAYTLSTPAASAARSTLRRLR EINE TSTA_014910 MSAEEVKTASMGESMDQDDQSIAPETTPPNGVKKRWRRNRVACD ACHTRRVRCDRAFPCSRCVASQTQCELTRERRKRGRIPRNNAARRESTFANEGKNDDQ KHFSGRRSTATHQRDFISMVTDPLPTEQMAQPVNFPRSAQASPDHVLHASAHGSGEHL SRRDTIQASPRETVAGGDRAVEDWMSGSNGFPQGPYPYPMFENMAFSDSFFDNIPFGM MGLNNLNGYQLSRSVSRQQRKNDQPGRPAEAPLKYPVLAPLMPFLEPYLSRNLVYDLL DLYFTSAFSTAMHPVCHHIHCYVVRKASFLSHENPRNTSPALLASMLWVAALDDRAFS LSISPPKRKKLCQFLCALTVRLLRPLIHVSFKDDEALPIIDHENSFSLGGHDRTRTFE EGGDDRGLIGPAGSLDDVITYIHVASIVSASEQKAASMRWWHAAFTLARELRLNQEIE ATSNLGSPVDGSNRPFEFAEGTGFGANHNGTNGNYFPPSGRASLNCVCTRQQQRPNLL TEENLQHRTGSIITEEHREERRRTWWLLYIMDRHLALCYNRPLALLDAECDELLLPLE EGPWQAGDVHSNSSKADGPQCPVSPDTNRRRCFPNFACNDHSVFGFYLPLMAITGELI NLNQAKNHPMLGTRLQVKTAWDVQVNEVLRQLDTYKATLQAFSAHSSTAAAPPVGGQS SLSTVPPAGQMPPGLGQPLQPLTVSPPILNEAGGIDDANYKSYHSWHTQTVVAYASYV VHVLHILLMGKWDPVSLLEDKDFWTSSPTFASTISHALEAANALERILHYDPDVSFMP YFFGIQLLQGSFLLLLIVERLQKEAGEGILKACEVVIRATESCVVTLNTEYQRNFRQV MRSAVAQARGRPVNHSEIRHRRKAVLALYRWTRNGTGLAL TSTA_014920 MPGCNVTTKKTSKTSSYFVRSRGKKSTSGNGDLLQTWGQVKKRK PRNDEVKKLKSTICTLDEESLGAGSSTCQGVVFGSETCEDLTAQTELHDGAIKMDSMD HGDTTVDAHVLTEQVILRPTINTAAVDGRGDILHNSNGDITNGALTQISQVSQPEEHQ EENRQQKRAKSQRKQPPKLSPYFPRPLPLIETSCLPFPSVSAPTFGLIQEQLALSPFR LLIATIFLNRTRGPVAIPVLFKLFDFYPTIEDMAAANHEDIVHIIRGLGFQNQRATKF IALARKWLDSPPERGKRYRKLNYPCKKAGTDIAADEVVPDEDDGERSDRRVAWEIAHL PGIGAYAIDSWRIFCRDRLRYGSESPSASYEPEWKRVFPQDKELRAYVSWKWLSEGWV WNCENGSRTKADSELMKRAERGGVAFEGGDGHLVVLNIRPSSGGSVEERDGCPVLEER SWFVTNYLLI TSTA_014930 MATATLTSPSSVPELTTSKSSKSSSFYSSSHDADPNVSFADNSN FEDIGLEDDAEIQAYPAKLPYPRSRSRAGSRASSQNRVISHITSINTMQSATPPAKQG RELTSGQRRQITPPSSRALQGMPGQLPRGTLDPRTMKSGRRLTHGSVSTPSLPYRQPR SRSVSPSAPPLNSGVSLPSPSPSSQSLSPLAPRNPSASRRGSIQRKSVKELEAEYHDS DDDLPDDASLFNVPLSPRPPQERPASRSTSPDQVSSPRPVPLPHAVSEEHVASLSKSR NSSRHSPSTKSRLTVTRSASAGPERGQISPRDPRTYSYNLAMAELSEEAKVLTQTLEH HADDVDRKREERVQSGLSSERSSVESGSKRLSGSIQDLPPVQKSNVMIDPLPVSKEKE KVLSRTRPSWLPPKDPLEEKKHLKEYRKMMTLAKEAERKKAAKAAAVQCEKDNTREAL QRIWEDHVLPNWNNAIAEPRMRELWWRGVTPRCRGAVWQRAISNELSLTPESYQKALQ RAKDAKSRGDEDASDSSRRMREWFAAISRDVSSAFPELHLFQEGGPLRDTLIDVLEAY AMYRSDVGYTYGLHTIAALLVLQLPTAASAFITMANILNRPLPLAFLTHDRSAIARTY GLASATLRYKFPRLYSHLYETAQLSDEDIWGPMFRSLFTNGLDLEHLSRVWDCWAFEG DRIIIRAGVAVLGCLQTQLLGLSGTEAKSREEIKHVVGWGPHDLGTLTRDSKTRHSSP TPIMGYGGGQFANAGVGHYWILSLAGDEDSFMNEVREAGKVQS TSTA_014940 MRKQFKRYLLAALAFSFILALYFRDSWYNASGDRAIAQMHLSMK QPPPTDPTSTPRANAALISLVRNSELKGIISSMRYMEKSFNSKFNYPWVFFNDVPFTQ EFIDKTQAETNAPCTYELIPREHWDVPEWIDQSRMEKAFKEMDSNGVKHASQLSYHKM CRWYSGFFFRHPALDKYKYYWRVEPDVKYFCDIEYDVFQFMAQNNKTYGFTINIYDDP KTIPTLWPATTAFLDEHPGYALGSDENSYHWLTDSVARPGHTKAANGYSTCHFWSNFE IGDLDFWRSKTYTEYFEYLDKTGNFFYERWGDAPVHSVALGLFAANEQIHWFRDIGYQ HSVFSNCPKSDQCHGCQANKLTPITYWLNSEDCRVNWFKQVCESSRNRGIVNEEICGL HKSGHTF TSTA_014950 MASKMFANRMATMASSSVARSTVRSQFAAVPKRTFTNAVRPQGQ RFAAPNAFQASKRTIPATVARQAFQAQARRGYSSEIANALVEVSQNIGMGSAAIGLAG AGTGIGLVFAALLHSVARNPSLRGQLFAYAILGFAFVEAIGLFDLMIAMMAKFFLSIR YDLNCVMNELCGWYLIATSKPS TSTA_014960 MHWTRMTTYKITPAIFPDDKQAVTDLFTAYTTALGIDLTFQDYQ TELDTLPGKYSPKQGGCLLVARDETNPHTLVGCVAYRALPPSTIIINNNNGIEEKKYC EMKRLYLTPSARGTGLGTKLVEAIIAHARASGVYKGMRLDTLPNMESARALYIKFGFG EVGRYYETPVEGTIFMGLEF TSTA_014970 MATVHGTTTEAFQALKQILQKNLDNGDEIGAAIYVSLDGKPVVD LWGGFADEKRTRPWTEDTIVNVWSTTKTVTSLAALILVDRGLLDLDAPVAKYWPEFAA NGKEKILVRHVLSHTSGVSGWDQPFQYSDIYDLENSTARLAAQAPWWEPGTASGYHAV NYGHLVGEIVRRVSGKSLREFIKDEIARPLNADFSLGAPASEWHRVAEIIPPAPMDPA AFAKLDPQSVAVKTLSQGIDSGTLPNTSEFRRAEIGAVNGTTNAKALGLQADGTDLVA FVPARWGIGFGLSHPATTPYIPEGRVFFWFGWGGSFVIVDTESKLTITYVMNKMGEGI IGSPLSKEYIEAVYEIVKGLK TSTA_014970 MATVHGTTTEAFQALKQILQKNLDNGDEIGAAIYVSLDGKPVVD LWGGFADEKRTRPWTEDTIVNVWSTTKTVTSLAALILVDRGLLDLDAPVAKYWPEFAA NGKEKILVRHVLSHTSGVSGWDQPFQYSDIYDLENSTARLAAQAPWWEPGTASGYHAV NYGHLVGEIVRRVSGKSLREFIKDEIARPLNADFSLGAPASEWHRVAEIIPPAPMDPA AFAKLDPQSVAVKTLSQGIDSGTLPNTSEFRRAEIGAVNGTTNAKALGLQADGTDLVA FVPARWGIGFGLSHPATTPYIPEGRVFFWFGWGGSFVIVDTESKLTITYVMNKMGEGI IGSPLSKEYIEAVYEIVKGLK TSTA_014980 MQRFTITEPFLDVKCGLSEGPFYEEANNTLRFVDIVKKHVWWVD LNKGPSSAKKIEYDIPFGVTANLSSSTDTFLFGGKHGIGMATRDSREYKYIERFWNEA EISRNYETIMRANDGVIDCHGRFWVGAMNDPAFTNGKFDPVGILFRLDTDGTLHRVLE NVTIPNGNIYAFDYDASTGNISNQRVFWHTDLGGGPDGHAMDAEGNLWVAIWGGWKVV RVSPEGKVTAEIEVPTRCPTAVAFVGEDVYITSEEDPEAEKYPESAKWHGGVFKCHVG VKGRRLYDAKIASV TSTA_014980 MQRFTITEPFLDVKCGLSEGPFYEEANNTLRFVDIVKKHVWWVD LNKGPSSAKKIEYDIPFGVTANLSSSTDTFLFGGKHGIGMATRDSREYKYIERFWNEA EISRNYETIMRANDGVIDCHGRFWVGAMNDPAFTNGKFDPVGILFRLDTDGTLHRVLE NVTIPNGMSFSLDDKTMYWTDTPTGNIYAFDYDASTGNISNQRVFWHTDLGGGPDGHA MDAEGNLWVAIWGGWKVVRVSPEGKVTAEIEVPTRCPTAVAFVGEDVYITSEEDPEAE KYPESAKWHGGVFKCHVGVKGRRLYDAKIASV TSTA_014990 MPYKVEVAQDADMPELMEALWDAFEKPYQGVLRNFFPILNNDRE ASLLAATNGQREEYKSSYPELIWLKVTYREDDNQNSKGKIVGGAKWYFFQRNPFAPKP GSDHDPTAEEAVWYPEGPGRTFATAAMHALDKPRMTMGQGPHAFLNIAFTVFEHRRKG VSNLFLKWGLSRADELGLESWLDASTEGRPVYTKLGFVPYRMNNVNPAMPSSYTEEEK AEWEKLEKEILPMDSMTMWRPLGGKFVEGETVKPWDR TSTA_015000 MSRFTGDVHTSPIMVVSWFLLVVAMIAVFIRLGTKTWIFRKFHK DDYIIIASAIFDIGQIVCLSIAGANGYGRHIKTLSDEQVEIIQKCQYAQHELIIMTMT LSKISFIMFVRSITAVPLDHMVALGLIAATWGWAIAFLISGALQCRAPEPWNYLGKNC FNQRIWNDFFSLGDILTDVGIVIYTMIIIGRIHTKLSRRIGLAIVFGMRVLVIAAITV HITTLNKSLAADDSTYETWIATIASQIAICLSNVTACSPQFKPFLESLQSSGMRLDTT MTAGTRTADSYTGSKGFNLRSITGRGRRNDNMPYVKTIVSASPNSALDWDISSQHSQS RIIMETRTVTVVEERRRAHFAEDTV TSTA_015010 MEALKMNNWNHHSASREIGMSRSAKRQDEAQLARLGKREVLTRN FGFMAVLGFSATILMTWEGILSGGPAGTVYGYFFVWTGLAATYSMLSEMASMAPTTGG QYHWCSMLAPKSTSKFSSYVTGWLTVVGWQASYAATCYISGVMIQGIIILMHPDYIPH AWHTTLLSWAIVAFSVMINVVGGSLLPRFEGLILVIHVLGFFAVLIPLVYMADHNSAQ YVFTTWQNSGEWPTQTLSTFVGMIGTVFSFAGGDAAVHMAEETKNPQKLIPVSLMSSL LINGILGFAMLLATLFCIGDLQAAIHTPTGFPFIEIFYQVTKSRGSACAMSSIMVFLP ICAMTGVLATASRQFWAFSRDRGVPGWRIWSRVSESTNIPVNSVWLTAVISMLLGLIP LGSSVAFNDLTSMSTSGLYLSYIVCCILLLWRRCTGGIMEMNDYRSRNSSGVVSEADE DIINTAGAKIVWGPFHLKGIFGIVANIVAIAYLLIVTFFSFFPPTAKITASTMNYSAC GTVGVMLLSILYYVLRARKVFEGPIIEL TSTA_015020 MSRQMTKEDAARIQSATARGGGDMSSGGFPARAQSAAARNENAR TSSTTANTNSAGSNDNNNNTGGKRN TSTA_015030 MLQFSTLTAAAVLMAATVRGQGTSGQSTISTTASSNSASSSTHI PVFGAGATTIPLSGVAGSIVGADSTATTIALNCANSTSCGIGTKPVTFTQGPSTLVAD IVTQTDLEGHSGTLTVAVACNVVSSTQAATCTATATVAIGAQSQTSAITITTTTTIPS GQIHYDQLLITAGVDKLNSPAASHTSSGFAGAVAAPLPTGYMGIGVGGMAAAAVALTR PNLLQSHPRPSFYAQVLPHKFDKNGYANSGTFNEDFEIYFAWIMNGGQFPITVDELFH T TSTA_015040 MPSAGLPIDVSWWDAVSTFGSAQAHLPYLAAVLLALMVLSSLGN SSKNAVMLNPKSVWELTSTRAKKEYIAGALQMMGNWFNANPNPHNPAQMIADTGVVTV LPSHMADEIRNDDRLSFSRWTLQAFHRNLPGFDGFREGGSDSGIIKAVIVKDLTKHLN KVTEPLAAETAMVIPELLSDNEEWHSIALKDTIVKIIARVSSRVFLGDLLCRNEEWLE VTRDYTLYSFTAAEELRLWPAPLLPIVHWFLPQCRKLRALLKVIDDAIEWFEKEANGQ DYDPVSAQLILSVAAIHTTTDLTCQTLTQLAQNPEILAPLRKELVDVLQEHGWKKTSL YNMKLLDSVVKESQRLKPTGIATMRRLALDEVKLSDDTVIPKNSQICVSSLKLWDSEV YENPDKFDGYRFYKMREQAEGQTKAQLVTTAPEYLAWGHGKHACPGRFFAANEVKIVL IYLLLRYDWRIADGQTPQFRRNGFSLNLDQHLRMMIRRRQEEMVI TSTA_015050 MPVSRKKSCVRCRQSKLRCNQGIPSCSRCSERGVPCVYDWKAGD AAPYAHSAATRPVRIPSTPSRIIEEPPDSAMQLQGRDTISAPSASTLIHPLFQDTDVS FDNLELDFTLASPHAERAFSTLKSADLLGVSTESTTFPAYTNAQGSPGIETMVSRADT IQMMGGRLNDPGTWSLERTMLPSPVLNVQEMPLQLPSRRGTFQRRNAIKHCLASSIVL GQLTSYPKMMIQGDRLPPFICAPCQMHEELAFDCVKNKMHQCLPRDLAICVGLVNMFY SRTPQNADFVWKAIYAESDRLHREVRAVKPVLLIRMLISSYTIQHENYDVYQQLVAFQ AMTIYTLLQALDVETSEANGAHNLLSSVIQRIKYRSKCNARFDNIPLPASRDLWEANT NFTWRTEYEKDISQRKAKRALTIADLMELTEGGSLKILLETDPRFDLVPDAFAWCEGL DSLGSLLWMVIPFQQRRTQPGMSEVW TSTA_015060 MAPIRVGIIGLTTVIPSGEGYTPGSWGLAHLACLANSPHYKIVA LCNSTVEKAHKSIEHNKLDPVTKAYGSAEELAQDPDVDLIIVSVHVDKHYALLKPALQ NKKSVFVEFPLTGSYKEAEELAELAKQAGVKSVVGAQARANPALKKVKELIKSGAIGD VVTTSWTGHLILSTWSGIPEALKDFVELDGGPGRINIGLGHGLDPFLDTLGDFKDVQA IFKTYQKTTSLFNSTGTVIDPAYKATAPEYILVQGVLESGAVASINVRSTPTSVDEAG FRWIISGSEGEIEFTSPAGGYIQGSGPNCKVLLRKWKGGMEEVDLRRDEPAHVTNVLE FGINTARLYEAFATGDEDGYPSIESARKVHHLIERIKKVAVWAP TSTA_015070 MENIVNTSLDIIRRHKARHPRLHSRWGDVAITPPVEGAWSQFDN PYIRDEREYGPGFIPSLDISNKEVLLLESSTDGSDDELAKEKNIKEGRTGKEADSKAI SKAKRLTRILLPSSVNSTVRKSADKGKANDFQYRPVQPDYAQEVVEKIDQQSRPHFRY VPASRHYLYNLKRHDIRLSDSENTYGHYDTDDDADDENEIKEKSRSGRQERQRSHSCD PDVGGRSFVVTTGRRKPRPFRGTPLETINSPTSTISLSDTMSSSTLSRSSGPRANSTS RLGEQEHSPRWHAMRRLDTKPQVITVQRSKSDVKKVKRRTMTLEMVRDQEDLWY TSTA_015080 MGGQSVVELRCKCKNDPWGKKGEDSLAARLCFKQPGTDWKIDSN QTYSEMWMGTYPSVPSYVVGTGETLEEYLNKNPNLVGEKIVKKFGGGLPFLPKILSID KALPLQIHPDRNLAEQLHKQDPDKFTDTNHKPEIAVALSQFELFAGWKPLNDLTQLFR TTPLKKFLPTPDSHFNDETLKMIAQNILEADEKTVADTIRELTKLPEIFRVDNGTLFA AVCMNYLILQYGESVYVPADGMHAYISGNIMECMARSDNVLNTGFCPRADRDNVALFC EAFTGWPHDPDEARLGRHPSNKGLNGKTEEYAPPISEFNVLATHLKAGEREKISPIDG PSILCVTSGEGKMTAKASEGKSYKLSEGSVFFVACGVELEFEATGEKLDVFRPYAE TSTA_015090 MKQHINISQPSSQLLALALVASWLAITVQADSSLGTDAPGSSPN SGGPSTAYNDAGASGSNGGLSKTAQIAIGVVVGTIGLGAIIGGIVFFFRRKKKLDEKI KRYEAINAYHSAQSDAKQQQGMSLRQQRCQRQRERDVEQGMLEISTLPKDQTRDSVMP KYDPSSFHRHQYSLDSTRGLSIDMPREPLISHHQRQSSSISNNICISSMPPVPRLQKS ASKRSKGTMGYNTQSSTENSGQLPNNLSALDQQSSSNNQTLKGPKKPKPALTRLITNL TSTA_015110 MDTRFGDPSISAPTQGSWNEMPYLRPGMADFATLPNRVSRIKTC LRPDVVESSEFSGGTDNINFPRHPLVDMQTRKRSISVDRTKKQNDRQRSLSRTRQSPS PKARHRASCESPSCSERDEEERQEAVHSKTKTEPQYANRNGSREDCWRAQSRGTKRDS QQSSIQHKSPPLSATAVMRRYSSQSESTRTYSSTPSTATFRSSITTPGLHGFSASSIP PLLREEGYAAMFDKDAPPVPPIPKDRNGSMSTSSSPHSASRSVFREQHRTGNSNNKRH IRPVGPQEMVPSNDELWGLFAFLGGPFPYDMTFLPFLYSKLVINDS TSTA_015120 MMMAVQWAVWGLLTLATPIYALRTVAGSPCTAACGVTTNTTSDE IACIDSFYNTTDTGKNFQKCVSCLLSSPYQNTTIGETDVDWGLYNLRYAFSSCVYDFP VSVTNVSTPCLVSCTPLGPALNLQLTEPVGNSLENFCSSTSFADNIVTTCNNCYALTS QQAYLANFLESIRYNCHFPTPGGIAFSIASSRIFNTTQLPTTTGFSTPTSTSSGTSTV HKFLTVIIVMPIIGFLIIIALLTLCCFCLVRNRRKAAKRRRNNMHNRWAPNAFNAQWQ PAWAGYPISAYHQTSPMLQQQHYMAGGMTPYGALVPGSGFQVVDHDGKRYEAGYSTHY ISPVSPEVTNAQQPFQFGTDVTHTQDIKQPIVKQAEAYPAPQQEQPQQKIQEYYPPPD GPHAT TSTA_015120 MMMAVQWAVWGLLTLATPIYALRTVAGSPCTAACGVTTNTTSDE IACIDSFYNTTDTGKNFQKCVSCLLSSPYQNTTIGETDVDWGLYNLRYAFSSCVYDFP VSVTNVSTPCLVSCTPLGPALNLQLTEPVGNSLENFCSSTSFADNIVTTCNNCYALTS QQAYLANFLESIRYNCHFPTPGGIAFSIASSRIFNTTQLPTTTGFSTPTSTSSGTSTV HKFLTVIIVMPIIGFLIIIALLTLCCFCLVRNRRKAAKRRRNNMHNRWAPNAFNAQWQ PAWAGYPISAYHQTSPMLQQQHYMAGGMTPYGALVPGSGFQVVDHDGKRYEAGYSTHY ISPVSPEVTNAQQPFQFGTDVTHTQDIKQPIVKQAEAYPAPQQEQPQQKIQEYYPPPD GPHAT TSTA_015120 MMMAVQWAVWGLLTLATPIYALRTVAGSPCTAACGVTTNTTSDE IACIDSFYNTTDTGKNFQKCVSCLLSSPYQNTTIGETDVDWGLYNLRYAFSSCVYDFP VSVTNVSTPCLVSCTPLGPALNLQLTEPVGNSLENFCSSTSFADNIVTTCNNCYALTS QQAYLANFLESIRYNCHFPTPGGIAFSIASSRIFNTTQLPTTTGFSTPTSTSSGTSTV HKFLTVIIVMPIIGFLIIIALLTLCCFCLVRNRRKAAKRRRNNMHNRWAPNAFNAQWQ PAWAGYPISAYHQTSPMLQQQHYMAGGMTPYGALVPGSGFQVVDHDGKRYEAGYSTHY ISPVSPEVTNAQQPFQFGTDVTHTQDIKQPIVKQAEAYPAPQQEQPQQKIQEYYPPPD GPHAT TSTA_015130 MSRLLPYSDPPSSERRLRFATTEKHDDPLIYIERESRHLQRQIQ TLLDAQSDGLLASLQSPGSASSVSAVESRERSVRMIPVRQPPKKKISLRAARKGILQS MSDLLSLREVENRLLHERIQERQDAIEEVDTFANKKAGLEKTLSDLENDREGNRARKL KGEAKSLEHKIEELELELADMKARHRHIIQEVSRLENAVDAKLSSYKESLSILDTEVR QYLERPPLKPLQNDKKSSFYSLHPNRRKLEMAREHWQTEQSELAKRQEAVDLEIAALD NGGPVWQSVVKEISGFERKLKDEMQQYIMLSKSSRLPSDNEEVAKREQGKKIIEDLES TMRVIEEKLELAEQKDWRLLVCSIGAELAALREAQDMLKQMFDYMGQQDAERENDVAT EPTTARNSVSNLRGSDQRRESQGADLTTASTTGNPPTISMSEDEHADEPPADLLQDAT SAELQPATFRSEDEDDEPDPAWLLSDP TSTA_015140 MVGLTSASGLVGFLSEPDPELRVFALKTLDAEVDVLWTDIVDAI PQIEALYEDETFPERELAALVASKVYYHLQEYNESMVFALGAGKLLNLDKGGEFEQTI ISKCIDTFISLSASRRPSVGDAPLSLNTSFPSSNGATNTSASLASPITPFSQSALPSK SLLSRAEEPSADAAYPGGEDSAAKYEETSLVVRRGVQKQLQAVIERLFEQCFRQQRYR QVVGIAIEAKNLDVLRTAILRCSESEKQQYGEASKHGEELIEYVLDICMSVIQERSFR NEILKLILELLNQIPSPDYFAIAKCVVYLDEHSMATEILRQLVDKNETKARTIAYQIS FDLYDNSTQEFLKKVIAEIEELLPEQTSEESKADGEGATESDSLLQNQSSSKNELSEE ALSAFTNIRDILQGVKTIQLNLEFLYRSNKADVSILNKVRDSLEARNSIFHNAVTFSN AFMHAGTTHDKFFRDNLEWLGKAVNWSKFTATAALGVIHKGNLSEGRKLLAPYLPREH ISGVGSTGSVYSQGGSLYAYGLIYANHGGAAVELIRDHFKKATEEVVQHGGALGLGVA GMATGDEGIWEDLKSVLYTDSAVNGEAVGLAMGLVMLGTGNMKLLEEMIQYAHETQHE KIVRGLALGMALIMYGRQEAADELINGLLGDPDPYLRYGGIMTVALAYCGSGSNKAVR KLLHVAVSDVNDDVRRVAVMSLGFILFRKHQSVPRMVELLSESYNPHVRYGAAMALGI SCAGTGLDEAIDLLEPMLKDPTDFVRQGALISLAMVLVQQNETMNPKVGSIRKMMNKV ITDRHEDAMAKFGCAIALGIIDAGGRNCTISLQTQTGNLNMPGIVGAAVFTQYWYWFP LAHFLSLSFTPTAVIGVDQKLEVPVFSFHSNTRPSLFDYPPEQQVKADEAPEKVKTAV LSTTNQAKRRAQRREKQQRRESMDVDQTPTTPKVSGQLPEKMETDEAKEGEEAKEAKE AKEEAAAEGQKKKAEKEKIGYELENMSRVLPAQLKYLSFPDPRYEPVKRPTGGVVVVL DKKPDEPRETIELRATTELRQAAFSDAMQYLADRIQAQGGTGAALETPQRGGAGGGAI GAALAAGVLTAVDEDEEGAEDAPVPDEFGYETDNMEE TSTA_015150 MSQKVSKEQVAVHNKSDSLWIVIDEDVYDVTKFQDEHPGGKKIL QRVAGKDASKQFWKYHNEGILKKYKSQLQIGSLDTKKAAAPPAPAVAPAPAPAAPSAA KAKAIADAKPAKSEAPLEPFGDLIPFADPSWYQGQHSPYYNETHAALRAEVREWVESE IEPYVTEWDEGKKVPDSLYKQMGERGYLGGLLGVHFPAEYTKYRVKSVPPEKWDHFHE LIVTDELSRAASGGLVWNLIGGFGIGCPPLVKFGKKPLLDRILPGILAGDKRICLAIT EPDAGSDVANLTCEAKLSEDGKHYIVNGEKKWITNGIWSDYFTVAVRTGGEGMNGISV LLVERENGGVSTRRMDCQGVWSSGTTYITFEDTKVPVENLIGKENQGFKVIMTNFNHE RIGIVIQSLRFSRVCYEESVKYANKRRTFGKKLIDHPVIRMKLADMARQIEAAYNWLE NVVYQAQSMEETEAMLKMGGAIAGLKAQSTKTFEFCAREASQIFGGLSYSRGGQGGKI ERLYRDVRAYAIPGGSEEIMLDLSMRQSLRVYQMFGMKL TSTA_015160 MSNNVLDQDFGSDEEDDDFNPAPAVDSDDETARPDRGRGRDVEV DEEAENDNEVAEDEEKGAGDEEEELDEEEAEEEDDDEEDEEEDEEDEYAVSGRPKKRR RRGGVNAFIEEEAGVDDDDEEAEEEEDDMGDGFGAEAHPDDLDALPVGAELDDRRHRQ LDRQRELEAHMDAEKQAAALKERYGRNRMAATDSVVVPKRLLLPSVDDPSIWGVRCKP GKERDVVLNIQKRIEQRPPGTRHGLKIISAFERGKTMTGYVYIESRRQAEVMEALDGL LDVYPKTKMVLVPVKEMPDLLRVKKSAELNPGDWVRIKRGKYQGDLAQIEEVETNGLE VTVRLVPRLDYGLNEDLSAPADAKRKRFGAANNTAARPPQRLFSEAEASKKHGRHLVG TSNLSGKSWNYMGDTYVDGFLIKEMKIQHLITTNVNPRLEEVTMFARGSEDGAANLDL ASLANTLKNTVAEDAYRPGDQVEVYKGEQQGIIGRTVATRGDIITLEVTEGDMKGQTI DAPIKTLRKRFREGDHVKVIGGSRYQDELGMVVQVKGDSVTILSDMSMEEITVFSKDL RLSTESGVDGKLGTFDVHDLVQLDATTVACIIQVDRESLRVIDQNGSVRNVLPSRIAA KIAKRKDAVATDRNGAEIRHGDTVREMYGEQRSGVIMHIHRSFLFLHNKAQAENTGVI VVRTSNVVTVSAKGGRATGPDLSRMNPAIMQRPPQGGAMPPPRVGRDRLIGKTVGITK GSYKGLIGIVRETTEDLVRVELHTKGTKVMVPRTGVVVKDPVTGQTIDMGRGGRPRVP GGAGGPPQPSWSGSRTPIAAMDSRTPAWGGSLSSRTPAWGGSAASGSRTPAWKADGSR TAYGGAMGGGRTPAWNSGARTPYSSGSGDSSGGFDAFAAGSRTPAWGTATGSRTPAWS ANHTSSSAATSNNTGRYDAPTPGGDYSAPTPGAYPTAPTPGASGTAATPRWAESVPTP GALNAPTPGASGGGGGLSVGGGRLPYDAPTPAMAATPGAIGDDNPTYLDDSE TSTA_015170 MDLRNIMNNDASSAAKPQAPTLQQPPLRQTSEPVITPRPSEILT PGSSYQSNHFARPQQPPSLQPPHHSPGASSTYSSIRSPFQHNSTIPLNGGPPSHYAQS PPPQPYQTRDSNPATPVSPAIFTQPSLASPYTPQANSATQQQQKQQQSYFNHPGSQQS AHFTPGPQSSFTYPPPHASESPRPVNAQRPLQPQLSPPPPQHSQPGTPLGPPATSFPR PPSESTRPLSAESTPAQAANSWNPHDSHASEQRTGYQQGYQAAPPPPKDLRQSHNSYP PESDRERSASISPKTIVSRQSLSQDRDPVALHRTSTEDDRWRQSPSGSQSYNSSVPDH RYPSHTHQQDHPPRSQTLQMSSSPMARPTPSREPSTTYIQSIKSEISEPSEISGRPPK RKKMRYTEPPIYARRVPRGSAKCPMIPNPRPPIPKHSSARFAVPSRSTSVQRSIASPS RSIQTPVRPAPVNGTTPLSSRPVPPPQPEQVYGPLGPWEPSISGKIPYEEITKVVCDF LFKEVVLRRDIGAGAAGAAATGSGAILEIEAKLGRIMDKDRRERLRLPVMTECILQTG NTGFHTAFESSMSLEQHRVMNHFLNEAVKASMPQAGLNRIPLTYAHKRERDTFYEVPP QELPPLIQNYLNPRHKPKVRVTTDQKTGEVLAKIIKCRLADLDVYSPRTNVDWRISVN LEMNYDRDVRNFPIADGGTRRAGGERIKDRMSYRHLAYQIDLTQVGTADSATKGDFEH ELEVEISAAEVRRQGELAMEGNERNQYENLIKGFVDNVRFLARAVPNA TSTA_015180 MTTQRRDSVRRRASLISSSHDMPRNLSLEFSLPELDRRQDADRE PVPSRNPTTLDSSTSWSESLMMQQDEDLPEYAERVFSQFMVFTVPSYLQHHVTGGEPN KPKKLHDIAALDGLRGWACLLVFNFHFFFTYTWHTHIGWGFNKQYFGLHQLPFIHMIF SGHIMVAIFFVISGYVLSYRPLKTIRSGSFEQTFAVLSSATFRRGLRLYIPSIVGLYN YSRWVVDNSRTITGTNEQHPIILRSTYIQLWDWYNTICRLMNFWDWGLYYNNYNPHLW TIPVEFRSSIVLFVTILAVSRFRTKVRLILEILTLWFCIRWGRWDVVLFLSGLLLAEI DLENGIWNTDTTTISKPESAPATVPDLEKLADTTSIQNFLRRRQKAIWIGVFILGLYI GSSPNIGGLYTPGYVWLARITPKTYPEPHRFPQTLGAVMIVASINNSKTIQKIFINPL SQYLGQISYAFYIVHGPILHGLGYTLMHNIWQITGRETTFQFLFGAAIGWSICLPIAL WLADIFWRAVDVPSVRFARQLESELLAKFQVSR TSTA_015190 MSNPPSQQQYETSRRPAPFTRQSEELHIPLSGTVPSNASRYSTG PDVGHVPPAISIQQSTPQHSQYGASSNALPGALQPGNMSGRPAPMSANTAPSAVPTLP PPTSQSQQVTTPSRSGPVNTHVHSRSSPAGFDHPRYKQYGTTPESAKYSSPPGGFPPH TPSGAKYSPLGLADIRPPSDSLLMDHPITPGSFAAFNNDIQIPTNSNYVAPWPIYAVD WCKWPMSSGSSFAGKVAIGSYLEDNHNYIQIIDAHRKQPEADTPDVVPGDVELEYVKT AEATHSYPVTRILWEPPSSQKQSTDLLATSGDHLRLWSLPNSQVVQTTNSITSRNQGP SPAKLSPLALLSNSKSPEHTAPITSLDWNIISPSLIITSSIDTTCTIWDIPTLTAKTQ LIAHDREVYDVRFCANSVDVFVSCGADGSVRMFDLRSLEHSTIIYEPSEKNEKLMSPG GSSPGHSTAWPPPLLRIATSPHDAHLLATFSQDSNLIRILDVRQPGQALVELKGHSAS VNCIEWSPTRRGTLASGGDDCCVLVWDLINQHNAAHIQQQAPPLPGPTTTGAGAGAGA GAGAGAGAGAGATAPSTTERGPAAAWQCDYEISNISWSPQASPTQSGHSRDWLGVCGG KGFWGVAM TSTA_015200 MSFQESILDLKTSNGAVNKEQCLLLLPAPPSPAVRQLKEVYGPA LSHIFSSTAAELKGSSNIYKLDVALVIPQLLSAQYQPRSKAFVSLQKLLANFYTLVGA ISAANDVELDAPGGIDVRVFFVDNAENEQTKDWKRIQGPVIDFRTLATSQRPWEQIYV PDTTEGELLAGTLFTHGASIPSNKLPTGTTTISESIINADEEHSTSHAAIIVGGTFDH LHLGHKLLLTATALALDGTRDDKILAVGITGDEMLVNKKFAEYLESWEERMQGTAAFL RAIVDFNPPEISSVDLKRTEQPGPNGKQVSFQVMPDLTVRLVQISDPFGPTITEEQFT ALIVSAETRSGGQAVNTERNKKGWPSLEVFEVGVLQAGEAQEVADTAASSFESKISST DIRQRRMKSATSNL TSTA_015210 MIEMMNEPGPINFLADELLSLVLSFLIADTEFPDGREVVHDYAF PPYNNKRNGTNAVASRGERSDLDRYRLVCTRFMRIATPWKFRRFTLRFSSEGFRRLNE LVDMQLAHHTRYFTYMVRPYYQGGDWERFLAEIARDYPSLAEIHISRLADQTLLIKNA RDILSLKRALASFPSLQQIKLLRLQDKADEDIMERARRFGAAGDVKLHWEPACSRAIE ALGLALMGSSCQSVRFLAPQLSPEAALGLLNAPRVTMSALGERLTCLDIYFHSASDVT ALMSRLSNTFRDFFQAARNLATINVGFPADSPLNLHLEDVFHHIHWPRLRSLGIQGWR LHSSEISAIARRHKSELRELRLPYVYLLDGSRWRDVLSILHDDMERLEKLYLQHVNYA SHINTEIMNGIEIPPGSTTDEDEHIDEVDHDEDPNNLDEPHQSDTDSSSVVSVHDSHS EISSETEESSYRQQHHYPYYRHNHHQRDLQSLSEQELSMLTANELGDNGIYVKREHWH IWEKWVVLSRRQFS TSTA_015220 MYSPTTPLGRQTGYQILMGIGYGYTQQMRQAALSSICAADENYD VIAAYRARTALEGVWGYHAHFSIFVPLLEPGPGTAMASSSDGGDSSAVLETIQDKVNQ LESPIIAFMGQSNVSITATRYRRKKDQDGENCCCGRESRRIASSNSLGSMHTDNKRMT YSEAAHWPTILEGKRFTQDPGLLLGPCGHATKPEILAAIPSRTVVNRLIFQYLQRLSI IQGAGTLNGRYLCRTDPTVNLSFKHFGKRSFNAYGWENPSMPSLHSQNVTTLSPSGFG LPRMIHAFQFDTAEPRNLVDSYFDTNIKDLPAARPDTEQTTVQYLVTKTRLKAMYFVK RLN TSTA_015230 MLIVSRVLAGMGVSGVQNGCFTTIAGCVPMEKRPMSQLALATGP LIRAALTKYTTWRWCFWINLLIGGVAAGLILLTLFLDNMSNKPPYAMTAPRSLQTKLD LLGVVLFAGCTIQLLFALQYGNNQFAWNSSTAISLLCSAGMTFRLACVGLAQR TSTA_015240 MASEKKSDAQVLLELVQGQLERHNTRSEKEEDTMIGTEKASTND STATTTLPKNPENDQQKEPKIPAQPLYPILNCGCLNSRELPTTAGHVDIGYVPYITNQ FNSLSDIGLYGAAYQAVEVNDTSPIFHTTRQNPL TSTA_015250 MSSSTTSTPDSNINHASALQYWNSMPATVNGMLGGFPQVSRIDL RGSANFLAKIRRLIQIEQADTDTTAGQSGQRKSKKLKRGADCGAGIGRITEGFLRNVC ETVDVVEPVEKFAEVIRNGLLTRKKEEGNSAEEREDEGLVENIYITGLENWIPTEKYD LIWNQWCVGHLTDSQLTTYLQRAANALTAHGILVLKENNSTDPEGRDIYDEVDNSVTR TDESFRKIFKDAGLTLIKAEEQLGFPRHLGLLPVRSYALRPNKNEYDTVDAPEE TSTA_015260 MPPKKRTSTVANADATEPPSKRTRKPAGSETAAASRPKRFSQLP PRAARIKAAAPAPAPKPSPAKRTVSSSKARETPQKRGRPQTAASPAAKKGKPAKSAAP ASKATVTRKPKTSIVKTTADKPGKRHGRPPKSSEPASTEVTGKKRKRATGQPQQAAGK PAKKQTATRAPRAPAFLKEKEPDLTIEVAEEDAFGPDGHSYWLMKAEPQSRIVKGVDV KFSIDDLQAASEPEPWDARNNMRAMKKGDFAFFYHSSCKVPGIVGQMEIVREHSVDES AFDPAHPYYDEKSSRENPKWEVVHVEFRRKFDQPLTLETLKSYALSGQPLENLQTLRQ SRVSVSRVSPQEWDFIMGLINQQEADAKKASAKEAPSKETTEVNGQLETENTDETPRE PTAEAAPRAEHGASVGPAAEPEATSTLAGEPSVPAPVADQTEDQASKAEEVQVNGEKS TKSDVNGPSTAERITSVFTGGFLN TSTA_015270 MTGGNSVSRPNASSSDIVDDFTINPTPAETKAETVPHPNKSLVN AGQAWQDDINLPDKEQYATQEIEYLYLDFDTELPAPAGLSEPNPEFSISPPPCPNLKK YTSPFLWSSSRKSMTTWLACGVTLLAAYSAGEYTPASAQLLSEWNVSQVAYNVGVTTF TVGFGIAPMVLAPFSEINGRRPVFIASGILFTACIFACGGANNLAGTLVARFFKGIGG STYSTMVGGVISDIYHAEDRNTPMALFAGAALFGTGLGPLIAGNIVVHASWRWIYWSH GIVSAGFVVFMYFFLKETRGSILLSRKAHALNRWYDSLEGAGYFGVLLPLEDVPEKRV VRRIRWKVKADEDRQSIVQMISVSCYRPFHLLFTEPVVFFFSLWVSFSWAVLYLQLSS IPLVMKTSHHFTVAESGDVFTAISIGAILATLLSIYQEKLAIRFGKMSNTPEGRLYFA CIESILMPVGLFWFGWSSFPSVPWIVPTMAVACATMGIFSVYLAVFNYLADTYHRYAS SAIAAQSCCRNLLGGVFPLVTNAMFNNLGFPAASSLLGGIGALLTIVPWVLVFYGPRI RARSKFASELVNHH TSTA_015280 MANEQRVPLRLGSTAPNFQAQTSNGPIDFHEFIGDSWAVLFSHP DDFTPICTTELGAFAKLEPEFARLGVKLIGLSANTVDSHHVWIKDIDEVTGSNLTFPI IADADRKVAYLYDMLDYDDITNVDQKGLPFTVRTVFVIDPKKKIRLTLAYPASTGRNT AEILRVVQALQTTDKKGVTTPINWLPGDDVVVPPTVSTEDAKKKFGEIREVKPYLRFT NVGKD TSTA_015290 MSVPPGGLILLTGANGYIASVTIHLFLARGYSIRGTVRSIRRNA WMKTYFGPRFELVEVPNIHSPGVFENGDLLRGVDGIVHMAMDMDMNPQNQGIIENTIQ SNLRLLEAAARESRVKTACALPTTGVPYKIDSSTWNEEAIEQTSKPWNNEGNPRWHGI KLYAASKARGEQEAFAWARKHQPSFSFNTVVPNVNFGIAISHENMSYRSTAAVLDGVV KGYPAAPSVLPSQWYVDVEDTALLHLAALTLDDVHDERLLAFAGKYSWTQIMEILHRR YPGKIGLGALSNNAEPEVVDAGEVDNQRSIEVLKMMGKEGFTTLEDTLVKAVDTILEN QAKNVPKTRIDLYYESVAGRVVGS TSTA_015300 MRNHILSRFPRCDKKNHLSCMFTYSDCKVVSMSTLFTNTILVPL ELGQAPTLQTIGVLDPPRKKRPHSKSRRGCVACKRRRVKCDERLPCSGCAKRNIQCLQ PPTQHVIGASLSTTSAHCKMDMDPTISLLHLELFHHWDKETRATLVFPQIWPVVMQRA FNEDFIMSAILCVAAMHLVTLCPQNTKYSRASMQLIVKTVQLFRKNLSRPLTRDNCEA LMGTALLINYISWSDLGFLDDNNNTEFAQPADIRLNLAQDQLFLLSPGIVRVWFEAMP VFIKEGSVFTQLTQQDPRLNIERALVRRGEDPTRFVEPFMKIWDDNAGCTTNRADIHD STGAERPTSYAWRLLLGLETELLSCRGRNTIPCDEGRDKEKVLVRLRDTVTKITTQST SLDHDNPSQQSPRSSFEYITRRISPLLCCATLLESAAESDPTIRTEFEADLEQLFYGF PIFCCGPFAQLMPQRDSRALVFLFYFYRAARILLSPGGRCWWASARSRVMEEAILKEL RVSGLNALNIQQFNVIRPEAVSVL TSTA_015310 MSRSQSSLSLSQTDSAREDGVSPAPNSANPTMSSPMNLSGLVCN VRRTTGREPRALVGATTTILGDKLYVFGGRSLSKSHSHVQLTSDLYELDLIRRHWTKV EVSGDIPAPRYFHSVCALGDTKLVCFGGMSPADPPQGSAQQHGSDEQTDVQVMSDIHI YDVVTRTWTRLPAKDPPLGRYAHCATILPSSACFTSANAPLSAIHNNPSSGNPHQGSI GPDIDGYGGAEMIVVGGQDTSNHYIEQISVFNLRSLKWTSTSPLGRSCGAYRSVVAPL TNMDVSDLGSATEDNAGSNNKHVDGAEDGTSMLIYSNYNFLDVKLELQVRLPDGRLLE KPMYGEASPPGLRFPNGGIINNHLVVSGTYLTSTKQEYSLWALDLRTLTWSRIDGGGT VFSSGSWNRGVLWERRNTFVILGNRRRSLVEDYNHRRINFSHLCMVELEAFGLYNNPC RTSPTSGYSSVSAPIVPASLQLKLTQLTTGGRPLSAASDELGKVAFSMSEMADMELQA LGGERIPVSSRMLARRWGPFFIQLLREASDPGIADTATLRGTASGASHPSRNSSITIT PSLGSSNGFSNASTLVSGSSNSLSSPHSRLLANLEVPSAHSIAPSLRPRVLYLPHTLQ TVRLLVYYLYTSSLPPVNSPLCTPLILCSILQLARPYQVDGLLEATVERLHQVLDGRN AAAVFNAAAMAAGGGRGTGFISGIGGTLEVLNGAHNKGSGLSDTNGAGSGPGGLQTQT SFSSLSTASDSSDTELGRQQRHGRSESVMSQQQRPLRINTSMSRTRENYYDDSFSDAS ASGASSATSNSFSHTDSDTLEDGGNGGIGRSNRGGRRRGTDAERPIWTGDVSSVIGLQ KRGLKGLMEGRRMRERSGGRSGIAVGVDGQ TSTA_015320 MATPHFPTDIQASLRQLLDNTTSGPTPSIPGLVYSAIDRNGDII FNHASGSRGLSPYNRKNPMTLDTIFWIASCTKMLTAIACMQLVEQGKLNLDDVEQVEK LARELKDVKVLEGDLSSGFRLVEKERGITLRMLLNHTAGFGYPFSHSQLRDYSLPIGY DEFAGHTNDVLGLPLINQPGTKFDYGVNIDWAGVLVERVTGLSLNDYFQKHIFEPLGI KDISFFPDKDMKSRLAYMHRREEKDGSLHVTDHIYRFALMERKNSDGPEERFCSGGAG CFGTVGDYCKIIAVLLNNGTCPKTKAQILKPETVDEMYKDQIPNKPRYINQPFQTAKP HLAKHIPFRPLANPAQAELETEGWGLTFALNHEGKESGRKPFSASWEGIANLFWFADR ESGVGGMIGSQILPYGDNAVLDVNDAVEKLVYTGLKRTEDMYK TSTA_015330 MADKAKSTGAAESKNAEQIALAKSLHQVPWCEQYERMISGMLYD AFAPELATARFKARAWCNRYNTYFPPPEAIELQSHEALQKLRMDWLKELIGATKGDEI FIEPPFYVDYGCNISLGERFYANFNLTILDCGLVTIGNRCMFGPNVSIYAATHETDVQ SRRDNIEYAKPVVIGDDCWIGGHVVILPGVTIGNGCTIAAGAVVSRDIPGWSVAMGQP AKVVKSVKPID TSTA_015340 MASKIDFVLVTGATGFIGAHVIDTLLARGRRVRGATRSLAKGEE MIRSRPQYSQKLEFVQIQDFENPGGLTEAVNGVDAVIHVASPFKLNTSDNEKELIIPA INGVRAMLEAASNAPSVQRVVITSSFAAVIDTNRKGPQGFTYTAADWNPLTYEEAADP KSTPVVAYRGSKKYAELAAWGFVKEKKPHFDIVTLCPPMVFGPIAHPIDSLDHLNESN AALWDIAKGVDPLPVGRVPFWIDARDLAIAHVEAALRKEAGGKRYTPAAPERWSYALA AKYMVSHFPELCDEVKLEEQVIDDSFSLDGETAAREFGYRCRRFEETIRDFTAQCLAR KGRT TSTA_015350 MESKDETTSQLLYEPLEGVERLEYYRPGGYHPVKIGDEFHDRYR VIHKLGYGSYSTTWLAYDRELTKYLAIKVCTANSNPVHESRILSRLTSSCGQSLNKPG RRMVPSILDNFTIKGPNGEHACYVTVPARGSLSAMKDARALAAQLALAVDYIHSQGVV HGDLHLGNVLLEMPPNFDKLSVDELHAKYGAPEQDRVVHLDGRQLPPGVPSHGIIPMW LGEASENLLLTESNILLTDFGESFLPSEEKKYESHTPLVMRPPETWFQPERPLSFESD IWTLACSIWTIIAQRPLFEGFLATQDDIICEQVDALGILPPEWWQKWGPARQERFHDD GKPKYRNPFRSWEDRFEDSVQEPRKEAGISAFDEEEKNALFSLLWEMLKFRPEERLTA HKVLQSDWMVKWALPEYDKIRE TSTA_015360 MARAAVIPGVSASTDAPQQRATRGRGRTPTTTTAKIDEVKKVEP AKRGRKPGKTTMVTTTAAAKNKKSVTEDEEDEDDDLSFDVTTSTAATTKKNTKSAASK NTRPTRQVATKEVEDNASSSEEDDELADFEVTKKKAGRPTKAKTASTTTTSTKSTRGR PKTVTLEKQSKEQDVVTNKKPRGRRPAAKTNDDNGSKTVYVATASATSSLAKARTLED AARKKKTVTFADLTDSDEEIPEVEEQKPAKTTGKKATAATKSSGLKAKPVRKAGTATR GRPPKSQAAAVKPLSPKKATQLAKGSSSSASSGDEDELAPKKPVYSLVVQKSPVKTQE PQHTGLSSPVKRIMLPGQTSTPARSPVRPTSHQDENTVPSLQNPQPSSAQRDLVLMGS PARRPPPSTSKETIRDTPRRGPLFISNPSASKLSSNMEENSFLQKLSPLKISPKKGGN LGVSFISSSEKGSSTPFNAKLSLLKSPAKRIQSPFVFQKVHEHKSLYKDSNVNDQDVD IEMRDDDDVFGDDGNTDRSHTAADELSDDERSMDTKAAQYDDDDVFVDHKADEQDHPK EASGIEQGNEDVEDDSPELVQNVEPAVEAGDGDLEDQLEDDEQKDVEMSQDKPSAGND EGQGQQEVHSPENIPFSEADDHAKSDAEMEEDIPNDDEHESTPEADDVQPAEEDVQQT PVDEQNTTYENIEEHAVEIAESNEVVIHAEPEEENDNTPTEIDTSHSNAPIHSTRSSI ASRDVFVVDEVDEVGSALTEGTPPAAPSPPIVSPIRHFGMSFRDQRDDMNSEYSSTPA SRRQSIFSSRDSILPGADSADDTFTSLAARLKSWNASSPAKQRRNQSQKSIFSPVVPK NLTTPRRSMQGNKYSQIQSMRQSLAARHSLANSVAMDGDESDTVARQSPRGVSDPVVE AEVSQSEMGKMKPQDKPQTPVSMSITPVRVNRDEIRTVHTVSKVPLKPEAEGSPIKYP RKRTRSMSIDIQLPIRASPDRLKLVPKSRKPTPDFSSPSKRDPLSEIKSKSESEDRAA PASTTTSPVKTPRRESKAEQQCLRGAVVFVDVHTTEGEDASGIFVELLTQMGAKCVKS WSWNPRSSQSPGVDGSESINNSKVGITHVVYKDGGVRTMEKVRQAGNLVKCVGVGWVL DCERANKWIDEAHYYVDSTIIPRGGAKRRKSMQPRALANVNGSLMSSTSTSSSSTSSL SSSIGPSNNPPNRRSRQSDWEDTMQDFRRMSPTNSVNENVPKTPTNTKTQRPEDNDND ESEYKFNFNFDFSAMSPTTPGFLTQNSRLVQQTCPPKQTNQGLFSSMLLSNNHDDVGE ISPSVANLRAKLEAARRKSLAFKPKFGSPLSRS TSTA_015370 MRTIQSIALIAGILSDATADNIPRALPNAPNGYAPANVSCPAGR PTIRSAETLSSNETSWLSLRRNHTTQAMRDFFGHVNISNFDAVSYINRVANDNATNLP NVAIAVSGGGYRAMLTGGGALKAFDSRTPNSTAKGQVGGLLQSATYVSGLSGGSWLLA SIYVNNFTTIGDLQAGSTGDAWQLGRSILQGPETGGIQLLSTADYYRTIADQVEDKSN AGYNTTLTDIWARMLSFQFINATDGGPNYTWSSIALEDNFSSGSIPMPLVVADLRSPG EKIVGANSTVMEFSPFEFGSWDPTIFGFAPLEYLGSRFNNGSIPANESCVRGYDNAGF VFGTSSTLFNDIVSYVNRSGLPSVLENLITDTLNHLGKDNQDIADYVNPFYNYTQNTG LTTQTQVLNVVDGGEDDQNIPLHPLIQPSRHIDVIFAVDSSADTPDSWPNGTSLVYTY ERSLNSSGVGNGTSFPSVPDVNTFVNKGLNTHPTFFGCNSSNTSSPTPLIVYLPNYPY VTYSNLSTLTLSINNTERDAVIQNAYDMVTMANGTRDADWPACVGCAVLSRSFERTNT KVPDICNTCFNRYCWDGTLNSSTPAPYEPTSYLTTLNNTSAAGFIMVPNTVLLALGTV AMTAMAL TSTA_015370 MRDFFGHVNISNFDAVSYINRVANDNATNLPNVAIAVSGGGYRA MLTGGGALKAFDSRTPNSTAKGQVGGLLQSATYVSGLSGGSWLLASIYVNNFTTIGDL QAGSTGDAWQLGRSILQGPETGGIQLLSTADYYRTIADQVEDKSNAGYNTTLTDIWAR MLSFQFINATDGGPNYTWSSIALEDNFSSGSIPMPLVVADLRSPGEKIVGANSTVMEF SPFEFGSWDPTIFGFAPLEYLGSRFNNGSIPANESCVRGYDNAGFVFGTSSTLFNDIV SYVNRSGLPSVLENLITDTLNHLGKDNQDIADYVNPFYNYTQNTGLTTQTQVLNVVDG GEDDQNIPLHPLIQPSRHIDVIFAVDSSADTPDSWPNGTSLVYTYERSLNSSGVGNGT SFPSVPDVNTFVNKGLNTHPTFFGCNSSNTSSPTPLIVYLPNYPYVTYSNLSTLTLSI NNTERDAVIQNAYDMVTMANGTRDADWPACVGCAVLSRSFERTNTKVPDICNTCFNRY CWDGTLNSSTPAPYEPTSYLTTLNNTSAAGFIMVPNTVLLALGTVAMTAMAL TSTA_015380 MSLNIETVATPQKTVRGPAELSDASSVYLGTKNRMPEFVLTDKV VCVSGAGRGLGLVQAEALLEAGAIVYALDRLPEPSEDFARIQAKATTLGTKIEYRCID VRDTELLNNVIEEIANVEGRMDGLIAAAGIQQETPALEYTAKDANTMMEVNVTGVFMT AQAVAKQMIRFGNGGSIVMIASMSGTIANKGLICPAYNASKAAVIQLGRNLAAEWGQY NIRVNTISPGYIVTQMVENLFVQYPERREEWPKQNMLGRLSRPEEYRGAAVFLISDAS SFMTGSDLRMDGGHAAW TSTA_015390 MASRSLFVVPSLIPFTYSLLVVSCLTSKFLHLALHWRSVSLVHF IIFLPTLFFWDILVLVIVDKDRVLTYGASAIQIGFFWETGSQVEWGASSSFLRDPAAM KILFSGTKTQRLEYPFPMLTAPDRWQSPSGYSPGLTPGNHSSLVRPEWLPENPPSGFD RWLTDVQRHERIKNGEKDKPNQCMREHKTYNAVTDSTKISNLQEAVYPVLKDAFNKNA IQIEHIVMLVLESTRKEVFPMKEGSFLYDTIVYSHDEDARDTIIDKLAILTPTAQMLT RDFATNSTGDKMRFDNDDVKWVDRIPLDMGGINVKGALTASSLTLKSLLALHCGVMPL PVDMLEESLLEVYQPCLPHILSLFNTKKLADKGKHANNESQLVRERPWKSVFIQSSTD SYDRQSNLTQQLGFDQNIVKETIEDPSATHYPPKTKVLNYFGYSEDEIESYMKDLVFE AAENKTRLFLSHLTLTTHHPWAYPSTFKDENYFGRDRWNHRDMNSYLNTVRYGDAWLG KILGYLEQAGIANRTLVVIVGDHGQAFDEDDGLQGTFENSHISNFRVPLLFRHAHLPH MDIEANVTTGMNILPTVLDMLVESKSLNEADIEIARSLIHEYQGQSLLRPFISRDDRI RRRVWNIGIINAGGSLVAAMSADLPYRLIVPITRHGHGMNGKRDSETLFEYRFTHTLV DPHEQSPLSQWIFTDLRKAVREEYGEEAEDWIEEARKMVQWWVVEQKRIWNYS TSTA_015400 MGATASKPARSAVNAASRRQYPKTASPGTTKTTQIKQLPEQHEV AGPTYHSKEQASSLRSEAIDLDARDPHFAASLRSIGPVTPNPTFSHSSTFNRFPASRQ SQTTPSPGHTDGSGVAPSVFPDANNNPALLVLSSRSRIAKAAEQELESIGRQSHQGRE FLDAMTIRQVLTMRDRQGLKDEEIERLLRLKKGVVGRLGEMGVVSEAF TSTA_015410 MRLLPAHLSLLALVAPSVYAASWGFTDATVSVSSKSATGKVKQS LKENAPVSTPISLGDSDSLRVLLTAQEDRSAKRPHQAFLLLKDSSTGLDVSYPFSVKN DGKSKVELSKKDIPTQFLATPNPVDAEIVIGSFGASDAYRNLAFQLSINSDASAPAAP DSVERYGKQPRINHIFKADPKSPPPIITLIFLLATLVTIPVLAGMWMYFDVNLYHLSI ALKAAPVPHIVFVASIIGLEGIFFMYYTSWTLFQMLPATLAVGAIAFLSGSRALSEVQ ERRLAGLR TSTA_015420 MAAHEDIQKSSSLPSTPQSFLYYDNDSPFAQSGNDELWHSSKRR RTQQRPSSETQFIHMNGYKSDSQLGTRFNNTYVDSYSDSKTVDNTQNKSADAVSTSEE TPGAVAESASKASDDQLEVLSAKSVRSSRKAPIERSTETSSRVLKVKSSTGSSQPTNI QTFIFKTKLDPRNLNYRDRSDEPGTPSSVTETPEVNVENSSAESSTRSTRKTRKSEAN MLKFKSYTPNATPVLRNNNTSRKPSRQDQSVEAESPAPTTIIDDQTSQGILEQDSQRS PERISQLETPLKENDAMEGNGEANSAQRRSTRVRKPVKNILLEETPKKEKFIKNKGQG STTAAQLSRSLSKPVNTNATESVSHTSPPARQTEAVHLPGDFLDRYGTPSPDNLSVTR SSGRLRKPTIKAIEALQSKPQSRKRLRNSDQVASSDEPAPKQSKITPELAQPANTSTV STSCSTSGSGSDAPSVLMDETEILGRQLYDLASEAIMETVPSVEEEEAKIAEWREAFN RKRNEQVSTPAVENQVAETAEPTETTEPTEAVDETPPVVIEYLDLPGEINADVPLDHP QRPRPWTEKDGWMHTGRVNQHGEEYCVAPADRYQWVKHTSNYKTPVGPIPTPPPLIKS VDEIRRDNIYGFPPSPGQRNLYQKHPGQVWRYEDVEDLVSQTPSHTKSLRSAAKQVDA MNVDESEGFPRFKRPLKIVFVDSSKLDLSKLHQDAMLSQRRRRRTAASFANDFKSTPS KPTGDNQRKRSFDMTAGSHIENSNQNQPQRKKRQSTAAKVEDKATSARSKRQSTVGNV NSGTNKNNQPSSSTIAPQKSANTGPKATSKETAPGTNTGRPEKISLKFHFGSHSRS TSTA_015430 MTKSISEEVLPSALATMKLQPENEMFALDSDSDFAEDEVRPLFG AHPTSSSRCRPPSRNSAIALVVLFLSSLVILLVTTAHEIPNIDDTKISHYWGQYSPFF SLEDQSEINPAIPPECNITFVQVLARHGARFPTAHKSAMYTKLVDRIQQTATEYKTDV YALLKDYRYKLGADDLTSFGEQQMINMGTSLYDRYEQLARQNVPFVRASGSDRVIASG ALFSKGFNDAKAFDPYSDKSQHNTTVSLVIPEGRQWNNTLDTGTCDAFSDGSPAHKVQ QEFLGIFAPSILERLVANMPGVNLELHDIPLLMDLCPFETVNSKNGTMSPLCDLFTLS EWQSYDYYNTLEKYYAFGAGNPLGSTRGVGYVNEIISRMTKTLPVSDHTSVNHTLDSD PTTFPLDTALYADFSHDNAMVSIFDAFGLYNSTVPLSARNVQSATETEGYAASWIVPF ASRAFFEVMECSSYNLAGEERLVRVLVNDRVVPLHGCDIDSLGRCRLNDWVNGLDFAR NGGRWDDYCSKPKNG TSTA_015430 MTKSISEEVLPSALATMKLQPENEMFALDSDSDFAEDEVRPLFG AHPTSSSRCRPPSRNSAIALVVLFLSSLVILLSVTTAHEIPNIDDTKISHYWGQYSPF FSLEDQSEINPAIPPECNITFVQVLARHGARFPTAHKSAMYTKLVDRIQQTATEYKTD VYALLKDYRYKLGADDLTSFGEQQMINMGTSLYDRYEQLARQNVPFVRASGSDRVIAS GALFSKGFNDAKAFDPYSDKSQHNTTVSLVIPEGRQWNNTLDTGTCDAFSDGSPAHKV QQEFLGIFAPSILERLVANMPGVNLELHDIPLLMDLCPFETVNSKNGTMSPLCDLFTL SEWQSYDYYNTLEKYYAFGAGNPLGSTRGVGYVNEIISRMTKTLPVSDHTSVNHTLDS DPTTFPLDTALYADFSHDNAMVSIFDAFGLYNSTVPLSARNVQSATETEGYAASWIVP FASRAFFEVMECSSYNLAGEERLVRVLVNDRVVPLHGCDIDSLGRCRLNDWVNGLDFA RNGGRWDDYCSKPKNG TSTA_015440 MSSQSPESYGLDARKQRQQQEQDEKEEQKQVANSTKRPYPWPEP AVIQPRLESSTHARSIIGVQSILNPTEGDNSQMDKRLPQDSNNRNNMELLRPPTLPPS PQRRSSSSPLLAPISKSISAKTYLQQGGGSSVSPPHPPRRIITPVSPALRHSSGSGNN NAITGSGITGAASNSGSGAAAGKVTVSQSPFVQELSAGVYSTPGHARGSSGPTVTSIE YTSTSRYSSPKLAVTIPSLNHPPLQVSRHSTPTFHSHSRHPSGGIATNPSSQASSPST PHSTYSSVAQSSPSIASGLLPPLGQSTSLAPSLVDSASQSPFMGMDPLSRTPSRMSGP RYGDDHPGVAYPGPTDLLSQVGNHVQYGGGPMIPVTIDLKSGSRSQAEKRKANSDASR RFRNRKKNEAALEQKINQLNEQLQFLTEERDFYRSERDFFRDALSQHVGIAQIPSRPP SPSPSRRYPQSTASPGDQPSPAEQQQQAIGMDGEMMSKTISTGTASSLASATPRMLPN PVSSSDALSYASAAGQQHPEPWQGVNPSGNYQHHPYRDDVRYMPNMR TSTA_015450 MAGKLGASADVQRWTVTESYLDIRGGLLEGPFYTPERNELRFVD LDYEKVYFVNLAKGPSATRVLHTESPIGVTADMIDDSGNNTQIVVAAKHGFATMDYQS GALTYIHRVHTSEEQAYRMRFNDGAVDNQGRFWAGSSIDHKYVQGQHEGTLYRLDPDL SLHEMVHQMTTPNGMGWNDADNIMYVTDSPHGKIYAYDFDARTGSITNRRDFLTFEAS FGEPDGFAMDVEGCLWVAVWRGSRIIRVNPQGEITGEILFPTRFITCPEFVGSELIVT TAIEQEPYKYPQSARWGGKVYRVDVGIRGKPRNAFRRGYQS TSTA_015460 MRSTLAVAAIVATANAFVARDTTICCFSLSATGSASGTVGQIDD GQTRLGSGIPPGQFCIDSSGAIKDGNGRGCFLTPPTSQLQCDVGATPAPGFSIDSSGK FHSNGTADFVACHSGQSDQLNIYTNPPPADVTGCQHIELHADRCQGPGGPPASPPQGT PSVPQGPPPGTPPVSPPSPVLPPPISPPHAPPPASSPTPPPQAPAPPGGPSPCGPQGP PVGPPPAGPPGGPGIGHGGTTPPAAPNTPTESGTRTPSAPGGGPTAPGPPPFANASAP APTGNSTGPTGSGPSGKISPTGGPSGLGGSAPGAGGGCGGGSNQGCGGGPSGGGCGTN LVNGSFEFPHLIVPIDSSNPNKAVGTTFNGTVTSTISSIFNFDFLPNLANKQCSLVFL FPTQDQLETSAFSFSGNGAISFSELQAPAGSSTSFSNAPQVKVDYGVTNASPGHSYTI ATFACPAGQTVGFELKNAGSTNLEFFEDFNPAPIGLFITTC TSTA_015470 MPNFSYLIPDRTFVFRLQNPENVLVEVEYGRVAFQNESQLISSG TDKRRWQWRVVLKNGCFNFRNVYFGGLLQIAGSNPSGPRARFCVGEESNNGCFKLYHN DEGQLDPWSTAALRDPNSTYPILFDDVANRHICHFKFELIQS TSTA_015480 MAPLVWFVTGATSGIGAALVAEILLRGDKVIATGRKVEERLGPQ IPKSDHVVFFEFDVAVEKSEIVHKVNEACAIFGHIDVVVNNAGVSLLKSVEEAEDADV MKMFQVNLFGAMRVTQAFLPHFRAQGSGTLAFTSSSSARYTVPFMSHYCASKAALSTY VEAIQTEVRALGIRCVSFECGGFLTMLGQPRAPDADKPSFGSTQPGVEAYLPLFAEFT GIFNVDPGDMVPNDMEKLTTRMVDYVKGEGLAKGRPWAVRIVMGSDAFAGAQQKLQED QILLSRWEDMSQMHREGSRYNELVGPKMLKFNSILY TSTA_015490 MGRKSAPRATAEAHDASTKVVSPIPGRASYATIIQQTNLVLQVA AHSFDIDASSSFSTHPPLVSVLGSISAQGISPARCTSLSRPSASPSQMKAKEVCENLR SALPSFDRVSSVFGENGSWWDSFRDKTRVISQAHFEDIDTFSAKAYTSMKPAEVGTLA VAYARSLNQGFHPLMETVENLVLSDISYAATTDGMMCLILLGKTYTDIGHPRRAWLVW RRGITVAQLMVCVPSITFGVWLANKLTKGYHREDCVDPGGKGAWCAVYHGDRFTSMLL GLPHGCSDSILEPQFKNVTNTMPLEHHFTHRCAVVAGRIIERNVASAKLAYSELMAID EEMDAIADSTPQSWWAIPDNLLISYILNFEELRERLLLHFYFFHVRLHLHLPSMTTSK TVHATTHVSRLACIAAARQLIKRFNVLNMMTPKGKRLFECKTSIFVAFTGAVVLALGM CHLIDLRYSEVDSQEDERLLLTFYAALQDEERRNGCNISSQCRTALGALLTVTPHGHG RDGGSNLSADEMPCEMRIPYFGKISRLKAGGGSEHGEPQPVELGLPPMTNETLSAEVS ALPSAATSTARDSWIYEYPETWMSVSLLAGSEADTGYDNLFSQLDMLEMDLDWDWASF STNEMG TSTA_015500 MSKKAKQATSGANMGENMFGPLLDQALHAAVDKAVKKALNEVLE KTVDQAVKKVVDEAARKVMQEAFKPSYARKLPRLPRSSDEVEEVEETGVTQDESLKTP AQSSIEDDDEDSCESISESEEPSKGSFRPGCEYHTAILIKVGYCALEVRKDHHLFVAE YYPYTESRFNH TSTA_015520 MKFSTAALMLSGLSMAAAVPLQQREVVNKPRGKWFDRFVVIILE NTDKEVAMGNEYFLNLTHYGMLLGGYHGTSHPSQPNYITMVANTIAAGVYDDSDHNST EQSIVDLFEPAGITWKAYMEGYKPLAGGACNPYSKDQDTLYVRKHNPFMSFNNIRNNT ERCKNIVNAEENFAKDVALGAHAPNYMYYVPNLKNDAHDTNVSYAAVDTQRVIDTMLN NAEFMKNTFILLTFDENNIYTNDNFGDPNSIYTLALGNDTLKCYDCVDMNYYNHFTQV VTLERNWNLSQFAGEGWDQWWRPFGQLRGTNEDICGFAPCSEYYDGKTPARADANWND QGLLKRKN TSTA_015530 MASQTELPLVLVTAVNGFIASWTAKVFLDAGYNVRGTTRSAKSA ATVLEADPFKEYAASGRFTIFQVPDITLPGAFDDAVRGVHAIAHTASPMPSNSPNPES FIGTAVDGAKSVLESAYSKAGPNLKTPTDAPYTFTEEDWDDFSEKAVELQGKEASPGL IYKASKTAAERAMWDFQREKSPSFTLAAINPVFVWGPPILFPENPEDINSTTMAIWTI FSGQDIPPPPLGRPGGGAVDVRDVARLILFAVQEPEKSSNQRFLAVSGLRVEQAIADI LRQEYPDRRTIIKEGTPGVGYLPDFSYPENSSGRVDGSKAVKATGKEWISPKQSIIDA AKAFERYL TSTA_015540 MDRSKKPTSIGIGGSIPQPTVNINDNGTVDAKLPSGESVTVHLF GATVISWKLANGAEQLFVSSKAILDGSKAIRGGIPVVFPVFGPPPPDHATSKLPQHGF ARSSNWEFLGKSSSESLGDKADTTVKLDFGLSSSMITEEFRKAWPYEFGLVYSVTLSP ESLETSLQVQNKGTESFDFHVLLHNYFKVPDVTKIGVTNLQNKTYIDKVDNKQEKQET SDAIAITGETDRVYLDVDPATPVSVVENGKPLFTIAREGLNTVTVWNPWLENAKKMSD FGDEEYKGMVCVEPGAVKSFSVLEGGDAWEGTQTIRPRL TSTA_015550 MLAEFDKLLTDQAELQGMSRMLDDGMGRMHSAPLSHFPHRLWDV NIILKGGMNLHNHQDPAFSKGLDEFVKTIWFEPGQSLIIFWCENGNILCRNSQNPENR QTALLAISHPSMTTDPHIFTNWALSPDELVDR TSTA_015570 MPSDIRQDLGHPETQHVVEEEEEEEEEEDYNGLFADNEVAESTP RVNGILSSGDDSNGGGEPEPEEKEPPVSWSQLPKKTQLLILVLARLSEPLTQTSLQAY LFYQLKSFDPSLPDSAISRQAGIFQGSFTAAQFLTSVWWGRAADSPWVGRKRVLLVGL FGTLISCVGFGFSRSFTAAIIFRIMGGLMNSNVGVMRTMISEIIVEKKYQSRAFLLLP MCFNVGVIIGPSLGGFLAEPVTTFPGIFGPGSLIGGKDGVWWMRHWPYAFPNLISAVF ILSSWAGVFFGLEETHEALRHQPDWGRRMGSVIARRFRRHQGHKYRRLDDDERSVFPE EDDDLVPPSPTRHTHPPPIRKKSPWKQLLHRNVILTLLTHSLLAMHTSAFNAMTFVFL PTPRAPEDSRRGFFHFNGGLGLTTQQVGIATSVIGLIGLPLQLFVYPRVQFRLGTLKS LRTFLPLSPLAYTLMPFLVLIPRCAQYLIWPSFSFVVALQVLSRTFVLPAAIILVNNS VSDSSVLGTIHGVAQSISSGARTLGPFLGGLGLSLGLSHNIIGAVWWALAVEALLGWV VSWSIFEGEGIQRKMKQIVQEEEEEALLESETEIERR TSTA_015580 MADRIPSAAEGEDQRLPVNAEDRKAAAALSSLNDNEISQDGDAA GSNSKLSSAAAQEALGKAMSRLEIASGGASGKTTGGGAGTNKTVATETAKKKAVKIVA EDVTLLVEELDLTKNKATELLRSHDGDAKEAIRAFLTPTIAA TSTA_015590 MVEWSPTSWTSKPIKQDVTYDDPEAVKAALTKLEKLPPLVTPHE IVSLRNSLRNVALGKAFVLQGGDCAELFDYCNQATIEAKVKLLLQMSLVLVWGANKPV VRIARIAGQFAKPRSSPNETINGITMPSFRGDNINGFDATPESRKPDPSRLVSAYFHS AATLNYLRAALASGIADLHSPLDWGLGHVITPSIKQKYEKITNAVTDALRFMHTVGVD QDSRVATADIYTSHEGLSLEYEQSFTRLLRHPPEAYPSPTEYKEKQLRRGSSAAISKG YYDTSAHFLWIGDRTRQVKGAHVEFFRGLSNPIGIKIGPSMTTDELVELLNTVNPQKE IGKVTLISRYGADKVSQYLPAHIAAVQGSGHLPVWQCDPMHGNTQSTPSGVKTRHFTN ILSELKQALEIHRAAGSFLGGMHLELTGEAVTECVGGAGGLTEEGLSERYETFCDPRL NEKQALELAFLVAGFYREDLERGEQIL TSTA_015600 MANLTLSHHDYTVAWVCALPVEMAASETMLDEIHGRLPQVSSDH NTYILGSLNGHNVVIAGLPFGIYGITSATTVLVQMLATFPSLRFGLMVGIGGGVPTKF DIRLGDVVISKPTGNGSVIVDSSEPFGERPNAGKANDQAEHYEHGEHDCSTCDLAQLL LRPPRATDDPDFHYGLIASGNQLMKDAETRDQMGEGRNILCFEMEAAGLMDQLPCLGY AALTAAAYAKQLLSVVPLTYIHSRTGHTKDFTPEEKACLQSLFITDPVEDKNAPKRRK GDRAPGTCDWIIDTDELQTWLASPSASTERVLWLYGYMDTQGLEMDILLDQYDIIGQK NALAYFFCDSSSPERCTATAILRGLLYQLIKQRPHFMKHLLHKFEERKEKLFDSFDAL WAVFIDIGCDNTYNQLYCIIDALDECDQNTQKMLFTQITRRLGNQNDGMIGKIHFLIT SRPFPEIREYLHPYMSKDLCTYPKVQDDLQVFIDQKLNQLAGRKGYFERTKRDLSRIL KEKAEGTFLWVSIVCEKLAGVRSRDAIEKLQSLPQGLHSLYARLLETALDADKDGFNT ILRILAVVGTSRRPLSLPELSIACRLYEEEYKEHRLRYLQEDIDMCRLMVVIQDGIVR LLHKSVRDFLFNPKHSFSINALQVHASLANRCVGYLLDNIVLLQRQRDQEEYSFLEYA TVYWPEHAGSADMEFTILPEYQQFFCPSSAEREVWLNTYRKHHHVPQHFSILHVAARL ALHYAAENGNEILVVQLLTRTPKNLEAKDVYGQPPLLTAVENLHAATIYRLIDAGAHV HTFNNRRQNALHLICKARKSNDSCRLLAYFMDKGVSINEPDASASGMTALHFSALNGS VGMTEFLCLHHANPNAQSETGDTPLHLAIRRRMLGLGYEDYWITGEYAVEELSNFITD WESEEASEIFEEIDTTRVRIVNVLLSMISIDVNIANNQGDCALHVIPFDKWYASEILL QLIEKGADISKSNNKRQTCLHLACEAGNLDAVRILLSRGCSITLQDIHGSTPLHCAVK GNYPDVVRFILEQRPQQLLGSCQQSGFFQTKLLHHHVKSYFCSVETINLLLQHGFGPN ELDENGDSVLSLYLRLFHIRFQVEIFDCLVQNGASMTWFSEGREGLIHLVMRQWDGDN FLVLKRLLQCFDIAAKDAKGRNVLHHGAIHGAFNKDLTNLPQETNIFDSLHEKDFQGK TPLDYAEEETQRKRHPNLFKENRWNESLHNLKSLQEGPI TSTA_015610 MVEVLGAYPARPPTPPKASHNLFKRDAGIVPGRPNMLNTPGESP SSTAESTILRSNKKVNFSPITNYIKPPTFSSRASMSSNEVRPIPPSNECKPAKSILKT PAADIVPLEKNEPQSFAVLLESATQQLAGESLSSRIDAYMQLLGALKAYDNITEQDDM SSKVGVLLQFVQRDISRDLGKRDVVETNLVTNALKMGIYIIWSQPLASQIPDDFKIFI IDHALSVLQDGKLSKAILNHYVHVLYTQNFSAKIMTNSRITRVLVVLNDITDRVNGNG IISQRLGVYTRLLGQSKATMASQASLWVEHLISGLLHPVKDTRSKALVLGTQTALLLG PNLVISKTILDIFNKEISQGRKLVSEVCDRMSRMMSPSDSGVHVPQIWSIIVLLLRSK RFNIEHWEYFKEWVLVLQRCFNCSDPAIKSQAIVGWNKFVYMVTCNESTSRSMLKMLT KPILSNFERKRSDKAGASVNPLFLSSYYNLLYYSFRPNNTFDHIDFIWEEYFSQPFVN VFASNPQLNDAACKALASLLWTSQSKIWTEDKIHEVPKMEANFILPIDCRWVRSRIVP VVAAFEVLVASANWTNIQMIWIHICKALSDASSKEIKPSSELMQAIATILGLFQRLRQ ASPSSLNAETDDVFIERFTYLATTLVSTVGPSPFTETLLLKTSQETFQAANTPTHRRP RTDRNSDTPFMHILRMISSYGNTSEPTKSLLSLIDGLLDAASKGRQARGSRLDFLERC TELSIDENGEYETTSKLVSYIWDATARYTVICLGSLPMETLRERDGTISRDYINISNI LLRGLQLRTSPPTWSALLESYIRIIRTEKGERGISTLVIEPITQRLLQIDYVRAYLPT KALINQALSLAYYEQNKYPATPSSSSQPSSFSFPDKLLDLIRKVLADSYNHFNASDSV VLAEVVESLTSLLGSGTLQFRHMLLESLQTPLALWLRDSARFLTSEKGADNRLLTAYR TLSLAIANAMQIAVPHNTASLHAFEAVVSAGLESVHKSTANRFIEMWNVTFGLQELAN YPSGVQSALQKLEPLVKLQLPLPLPRQAKSQNIHGICQVLEMPDFVESQDTTSFEPVT NTFGRVLRQMDSHKTRPTTFSSSPIIQSTEWAQPHVLPQQNIDSTPRKRLRHDNSQIQ FVTVDPSPRASNMESQLLTERQQEVRERQRGSTSMFLDGLGSSSPAPALTEVPATLSP VRLPKIRDTVREPEAPSTPTLAAHLQDNDDDFPGSSPTPGTREQGHLASQGNHALTIE TFGNIQSDPPSSPPEISRSPSSKRLSSQKPTPEKSKVEASEKQNSRRRRSSRITEHKA PGESTVAPIPSAKETNTPQQSDIEAQEEPTLTSEVTDVIPDTYADEFEQQLASQLEQD LELAVDLKDQDDIESIQSSDVNLPRGPITRKRKRNAETEEASTPERVKRRQSKKSKAA RSERARTESSQSDSDTMKPSTPETLNARRGLSTPQSSPLKNQISVDDLSDKSTNSESK RRSGRLNVPADREILSSPIKSRRSRSRKRRSLRLSGVPALSPPKSDKTSKAQKRKRTK SRNQNDDEAAEADIQDNSMQDVKMPDGETGTSNQNGESTEAQAAPAPETTDVQTDTVV ESAVDAAISTSAGNETEEQAVENDIVMEEASPEMSIAAPTSTIMDEAPRYISQGVQTD DVMDISESNTDSAQTGGSILGSLRRVLTGIKNVTFGRNVLKEIDDVMFDIRVEAHEAA RRDQA TSTA_015620 MNDFQYCGIGMMQARVLQNEDKQREVRRLVDQLDKDLSEKKLSS AEKVNVILTLRQHGINPDNAEAIYSKNGIALLTKYGLDGETQDIRRAALRTIANALLL KEEMRQVFVDTGCVGRLAEKLKTESSEDEMIVARILFLTTYNTDLSFKTLITEHSLGE NINYQITRHAKQLPKSGKKTLAGTDESAFTEVLKLLFNVGKLNPDLADAFTQSTLYML KTISRLDIPPQPLDGLIGHLINALSVLDLTDKPGKFESSPFFPKFNQNCNVDKLINVL DAAVRYYQTDQLETRIVLLIQLLITIYEQAPEGPRKYMEWLLLPEDQDRSAPIGRSDT LSSKLLSLTTTPSVHLKTAISELMFVLSGKSPEKLTKNIGYGFASGLLASRGIQISQG SGEAFASNQSDTNPAFNPITGQRWDAEPQDTGPAMTQEEKEREAERLFVLFERARANG FIAENPVAQAVREGRFEELPDDADSDLD TSTA_015620 MNDFQYCGIGMMQARVLQNEDKQREVRRLVDQLDKDLSEKKLSS AEKVNVILTLRQHGINPDNAEAIYSKNGIALLTKYGLDGETQDIRRAALRTIANALLL KEEMRQVFVDTGCVGRLAEKLKTESSEDEMIVARILFLTTYNTDLSFKTLITEHSLGE NINYQITRHAKQLPKSGKKTLAGTDESAFTEVLKLLFNVGKLNPDLADAFTQSTLYML KTISRLDIPPQPLDGLIGHLINALSVLDLTDKPGKFESSPFFPKFNQNCNVDKLINVL DAAVRYYQTDQLETRIVLLIQLLITIYEQAPEGPRKYMEWLLLPEDQDRSAPIGRSDT LSSKLLSLTTTPSVHLKTAISELMFVLSGKSPEKLTKNIGYGFASGLLASRGIQISQG SGEAFASNQSDTNPAFNPITGQRWDAEPQDTGPAMTQEEKEREAERLFVLFERYSTLP CISEPIY TSTA_015620 MRQVFVDTGCVGRLAEKLKTESSEDEMIVARILFLTTYNTDLSF KTLITEHSLGENINYQITRHAKQLPKSGKKTLAGTDESAFTEVLKLLFNVGKLNPDLA DAFTQSTLYMLKTISRLDIPPQPLDGLIGHLINALSVLDLTDKPGKFESSPFFPKFNQ NCNVDKLINVLDAAVRYYQTDQLETRIVLLIQLLITIYEQAPEGPRKYMEWLLLPEDQ DRSAPIGRSDTLSSKLLSLTTTPSVHLKTAISELMFVLSGKSPEKLTKNIGYGFASGL LASRGIQISQGSGEAFASNQSDTNPAFNPITGQRWDAEPQDTGPAMTQEEKEREAERL FVLFERARANGFIAENPVAQAVREGRFEELPDDADSDLD TSTA_015630 MSKPFDPETAENLEDMEKQFAVKAVEHLMTYWSILEKVPGSKLR LTKMDDEIYEHFKRDFPDFDPNETINEDEMKSKAGKEKWRKFMMEYEKKISDYNFGTM LRSNPKSEYDQETTIFAMRMQFYAVEIARNRAGLNDWIYEQAQAKKEQDKE TSTA_015630 MSKPFDPETAENLEDMEKQFAVKAVEHLMTYWSILEKVPGSKLR LTKMDDEIYEHFKRDFPDFDPNETINEDEMKSKAGKEKWRKFMMEYEKKISDYNFGTM LRSNPKSEYDQETTIFGMFSSYPRLVARKVAVWLDSSKKEANMCFVCSYANAVLCRRN C TSTA_015640 MADTLEAIDHSRDGPNREVSGISTTIDSNHDDEKISLKTSWRSL FRFTTIFHIPLLLLALPITVAAGVIRIVFALYLGKLFQVLSQFGTGAINGHQLMEQTR DNTFILLIIGAATWLASTCFFFVWIVFGELQVRGASRLLFGRLLARDLMWFDMRKDGV GSFLSHSQKQLRELQIATAQPLGLVIMHVIRILTGIILAFVISWRVTLVTMAGIPVTF AVIIFTSSRMSPILKAQQSELSEASKITYDAFKSIDVVKCLNGQASTYTQMMARIRAA ARLYMRLAILSSVQAAFPRFMSSAMFVQGFWYGSVLVRSGRLEPGDVLTTFWACMTVT QSMGQLVQHSATLERGKIAGETLHQYIRAEVTNDSTLKIQRDRYPNLHTEDIVLKDVV FSYPSRSETPVLNGLNIRFPAGRTTFIVGHSGAGKSTLINLLLQFYSPTSGGIIIGDT PFQAAKTSWVRQNITFVQQNSYLFNESLWDNIAFGSHNPRSVSNDQMEMCIEMANLQG TIRTLPNQLNTLVGLGGNLLSGGQKQRVAIARARMKNSAVLILDEFTSALDYDNRATV MESVRKWRQGMTTIIVTHDTANILDDDFVYVLEAGQVAASGLKRDLLRGDQRAIFAKA ITDPISPQRSLDEDILEVRCLSEQSWLDDASVDTWFASFESSDTMETPSTALIDRSFD KDIQFSPSAQTSLRELWPIARKPDPVNNRLSMADGGKHRPSRLRTFLSRRHGYNQVPT EDTGITTTLPLQKTLLTIPKILNLRQRVLLAIAAILATLHAASTPVFSYLLSKLFTSY YVADRHHASSIAKTYSILIVTVALVDAFVLSTMLYLLEYCSQAWMDKLRGRAMQRVLA QPCSWFEQEGHGPLQLTICLDQHAEEIRNLAGKFGSFLIICIMTAVIAIIWSFSLKWQ LTFVSLSCGPVWYGMSKGLELVNTRWERSSNDLNVGMGSIFSEAFTDIATVRAFTLEN HFTGKLSNLLSRRLGVSFKRGFSTGLFFGLVESVIIFASALLIYYAAVLAAPDAMGIT NILSVLTMILFSLGYAASVISWIPQVNSANDTATRLIRLSQLRDGSSSHENSGKLRVF QPVPVEFHNLSFRYPSRPEASILTDFSVKIPENSCSAIVGSSGSGKSTVISLLLGLYA CPDPSPGQELIAPLTLGGVDIRQLHMPTLRSMIGYVPQQPKLFADTIRANITYGLDPY SRFNSLKNIETAAVSAGIADFIYSLPEGYSTLIGEGGLTLSGGQAQRLVIARALVRHP RILILDEATSNLDAESAEIIRRSVRKLLATPGQGLTVIMVTHSRDMMEMADNVIVVDK GMVVEQGSFMGLMKRVNGRLRQMLDVD TSTA_015650 MYIPSLPTRRLLAARSAGMSGTTAAGIGVAVGVGVTILALLLVF IMIRRLRIREAEPRLLPGRSKANARVGGLNRNRTTTTTLTADLSSTANLNSRNNQITS NPPHRGQSIRSIITLPSYSRLPKEEEQVIAREGEREGMDMVVEFPETADEEENRREEE MESLYQIRLRRREELAERDERRRLRREARAAGDTARLQQLSRDSLAATRASMSRPSAN AMLAEHQARTRGRHRRISSVTYADIGHVRHDGSRIRANSNDSDQRPLLDLTRQSTQSV FTVHSHNRSSTSGISTLSASVHSGDNDTPPSELGAEDGDLSTAHIPPPSYDYDDWGEA PAYESPIAGNARHEEQLLLSQTLPQIRIKTASPANSTLVSPATPATPATPGSHEPVSD LSEDLSHTVDETSRPSSSSRSAETLTNSSADEH TSTA_015660 MKKVYKGDFGGARLLIRCINSVYGNGDNYAIYHPTDNKLLSLPE ETFEEALPKVVARRILEQLSEKEFECPGNYDYMDGLGHFLSRTGNCEPFDDGADGHCR GEDMETLILKRYEDANADKDPFIRTMHLNVTEII TSTA_015670 MALRNVIIIGAGGHLGPSILSAFRGDSQFNVSVLSRQSSSSKFP EDTKVYRVGDYPYEEVLSAFKDQDAVVSAIASYSKCSTITSSSFVTALSSSWFGIPNR KAVIYNDGEGS TSTA_015680 MTRPYSMRHTEHTQVSAGDSTMSDFDPENEALVSTRELDHSHEL PKLPASFRRASTTGKGSSPRLDPEYTIDTSAINRAFPEFSDVESSPDDETEDDFSIEI GRGVKNSSRNRRDDSRNSMMSIENSVRSASPAIKLDYPTTSTPPKSAMRSASKRAVAG DSLRKDAQIRRASQMQKENIDPLPQPNRSKSSGRSAEPRHTLAEMHVRAKETYDGSYI SDERPQNVPVNTRTTRFGNVSGQVAAAIESASRNIQPRDSQRRKHDIAPNPTFTMDTV TNGSILLPDLPNISELVSGVYEDGTPVFTRQTRPRTTRFVSPPAEDMDVSQPRGHLPL ENVPIPEDEKALFVSLKLLQDKVADLELAKSEVEKKLEDAREENHLLKAEKSRRQKEQ YDRLKLFGGEDGEQARGSTKLLQDKNKLEAVNLALQNRLDIADRKVQVHESALKSLAQ ERDSAVTQLGVAYLNTQETKRENEALKKENAELRAQVNKLTTLARQLAGNESNTYQSL PKAQIDTEHITTSTDQNEGNTQKTSGSMRSRRQSKEQAVRASNSTRDDPQSRVLDRVE KEISRMEKQRQDEELFSLNLSRPATANMESATKSTLPYRSGEVESSRKVPNTGKQRIK RVIVEEADTTGNFTEDLREETKSQTGAGQDFTLLSFIDDREIAKLRRRLEIERATRKQ NQSAAVREQSAITTASGKRTASDPPRKSSLKEPKEPIVRPSSALGDATSTAKTDQGDR EEGAAGRRRRHSDHSTQSQSRRRKFVPELTSAFILPDITLRYAEVATREPARLSESAQ KVLDSVAGHDGRNCTVCKRLLPDGVTHNHDDDHVAIQRGTITVPKPIPVSERMPEPSF YNEEPTLRPSRPPAEALATVLKGLEDELSHLKMQLAVAQQSFSKHDASLGKRQRKSLS NKIEKLLKEIDIKADQIYALYDVLEGQKQDGHEMTEKEMEITLQSIGIDVQNAAKLAN LTGATDESLRRKTVHNVEDSDDEYDEELPWEGFESTAEMTGRHTSRSGNL TSTA_015690 MSGLTDGSARRDEDEVCPICKSSRYLNPDMRFLINPECYHKMCE SCVDRIFSSGPNSCPVIGCKKTLRKNRFRTQTFEDIGVEREVDIRKRVMAILNRREDE FDSKLDWDNFLEQRETMIMNLVSGIDVAKTEADLRKYQAQNLDSIRANQARESQETSS FQEQQSFEQEQARLRRIAVREEYEREHRELAAGREDILSRLASGRPGDAASIAREGQK ILLKKSSARRSEEERLRQKQAALRGEKTKGSRAVSAAAESDAEPSLIKGLKKVSLPEP EKPYDPFGGLEIDKRDYYVLQDHYPSQWLDQARNSTTFSAGGFDIKEYYSRTLFEAFA GLGVFVEEEVSKRDSFGGVTTKAAVATRGAAQQAATVRASDDVF TSTA_015700 MKKFVVEVANGTELAVAFKASSGCPRSLIGVTDKMAVRNPTLDE LERRRLELEGNIQNLRKSLYDWRLREAEYDGLKDEIKNLEHDCTSIEILQAGIDYEGT VVDEKEVRALIGVGQNITRSRDQVVQQITRRLDYVKQNVALLEKRLLAAEADLDRLLS VERPGPNAATEEYAVTEIFEELDENGDVISSKVSNPADRAPEIMDMLKKAGVEIPQGQ ERSKQEGDKVGSKEGTSVADTLKETSKLGVTSVNNDEAKMTSTTTAVPAIANPATDDF DDSDSEPPVLEVNESPEDAKLRREMLEYSFHEVGRVVAELELDEDGSEISVDDDYDDD DDYEEEEDEYGRTTTPVLTEEYHRQMKELEERLKAGGYINLGPAANIATEAKETAEAA SHGGVLKVTAIERDADDNISSGGKKDEPKKPKKKVAFAQELDIAPESTQPTAPVQTKR KIDVIKSDVTPLSDSIVERSASAPSTGSQDTTTSTKKKTSRFKSARKDGSPTPGDSTT PGIIPGQRSILMKSDQPPSASSLSLFPAKSNGPKRFSQPIIAGDVFAATSTARSTERE AKPPEGKITAETLIERPVIDKNTVLPPDPDEIDEEIHRKEVATEFYRRRNLRIQQSGG FLKDEDEDERDQLYELDENDQPKRKVSKFKAARLRS TSTA_015710 MADDAPMSIVPYSSNRHIVLRHNDSVVVLDRDSQQLVLRNANNP NNGDLDLDIQDCPYCHRPMRDRDNEAEVHRGRSGGPAQHDFVTPEYFRLLHDSVPNSE ASTPPLSPRLRLAQPALTDGSVRSSSPYAPPSPSSPQGISSAAFSQGYFKKFFVEEAE LGRGGKGVVLLVKHMLDGVSLGHFACKRVPVGDDHEWLEKVLVEVQLLQHLSHQNLVS YRHVWLEDFKISTFSPSVPCAFILQQYCNAGDLHKYVVGSVPTSTTAQQLKERLRRRS KGQPEAPDFSGPRKLQFEEIYSFFRDITSGIRFLHANGFIHRDLKPSNCLLHDSGQEL RVLVSDFGEVQPENTVRKSTGTTGTISYCAPEVLQREYPNGPFVNFTFKSDVFSLGMI LHFLCFAQLPYHSADLIEEKEDIDELRAEISTWKGFDDSLKRLRPDLPDKLYTILERL LSINPSRRPTADEVLLIIQAGVTGNESRRFGRASSNGPDFSQRIQPVESPVPNSPQPS RSPVKVASRSTGPLAVRSPVRYETRSVTSNRNNTILETDSDSQYRHRSPSNPDQDVIL RPRFRSTPSTPPRRIDDNDEVQQTDGQRRYTHPMIDHRNHDPPSTPPDHTLLPPHRLL PPPPETLWSSNSYLYFIPIPSMDLQVPIPNVRVTLFIAKQILISQTCMPMAVNPWVYY PLFILAVLSLPVQSSKTQLISLAVHLGLMALSVRMDSICVWGSSRAFFA TSTA_015720 MGTGKKEASRRERQGKVGDGMANVRTKGENFYRDAKKVRHLNMY KDGKAKRDAEGNIVKAASYQSTELPNARIEPNRKWFGNTRVISQEALTSFREAVAERA SDPYQVLLKTNKLPMSLIRDGQELNGLKQHRAKMTIETAPFGDTFGPKAQRKRVKLDV GSLEDLAGESVKMHDSYLEKLDQAKLLSGKSGEDDGEDISVMGVAREHVFSKGQSKRI WNELYKVIDSSDVVIHVLDARDPEGTRCRSIEKYIRDEAPHKHLIFVLNKCDLVPTKV AAAWVRHLSKNYPTLAFHASINNSFGKGSLIQLLRQFSSLHSDRKQISVGFIGYPNTG KSSIINTLRNKKVCTVAPIPGETKVWQYITLMKRIYLIDCPGVVPPNQNDTEEDILLR GVVRVENVHNPEQYIPGVLKKVQTRHIERTYEIKDFDGDAVEFLSILARKGGRLLRGG EPDLDGVAKMVINDFLRGKIPWFTPPPHNEGNEDEKIEGREGRLGEMSKKRKRDTAAA ISEAKDEEDDSNEFEGFDEDDESDGGIRVSDDEQEEEEEGEGNEEDQNEDAPAKD TSTA_015730 MSLSRSYRTATKQVRSFSVSTRLRIGPESPNYIEVPQTLQPDLP AKPRVKGTLPVPREIFPARRPDKPTPAYISAVTPEPSKVKTIKKNDPNAETIAWKQRM ADSRRTNLREGLLELYERKENTVAAMTRRSAAKQARRERVLRQPQREDERLTSPSVVQ SLEEQPSSLPDPDREIRIAQSRERVLQKQLQKSAERHTNLHTLYMNAREFIVTEEQLM KEIEDKFREDGGDEWRSDSGVGTNVWAKGAPPTIQSIVNNQTKDDVGRWRIEQERMSK VVEEMTGGKITIKICIILENAQAKAENGIGKY TSTA_015740 MAQLPSKLPFSKKRLRARIIISYILDYVFIIGFAVGFWALDAIE PYHQHFSLENKSLQYPFAVKERITIQEALLISIVSPLVIIVLYSLLIDGLFSHHKTLV DGSGRRKLTGPYRLKDRLWELNCGILGLLLSQGLAFVTTQILKNACGKPRPDLIDRCR PAPDSHDQPVFGLSNSTICTGDPALIKDGFRSWPSGHSSSSFAGLFYLSLYLSGKMHI MDKRGEVWKAIIVMVPILAATLIAVSRIMDARHHPFDVITGSLLGVFTAWASYRQYFP SLSEPWKKGRAYPIRSWGSEPRVPAGIAYSGTPDNDDTVPLRDGAAESSYAQARKADP GQEFSLAPQSQPVTPGVVYRNKHRDRDEYSASSSEDDIGAYEMTRPGERGRANLRANQ PYDTAYYSPGRSASPGNNIERGVEPRHLAGEF TSTA_015750 MIAEVLFIFWRLTEIVFLIPIIGMLAYFVNGFLNANQLTPAYIL VLFIVSTIAVFWCIDTVLRFGSTRRSAFFVSFIDLCFFGALIAGVYELRFIAGANCTS WTDITSSVSLGPFGSIVYTGERPNFNKTCSMLKASFAIGIMEVVFFFFTAFLALMIFN HHRDPVVKETVVRRRSHSSRRGHRHSRSGSSSRRHYVV TSTA_015760 MANTFDIAQEFYPETIDEIRDREYPTLRDITYLDHAGTTLYAKS LIERYSQDLTTNLFGNPHSASASSQLTSNRVEDIRLKALRFFNADPDVYDLVFVPNAT AGIKLVAESLRDYRGSPGFWYGYHVDSHTSLVGVQALADLGNRCFKTDGEVRQWIDGI DSQDESPKLFAYPAQSNMNGRRFPLKWCNQIRNAGARNTFTLLDAASLVSTSPLDLSD PQICPDFVVLSFYKIFGFPDLGALIVRKESGHIFNHRRYFGGGTVEMVTVGNNWYARK QSSVHDQLEDGTLPFHNIIALESAFQVHGLLYGSMANISSHTAFLARQLFNRLSSIKH ANGKYVCHLYLSPGCSYEDRSTQGPIIAMNLLDSNGNWIGKSEIEKLASVKSIHIRSG TLCNPGGTASLLGLSNDEIEANYRAGQRCGDENDIMQGKPTGALRLSLGAMTSSKDID RFVSFIMEFYVEQSLPPLSDVQVLRTAELNRFYVESLCVYPIKSCSGFAVPPGIAWKV RPEGLAWDREWCIVHQGTGVALSQKRYPRMALIKPLLDFEKGILRVAGESPTGRGQLD VPLSRDDPHLVTTEMMRGCQNSGTVRKPSLVCGDRVIIQVYSSTEVSDFFSELLGVPC TLARFPPRSHARHSKNPYRHHHPNLSKRRNEMPGSFPVETNHTNNNSNHARRKASGTS NPILLSNESPILLISRSSVNRLNEQIKNSAATTINSSSNNTKTVAANVFRANIVIAEN LPHSHSQYSSVPIPSSTSSLFEQPYIEDKWSSITIGPKSLRFDVLGACQRCQMVCIDQ MTAEKREEPLSTLAKSRRVGGKVIFGRHLGLSQVDDIGLDIDDDDESEEPERTIMVGD LVMPSYSE TSTA_015770 MATIMASRLVRNMARRTMTTMTPAPTSTTQATEKVTSTAQDSSL SFLPLRLRNFFAKYPPQHYSAAVAPASRLVPPADATRYNNNNSLTTSEGSEIDISSLS PEDLPTPYTPNRDAKGNKRNPTAWSASKAILYNDPEYPNPFLPQPSPGGKKWRSPKYG LRQQADLIKLAKKYDVEQLLPTSRKSTVFKETRLAERGLAIKGTGIGQKVKGHKWERT METRLEERKKAMMEMPELIRQWKQRGHGRGWKKWPRR TSTA_015780 MADYSFGGSEEENAELRKLEAELVEDPDNFETWEKLVRGAEGLE GGINRNSNPQAITTVRNAYDRFLAKFPLLFGYWKKYADLEFSIAGTEAAEMVYERGVA SIPTSVDLWANYCAFKVETNHDSDVIRELFERGANCVGLDFLAHPFWDKYIEFEERLE AFDKIFAILGRVIHIPMHQYARYFERYRQLAQGRLLNELAPPEIVTQYRSEIEATPGQ PLPGAKSEAELERDLRLRLDAYHLEIFTKTQTETAKRWTYESEIKRPYFHVTELDDSQ LANWKRYLDFEEAEGSYARIVFLYERCLVTCAHYDEFWLRYARWMSAQPDKEEEVRNI YQRASTIYVPIAYPTVRLHYAYFEEMTEHVDIARDIHEAILYTLPNHVETIVSLANLA RRHGKLEDAIDVYKSRIETPSCDAATKAALVAEWAILIWKIKGFSDEARQVFQSNQQN YLDSRAFWTRYLLFELEQPSTPETETVQHERIKKVVDDIRNKSTLPSDAVKELVQSYM VYLLERGTKAAAKEYITLDREVHGPASVSSTNKNKEAAKVAPPVPQPVPTPDPAAAYA YYQQQPQAQIPVPNGGIPTQPAHY TSTA_015790 MLDIPVESLLDVEDALVSPSNPARNNGTLDYERCARLHSHLAAY GWMAHHEKGPHELDELLRRPKYLDVERTAVIAYLNATIPSEDGGMCYFVSNLDLRVAD TSTA_015800 MGDLFMNGTHEPLERPLTPPPGPPADIAPPPPLLDMMDAPPPPT DIEPPPPPEETLPPPPPPVEEIAPVVKKKKAGWGSSAAAKPLSVEELLRKKREADAAA SKPKFLSKAERERLALEKRAKEVEAERRAKIEQNPSTNGSGVNGTTPDDRNGSRAEDS RSAPVPTGPRAMRNTQPNKDYDLAPPAPPKRIAFGKDDSKGGGKRVSEAEAEAELVRQ RYMGANQTSNFSAKKKRKRTTERKFNFEWNAEEDTSKDYNPLYQNRAQANFFGRGRLA GFGEDMADDATRRYVQALEDRDREAGSVRAREILEMERRRKEESSRTAIDKHWSEKRL DQMRERDWRIFKEDFNISTKGGSVPNPMRSWEESGLPRRLLDLVKQVGYTDPTPIQRA AIPIALQSRDLIGVAVTGSGKTASFLLPLFVYISELPRLDEFEWRKNDGPYAIILAPT RELAQQIEIEAKKFSKPLNFNVVSIVGGHSLEEQAYSLRDGAEIIIATPGRLVDCLER RMLVLSQCCYVIMDEADRMIDLGFEEPVNKILDALPVTNEKPDTEEAEDAQAMSQHVG GRDRRYRQTMMYTATMPSAVERIARKYLRRPAIVTIGNVGQAVDTVEQRVEFVAGEDK RKKRLADILMSGQFQPPIIVFVNIKRNCDAVAKDIKQMGFSSVTLHGSKTQEQREAAL ASVRNGSTDVLVATDLAGRGIDVPDVSLVVNFNMATNIESYTHRIGRTGRAGKSGVAI TFLGNEDADVMYDLKQMLMKSPISRVPEELRKHEAAQSKPTRGGGFSKQKADEGGFGG KGGGW TSTA_015810 MASAARTFTRAIARSNLRPVARSTRFAVPAQTLRSAGRRGYASE AEAGKSNSALYWGLGLAVAGGAGYYLYNSGDVKAKVSGPFVPTKEDYQKVYDEIARLL VEKDDYDDGSYGPVLVRLAWHASGTYDKETGTGGSNGATMRFAPESDHGANAGLKIAR DFLEPVHEKFPWISYGDLWTLAGVCAIQEMQGPVIPWRPGRQDRDVAACTPDGRLPDA SKDQNHIRAIFGRMGFDDREMVALSGAHSLGRAHTDRSGYDGPWDFSPTVFTNEFFRL LVEEKWSWKKWNGPAQYTDNTTKTLMMLPTDLALVKDKEFKKHVERYAKDSEVFFKEF SDAFVKLLELGVPFKTEERFVLKKNE TSTA_015820 MQPRRQAPDDYGTEYLDDYSYSSNYIFLSLILFGNINMPSPPPA TANKDYYEILNIPSSDPSAGKLPVISKQQLKIAYHKALLKYHPDKSSPSPFPAPTGGS NTYTIDEITNAYKVLSDPSLRAEYDRDLILQRRNDTFNKKSNGGENVSFHTGLEVVDL EDMDEQFDEGEGTLSWYRGCRCGDEKGFIVTEDELEAEAQHGEILFSLLGSLIRDIFP AMRQEACSFDETFVQRGSRLTENALCEDVELAISERPFYLRTYLNECDLGRLWRQI TSTA_015830 MNATYGLDGIVTFKWNVTRYDPTVYLCLTTSGSSRGDISSWFPQ SSVSTTDGTMAYEVPSLGRALIKEKYTAWPTACSFGMLFVGTHSRVFIPIARTFFYVQ LTPTSSRSTSMISPPPTTITHIVTSITPTEAPVQPTSTQSSSMNEDDHHLSQGAKAGI FIGSITGVLIIVGLTQWRKTVVLRRISASEGLLSGFQELIAPVKADFRRKSHANEPTG SGIEKSDKVAHELNTPHPVFELESQQQYSDGPHELPGK TSTA_015830 MISPPPTTITHIVTSITPTEAPVQPTSTQSSSMNEDDHHLSQGA KAGIFIGSITGVLIIVGLTQWRKTVVLRRISASEGLLSGFQELIAPVKADFRRKSHAN EPTGSGIEKSDKVAHELNTPHPVFELESQQQYSDGPHELPGK TSTA_015840 MSLVHISSKEQFSSLLTSSTIVVTDFYADWCGPCKAIAPMYEQL ANQLSRPNQITFTKVNVDQQQDIAKAYGVTAMPTFVMFKRGRVVTTIRGADPNKLSEA IRKLATEANNMESSTGGESSDAAGSSGSSWTGASLPRGYADVTDTVTIKDLDMANRDS EKGSAKVIFDKSKPSALDNNKGKDKEPDWVESDTDEQLMLYIPFNSTLKVHSLQITSL PPKDSEGEDDDDEVPMRPKTLRLYTNRSTILGFEEAEDIDPVQSVTIEPEQWDDKTGT AKVELRFVKFQKVNSMVIFFVDGDGDGEKIRVDRIRIIGEAGQAREMGKLEKIGDEAG E TSTA_015850 MEATYSMPAPLQGQQYYFYNPETDVHRPYIVSHPEMSFHAPMPM YQQQQPIFPAHPQLAPKHTMQHQMSMTPIASPQPTHMKPSIMIQQQHGSPALMPLDTR FDFYSFPSTPPLSSSASSISSPPSTCGMIHTPVNCSFTPFETMEGVKEGCESEVHAEI LAKPDWGRTDSPPLTPVFIHPPSLIANNSDLLSANVSCPSLSPSPSPGPTGLLTPNSI SFTSEPACSDFCDPRQLTVDSAAAAAPSAPAELPPLPTLSSGDEDEQKLLLGGGNLTL PSHESVHVPFSSCAETTLTTLPRFDSFSDLDSDEEFVTGIVDFAPAAANTFFLGDKRQ RVGPYVLDDDEFLSEQSFDDVDDEELFMRSGLPTVIVEEMPGGEDSSDSSVEMKSKKR SGASSRKSIQKKPSFDSSSIGNQVPADTRGNQSQNQSGSTQNSGSANNSKADSSAAAT PASGKSESSSAQAPVSRRGRKQSLTDDPSKTFVCTLCSRRFRRQEHLKRHYRSLHTQD KPFECHECGKKFSRSDNLAQHARTHGNGAVVMGILDPSEVTPPAPQMYEEDAGVLGAV LYEAANAAATQSTSESGSSAESDSPTSGDRRLSLKKRKRDETVA TSTA_015860 MSEPLNVIALISGGKDSLYSILHCIRNGHRVVALANLRPPVPVS NDHGTGEEEEEEEDMDSFMYQTIGHNVIPLYESALGIPLYRGDIIGSAVDTSRVYGDH HPHLSSPQKEEEEDDDDETESLIPLLRHIKRDLPYANAVSAGAILSTYQRTRIENVAA RLGLVPLAFLWMYPFLPSESSSIVGGGGLLNDMAAVGCDARIIKVASGGLDDGMLWEN VASFKTQRRLEKSMRPFVDDAQTLKGAVLGEGGEYETLALDGPRFLWKKRIVVEESDR EVCRLGSGVSRVRVVRASCVEKDDQPTTVVPEDVKRPAQFDDTFQRILNGLEERDFAL AVDIAVPSLEPGSMIDVSSISLGNLYTISNITAAEAGSTADAQMRGIIEKLHTLLLNI DNADISTKPTTDDVVFTTVLLRSMQDFAPMNAIYVSLFTKPNPPARVTVACGNCLPKG VQIMVSVVIDLGERRKREGLHVQSRSYWAPANIGPYSQAITVPYQLENIQSATDSNKG GLVYIAGQIPLDPPTMEIPNGIKQGDERWFQNFSLHATLSLQHLWRIGLATKVDWWIG AIVFLARDFRRSHQKARIASRIWQEVHSRDGNRGVEEEADLDIWDIKHGLTEDPRLSS GGPSLPNFEVLVDDDGGDKDIIQPCFTVEVEQLPRNSDIEWQALGTTGIPLSAPVRIP CTTDPETKIWCTKLDDCKGSFYTIEIAEIQGTNLRKRIDVVLSEIGVTAEACLTHCTV YTPHGKAATHWKGQVVPCYNVWGSDGGGIAAAVTVHRWTGDLEHAYLQPSSEIVIDSD LNDNDIDNNATTIIDDNDAIVSETIDSKYLPNYHTRFSRSPHPYHRISSKIADRSPAR SDYHQASDSGSINDSANVVQRRRQRNTNRNGNRWSRTSPRASSESGTEADDESTGLLK GLPAPPVRSSRKGLRSTAGRDDPLLWLQHETPQSWSLFVRSPLRQPKRSSSEESMRSS ATYATVRGVVARRKRNEVMRRVTETALLLSVGVVVLLREDVRSYASSWHKEIRSFLSL VTVLYALYPLRLSVGRGKPRLTFAFSIPSSFDPAPLLYPVLIPLFVALSTLHHRPALI LPNIVLSLSSIPAQVVPLHILHHGYISVTHWAITVIPIILSEHDISYISNMSEPLSSK GLDVEVLLLLYPLHQALNATLDFLLATSLLPAELHLLGSGLTNLLLFAKSPQAQILKA LLWLGGLSVWILCRTVLRWEITLARIPTWKFRRPPSSSFSPKKLINFIDHKICEKISA IGTNSEKELDSDSDDDADSPSRPQARQRSLPRQNGFWPHRGDFKEGLRLDDLWPSSWA ATKSLLHSHKRRHTISTVDDLETVRTGRAPPRTTPSGRRKRSMAPDLASFLSLTAAQA RIRRWLFAGYVFTAIVATILVPVRIYISNYALHGKDPFGWALGYLFGNISSFRLWAVK WNLEYWIQLPKRHAANQFCHLGWLEHLRRDTFGEANTRLLISGHCLMVLIVGMATVLQ LSKIAEVDTRRKIFHGMMVLMFLPVTYIDPTYAALALTLVLAIFLLLDLLRASQVPPV AKPLTYFLAPYTDGRDHRGPVIVSHIFLLLGCAIPLWLSLADLPRVGVEDNIDNPWSG WEVPSRDVSMVSGIICVGMGDAAASLIGRRYGRLKWFWGGGKSLEGSLAFTIAVFLGL MIARIWLAVGGWTAVSSSTSSTDMSSWLLVVVKCLLAAGGTSLTEAVLTGGNDNVIVP VVLWLLVRGLAI TSTA_015870 MYKELKASAEAVSSSLDVWKAPNKKYILAVICHWATEDFEDRQL VIHFGHLKDSHTGENMTKEIQEVLRNLDLEQKLVSICGDNAGDKPTLCRSLHKLLKQQ FVDSVQKLDLLDEDHRLMCFRGDENFAHCLAHVLNLIAESMLKVFKAGSHQQAKRIIK QMSINKRETFQIEKYHTSDQPFDKYMEYASVTLDYDVDTRQNALLKMLEIPIREWSAI NHMCKECKLYSL TSTA_015880 MASGFLLIQSEIPYSEFLSSDIVELGTKNSERTFKIHKALLEAK SNEPYGRLEYIKEGKENIYQFHDTSDNTLLRFIEWAYRGDYPEKMDEPSLASANVTKV SSSDDGDTTTGKDPLSCHMEMYIFAHVYGVPGLGAKAYERLTDRLKTIDKPQDGKVKL QVISMIGLGFRNLYEHDKLLGWLGNYASFCLSELRTVPEFQKLLEEVPSLSLANLQDS AANLQQDSAANLQDSAANLQQDSAANLQQDSAAVLQDSAAVLQDSAAVLQDSAAVLQD SAAILQDSAAVLYFSAGVLQDLANLQPWLLKLKSGLQALASY TSTA_015890 MASAQPVPAPVRRNRDASHAEVPIGRYIRLEQIGRGSFATVYQG VHAKHRSYVAIKSVNLSKLNKKLKENLWTEIDILKGLHHPHIVALIDCQESTSHIHLV MEYCALGDLSLFIKRRDTLRDHRYTRDMIAKYPNPRVGALNEVVVRHFLKQLSSALKF LRDRNLIHRDIKPQNLLLCPSPSSYRNGGAQVVPFKGSDDSFTPLAGLETLPMLKIAD FGFARSLPSTSLAETLCGSPLYMAPEILRYEKYDAKADLWSVGTVLYEMVVGKPPFMA SNHVELLRRIERHKDKIRFPDELEISADIKSLIRSLLKMNPIERMNFPEFFDHIVIQE SIPGLVGNDLVPPARSSSATDQPDATPLDDNRFSDVTDTTSRQGDVREPSITQSPLPP ASRQSSGPRADLDSSPRPESLQRTASGDKLSRTGDAQRAAGIGRRPGPISHVTAPGRQ ELVDKYQGTLERQPSRNSHYPSPVIKQEEDPTIKDERERAAQDIAFERDYVLVEKRAV EVNAFADELANSPRIAQPGPRPSGGIVRRATVQNVHSSNNPPASPKAIQFAGRARADS HTRQSSFERRYGQSPSSATSAISKALNMASGRLFGVSFSPPIGKGGRSPPLTYNPYPT YPAAQYSLLMAGDGTKTNTAMDEDAKTVQYIEDCATRSDVVYGFAEVKYKQLIPLTPS VQTDLDKGGDQIFLESDDGELTPDAVVTLSEEALVLYVKALALLAKSMDIAGAWWVRK NRGEVFGETSHGVSTTSDAVGTRINNVVQWVRSRFNEVLEKAEFVRLKLIEGQKRLPP DHPSHPSNHSLESNSAGTLGTSADHVVVSSGVTAEKLMYDRALEMSRAAAINELTGED LPGCELAYMTAIRMLEAILESDELSPPNKSGDRSGDPEKIVLDGVQVEDRKVVSKLVS SIRSRRASLRKKMTVMAKHSSTPLGTSPGKVATTKVRPASPAIAGVSPPR TSTA_015900 MPPLVSLSSVLPRVCWYSAKPKASFLKATSGSVLRSSLSTSSSL KDTRSPLSTASRSPTPTTITTFTRSGFPSIVARSATCLPQISKRYCSYRRMCRSRHAD ESFGSTNTVGREVLPTNVKPVHYDLTLEPDFEKFTYEGTVIIDLNVNEDTNSICLNTN ELEIHSSQVLVDGSVIASSPTISFDKDKQVTTVSFNETIPAGSKAQLKQTFTGILNDN MAGFYRSSYKTANGGTGYIASTQMEPTDARRAFPCFDEPALKAEFSVTLVADKHMTCL SNMDVASESEVDSKITGGKRKAVKFNKSPVMSTYLLAFIVGELKCIETNSFRVPVRVF ATLDQDIEHGRFSLELAAKTLAFYEKAFDNEYPLPKMDMVAIPDFSAGAMENWGLVTY RVVDLLLDEKSTSASVKERVAEVVQHELAHQWFGNLVTMDFWDGLWLNEGFATWMSWY SCNAFYPEWKVWQTYVIDNLQSALSLDSLRSSHPIEVPVKRADEINQIFDAISYSKGS SVLRMISKYLGEDVFLQGVRDYIRKHAYGNTQTGDLWAALAKASGKPVEQVMDVWTKH VGFPVVQVTENADKGTLNIKQNRFLRTGDVTSGEDETIYPVFLALRTKEGVIDDLVLD KRESEFKVPDLNFFKVNADHSGIYRTSYTPERLEKLGQAAKAGLLTVEDRAGMVADSG ALASAGYQKTSGLLSLLKGFNTESEFIVWNEMLTRIGSLRAAWLFEDKKTRDALKAFQ RAITSEKAHQLGWKFSVSDDHVLQQFKALMFGSAGSAGDPTIVAAAQDMFKRYVEGDH SAIHPNIRGSVYNIVLKNGGEKEYNAILEIFRTAATSDEKNTALRSLGAAEDINLVRR TLDLATNGEVRNQDVYMPLSALRNHVTGVEERWKWLTENWDTILTRFPPSLGMLGNII QISSVAFNTEEQFKEVEAFFAPKDTKGFDRAVSQSLDAIRAKAHWLKRDREDVEQWLK TNGYFDVGQKL TSTA_015910 MDADWDLFDRPMAGYDPYPYRYSEAENLYTLSTLSYPTREASGM DHMFPSSSDNQISNNGRYILHSPQGTIYEEEATPMVISPRVEEDIINMQRQESYIWNP DTDYMLGNPSYPRVPDHRFNAGYSSPWNPLQTESGHENTYLAVPGNRGRNRTARSPVS TANTPYTPSSLGSRSEADRGHARAGRRRGGREQGMRLAESTVQNVRECSGGSPCDTCA KTTRGRKWAGCIRSFKELTNLLNPDILSSRLRAEVLTRWITANTLMPRGRNEFELPLS FGFGKPFIGFRGIEYDPRTSEALWTYTIGQGDTHSESALYSNSLFVYPVNSSSREVQK EVCKWLKQILWNSEDMEDWLKKCFPGSRVAWARELLQVVWSYSMKSLNVGSLQEIDNC LLEAWMACLIVTILSLKIAIPKPFLDTIVPNLESGSHYRVPYPDTSRALNKCVKSLLF DIYLKFVKRITSALDQFSQIRPDQITDPHLCHMNCISILIMVLTCQIQTSLMDNARVS LEIKKELEVWDETETPEHLKRVEGVFKNTLLFVRHKRREWFKERPVEEHASSQMSYLC DAFRDVGERHGDAIKQHVNIKLEALRDRRADFHKQNIMRVFAFFFREGCKA TSTA_015920 MASIINKRQQARNERALHELIRTVPGNDRCADCQAGNPGWASWN LGVFLCMRCGSLHRKMGTHISKVKSLSMDSWTSEQVENMKKRGNAIVNKEYNPRNIKP DIPVDVDEADSAMERFIRQKYEHRILEDGKPKPPSRDDSGYHTQKSLDESPPPPPIPP KSGRKFGFNLRSVSSTSRLPRSPDKSSISSPRSATLGGPLSPSLSLNKQSRVFGTNVG EADGSFEAKLDQLRSMGFPNDKRNSSVLKGVGGDMTRAVESLIRLGEGSGPPPAAPPK PSTAMSQPSPSPPKTEADDPWSISPNVNSSAGARATAAPAKSYNPFDVPTTQASGTTG LEGAFQNLQVAQPMSPHTTGGYVSYQMSNNMALTPLQTSQSYTSSPQPLSYNPFFQTA SLTSQNVANPYYTSHTAQSTPIQPSRSFSPGNPFANSLSAQSTGTQQHLSAVSQSQRF AMPRHANTMPVFSSSSVSIPSQSTASYVFFSQSQQPSPHQVSNTNPFDINSNTHNPYQ NYPTNHQQPQYQQFQHQNPYAQFQQNVPQQPTRADKNSILALYNLAPAAAPPMSTIPE QSQVPQTQQTVAQQQNIMQASNSTSTSHTAQGMFPTMLQSSATGSGHSVQNSNPFMHS TPQQQQQPQLQPQQPQQQQANPFAIMSQSTPAAPASSKGLGIGLGSNTHNPYQQMAPQ QPQQPQLNNFMPRAPIHMSKASVDINNLQSGRHSPDAFASLSARFA TSTA_015930 MKAIIASTFVLYLLVTFAIQLLEVPTVRLFEIAICDRYFRTTNT GVHSTLFKDIDESSCKIPPIQNELAEIAGWKTSFDAVPGLLTALWYGSVADRYGQRLV LFLSLTGSLMGLVWIVFVCKYNIIFAPQMVWISSIFLFIGGGVRVFNSVIFSIISDSL GHSQRTKFLYLLAAGPHINRLISPRVATFLMGYSIYVPFWVAITTDCICLLMLVAWPN QSKKETFMVDRTGEMDSTDADSVSDSSNVYTPLLGSSSSSASSPSSPISSNNDQQLST ILKTAVLLLRHPASRFCFITYILKRIAFASEGFMFQYASEKFLWPLHQTTWLRVGQAS GAILATLILCPLIMSIFSKTTTADTMIGDSSRTSKISCGRFSAHVIDLTMIRSALSIL TLSFFAAWKAPSAQWQVAGSAKALSQLYKV TSTA_015940 MEHAAQYRKYKEIVFIENLEDYKSGGFHPIHIGDHFKENRYQIL NKLGHGRCSTVWLAEDVLNKICVAISISQAQISQESSENDTSHENTQKTIRILQHLAD GTKLEEKGRSNVLLPLDIFNLSGPNGTHSCIVTHLQGQSLAMVTKRDLGRLSEVLPLP KAKRAISSLLKGFAYIHSRGVCHGDLHPGNLLLDLPDPVSQSLGRINSICGPPRIVPI ERLDGKDLEPNVPKYGVEAALGRFSDLSLYSGDMKVADFGCGYFTNDPPTEIDFFGPY IVPEQYCTGLIGTASDVWTVGCAIWLLLSGRDIFGTVNDPPEKVFSIMTDTLGGPPEF ILRAWRDRLPDDDLHISIHPSTSLVQRVQQLRTGNEELWMKARLNEFSEEDVALVTEL LTLIFKYDPADRPTLESILRHPAMKFFGDVI TSTA_015950 MSSPPSEDFNNNFRPPAVAPSVSGQTITSQSGIIPELTPQEYRE AISFHAKQTTRQSAYPGQNFEDLDLFLERGLISRATPTCLSSHINSRGNISASRSPSV NTLASPSPVTLYTLQHSSEHRTANGANVYRKVEHLDTASQLSQHLQSTPAFPRTNSAT SRRCSTTNNSNNNQNKSHVLFLAGYPTPEWLTTIGSLIQVDPQHYERHLSFLSRQPYY TVPSLPSTEQNIIFLRFITIGRRYSKGNAQEHIDRLREHGTTEMERYRHHLKLNREEN VKPGDSIVRRYYVLDDEHFVIEQDISVSLNFTSKQWVALVLFDSGNDLLESIRGPWLD EDDHVDPPGTRCKTNFLPTIRHRAKLALKSKKYIFPHEEQGKSGEAPQVASLLRRDYG KSLDWATMKLDPFYALHELFEYSAASELQFLNLVENKLASETNYEALLATETVSLSNL LYTLEILQAHSRRLAETIEKIKCRGSAQWPHTPENTELEKKAREAADVLLRDFKFLQA KAHNLAQRCERSMTVIMNNANIAESKRAIEQAKRVGKLTMLAFFYVPLSFTASFFGMN FEQFATGPYLGIWVWFVVTVPVMLLSIAFYHWDMSKALRYGAEILSKAKRGIHG TSTA_015960 MNLLHQQATDFRPLWRSIAGAIFLGTPHTQSNDPINWQNAGAIL RLHSKSKNARIPPTAEIAKRLAKISLSFEQAFDLIPVLSAYETKQTRIGGFLSSKAVL VGPEFAKTNVRYETPVPIDADHDKISCLKIGSTELNSICKFLDETIRQALVRIRRPSD DYVIPAALDQKTVADDEPNELEAVSYASEISKDATHGSTSLGFDIIPLVSDFSVERND PLLPCYIMPPHPRNRAFFGRTEVLREIRERLLPKKSDKDGIEVDDDGYEIEPTPAMFA LCGPGGIGKTQVATEFAHTSKDCYDAIFWLQADEYSKLSQGYTDIAIKLGLVLEDSVD ARDPVVVQELVKGWLVNPLKTYKQQEDHQFAGESALARWLLVFDNVDDPNILDDFWPL DYTGTGAVLITSRDPLAKRYIYSEDEPGIILPPFTVKEATDFLLKLTHRESESKNETD AGTAVAERLGGLPLALTQMAGVIERRDLSFAEFLRIFNEEEDVRSKFFKMQIGNGSMR SSRYQHTLDTVWALEKLNKSSSILLDVLSVLDPDGIPEQILTGSMTELDEANITDEYP RTIEGYQDARTELLRSSLIARDRGANRITIHRLVQDGARAKMSDTRFEQVFALTSKLL YAAWPFEDFSFGHRINRWSKCAELFPHVVQLHGFSVRWKNMIADRRRVTETDVEFPKL LVDLGRFNHQRGNSSESTRYLETAMDIYKILQNRSETGPLNEDINNTIKRMVAEIHHD LGCIATETNQPNTALNHFIAFNKAMIEFADGEPQTKDMSLGVSWNELGNAYMMNGEWN SAADCFLRSMETLKQLENFRKVDLSFPQINLGFSYWLQGRLNEADEILSDILAAREEA YGINDRESFMTGKLLHAYGNVKASQGKLTESFEYHIRGLQQYKSTIGGNHHRTADLCV KVSDHYGRLGQYDTAMSLLDQALKIYGEREYYGPEKARALCKKGKLLAVVDKLEESRA YMSQAQVLYRKLKSKEDTHDATDADFDDLIVFWSK TSTA_015970 MTIIKPTKAANTTVIYKDRTMIHQAFNLGDSRFIAIQSERGLPS SGSDVPNFVTVFPVDDGRDSIETDWLRESIAKFSQDDDVFCTDFLSGVIFTSSTAFSL STAAGEVLQSEYGTRMIEMIVSPKSSEKPEPGPYYVCQGQLFHIWKLYDDTQGAFLTG LVPAEEDSKLSKLEIAGEGLETTSIAVPSRLKVFRYTPNAGEGKPLAGWRIAVKDIFQ VEGIKTSVCNRAYHDLYPPALKTAACIEILRQKGAHILGTTKLAAFAATEEPIECIDY QAPWNPRADGYQSPAGSSSGSGVAVASYDWVDVAIGSDTSGSGRRPGHWNGCFAHRPT HGVIPTNGYIPSFRSFDVPTFFGRSVDTCKEFATHWYSDLLPNATSFLPPQIIYPTNY ISLITNEHQKQLIDDFVIDLEKSLGVKHVEMSFDEVWDANPPADAKGASLLEYMKDAG RDSFFYSDYHHFDQFRKDYHDKFGKRPYVSPPVKWQWELSSHITDEAHETALNRLAIY RQWFTEKILCPETQNTIILIPIENLSPRYRDEPLGRYFNPVGVPMLFLSPILQAPEFT VPIGEVPFHSKVTGNVEHLPAAISLLGAPNRDLQLMDIARDCLIKAGRPTSVLAGSKL FP TSTA_015970 MTIIKPTKAANTTVIYKDRTMIHQAFNLGDSRFIAIQSERGLPS SGSDVPNFVTVFPVDDGRDSIETDWLRESIAKFSQDDDVFCTDFLSGVIFTSSTAFSL STAAGEVLQSEYGTRMIEMIVSPKSSEKPEPGPYYVCQGQLFHIWKLYDDTQGAFLTG LVPAEEDSKLSKLEIAGEGLETTSIAVPSRLKVFRYTPNAGEGKPLAGWRIAVKDIFQ VEGIKTSVCNRAYHDLYPPALKTAACIEILRQKGAHILGTTKLAAFAATEEPIECIDY QAPWNPRADGYQSPAGSSSGSGVAVASYDWVDVAIGSDTSGSGRRPGHWNGCFAHRPT HGVIPTNGYIPSFRSFDVPTFFGRSVDTCKEFATHWYSDLLPNATSFLPPQIIYPTNY ISLITNEHQKQLIDDFVIDLEKSLGVKHVEMSFDEVWDANPPADAKGASLLEYMKDAG RDSFFYSDYHHFDQFRKDYHDKFGKRPYVSPPVKWQWELSSHITDEAHETALNRLAIY RQWFTEKILCPETQNTIILIPIENLSPRYRDEPLG TSTA_015970 MTIIKPTKAANTTVIYKDRTMIHQAFNLGDSRFIAIQSERGLPS SGSDVPNFVTVFPVDDGRDSIETDWLRESIAKFSQDDDVFCTDFLSGVIFTSSTAFSL STAAGEVLQSEYGTRMIEMIVSPKSSEKPEPGPYYVCQGQLFHIWKLYDDTQGAFLTG LVPAEEDSKLSKLEIAGEGLETTSIAVPSRLKVFRYTPNAGEGKPLAGWRIAVKDIFQ VEGIKTSVCNRAYHDLYPPALKTAACIEILRQKGAHILGTTKLAAFAATEEPIECIDY QAPWNPRADGYQSPAGSSSGSGVAVASYDWVDVAIGSDTSGSGRRPGHWNGCFAHRPT HGVIPTNGYIPSFRSFDVPTFFGRSVDTCKEFATHWYSDLLPNATSFLPPQIIYPTNY ISLITNEHQKQLIDDFVIDLEKSLGVKHVEMSFDEVWDANPPADAKGASLLEYMKDAG RDSFFYSDYHHFDQFRKDYHDKFGKRPYVSPPVKWQWELSSHITDEAHETALNRLAIY RQWFTEKILCPETQNTIILIPIENLSPRYRDEPLG TSTA_015980 MPAQEYNNTPLTAVTTTSTKEKRSQPWKTHWPPQKNIPDQKAYG FAKGETVAIYGN TSTA_015980 MPAQEYNNTPLTAVTTTSTKEKRSQPWKTHWPPQKNIPDQKAYG FAKGETVAIYGN TSTA_015980 MPAQEYNNSESLRTSTVGCSEDLFLSVPPNVCSLAPLTAVTTTS TKEKRSQPWKTHWPPQKNIPDQKAYGFAKGETVAIYGN TSTA_015990 MPSKENGASHVSTSMYFLASNELFKSEKPYAFRFTLAEHGLPSS IPQTNMKMERRDDIIIDDIRGNEKMYTLEKNGFEVITHTSQIAYEDYYEPEKVKSYLQ ELEEVLKDRLKASHVQVFRYGLRKRHSEFPVSTGEAYEYDQPTSVAHVDTTPEELSKE ITRQYGEEANSWLTKRCQWINIWKPLKGPLTDWPLAVCNAAVVDKVNDLEAADLLYPD LATENYQVYYNPNHEWHYLSGQEVSELMVFKQADHMMQSSLPGVPHCSFPNPFTPKGE APRESIEARALVFYDD TSTA_016000 MIILRMDSIIIYLYQVVIYSRYAHLFLDIIQYIGYFNLRLSALQ KQVQSTCIPERDITVISATLGEEEGYAVTLQSWLKCNPRAINIVTLEKALPRMKDLLE GIIKDDRVRLHAVERADIRLQLLKGISETFTKFVVLVDDDSRWSTETLNRLALAFQDP CVGGVNTMQYVRSRLGHRNSKGLTVWESYGALNLIRRNILHSAVAYFHSGQVLNLSGR TVAYRTEILQDEAFKHEFVNDYWKGKYLLRTGDDSFITSWIVNRGWNTAFMNQPDAVI ITTVNDDITYLNQVTRWSRDTARHYLRDLVAALRTRNYYLYVRSVLNWVCNYTTDFLV LWELGFLIVVSGLEWWNITNTTQLGMTSMGQLCAQHFVISSSFTIFEHAPFFMEWSHL KHMPGTIGYMYIHAFIVGYAWCTLHKTVWGSRSGVDDHKWRVD TSTA_016010 MEVEGLDLVESCVFSLDDCQYAAYPSANDFIPELSGKSGLVMVV LLETYVSCPRDFVQAYVQFALDRDDVFNSHFLKGIIFYGRTAEKASLVGEELGAHILP AAWNTSWTSFIQTPIASDYRRNIPPGPYLLRQGKLWDVYRLYEDHTNAFLVSFKPQIL PTRNERLVQLSVQCSRHYSRSIAVPSRLVTTSDTLKSAPIAATISGRMPAQVNGCFSM RPTCGMISTEGMWSGAPQFDTPCIFSRAVADLGRIVSAWYGDKLKETRGTQNYSLIYL TDSTPQNRAQMDVFDRFAQDMESFLRTTVQRLSIKDLWNQKPPIEAAGNTLEEWLHKD VATQSYIFYFWKKIEGFYNDYKIRFGKEPFMPPPHGIDLWEPAKDVTPEQHTDAMNRL QVYRNWLREKIFLPENERVIAIMPLRETADTKFTADVKAGQHLWDPLLLSPILSSPEI VVPIGQLPYLSRISGNIEYLPVSGSIMGTPGSDLDLVHLVNNFWEQVGRPTKILTGKT MFNVV TSTA_016020 MSMEASEQSIASSGTDTSLADSVHRLRRRAKDQATPHNILLFLV AFRILNALSVRTFFQPDEFFQSLEPAWQVVFGKDSGAWITWEWKHELRSSIHPLCFAV VYYITGSFAWIARLSSLNAADLLIVAPKVAQAIIAATGDYYTWKLASKVYGYDNYGAW ATLALTSLSPWQWFCSTRTFSNSAETTLTVVALYFWPWEWFLDNQAVEEEDEVEGLDE TLESASRQSRYRWPGLDGDIFTIMFLTVALSSLRKCLLLAALACVLRPTNIIIWACLA TFAAYQCPRSAVIILVRESFFCGSAVLGLSVLIDRLFYGVWTFPIFQFLYVNVAQSIA IFYGHNDWHYYLSQGYPLLLTTALPFSLIGLYKSLRPGDAAGASHRRSIKFQLATVSL VMPAVLSIISHKEVRFIYPLLPALHILTAEPLVSFFLPAISSSNNAHLPRRLTLLFLV LANIFIAYYTTLVHAPGPIDVLSFLRARHDAHQEDYGYPLAPHPLFGGSDKPVHVPPK NMTVGFLMPCHSTPWRSHLVFPSIEAWALSCEPPVGLNETQKKVYVDEADQFYANPTE FLQHHMIGGLWHVPRRPSYMSTLPARSPPSAYYSHQKAYPLKEPLYHQWPDYLVFFAQ LEPTIRTALRSSSYGECWRTWNTAWHDDWRRKGDVVVWCLDPNEQQEWRKLQHKRQSD RREKQLDRIISRFEKQTHAKSSYNPFNFFWRPIRNAATTTTTHRSWFSSLPWPFTSTT SSPFSLSTQRASLLPPWFKNPLTTHRRPQYIPSFVQNYLPAKRSRFDPRSWFSSSSSS WSSWWPWNNKGRKRGYTVNPSYERGLWE TSTA_016030 MSPIAIETQNGNVAAEQHDSVYHVASTDAAIAAEHEYAAHNYHP LPIVFARASGTSVWDPEGRHYLDFLSAYSAVNQGHCHPELVKALVDQASRLTLSSRAF YNDVFPKFAEFVTKFFGFDMVLPMCTGAEAVETGIKIARKWGYKVKGIPENQAIVLSV ENNFHGRTFAAISMSSDPESRDNYGPYLPNIGHCIPGTNRPIVYNDKVTLREAFEAAG SNLAAFLVEPIQGEAGIVVPDDDYLREARALCDKHNVLLICDEIQTGIARTGKLLCHE WSGIKPDLVLLGKAISGGMYPVSCVLGRKDVMLTVEPGTHGSTYGGNPLGSAIAIRAL EVIRDEKMVQNAEKLGHIFRDGLKAINSPLIQTVRGKGLLNAIVIDESKTNGHSAWDL CMLMKSKGLLAKPTHQNIIRLAPPLVITEAEIKSALDVIKQSLEELPTLSGQKEDEVI PPPEKKVKIGVDN TSTA_016040 MSTGRPPDASPAKVAKCSGKEILPIVFTISISCLSTTMEVLLAN LYFPTLSQSPIEQFPSTQHVRNAVCDGTYWAAIYANHGATASLAAALGFGAGTGSTNL ESLTFIWNGARYPAFSQGDILTNIEALVQVTRSAYYLHNASYVIGSVDLENEQVLNAF LDPIQATEINLQSTNLGNRVFYNTISMVMPILQQFFFIIALNGISAQFRVFTRLPWAI NALFRAALATFYTFIAALCTTGYIWGFKEDWDVGASQFVLSWMAYWLYMKINFLFFEI MTTFLPVSFIPFGVLTWVLVNVASTISPFELNPTFFRWAYALPSHEAYQLLVQIWSNG CDNQSYRALPIMFTWWIVCVPIAVLCVRYRCITATAAERASETSAVKVQQAQKDSPDA GTSMSI TSTA_016050 MHFPFYGAAALLIATLCLFGSPVASETFDRSEAAPEGWTSTGVP SEDQPIRLQIALQQNNSVGFEQALLAISTPGDPSYGKHFTSYDQMKEMLLPSTEAVSA VRDWLTSSGVTDFQRDADWVTIRTSVRVANSLLDANFTWYTGGQQSVRILRTFEYSVP DNIAPHIRMIHPTTGFGQVRANRAAFRSMPLKFGTSLNSAASNMSVNQCGSVTTPSCI QKLYYIQNYTADPGRGSKIAFVSFTEQVARYDDLAMFESYLAPYAVGQNFTAVEYNGG INDQHRNETGEANLDLQYIVGLAAPLPVTEYIVGGRGELIPDLTEPDQNHNYNEPFLN FLLDILKVEQEDLPQVISISYGDDEQTIPKEYALIVCNLFAQLGSRGVSVLLAAGDSG VGSACQTNDAKKRDHFPPQFPSTCPWVTSVGGTNGTHPEQAVYFSSGGFSDLFPRPSY QNDAINAYLETLGDRQAEYFDLLGRGFPDVAAQSVGYVIVDKGSPTISDGTSAAAPAF SAIIALLNDARLEAGLPAMGFLNPWLYSVGRLGLNDIVHGGSTGCNGKDRFGGPPNGS PVIPYANWNATQGWDPVTGLGTPNFAKLKELALGQVCIGK TSTA_016060 MGDHSEAFDWLHTGVRVHPGYGLLILETQQRIYEFLLPTIKEGD WYSLAAVAADAPYRLPAGLDLAPLEAIIDGKRSAAKDHLLVLRKDPAYFADVANEYKD YRKEVLLNINGEKHPIIIPYIRPLFWNRVLENVVADAYMELEIWNTLHSLVVNLRGLL LKFHHKISSEKPLSTELYEASVHFTFENGWAEREGEVNEYYINLTRSWDVFLRSFEGT SLGELGATEDGRFHYPVEKRWNRENVEIMRLVEEILDRFWHAVDAYTIQRTPEWVEPK KQSPAEIQFELVHRTEKTIALITQMPPKTKIKSRGESAKCANVINSELPAKTGDVQPV FKVDKRSFKVFSTLFLPTISKLSAWRAPLERFSPRDEQHRLCTGDALWICMTVHTEKS TSTA_016070 MSVPQPNPALAAEHHREHQEEASAAPATSGEKIALHKIDATLTR TSSIFADSSIEQDEGQKPGVQKRKWYRKLNPLRLRKVPSVPEERTVCPEYGANILSVI LFQWMSPLMNTGYLRPLQLQDIWIVNPNRSVYPLKTKLIECFEWRHKKGGKYPLLFAI YDTFLFEFWLGGVCQLFSALFMVFSPYMTRYLIAYATEAYTAKAKHQPEPNVSHGIGF AIGITVMQICQSLCTNQFIYRGFLVGAQLRAVLINVIFDKTMKISNRARAGGSLTEAV RHEGGLKTFEGSISGQGWSNGRIITLMSVDADRINTAMGMFHLMWSSPVIIILAIILL CINIGYSALSGFALLLLGIPSLTIAIKSLLKRRNSINNLTDQRVSLTQEILQSVRFVK FFGWESSFMERLRDIRRREIRAVQILLAIRNAILCVSLAMPTFASMVSFITYSLSQHV LTPAPIFSSLALFNSLRMPLMLFPQVLGQVTDAWTGLKRIQEFLLEEEVTEDIEWDDN MEDAIQLEGASFTWERTPPDELEQRVGKSKKDGGKKDVLVETPETPEDVIPFKISGLD LSVKRNELVAVIGTVGSGKTSLLAALAGDMRLTEGSIRLGASRAYCPQYAWIQNATVR ENISFGKPYDETWYNTVVDACALRPDFDVFPNGDSTEIGERGITVSGGQKQRLNIARA IYFDSDIILMDDPLSAVDAHVGRHIMDQAICGLLKDKCRILATHQLHVLSRCDRIVVM DDGHINAVDTFDNLMRGNVLFQRLMSTTTQDQEHDKVNDHAEEETDKIDKEEVAPAKK AKCGRQTTLMQQEDRATTTVGWDVWKAYMMASGHILFPIFVVLTIILTNASNIMTSFW LTYWTSGKYNLTTGQYIAGYASLAALQAIIMFVYSTVLSVAGTNASKNMLQKAVTRVL RAPMSFFDTTPLGRITNRFSKDVHVMDNELGDAMRIYGLNITMITAIIILIIVYFHYF AIAFGPLLILFLVAANYYRASARDMKRFESVLRSHVFSRFSESISGVASIRAYGLQDH FSRSISDAIDEMDGAYFLTFSNQRWLSVRLDAVGYVMVFVTGILVVTSRFNVSPSISG LVLSYILAIVQMLQFTIRQLAEVENSMNATERLHYYGTQLEEEAPVHFGEVEPEWPTQ GRITFSDVQMRYRAGLPLVLRGLNMDIRGGERIGIVGRTGAGKSSIMSALFRITELSG GRITIDGKDIAKIGLQDLRSRLAIIPQDPTLFRGTVRSNLDPFNEHNDLELWDALRKA HLIGEKPEGGSDSDETDEEKKQTVKSQQQQQQQQQTQNTNRIQLDTTVDEEGLNFSLG QRQLMALARALVRNSRIIICDEATSSVDFETDRKIQRTMATGFKGKTLLCIAHRLRTI ITYDRICVMDQGQIAELDTPLNLWKRQDGIFRSMCDRSGIVRENFFVVDV TSTA_016080 MSQSTKLPTEPVVGATVVSESNGAPRQNNNLQAQSPQNALLTTS PTITSILEATAEFDPHVGAKPCSPFYSHDVNNSLEYLKNESTITAQRYGSNDLESGTP STPQKRSLEVHGRCRPSKLWRQKKRKCDCLSSLTKRQRLMVKIALALFIVGAMIGIAM GITVAVGGGVWKSANVRGPFGSLLLCSDSQVYGGL TSTA_016090 MKTVYTAIPLALLAAVAQADSQAAGAKQSRDLASDDSEKGDFLG DAPDHNFLGSGWNDPHKHSHGGHDVPSAGGEDDGDLAPGFVSSPKDSPFGGPHNFNEQ AGPDTFSGFNDNKDGVNNDNIVITPINSYQAAQAQEQDDYILPPGHPGPPDPLGPSYG GPGHVEHQPEQLPPQQHSEPKQAPPEEHHEGPSPPAYKPEPKEVVPQPTPAPYTPAPV LVPAPVPSAHELLPERHELDPPCPEGEDGSHPGPEPQGADSYASPVAPKEVSKPYPGG NHPEGNLEGHPEGHPDGYHSVPAGNPFQPPQPPQPPIVQPPYPFPHATPSHDDDDDDI YVPHPGPHPGPSGPEDHGSYPASAGHPTPSDSQEHGSYPDHPHPDSYPAPAPAPTPYQ APGAGDHSGYPAPVAYPSPSALEGHGAYPDPAPSHEDHPHGSYPLPDHHDDSGSDTPY PAPGGYQPPQAYREPTPDQPKTPVHPDPETKPEPAYTPGHEYSKPPSQPFVPHPQVTA SKYPSSISIQASPTPSSARHASYALSSHHTAAHSTTSCTFTSTASKTGGPSTTAPNSV SPSAFTGGAGSVAAPGGFGVAVVGALALLL TSTA_016100 MTPAAKPVLHPLQTPRSCTFPSEIRSGSLSAISNIKQEDAASTP ITPPLAYTEFLKALTPVFTSPVSPGVSFKYPSDKYTSTGSISQPTTATTSSFSSSDLK SATPKSAAIAKSPIAKSPVVPLSAKSANGKRRMRLPPVKTSFKSPTTNSPKSATTLRS PFSPADWKLRYIETPRSACGKPVSVKQVVTRTVTYKRTPLDPPPKGKRRKTTEA TSTA_016110 MSQRTFAAIDDSLSLETPRVSGSSTLSISALARFEFEAGKGNDG TKILMVEWEDDDISRSSTGSWHVSWEGKTTVLPADEQTTENTNRFYFLLPPKTTVPPV IDLTYTPHPESASTVRQPESIQINPLPAIFPPELGATARTAGKKGVLHTIWAKKRLQV LDNEIKSESATNVEGIALQMAIQEKEWIEENYGVSSPPSVIQKASSSDQAIFSTPSSP LTMVSPGGSRSLGEKLKGLRLDTSETTPSKRSEDYKSDGSGQTGDTDRYHLLSPQTRD VAVSSFSAFSHVSQPDNEVQSYVAHNPPASIQKAQSNAGDFVFMSTLTRTESTDSADG LFAKALSPRTPDLPVSPFSFSRSDTMAYVSNRS TSTA_016110 MSQRTFAAIDDSLSLETPRVSGSSTLSISALARFEFEAGKGNDG TKILMVEWEDDDISRSSTGSWHVSWEGKTTVLPADEQTTENTNRFYFLLPPKTTVPPV IDLTYTPHPESASTVRQPESIQINPLPAIFPPELGATARTAGKKGVLHTIWAKKRLQV LDNEIKSESATNVEGIALQMAIQEKEWIEENYGVSSPPSVIQKASSSDQAIFSTPSSP LTMVSPGGSRSLGEKLKGLRLDTSETTPSKRSEDYKSDGSGQTGDTDRYHLLSPQTRD VAVSSFSAFSHVSQPDNEVQSYVAHNPPASIQKAQSNAGDFVFMSTLTRTESTDSADG LFAKALSPRTPDLPVSPFSFSRSDTMAYVSNRS TSTA_016120 MALKSGSFATFLIVGPICFFLGIVFSLFPYDYPLLWAGEPTPPS HYDYLEAHLRFLHASPPLIPRILHIVIFVGFAGMLAKLYKPSESNMLFDGASLVLYVC GVTVYIANIVKGLRLVSGGIYGAELAGTDDDAEQVLGREDSLKVLAASNTILALILVG VLILQAGQWYAEKKDAQEFEKLNQKGAESSSKKERPESPVATRGTSRQNSKKRQ TSTA_016130 MSAELLSGADPLSPRNGWVNKLTSRFHNRKRNISEFYVQPDDPW RAYFPGDIIKGTVILTVIKPVRITHLVVCLHGYVKVFKATVSPGEIGPDIGFVGPGRG RRAGEYMGNGLATLFEDELILCGDGRLKEGIYKFKFELQLPPYKLPSSLNFERGTISY TITATLTRPITISPTISCHRRITVLEDIDIANFPAPKPRVVSLEPVSKKSKARIKQKT AIGDQIQEPTLSTLGVQETLPPLSPAPSEISGSSRRSTSSQSFRIVHNPHRTASIRSS EARSISTSLAEKTITATAELQRSGALPGDTIPIRVIINHNRQIRSPHGIIITLYRQGR IDVHPAIPLGPSDAEGKKVYEDFIPKSRTGLSGLSLGTSRSNSVFRKDLFQTFAPVII DPVTMSATVKTSIRIPEDAFPTITRVPGAMISFRYYVETVIDLRGKLTAQDRFLPWLN MGSGGNFSPGGNAINVPGHQTGGSAAPNWSSNILDTDAIRREKGVVAMMFEVVVGTRD SSRKLRQNTDETLSVIPDGTNTLHPAVHGMDHDVDYGDGYINGDGHYDEGDYYAEDGY YDYEEEATYWPDHPPAEDQHYGPPEERVHQPDMREPDDEKTRLRQAEAMLLPSRPPGE SSSAPHARISVPTAPDIPEDDHAFDHGAFPDIQLETTAPSSAISVDTVIPGPSRNRSL GSPDCEHSDDKHELERRRLMEEASAPSSTQDGSSLTPPGPNIIPTAPILEEDEFSNLH AETGESLPSYQR TSTA_016140 MSGDNSRRGGTLSEMAPTGTTIPNDAGLQNTIPSKARPDQQSED HRFSYDGLAQSSVATAADNATDMPRSTRDVGQTGEVMTGTGDSLPAEIETKNLSIQTN DPGAKGQTRTLKHAVKNRGAFDKLVGDDPEGAQAVGEPEQRA TSTA_016150 MYEFFSAEQGPWVYRNSALLEPSPGLQFTSFKSADEDEHSTSQG GSEIFAHKAGVNVLAIDKYYGRYLVSGGADPSIRLWDLDDRGAELQYLHEPKAWVDKT RHELAHTHALTSISIYPFDPTPSTILTTSRDNTLKLSAVGNGVITPAHTFNLHATPYC HSLSSHPASTLLIAAGTSERTIRLLDLRSGLATHTLLGHDSAVLSVDWAPHRPYILTS ASTDNRAIIFDIRKAGTSSAIGSLDMDNTAGVSAQARITGSIVKHHAFSRRGRAHNGA LTGVRWTSTGSHLITAGQDSRLRVWDATTGANTLVHFGPRLRNSASSHLAERIPLILP SNLVESGHEIVLWPNFNDQEDRGEILMFEIRDGAFIKTLKVSGLMSTSRHHRVGKSSA LTAGRINALAWRGNGGSGEGLELFSAHGDGTIRTWVSRVPEGETDTEDNNEPDTRKRK RDVLEEIYQGLTGSSVSFT TSTA_016160 MPPSSIQIKHSQARGLSTTQSSEESRDEEPRNPRRRRRITVYDI VAGRVNRQRFISHSYTSRFRRNVSSSRAVRPSNDIVSRLEGDEALDDWIDENSLDGVP LPSSDMLEAIQSYAGHFYANTVDSRRTEHFSSMDGTALIAMGVLLEEMTEELLGQTGD MVLVEREDDSNDDLGYYASEASISSVHTGRVSRKRSASVMSRGTSKHTSGTEDDSSSS RKSGKNKRPRLDKSVRERSSQVTRESFAVARHGSWAAPSCVAPEPSNFTKDRAPVLNI SSTQSLVTNDEWNLLKQISAIDGRVVLYSISETMASLHAPRSKAISSEVKQQMILNHI RSTRTCHTLKDLEKMLPSVASINSIQVKDFIIGLVNENKIRVEKVGSNNWYWSFPSDE RRARENLEERLLRDLNRLTKLVEQLEDELQKKQTAAKEEEGSREPIDIETERRALMER KSKMSSEIERLQDQKNAFENNGVSKLKQKTEEIKKWKLETEIWEDNISIMEQYLCKLA GGDRGLVEAIKKQCYGDNYEDDHEISESGC TSTA_016170 MPPKYPAPFLPRHSNPRPLSQATEIFDTDFESDYEDDFDYSDES PRRSLATDSVTTISTYRDVRTPDSHMLGGNHMNKNDVTVKGPSGPHLFRASMDSTDLK STMEVDLVLAKTPTTATFSETLKLEAPVKKTYDLPTRERPQPRQANSINNAVSNQNEE AVKKWTPKEVAFWMHTEGFDHSLVEKFLMNDISGSILLELRPEDLKELEIQSFGKRRR LMNSIQTLRDSARMSTAGYRTSGISGDESWTSEDESKSSSSVQQAYQSDDQRSYTEPE EIRPGDSASIVAIEQVLPKEHKCSKGENCRKWQRQQQLLARLAQDLPKDSIHNGNVIV AGDPGNPRTAPNLLLNIPDHVPSVVASSDLLGPQQVPQFALSKEKLSEVQPRDPQESI RQYLNLQHLCTSPVDDPVSPPQDITPDSFKSSPNLTENLRTLPKLTIPNSHEPSSIFS AQRTITPSVLQNQPTFPHEQYPHAYDQIASPGNYYRTSDDYRADIYRQGTPFSEVDVP VTAIPAGPIERDITQSVPPNMRFGHQRMVPLPDPILRPSSTKNDRPLRRNHPFINTQG LQSLGRVEEGKVAVIERPEDLQGTGLFPRTANDFAHSGWMKKRKTTRLLRHEWEEHHF TLKGTQLAMFENERDSRRDSRALECIDVDDYAVACSSLASSSKLSAAFKKTLLKRNNT AADESAFAFSLVPSKDKDKESGVDRKALFLNGGKSHHFAVKTRDERIDWMRELMLAKA LKRGKESGDLMRINGNMI TSTA_016180 MPALLLNLANLAITLAKEITPSAADKSQPTFQYVGWSALKFPLF CCAADCTSSHLMANPPTAPRPYSEGPDPKDTAH TSTA_016190 MPSQNMSSSAMARPATKRSSASSSRASVQDADRQHKRSRDGCYT CRLRRKKCDEGHPSCRACIHLGVKCQYTKPSWWSNIEQRKVQKERLKNKIKQTKLNEK NNSIPGQRNRPLPLPLSSPYSPVHDFNRPFFPGTPLDPFGSQLPTPALAPTQFGQLGP YEVDVRTERQLYVNDVPTRIDTSFSTFNTFMPPQMHATLPHMPNDEWLPPVGQAPVQF PYHGMGPMPFVPEGQSFSQMQLSIPVLDADRPLLNHFVEKVLRLIFPILEVHQKGHER AQSILQSLETNKSYLHCCLSVAAIHVKSTVGVINEEVDHDIMRHRYEAVAQLCQSLNE DSQHEQILEATLAMILFHCSVGGPDDHLPDIAWNDHFHAATSLINKLELPNALVQSNN NTVQPPFNMTLASWIDILGATMIGKSPQFAHTYRTKHLSGTSSGLRELMGCDDRVMYL ISEIACLDALKTEGLVDDLSLCSHVSALGQQLDATDYVDKSLEHPYSALSGGIRPDQL TKNMTAIFRLAARIYLCSLVPGFDRNQASNVNLVDAVRYSLQFIPSGPDGYDRSLVWP LLITGAFSTPFSPFRHTLQSRIMEMGDQAEFGSFGRMYRVLEEVWRLSDDPVTPIPGL DGTLPSPTFPTSGNDLPVSPTGSVSGMRELKKRDVHWRDVMTRNGWKYLLI TSTA_016200 MSGANQTHLNSIQEKVWGGKLPLEIVLAPSECRSYDQSDPYLIL YPRMSYLPFLLPKLHSFFRPSLIHPECEPHFGWFSFEGVPLKWHYPLGLLYDLYAGAE PISQSSTTDYNNLTQSAILVGGQNIQPSSGQEEYDTSNSNRLPWRLTLHFENWPNEDL VRLDADGLVMHDAFINSVKEADSLRIRDAKGIMTLSKEDTAGFWSAIQNHDIVSYRRI TNLLLPPLPQPFRQIPLRIFLPLPPDADRPALKVAQSPVSPSIQASSSTGTGSVGRMQ PQTVGTALHSLLPNLFPSRRIPVLAKPVLHGVVLPMSAPLEEVARSAAYGDGWISIVI SMVG TSTA_016210 MSRTKSQANGKASRSSGGQPLNAENLTQVPAVKKREIGLQKYIL SLPHEEPYYLPVRISDRELHGTRLEEQIADIEKLMR TSTA_016220 MVLGFFKQTADFVTDSVTSPQTQRPFLAVAGTSITLGLALGAIW AFERSPPKIIPSPLKAVQSAALNKDGELPVLPLDVLPGARDVSTPYGSIRVYEWGPED GHKVLLVHGISTPCVSLGGLAHSLVDRGCRVMTFDLFGRGFSDTPGDIPQDDRLFSTQ ILLALASSPLSWTGSSSGKFSLIGYSLGGGITAAFASHFHDLLSSLVLLAPAGLMRES HVGWQTRLLNAKGLLPESVISSLVKGRLKAGPLVKPKKDNGSQNETVGVEAALTEELT ASPQQVLSRTYPSLKTAEAVAWQIENHDGFIPAFISSMRHGPILQKSQLDNWKRLGSF LSQRKREGAGTGLAHDKVLVVLGETDNVIFKDDTVEDVTHALEGNAQITYFDIGHEFP SVKYEELATQLIEFWK TSTA_016230 MEPRVWLITGCSSGFGEQFVRQNIARGDKVIATGRNAATKLAHL KDTGAAILDLDVTISEAEIFSKINEAHQIYGRIDVLVNNAGYIESGAVEDIFIDRIQR SLDTNFFGSLKVTRAVLSIMREQKSGVVGFIGSMGGWTGYPASIAYSSSKFALECLAD CLQAEFAALFENCVRFIIFEPGYFRTEVFAPTKPLVLPANIPGYEPFNQVLAKGLNEL YGNEAGDLVKGVARMIDVLTGTGMAAGKELPPRLPLGTDGLESIRAKCQATLKICEDW EDLITSTDVVIEAKQ TSTA_016240 MLFRTYETVCTLPLKADLFAQAVHPQEPIVSVGLSSGHVETLRL PSAGNSEENDNNENDDDEDIEGSSRLSAANGGGTGHIDTVWSTRRHKGSCRCLTFGID GETLYSAGTDGIVKAAKSETGVVVNKIVIPPAKSKKKALDNEIDSPSLIHAISPQNLL LATDSGALHVYDLRIPYSRVSARPEQTYRPHGDYISSLTALPPSDTSTSGYSKQWVTT GGTTLAATDLRRGILRKSEDQEEELISSAYIGGLPKTGTSVGEKVLVGSGTGVLTLWE KGVWDDQDERIYVARESGEGEALESMAVVPSDAGYGKMVAVGQSDGQISLVSIGRNKV MDRVRHDEVEGVTSLGFDVEGRMVSGGGQVVKVWSPSIYNEGYSFAGSVRKFDGPSYD LDSDESYGSDESDLVKEKKIRKKRKKDKGKSSDKEVMGFADLD TSTA_016250 MQTADDADDTDDADDADSADSADSPDSANSFDASNGPGLHYRNG KRNMDVKDLMVDIGDEAPLKEFQALFMEHALEKELALQTLF TSTA_016260 MKKQAEWIILAYMWEQARFRNLNILGMDPGYVWCHSECLSICPM RIIRGSSKLDRACPSYDRGLWCGIVPISVI TSTA_016270 MENGRPKVVDVHDFNKADCVRIGEGADLDAILNHKLFKDWNEDN NFTNVMTTEAEDIAAKAIAALKATGSR TSTA_016280 MDSFVYNANPGRVVFGSGTLKQLPEEVERLNLSAPLLLSTPQQV SQAEHLKQVLNGKIAGIFTEATMHTPTDVTEKALQYAKDIKADAVVSIGGGSTIGLGK AISIRTGLPHICIPTTYAGSEMTPILGETADGVKKTRTDPKILPGTVIYDVDLTMTLP AAMSATSGVNAIAHAVEALYARNTNPIINLLALEGTKALASSLPEIVENPSSPSARSQ ALYGAWLCGTCLGSVGMSIHHKLCHTLGGSFNLPHAETHTAVLPHAISYNAPRIPEAM KKLADALPESNGDAIHGLNVLLSKLKVKRGLKDFGMKEEDIDKAADIAVSNPYWNPRE IERAPIRELIRRVWAGEPARADL TSTA_016290 MMASAPILSTPDTHLLEATEPVAKLNDSQSPRSDEELSIIYDIE RTLAEIRQTRWKRIALQFPDEMLPDAPRIFQLLTRGLQKKNVKSSGDTDPKVAQITDA DSETTLAEKTSQLGLEEDQPLDNLPRRFYILADTSYGTCCVDEVAAEHVDADAVVHYG RACLSPTSRLPVIYVFTHRPLPIEPLVKAFKSTYTDLTAKIIIAADVTYTDHVQDVHD RLVQEGYTNLFAAHVVHNPASAIPNRTVPASVEESADSLSEWELFHISDPPTALLLTL SSKVAAIHIYPTENVDSEQDITPLQASTTAALRRRYGVLVSLSTAPIFGILVNTLSVK NYLHIVDHVRKQIAAAGKKSYMFVVGKLNAAKVANFSEIEGWVVIGCWESSLVDSKDF WKPVITPYELELALQSDDTRIWSGAWRSDYQAVLDASSTPAPNATAELDEVDDNDGSE SESAPPEFDFRTGQFVTTSRPMQYTSSGSHRRAGGNSTTLAKRAKGDLAMIGNTISPG AEFLRSQRTWKGLGSDFEIKYEEDDEVSGSAVVEGRRGIARGYAVGDSSDQTIFQLYH TSSYLRNFLQSYPTAWKFLSFRLLFPSGSQNRPLLMGSPDPASSRQSRPYALDQLLML VVIPISPCLKSLELDNTAVSGQILISTVLHSRRETLEHLSVRGCKNVSLKYHIIPYLT MYGLQYDVDMGNSGSVAKQKLALKSLYTYRCRHHRRRPYLGTSLARRDSDAEPTHELV NLCHKLGIWTDTAWCTTPAGRCLRRRGYVSMRVPHGAPEVWVVFDRLWRSKNWLGPMN LRNPQDSKRDGRLWEQEETGYHGEALGTGDWYGVGDGKFVPAHLRYSHRFFVESIKCD NCSEPIGERCEQCSVIMHCVGCRKTLCASCAYSYPYIQSQVTWSQSALTPMTNPLWWA PNATVSPCNMHDPPPDSNVDNIPNPAASIQYPNLTFHWCCTEPIFSGGGGISVGTTTR DVDVIRAAPLPKARGWEDPEFPGCASHSHNTENSSETSTSEGLHQLIGPQDRQVSSCP RNLCGDCYNSPQWKVQCKSCSKPLCKEHDLRGLRLRICGYKELAVEKAALQSIPLPGP SRTNSSNPSTQAGPSFFRPRINTAAAFMSQLQSQGPTPNMDRDSPSASSSVAGDHVGQ GVGEMHPAALQDFIRARGHAEEGMATGRRAGRSRSPTYSSLSRSSSRSSVYYDAAAEI TKWQGCRSYFCPQYRPLGDQRQRCPSVLRECTNCSINVCQDCVNNNPPCSCSYCEVNY LCPNCLKIREEDGTCRRVEEEKARKEEKWKRDMEMLEDILERKIANEVAEFAGEFLDL ISDGMRTNLLSDFHRSLEQMSALAQGNMASDPAHHPLSQLQQQLPQAGLLQTHDEGDI EHGQFTFDHNNSDEDMINDELITPIAD TSTA_016300 MSTLRTVLQKVLVTVLIPLSISSALCLYLYPVLQGCAFPLPRSS IPIDGTTREESSRLSRSWTGNSHGADWNLTTLNVGREDIPESAIFRLLVLADPQIEGD SSLPSPEDKFVPRLRRHWMNVQSTLLSETSISLQDAAAIIGDTARMIFVEDIPSAFRA ARKRLDLLGNDYYLAHIYRTLSWWSRPTHVTVLGDLIGSQWVTDEEFENRGWRFWQRV FRGGQRVDDDTTITGETARKELGSEELETLQRYNSPWANKIINVAGNHDIGYAGDVSR ARLKRFERVFGRANWDVRFAHPLEDLQNSTARPTLHIINLNDLTLDGPAMDPSIQSDS YTYINDILAHRSYPVEDQTSFTLLLTHVPLYKRDGICIDGPYFTFFDEEDTPDNDSGE GEFIPRWRKDALREQNHLSEHVSTNGILEGLFGMSGDSSAPIGGMGRRGLILTGHDHE GCDVVHFVNRDQKHDTHAESEEGAKSWHWDAMCYSPSSTTSTNGYPSIREVTLRSMMG EYGGNAALLSLWFDADPEVNQWRYEIQMCPAGVQHIWWAVHGLAVACVIGLGVVVGLR VLEGLGILTQPVRKSHSKGKSNKH TSTA_016310 MAQTPLSRQAQPGDFLLVIHNFDARGPDELTLRRGEKVELVELD EGFNDGWYLGKHAATGKTGLFPGVYTTLPPRLNVRRPATASSTASETPSASDSAGAVS AEGVTASPIDMEGKGETTPQASRRASVAESPDAPLNSMEEVTSPVLKQPPQRSTSSPL PSSPSLATTIQRTISQTFGNHLKGGDSPVMNETLSVIDEHITDLNTPRHSVTQESKTV TDSGSEYSSHVGHRLSYINGHETDEEEGGHLTEEEVRRWDHIETARYLRSLGVDARHC DIFQEQEITGDVILEMGQEFLLMKEFDFGVMGRRLKTWHKIKALQEQVKGIQPQQSGS ISSYFPPDEQERAASRAGQNSTFLPRIPSFSGDKRPTTSMAPPRRMSSILQGSPGSPS ANSILGREVSGRPSAASIREFNHTRRHSSMDSSPNLSQGGIGHAKKGSFDRSWTMTGG HPPYANRPETAAETTDEDIMLQQSFLDKANTSLENPPRIAMPFETLDRGYFSGGEVET RRTRKLLRKRDSAGGSISHSRQSSYVDEQSVHRRHSRFGSSESIQKSMSRVSVASKAY HGSRVRSTGSQVIDPTATTLDGKVGSTPPSFSPFAGRNDMETTGRSSPMPFSHIRNVA PKFRRAVGLRTTSDSTNGVTTQEPTPPISPIKDFTPFGIGRTGSTTPSATSKSSERHS TDGSGKTVDGTMPFSVPRVPSKTGSKTKKHTSAYMRGLEKKSPQEQMVGCEFSGWMKK RSANLMTTWKPRLFVLRGRRLSYYYSENDTEERGLIDITAHRVLRADNDPLTALHATI TGAKASPTSPEGSIMSPVDKASNRPDTAKSSDGPFIFKLVPPKSGTSRTVQFTRPTIH YFQVDSVQEGRLWMAALMKATIERDVSRPVETTNKQKTISLKQARMMNQRPPALMEPE LPKIPQNKEVEPDEGDVDGGLKIQGLSLDKNGVGPFHDDDALAKEVGNEVDPEKDILA VPTDDLLSRPTDDISSTTS TSTA_016320 MSPAQNPSGRPSSITLYGSQFASSNSTANRFLGATQRPWMTNGG GLSHPGRSYPRSPVNTQTRHSNASSRQSSTTTRTTQPPARIETSDHHSTAHPSDSTIA QPTQAGPVSPVTPGTGYSENDIQPPAAKVTRLDNAVPTASFPTPEPSNASLASPVVAV TDRVSSPSRDVPASLPGAGTQPALTIKWEDTLPTTSPLSPAVGASPVQRAAPPPQRQP QYQAQPQPHQTRPVQQQPQQQPQLPQSSLPHKSHRIWQHARERLRMFLIQPSRTHPLS ETVELPRVRILQDACSAEDMFYLALHQTFCLSSADPSQLRDGAEHGIRPDLDFDVVAQ LLVDNKRLSKEFLQWSIEYPMPFQALLSSNDYRNALKQVSNCLSTISNHWPVFEREIR ARGYPPQVDELVVRLGVESPVFQTIVFTAVSRRLFGGREELFKACISVFEEDQRTYNQ RSALPPNARGQAMYNYFERYRRLCASHGMLVLPETRRVHTASTPPSSAQTPAPMTVTS PTMPIRQNNSQHRASISVPHQQPSNPQPITHPHPHAPPRPLPASRHHVSPNMAPHAGQ QRQQQQVPHQINALPTGGVQHVQAHQQHSYPPQFSGRFPLPPAHYTIASQSSVPVATA RANQMLPPNIQMQPSFPPVANSMQASPAPPTQYFSPVMGAHMVPAHPSPVHSPYSTTQ PSPSTGGQVPCPPHPQGHPQPVPSVPLPFPVQLTGTPFTMYPPMSSQVLHPHLATPLP VRHTPKPEPPDVIQFFTGFSVTPQKLLSNRSSFTWKFTLPDEVLRLRPSLVRRPNNGG FIPLLSDGNMSFRLRCIKFPGSENVESISLWSQAESQWPDIVYIHVNNKEIHRSPNAK NAPININSYLVSGTNEVRINVLHSRQQRAADTSYFVAVEILQVNTPESFRKLVRKLPA QETRQQILARLSSSNADDDDVMIVDDFISINLRDPFTTRIFDIPARGSLCTHKECFDL STFLQTIAAKPLSDKHKIYIRCPICRKDARPGLLLIDEFLSEVRDTLSKENKLETAKA IRVKSDGSWSAVLDTESDNRTTGRKRDRSSFESDNIKDESRPHTATPQGFPH TSTA_016330 MHQLLTNMPSNERPQIKMATTTVQDDITTVHLSPDEVSTKTLTS HNLQAAVTALHNDGIVVLNNAVDTSHLNKLNSRMIPEAQALYAKSSTHRNFGPGTGNI QQEPVLEDGYIFSDIIANPFAAQVVECMLGPKPALRFHSANTAFKATDRQPPHIDVHF DFPRGVPFGYCVNINLVDTSPRNGATEVWPGTHLNASIDDMSGEEAGIRKDLTEERRK VRPPVQPSLPKGSLIIRDFRLWHAGRPNQTDDPRVMIVTVLFPKWYRSNLEIILPESV KGKIDWGSLNPKVKWVPDGYDYLHGAHDHDFALLP TSTA_016340 MHRLSVSMSDSLHSSSSSHLEPPQKGPELEDPGAQESASEDDHF SDAIEGEPQSHSRKSSRAASPVPITRVERVDDEPRHGEVPGTLAYDKRTQDAVPDEVE IVPEGSRSRSSSRVREPTSPGGTPIPRTTVIKVDETPSYGEVPGTDAYDKRKADAVPD LVLKTTGDEQKPQELHREDSDQSVPETRLFRVDSLPKEPGSPGLHAHRRSPSDALPDV IETVHDTTGEGENEDEEGDDDFGDDFDEFEEGANAPADDEFGDFDEGFGEPVEGAGGA ESGEGEVLQPSTPLPLPPLLDFNSMTSLSDLLSTTNDSLNTLFPETKTVSSLPPLEPI SDSTAIFSSERSLSLWSQLVAPPPLQPPNWVKSRIRRLFLVSLGVPVDLDEILPASKQ KKLILPSINPEDSSTSARNLSKATDRSKQGDAPQNGSTTSVNSTIMRQKSNRRRGQPS PPELDLFAVRRLCATTDAALDGFTDSELEQHVESLQTASKKAKDVLDYWVKRTDGLIG EKEAFEGVIENLVNHARRARK TSTA_016350 MSGFSFNAPSSTGGPGGGLFGSKTPTTTGGSLFGNTGNTSTGAS TPSVFGSTTPGTTGNSLFGAKTTDAGSGTSTPAFSFGGTQGQNKPATPFGGLNQTPNK TTEASATSQAQTAGGLFGAKPAEGGSLFGSNTPAQTKPGSTLFSTTPANPPPSAGAAA GSAPALFASQKPSTSQATTTGSTATSQPQITNLFGGAPSTGGSNLFGGVNKTPATSEG GSKPLFGAAPTSTTTAQSSTGLFKLGGANAGGNAPASPFGAAASSAPSTQPSTTAASE ATPQKSLFSLGGTSTATPSTPGAAPSLFAGVGKPASTTAASTPATTAAATTAPSGGLF GAKPAATSTTTTTATPAPTATVGATTTAAAAPAAPSGPDAGKATPNLGASTFGPTPPA QSRLKNKTMDEIITRWATDLTKYQKEFKEQAEKVAEWDRMLVVNSNKIAKLYGNAIDA ERATQEVERQLASVEGQQEELNAWLDRYEREVDEMLSKQVGPGETLQGPDQERERTYK LAEKLSERLDEMGKDLGSMIEEINSASSTLSKTNKTDEPISQIVRILNSHLSQLQMID QGTAELQAKVSAAQKSGQSLGSRLSGYGQNGNGIGIGGSAADDFYRSYMGRR TSTA_016350 MSGFSFNAPSSTGGPGGGLFGSKTPTTTGGSLFGNTGNTSTGAS TPSVFGSTTPGTTGNSLFGAKTTDAGSGTSTPAFSFGGTQGQNKPATPFGGLNQTPNK TTEASATSQAQTAGGLFGAKPAEGGSLFGSNTPAQTKPGSTLFSTTPANPPPSAGAAA GSAPALFASQKPSTSQATTTGSTATSQPQITNLFGGAPSTGGSNLFGGVNKTPATSEG GSKPLFGAAPTSTTTAQSSTGLFKLGGANAGGNAPASPFGAAASSAPSTQPSTTAASE ATPQKSLFSLGGTSTATPSTPGAAPSLFAGVGKPASTTAASTPATTAAATTAPSGGLF GAKPAATSTTTTTATPAPTATVGATTTAAAAPAAPSGPDAGKATPNLGASTFGPTPPA QSRLKNKTMDEIITRWATDLTKYQKEFKEQAEKVAEWDRMLVVNSNKIAKLYGNAIDA ERATQEVERQLASVEGQQEELNAWLDRYEREVDEMLSKQVGPGETLQGPDQERERTYK LAEKLSERLDEMGKDLGSMIEEINSASSTLSKTNKTDEPVSIPCIMLA TSTA_016360 MMTTVSGDMQGATPVPVVPHPVDSDRMHFASISSSSSSISDGEP ERGRSRLERPRMASRKPSASILVPRDHPEIEIEEEEFPPDDARAMSPRRDSADVERLG KEARQTLQEQAKTLQLSLQALAQRIEEVKNDHDKLESENRYLQDYIGSLTQTISKSEI TSTTGKSKKNRK TSTA_016370 MGHEDAVYLAKLAEQAERYEEMVENMKVVASSDQELSVEERNLL SVAYKNVIGARRASWRIVTSIEQKEESKGNESQVTLIKEYRQKIETELAKICEDILEV LDKHLIPSAQTGESKVFYHKMKGDYHRYLAEFATGDRRKASADASLEAYKAATEVAQT DLAPTHPIRLGLALNFSVFYYEILNSPDQACHLAKLAFDDAIAELDTLSEESYKDSTL IMQLLRDNLTLWTSSEAETAAEPAAAEKKEEAPVPSTEAPAAE TSTA_016380 MFRNAVARPVLRANQRLVAIRSFSVAPVRMGEGDLGAPKAGGSA AADSFTKREAAQENLYVKEKELQKLRELKHKLAEQRKHLDELDKHIDQLTKEQGGEQN TSTA_016390 MMTIFFAVVQPLFALFLGRSLALPDLRQAILSTDDANQAVTASS TQRQTLKDMLYALDVMQDSYFEQWLGTWPDAIDWTAAVIGTHISGTLSSLTMTSPDSV LEQTLAYENLINRYFDQVSNFYFGENAFAVRMQAFDDMLWVVLGWLESIKFQNLHSEL HYETVNSTIEAQWHGKQLRVPAAHRARVFYELASSGWDSELCEGGMIWSPYLEPYKNA ITNELFISASIGMYLYFTGDPIGSPFVSSDHYRVHDPSYLAAAVRSYQWLKDSNMTGT GGLYADGFHIHGYKGIKNPGTKKCDVLNPMVFTYNQGVILSGLRGLWLATSYQDYLQD GHELIERVMRATGWPRTNRRNWSGLGRGGVLEEACDSHSSCSQDGHTFKGIFFHHLTE FCHVLRPEEVRLLALFAEPSESIEEKQDLFDKWHLARCRKYGDWIEHNARAALATRNE QGKFGMWWGVTFPSAEADMEVPMSSIPPGAIDYVNHGEAGRDSDRLKGLLRTLSGDDY SEYSNNSTIPSKMGKPLSRAEQAAGQYQSHRWVRDVNDRGRGRTVETQSGGLAVLRAW YQWQTSLFLQ TSTA_016400 MASAEMDIDPPVGDNTTPSQSGNSEAKTQAGAVAVRSIEGWIII ATNIHEEASEEDVTDLFAEYGEIKNFNLNLDRRTGYVKGYALIEYSTLPEAKAAIDAL NGAKLLDQTLSVDYAFVRPPPGNKGKGGGNQRGGRGGRARSRSRERSRSPGADNGKD TSTA_016410 MAFMLRRPFAVTTALKQAAPRSANVTVRYFHNTPIQSSPVFKPS TPARPSSILARTRQTFRNAFRRTYMQETYQVDRGNLTQKLLYGVAIVGGTIVATNLIF NRETRDDGGMPVYERQYLNETFMHTGLGLGIIAIAARALHTNGWSVRLMASNPWAVVG LGLVASIGTMYGTMYTPPENYMLKYALWTGFNVTQAALLSPLMFLSPALLARAGLYTV GMMGSIAFVGATAKQEKYLYLGGPLLAGVAVVALSGFAPLVLPATAARTLMWSERIWL YGGLAVFGGFTLYDVQRILHHARLAERGVVRRDPVNESVSLELDFINIFVRMVQILGM QRNNRR TSTA_016420 MSLLETIHLPHVPENLPVHVALYKDLKNASFLREQLISGNAEFQ YAFVDASTIISRRHIFAAVFRAVNDYLNNRLRSHNVHSEIVVSLGPSNNIAEAFRRFG ITDDTKNLLVVKLSVTPEVTHESVAKHLESSVKATSVPFTDETISSMSDLAKIRKLYK LNQLASKSSDGQTDAVKALEPLIIGAIAIRGAT TSTA_016430 MTSRLDHTIVIPSSPEQNWARSVSPCTPTRLFGLPPMSISPPSL PSPSRLFEEIGLGQQKNPPSPKSPFSSAAGKTATSKAITENPSRNESSSKRGRPGSSE AKTAGSRKNSKSQETRNKILTGRVAKPTTVSKAKATAASKSKDTSVVKAKAGTKKSKP ASQNKKDKLAEEAEAEAKEVADAEGLNLEEALKRRSDWTPPKALSLVSIDEDTPSQGS GTKLSFGDVLRDYHYNRENSSCEPAQPSKEGNPTKRRRLELVEFEVLQERKPIQQKQI KDAVKTRKTKSKPKKQLNTITARVTARYEQIGELEDLFVYNEESSCETSECLKKPTKP KKETAGKQKEPEYIILSPDAATKSLNDQNFLFGTCSQLERDDSPTFFEETQTAIQLSE CLTFKKTASTITTASSAMSIATRFTGKKSHWSEAARDFNGAVVQPEIIDMTDSPTVSV ALSRLSEVKHDAPKMASLVSSQSVSATNSLVPKDVVTASKPAADVQLASSGPEPNKTE LAAGPFRKTASRLPEMPNFNGYTDSELKNQVLSYGFSLRAVRGRKKMIELLDKCWQSK HGNTATAAIVETSSSAAINETVSVSAARHSDTQVSDKLPTRKKKTTPSRLEPKTTSRA GKKKVSEKPLAGKADKPVEKAASPIPASTYNMVDEIEDSEEEIIPSPTRIQIQRQSSS RQTTPAISCLPLGTKSKRSLKSTKSSTYDEATLLELQSSITKAIHLQTRPRRSLGGSS YSPQLTWHEKILLYEPIILEDFAAWLNTEGFALACEDREVGVALVRTWCESRGICCTF RP TSTA_016440 MTVNTRKRTERDFGSNQAESSARKRSKRDNSTEESHDTTQYEQD EKWPYIDSDGDYELDPDYVSPHRNNTIAGYQALQPIGHSVDEQAEDGDNEDNADDASS DDGDYKDEPYEDEVDDNDEMGPLDEEVEEWKKAEVKKIVNNINSTEKRIRYLKTRKSN AEKRANDKAEEYTREWNARNKGRSELDKIEAAKRAAQDQVQNAEDDLMKARDRLANHQ ARKAAVEQNARQEYMEAVRTRRVRIPRTRKEERHYDPGDLDDGDDSLSDQIADQLAIE TRKKRQKKKEHGKGKQRAIKDDSEEGENEGPKHNPKKDYARACRRCRLKKKRCVMNPE NTACANCIQDAKRCRLTDHVTGKQIHVNELQETQVKLDSALNELARFRAMSKISIGLD NPLAGITGYGPPTQKRAMQNWARMNRGLEPLSPLSAQASGSGGTPGKRKSPTDGNDSE SSQAVKRQKTVPPQPKNFSFQNQTQESYPTSRRRRRPGATLRRANVQTQQKTKREEAA EKLFQEAQQQRLLYLQAQQAEPQQEKVEQQQKATR TSTA_016450 MLGSNLVPIIMKYLKSRNRQISTQPRRVIDHIYYLQEAYSTLID SIKRRNYDAYYAPTTSSRTANASKMDQAEIRQWHIQLQNLKKANIAREKAREEQERRR KESDEILRETINRVRKEKDEFGEYMHQVFEEMREAQAQKPSQQAEKERTKKDSHAKIR AENKQKFRTTHW TSTA_016460 MRRIRRSSSGTSTKAAAPIPEAVSLIHSFDSALNPNRPARPSPL ASSQIQGMPLDLIERIRNFPLFQSTPDSFLAEVGLHLRPQLHAANDYILTEGDEAKAM YWLVRGAVAVTSRDGESVHAELKPGAFFGEIGVLMDRPRTATIIARSRCLLVVLTKED FRKILPRFPDVERAIREEALERLAILEKKNKERYDATEKAAGMNRRGSKRLRESNSGG LSPGEENDLKILNANKKRKSPSPGIADISSSALANGSVNVRSLLKEMPLFSSLTPDLL HYLGLKLQPRSYPPFTDIIKQDSLGREIYFIVRGEVEVLNENRDPEALPRGSDDQASR GLEVKARLRQGQYFGEIVSLSLTPRRTATVRSVTSVECLMLSGDVLVEFWEKCPDDLR QQIEVTAKKRLESTTDTDVLMPDARDPTPPINQLTIDDKLRVTNLRRKSMPLVTLTES ELDNTHQTNGDQEVPVLSPSDPDPFLNIGLDNVKLKSRRGSVGIIVPRETVSADSSRQ TTPTEPRPGSSFKASSQMNGTPTRPRSTSLLYQQQQGNRGFLPDSVLIRIFEFLELHH LLRLRAVCVHWSKILTESPTICQYLDLSIYNRKVTDDVLSTIICPFIKDRPFYIDINN CFHITDEGFSTLVKTCGHNVRAWKMKSVWDVTATAILEMAGKATGLQEVDLSNCRKVS DTLLARLVGWVVPSATLVQHNHIAAKNNLKPTIQTAAGAVYGCPQLKKLTLSYCKHVT DRSMHHIASHAAPRIEQVDLTRCTTITDQGFQYWGNAQFTRLRKLCLADCTYLTDHAI VCLTNAAKNLQELDLSFCCALSDTATEVLALQCPQLLKLNMSFCGSAVSDPSLRSLSL HLLLLQELSVRGCVRVTGVGVEAVADGCQNLSYFNVSQCKNLQPWLEHGGQLRYQNKI QFETVAERAVFR TSTA_016470 MAETHTDRTWGEEPGLVKILHWYKLFDSTTPPRLGMDIDKRLPL TAFTAFSVGLGVGANHGARKAAYQFRAENAHRFPTTSTGWFQYHKTKNYKAIVGGVFD GFKLGTKLGVGAMAFVLFEETVDCARRDRRDFLSTVIAGLSFSGIYSLLTRHDIYTAA RTTKLGLKLSLVYGLTQDALATMKGNRPPYIQYLFGPGNSEVSKLV TSTA_016480 MSPDPLSWTLRFKKHKTTVMLMLPAQASIQSTKEKLHSALRSRG LSQINGDPIPDDASQIEFGTPIDRNDLEKGWVRIDPKVDENGDSETKKPSAGRPKKGA LTDSLQAANIRDGQAIAFRFRKASEGKDSDEDEDLEDPGWDVSIPSLDDEELQ TSTA_016490 MAASSAASSISVTVRVRPFTIREAAQLSKSDETPLFLGDGSLAG VPSTPKLAQKGIRPVIKVVDDKCLVFDPPEDNPVQKFSRSVVPNGKRVKDQTFAFDRI FDENTTQAEVYEATTRGLLDSVLDGYNATVFAYGATGCGKTHTITGTAQQPGIIFLTM QELFERIEERKEEKVTEISLSYLEIYNETIRDLLVTGERKGLMLREDANQAVSVAGLS SHHPQNVQQVMDMIMRGNECRTMSPTEANATSSRSHAVLQINIAQKDRNADVKEPLTM ATLSIIDLAGSERASATRNRGERLQEGANINKSLLALGSCINALCDPRKRNHIPYRNS KLTRLLKFSLGGNCKTVMIVCVSPSSQHFDETQNTLRYANRAKNIQTKVTRNVYNVNR HVKDFLVKIDEQMALINELKAQQKQYESIAFTKFRKQTEKKEAVVRESVARLRNAYEN AAAERQEKATSMLKLRQVSRRIAMLSSWIAAFEEVCASCESETPMENLQAIRKSAQGI LFELENTRHHFNQRISKNNWDRPINTALEHGIQQLKEFDISDNSDIANLTREAELLRA NAEREMLSLVAEQDKAGDVSTVQMLLQAQFEIVSSIENIMQLTEAEAVEAGKKILHKM LTSCVASVSNVVKPDGKMPTIEMPPTPKADTSFRRRKSSLVAIAPKTLRPVTTIPPHV PTSPVKNTPRRRKLGIAKKGVSFTPVKPPVSKTSSKRAVRWKDDEEDGSLAEFEKTPQ KANTSVVESSDEPTLPRISPIPRDIAVYGSKPDESLRSSSPIQPAPELVIPNKNNRFK TGFLSKKPDSPVPPTMTSLSSDEHSPLRSIENSSFLNRPTVERPSRIAVRSPSGSYLS SSPSSDNGKNSWKADKEDAMRINAAMRRISGSQFSGSTSSVPVSRAHRRRSPTATGNI GSSPPESALFSASEARRMVKSEKSHDFRPSVLGPRAVSVTKNSRRITMGGDLRPREVS LGSRDAVRLSMAPTSTSPESRFSLGPAIRGGALR TSTA_016500 MTSFLSRLFRPLSTTSTPAYSVTPRNAIIMPDKAQKATLAAGCF WGVEHLYRKHFGNGKGLIDAKVGYAGGHADSPTYRAVCTGNTGHAEALQVIFDPSIVT YRQLIEFFYRMHDPTTENRQGPDVGTQYRSAIFTHDEEQAKIAKDITDKVAKQWYKQP LSTQIVPAGQWWDAEEYHQLYLHNNPSGYECPSHFLRNLPPLSD TSTA_016500 MTSFLSRLFRPLSTTSTPAYSVTPRNAIIMPDKAQKATLAAGCF WGVEHLYRKHFGNGKGLIDAKVGYAGGHADSPTYRAVCTGNTGHAEALQVIFDPSIVT YRQLIEFFYRMHDPTTENRQGPDVGTQYRSAIFTHDEEQAKIAKDITDKVAKQWYKQP LSTQIVPAGQWWDAEEYHQLYLHNNPSGYECPSHFLRNLPPLSD TSTA_016510 MYFSRSPLALSRSLRNRVVTQIWYTHIATYSTSSPRHHDHVGST RPTPTDTTAIDIKESTKIINEGAKESYNKTDSTSALSILPLSAVIRTLAITTLTSVPF LLGPALAALSVLANSKSRILDPNKNVIVNAILRKTIYSQFCAGETPTEVRKTIADLKR LGFAGVILGYGREVVMDGTETSMFEHQSGKDVAEQETSQSEQNLREIQEWKQGTMQTV DLADAGDFVALKFTGAGREALRHLVQGLPPSPNLQEAINEICDRAKDRQILLLFDAEQ HAVQNTIDSWVLDLQRRYNKFFTIQGKPRALIYNTYQAYRQSTPKTLASHLSIAQRES FVMGVKLVRGAYLNSDPRRLFWTTKQQTDDAYDAIAKCLMTRKYNDLVEPDRGSSSCA FPQADLVLASHNRASVDKARALRDEQSRRGEPSIQMVYGQLQGMADDISCPLVRQSMI TASRGRTGETPKPYKYLVWGTVGECTKYLLRRGHENRDAASRTKDTRTAMWKELKRRA WMGGISSLREATHSDLVNIHMSSTSFIPHLIMAYLKLLRFRPCK TSTA_016520 MGQLSMSFVNTYYDAMAQIQSTPASQRPLQQQTIQGMIPLPGAS HRRGLGVTNGQSTAMSVEQAHPQAAENDHRQRAPQHLPVSAAMSVPETSWAQIASASS SSDKPLPRSSPALTRIQPPEQPLPHPSPALSRIQPPEQPLPRSSPALSRIQPPEPPLP RSSPALTKTQPSERAESRANQSVKQHAAPCHNGGQITMQTAEMLPTGAASQQNDSETH GILEDGKAGIVVIKGPFRSIQNVLSFISTKIHEGPIYDMSMNKDQEVVVVFQYALHAQ IFVDRNTECLATRQESVFGPGNWTVALGNPMEWTETLRRMAHPHRERRRLTFVKSRLF ADHTSFQKWVREVQDVAGHANVDFVWAFNTGNATAVFFSVATARKVMQTFLNWKLNRG CYHELAVSYSSDPCEKDLLLTTQLRYHMIPPHRAFNRVGPNGAAGDRPSNGRPYYQSR K TSTA_016530 MLRLATLAVAALTASVVSAGAKCGSGAQCPSSAPCCSQYGECGT GAYCLGGCDPVNSFSLDSCTPEPICQSKNFNSFDSMDDLASNTKYLGNSTEYDWVYSG TPIVHDGNLVLTMGNGSVGTLVAYNHYIWYGKVSGTFKTSRDAGVVTAFILLSDAKDE IDYEFIGVDLQTAQTNYYFQGIPDWHHGVNATIDSNSFENFHTYEIDWTPDAVTWYLD GKSVRVLKREETYNETTHQYMFPQTPARLELSLWPGGLASNAQGVIEWAGGEIDWNSQ DMQNPGYYYAIFSNISITCYDPPSWAPSVKDGNAYIYNNIAATNNTVQIVKNNTVLAN FADTGLNMTASFSTSTASASQSSSTIADSVPGQNGGDGAHSGAGFNGTSSSSSSSTSE TSSSAPTSTGFSQGGGSNPKSGTVSVNENMLQSSIFAVLVAVVALVAL TSTA_016540 MNFFRFVTLVVHIVATILPQAELLFGLLVLILFLIVLKEFVELV YDLFEHLCQHIDYEIITMCPAAHIRQEFARVPPLTNYSTVPMNPSPGGNPGPLCMPTI PVIGSGMTGNVIRFVSVPQHEVSPVYLAQKARLAEIQRLQALRQRAEAAIYGQAEVGG QPGIYGVAAPQGGEQGAALPTAQQPPVQSEPHDQSSTTSIDSEPPIVGLCANCTAAVE HVRFINRTQPNYGLTEDEVMNDNAWRFVCEAQCQAEKIASTWLENVNSENGISRIVDS VDDTNFPSHLPPPPWANPPNGPKQIMINEIVKEIVLRKMNEMIIANNEEVEAIRNTYR PYGAAFYANCPHRFVSHEPITDVFAIDENSDGPQRIPPLFQNSENGDRPTPKKYKVDW IVEGKIRKRIRNRARSPTPASRSPHAPSLLDKHVESTMDVDEDANEDAEWDLEEDVDE PVNEGNDLDNFTVTTGTSVDSEEEEDDDPFTVHRCSTTEPSDYVSTPGMTTDTGRSSP SGPPTPSTSGRSRKRARVQGPLPERWRTALRPRPRIHSESMDGMYLD TSTA_016550 MEPATQKVNWTRPDVPFKLLDSWIGVTDPKLRKTLQNRLNQRAH RRRQRAQRQQQKQQLVLQGAPKKESKQLLTSTSNPPRSLNHISFCSACGSQKPDIALE QLETLIRFEFANGSPRADLLLGLTQLNIVRALFTNRDILGYKASDMHDDALSAFNQYL NLGPVESQFVAKGTNPELPPSLQPTITQYTIPHHPWLDLIPISKLRDNVIRAGDSIDD LKLCHDMCGYRVSTTGIVIWKEPWDPSGYEVTERFLELWGWTVEDCWELFESTDRWRV KRGERPLFASLRKLTPYIPG TSTA_016560 MSREEPLLAPRQSSEHSSIRNEDEEDALLTGERTHRSQDGSRRD WSFWRQLGLFVWSLVATMAVVILAVMYQHRVTTQQPGPDDLSWGPGPGGKPEGKRNIV FMVSDGMGPTSLSLTRSFRQLELGLPANDTLVLDRHHIGTSRTRSSSSLVTDSAAGAT AFSCGHKSYNGAISVLPNHTACGTVLEAAHLAGYKTGLVVTTRITDATPACFASHANR REYEDLIAEQEIGEHPLGRVVDLILGGGRCHFLPAEVEGGCRADDRDLTKVAQDKGFH YISDRNGFDGLNVGQNAKLPLLGLFAERDIPYEIDRRFQEDTYPSLEEMSRTALAALK KATESSEHGFFLMIEGSRIDHAGHGNDPAAQVHEVLAYDKAFAAVLDFIEKEDTPTVL VATSDHETGGLAVARQLHKSYPEYKWLPDVLAKASHSSEYLGRKLNEYLSSSSKKDTL EKQRDYVRKSLLKEGLGIEDATDEEVGSLLQPDNEVPSSYLFADIISRRAQVGWTTHG HSGVDVNIYASSTKDAWPLHGNHENTEVGDFLAAYLDLDVEAVTQKLQEQASLSWLGD PLGADIRVNQLDSYHGDFRKRGEGCDCGQH TSTA_016570 MLSPSPQLLRVLRTSIANDYVLTSGPSHPIRHSLSSRLSYRKYS SGNTNITPHRPSRVAPRSQPPKPQTHDRGPRSDEKTQTDFAELNVFGNIPTPATAVDA CLDDGFHLDNGVKITNGDGVLLVAGEAFAWRPWQSLKNTDNPRKSMVNEKGQFELPEE AWGLLSLVWPRPDILIIGLGSSIIPLSPQTKRHVNSLGIRVDVQDTRNASAQFNLLAT ERGVTEVAAAMIPVGWSAR TSTA_016580 MDIYRSPRTGQSIDNTIGPRKYMSKGRRACDFCRSRKSACQIEV APPCRMCRAHGQRCEFTDRVVRKRRRVVQPGEGDRVAAWQQAPRSSDTDLLWPQSLDF LSMSMPESTFPQVSSNSDDRGMLGVGLNQESSNQLHAAFLSGTENADQFTLDDLMLGI YEGRTPSDVYQGTGDGHNSLDHALLTPQICGLTGDMDPYVLRHYRFNDKSEFAFSKLA IRRVEEGPVPVQFLLSKPELSADSRSQTDLRNESRSSETPSRSDEIVPQEVGERLIEL FFRFINPQFPVLSETNKPSPQTSPTHLLAAIYSITQPFTLFDDYLSIELAYSPPSPQT LTNIAWRSFNDEIAEPTILSLQTALILLLQPPKNPLLLESPLKWSLLGLTVSMAQTLG LYLDSSPWNLPAEEIETRKRLSWLVWAVDKWFAFSLGRPSHISRNDWIVTELNASSDV TDHGNESYVTQFSKLTNILDTVLTELYSIRSVSILAKDSQLVISTARPIMQTLDEWHK TFSQIEIRGDSENNAEISNVSASLHIAFHAVRILVFRALLRPFNQPNQEGTSDSQHSD EWLAAQTQIRRSALAEVTSVLNLISSLRQEHYQAFWAPWSKTCFALITNLLLLLSVTA NRATGRSLRVADVSDPNGSQQPSPEETVLDEYTECRQLLDRARTIFRLHAKTLDMIRF ALLRIDAVFWVGWERVLGYK TSTA_016590 MESTKDSDYFKNEAVVSSSPIDKSAGDIQSVGGPGVVVDHGLHR ALKQRHLQMIALGGVVGASIWYGTGTAISYSGPIGALISFAVIGLDVFFVMQSLGELA TLYPTPGAFTELAGRFIDPAVSVALGWNYWYMWVANLMAEYNMVSVVLTYWTDKVPSY GWILIFWFIYQCISFFGVVIYGELEFWLAVWKVICVLVGYLLAILVNTGAIGGDYIGF RFWRDPGPFANGINGFGQSFVLAAVYYSGTEMIAITAGESRNPKRDVPKAIQQTIFRI VLIFLGMVFFAGILVPSNDPSLLKAGSKTGKSPFSIALQNAGWKAAPDLINVFILTAS FSAMNSAIYIASRVLHSLAGMGRAPAILGKTTSKGVPIYAAILSNLMGLIALVNVASG AGTAFTYILDIAGAAAFIAWACIGVTHLRFRRAWKLQGHSPNELPFRAFLFPWGAYFI TFLNIFLLLIQGYGTFITPWQPVAFVFSYIIVVLFVGLFAIWKVLKRTKFVNLAEVDL QSDRKESLGGMDDEEERSGFFAKTKNFVKSRLHR TSTA_016600 MARDSIVILGAGIIGLDVAIELSKRGYGKYVTVIAEHLPGDTSI DYTSPWAGANFSGISGGDANALRWDRAGYTLMMEMIDKKVTEARYLEKTESTEYWDEM PQPDKIQSMTEYLRDLVIIPKSELPKAVAFGIKFTTVTINAPAHCQHLKTLLSEPEYG SVPFIRRRASRLQDAFLSENTKLVFNCIGNSAITLSGVSDAKCYPTRGQILLVKAPSV KQNIMRHGNGYETYVIPRPLSDGSVILGGYMQKGNSFPNVKEEETKSILQRTGELLPV LLNGEVEIIGAVVGLRPSREGGARVEQERISDKIVIHNYGAGGTGFQAGIGMAVDAVD LAAESLKELNQKSML TSTA_016610 MQESVNAIPDKYLHNLDPEWKEIWTKHGQDVVGAHLVTIEEFRQ CPAKYSFTYPTWDGPDVHNVQDYIVPVQDPEGTITCRVYTPSGSGPFPVHLNFHGGGW VLGGLKTEAAWCRSVCNESGIVVIDVDYRLAPEFAFPVAIYDCWTALQWAISNANTLN IDPTSASIGGLSSGGLITAVLAHFARDSIPKIDLKLQLMIVPATDMRYVPISADKPTR LTPETCPYPSAIFCADLPWSPLERESWFLKYYISDDPETRSKILEDWRMTPVLSPCLR NLAPAHIVTAEYDVERDEAEHYGRMLQAAGNQVSMKRYPGVPHAFAHYNHPSRGLSRS HEFIKDTAQLLSHVHSKMMKPLSSAI TSTA_016620 MAPTAVLITGANRGIGKGILEIYLEKPNHTAEGTTLHVIEIDST SPTDSADAVKGLQTVEGIGHIDILIANDRGQGSKMGDYWIKRCFLNSRLQTCHINWVQ TKMGNRGAHAVGVEKAVVKVQDSTTGIVKIIDTSTRETYSGKLFKPTGNEEPR TSTA_016630 MAVPSSVAWSDMAVPSDILETAHSLLQYLRVISPIALLLAFVVA FIISSILSARQLTPTTTPACGPGGRPLPKRTRSTMAVMRNNQNVSPRFRVVFQWLSTA VLLTFIVDAAVNVTHAILDRSEHWWRGQATVIYIVGCFFVHALVLISLVDAKPSPQGT QFATWLLAIPLDAMIWAASLIIYTNPHREPIVGDPRGGPWRNGMTGWEGIEVASNGIR VVTLLALAFLYIMSTSQSSHGRKADSRLAGDVAETRGLLSEGAAGTNGSAYGATHTNG HASPPQKPVDPWVRPSTVPSTSWWEYLSGYSLFFPYLWPSKSRRLQFIVVICFGLILL QRVVNVLVPRQVGIITDILAAEEGEAMSIPWFQIFLYIFYRWLQGNQGLIGSLRSSLW IPVSQYSYMELSTAAFEHVHSLSLDFHLGKKTGEVLSALSKGNSINTFLEQVTFQVVP MLIDLGVAIGYFLVIFDPYYALVVAIVTFCYLYVTVRMAQWRAEIRRQMVNASRQEDA VKNDSMVSYETVKYFNAESYEFERYRGAVRDFQKAEYHVLFSLTLMNTSQNTVFMLGL LVTCFIAAYQVSTGERKVGDFVTLLVYMTQLQGPLNFFGTFYRSIQSALINSERMLEL FREQPTVRDAPNAKPLTHCNGEIVFDNVHFAYDNRKPALNGLTFECRPGTTTALVGES GGGKSTVFRLLFRFYDAEMGTIRVDGQNVRDLTIDSLRRHIGVVPQDTVLFNETLMYN LKYACPDATDEQVYEACRAASIHEKILGFPDGYQTKVGERGLRLSGGEKQRVAIARTI LKNPRIILLDEATAALDTETEEQIQDALATLSKGRTMLVIAHRLSTITDADQILLLND GQVTERGTHDELRDLNGRYASLWRKQIRAQRAAELRGAKTSDDSSSQSEEERSAEPGR EPSARRSS TSTA_016640 MADPPNDSGTPQSPRPPPPSSQGQAHKVYQNRATSALARLTQPF FTGSRSPSPSDVSNRVPDEDHHVRLTRSKSLPGSSQTVTHRTGLSIASLDISPQKTHA VIAGREIFKTIRVSQDGSSEEFNLRDAIIKASSKKQALTGLASKFKDQLAIKDVKWSH GEFDTVIATAAANGRIITYDLQRAGLELSRLNGHNRQVHKLAFNPHRPAWLLSGSQDS TIRMWDLSNSDAVRDIRWSTGDGTVFATATDSGAIQCWDYRHTKAPQLKITAHEKPCY AVDWHPDGKHLVSAGTDKQVKVWDFSSSAERRQKPTFQFRAPQPVVNVRWRPASRVSE FSDDRNWQSTQVVTSYDKEDPRIHLWDLRRPHIPYREIDRYETPPADMLWKSNDLLWT VGEAGAFTQTDIRYVPEVVSRRPMCAVAWSPTGEVVATVQKRPRRRPLATRNPEFFGL GDEKERVFERHRSFADSSALDDIATTQPKQQRGMALGISKSLGNTPPDPVDKITVIPL GDSLSGELPTPNQVGIVGRIEGVASDEMVFRYLAEHGLTDLDWNATQAEMAGQHKLAQ TWSIVKYTVLQEIEHRAEERKRKPKEKTNQVHRSPHAHFSDRTRLPDGPKSAKVKSHL FKGVMETEAHRRGAPEIESSSNVTTPLAQPLPDSPSGVIFPSVSHGESVTDDLIDLQP LPPSVMSSRYSTKNQNETSTPRMQRHESESSEFMPFYSGSFNSEQVREGQVDNSKDDQ RTAPRAIAGRVDWHRHRSSDAAAKEGSEDDYEQNVESRKALLRDYKAPPKKVLNLESL ASEASRPTRPGTYFRHDSTESFPMFSASTDSSQRTKSVDISLSPRTSALASSRRGSVG WETEEDAIIEEDEPASSKAASVPDYGSYQDRIVSDNSYPDESHIHLQRPSSPAPIVAE SDKTEKDQRQEKTSDTTAKQNEDFRLPLSPEISANKPWGAQVILREAVRHYYSTGPVD TQMAAHLLRMVHSLFYDCETILPYHECEQVFKAYNEQLIRHSMYVEAAELRNFCVPIY PAVYEYSQTDTYINVYCYTCRKPYENPVKDNRRCHKCRTPQPPCPICLNVEPPLEWTI GESDGGDAEDSEVLSQNSLQSDQTEPISGLEIEYFGQQSRNSHRIPGSSLWSWCQGCG HGGHLACMKKWLSDLEASEGGCPTPGCVHDCGPGPRRREHREVMQTVAFGRRITSNTA KRDSWVTGESRAVEKVRGMLSSATAAQGSSGEMSLGGAVSSGGSAALNTSSGGGTIGS SKKVRLVTPIEEGLLHKDIDPLMRDKESTSDPFSG TSTA_016650 MSPQWHSLPTRNISVPPLLYRFIYTSQSYDFYLTDLTHIWRENL QHKHILQKAEDTETSIDPSEDANQYDVLMRKIQDALDGAKNTTVAVTSGRRSNSITVS TNTKLPGGLNPLKWSFMLSKEPPSAVTRYLLLPLLKNEKDHEQREQSLLEHIREKDNV LSKIFDKIDPSQLTSMFPGTAGVRHTKLKTSDLIRHIKGATKFEEKKWRGSPAEEDDL GSGLASAYGGTSLDSTNIRDVAWWENLGNGIDSASESPERSQTATKRKNSPPNIYAGG DKQKPADEDLSTEDEDEFQRQETPPHLKRKKDEEDRNPVKSSGSDLGVGTTESDADVK SDDRLDSRTIPVQKGKSPKKVMGRIGAPKAFALGHSRKGAVADETDSDDTPDTPRSRH RIPTPREDHEMYDGTESDSQPERVQTPSPPKTMKDRPTENAPKKKGVLSKIGGKKPEP KRAPSPEPPDKVDLERTPSDKDDDLQQRHLRSQRQSTPKKGKKLGLIGGKKKPQATTR TTSPKHEETDDDLDSGPNKSRKTQMLPSGTPSPHRSVFKSPSPVSCMKKPEPEPELSA EQKADKKREELKRQLDAKSKAPTKKKRKF TSTA_016660 MSMRYPDRSGYKRGRSRSQSPPWKRSEKFARRDDARQSGHWKND SDRSGQRGRRDQARINQLQEDEQVREWVAQEDLFVLKQAKKKAEIRVKEGRAKPIDWL AVTLRIIDPTRNPIDDEISDSDLDLIDPEGVFEGLSQAQLIELEKDIDTFLSLEQSQK NRDFWKTMKVVCRDRQKTSAPEGRVLSSVAADINKILSPKSYEQLETLEAQVRRKLDS NEPIDTDYWEELLRSLTVWKARAKLKKVFQAVIEGRVQALRKQQREEAESVRLKLAPI APVVGELVESRELDLDPEPLLQLRPEDKALEIMDESVFLNRVVSSTLLDIEIFDVSTN FDQAQERKKILKMGFVPLRQRGAERPTQSLTSQAKKSELADTPADRFSSLSNEDFSQA TRALYEREVARGISENEEIFTAEEAVSGTSQLPWAHKYRPRKPRYFNRVQMGYEWNKY NQTHYDHDHPPPKVVHGYRFNIFYPDLIDKTKAPTYRIEREHGRKRGQSFVKAGEEDT CLIRFIAGPPYEDIAFRIVDREWDYSAKRDRGFKSSFEKGILQLHFQFKKIYYRK TSTA_016670 MMDNSRHQHRGDPQQRHPRPNSGSPLISASSSPNLAGTADHTRA HFAGNEPFSDYYAPPRSESASNDPHLEPAMFVVNPWEPSFPDSLANRHFIAQNRTFDA GSHGYQPIPYPQHGHSPFASGYSAPSGFLNLNAPAYETSLLNVDSGQPVLRRETPVLH RGFRPIVHGQMIHDSQSPPIGHIQGNHIQGKEPIHPLSVHHPRESSSSVHLPSAVTRT KRSHQQPARKSSVEDVGNHDRPYAYLLYEALRSAQDHKMSLQEIYRWFEENTNKANDP QSKGWQSSIRHNLSMNEAFILHNETPARGKSKNNYWTLTEDALKNGVQSTTRYRNNVS KRPLIHDDMDQRRDTGRRGGNILSHPSKSRRISQHPEADPFEQFRSSHGYDIQQQISQ QQPVEDTSRHNMYYQDPSIFVPVATQGLNPSIIVTSTVASDGSQYGFVRSHSSSSQFG HDFTQFDT TSTA_016680 MANTRLQYRRRNPYNTRSNRVRIIKTPGGNLRYLHIKKKGTAPK CGDCGVKLPGVPALRPREYSQISRPRKNVSRAYGGSRCGNCVKDRIVRAFLIEEQKIV KKVLKESQEKEKAASRKR TSTA_016690 MASLPPPPPPGWGPAPPSMPLAPPPPGYRPPADPKVAKFAQKKT EWLRTQRNRFGEKRKGGFVESQKTDMPPEHLRKIVKDIGDVSQKKFSSDKRSYLGALK FMPHAVLKLLENMPMPWESAREVKVLYHVNGCLTLVNEIPRVIEPVYHAQWATMWVCM RREKADRRHFKRMRFPPFDDEEPPLSWSENIEDVEPLEPIQMELDEVEDAAVYEWFYD HRPLLDTPHVNGPSYKKWNLSLPQMATLYRLSHQLLSDVVDKNYFHLFELNSFITAKA LNVAMPGGPRFEPLYKDIDPNDEDFGEFNAIDRIIFRSPIRTEYRVAFPYLYNSLPRS VKLSWYSHPQAVYVRSDDPNLPAFYFDPVINPISSRSVAPKNITVSHEDEIFGPGNNE DDDFEFPGDVEPFLADEELYNSDTASAIALLWAPYPFDRRSGRMVRAQDVPLVKQWYL EHCPQGQPVKVRVSYQKLLKTYVLNELHKTKPKAHNKQDLLRTLKGTKFFQTTTIDWV EAGLQVCRQGFNMLNLLIHRKNLTYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLMR EILRLTKLIVDAQVQYRLGNIDAFQLADGILYAFNHVGQLTGMYRYKYKLMHQIRSCK DLKHLIYYRFNSGPVGKGPGCGFWAPAWRVWLFFMRGIIPLLERWLGNLLSRQFEGRH SKGVAKTVTKQRVESHFDLELRASVMADLIDMMPEGIKQNKVNTVLQHLSEAWRCWKS NIPWKVPGLPAPIENIILRYVKAKADWWISVAHYNRERIRRGATVDKTVAKKNLGRLT RLWLKAEQERQHNYLKDGPYVSSEEAVAIYTTTVHWLESRKFSPIPFPSVSYKHDTKI LILALERLRESYSVKGRLNQSQREELALIEQAYDSPGTTLARIKRFLLTQRAFKEVGI DMNDNYSDINPVYDVEPIEKITDAYLDQYLWYQADQRHLFPSWIKPSDSEVPPLLTYK WAQGINNLSNVWETADGETNVMIETKLSKVYEKIDLTLLNRLLRLIMDHNLADYITSK NNVQLNYKDMNHTNSYGLIRGLQFSGFVFQYYGLVIDLLLLGLQRASELAGPPQSPND FLQFKDRATETRHPIRLYTRYVDKIWVFLRFSADESRDLIQRFLTEQPDPNFENVIGY KNKKCWPRDSRMRLMRHDVNLGRAVFWDLKNRLPRSITTIEWDDTFASVYSRDNPNLL FSMCGFEVRILPKIRNQNEEFPVKDSVWSLVDNATKERTAYAFLQVTEEDIQKFNNRI RQILMSSGSTTFTKIANKWNTALIALFTYYREAAVSTVNLLDTIVKCETKIQTRVKIG LNSKMPSRFPPAVFYTPKELGGLGMISGSHILIPASDKRWSKQTDTGVTHYRAGMSHD EETLIPNIFRYIIPWEAEFIDSQRVWMEYSQKRQEANQQNRRLTLEDLEDSWDRGLPR INTLFQKDRSTLSFDKGFRARTEFKIYQIMKSNPFWWTSQRHDGKLWNLNAYRTDVIQ ALGGVETILEHTLFKATAFPSWEGLFWERASGFEESMKFKKLTNAQRSGLNQIPNRRF TLWWSPTINRANVYVGFQVQLDLTGIFLHGKIPTLKISLIQIFRAHLWQKIHESVVMD LCQVFDQELEALGIEAVQKETIHPRKSYKMNSSCADILLFATNKWNVTRPSLLFDTKD VIEPTTTNKFWLDVQLRYGDYDSHDIERYVRAKYLDYTTDSMSIYPSATGLMIGIDLA YNLYSAYGQYFPGLKALIQQAMAKIMKANPALYVLRERIRKGLQLYASENNQEFLNSQ NYSELFSPQIQLFIDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKIIHT SVWAGQKRLGQLAKWKTAEEVAALIRSLPVEEQPKQLIVTRKGLLDPLEVHLLDFPNI SIRASELQLPFQAAMKVEKLADMILRATEPQMVLFNLYDEWLKSISPYTAFSRLILIL RALHVNTDKTKIILRPDKTVITQEHHIWPTLSDDDWIKVEVQLRDLILNDYGKKNNVN VQSLTSSEVRDIILGMEISAPSLQRQQAAEIEKQQEDQKQLTAVTTKTQNVRGEEIIV TTTSQYEQQSFASKTEWRTRAIATSNLRTRANNIYISSDDIREEGLYTYVMPKNILKR FIMIADLRVQVAGYLYGRSPPDNDQVKEIRTIVMIPQVGNTRDVQLPQQLPQHEYLKN LEPLGVIHTISGNEPSYMTAQDVTQHAHLMNAHSSWDKKTVTMTVSFTPGSVSLAAWA LTPQGYKWGAENKDTSSDQPQGFSTSMGDKCQLLLSDKIRGYFLVPEDNVWNYSFMGS SFSSVEKRSVYVKIDTPLRFYDDQHRPLHFQNFAELEDIWVDRTDNFA TSTA_016700 MAEPGSETVLPIREGEGGKKAAAEQEINPWDVQAGTDENGNSLA FDYVAISQKWATKLIDDELLQRFERLTGHKPHRWLRRGLFFSHRDFDKILDKYEAGEP FFMYTGRGPSSDALHLGHTIPFSFTKWLQDVFDVPLDDEKALFKDKLTFEEAHRFGLQ NAKDIIACGFDPKKTFIFSDLEYVNGAFLMNTWEFSKLITFNQVRGAFGFNESTNIGR IMFPAVQCVASFATSYPEIWSDPPAKERTKAIAKIPVLIPMAIDQDPYFRLVRENAHR MRFPSPKPALIHSKFLTALQGAGGKMSASDPNSAIFMSDTPNQIKNKINKHAFSGGRE TLEEHRRLGGIPEVDVAFQYLSYFEDDDEKLKNIEDSYRRGELLTGELKKMAIELIQQ YVKEFQQRRKEVTDEMLKQYMTPRKLEWKGNPNPKPKPKETAKKEKEEKK TSTA_016710 MPYVPRGAQQPQQHHVGSPALRETGGLQDVHHQPLLLNPHQMVP SPMSSHYGSSPLHFQAHRANKRPRLDGGDGNEDDNRLDLQFQMLKPDSHPESTSSPDM MLSAHGDSQQRATAHTFALPPPIHQQSQQHHHHRLPNQALMHTMQQPGGDVNSTSVPS GLPSVVGQAGMPDPAPRPRGPKLKFTPEEDALLVELKEHKNLTWKQISDFFPGRTSGT LQVRYCTKLKAKDTVWTDEMVQRLRNAIQDYENDRWRIIAGKVGHGFTPAACREKATE L TSTA_016720 MFASRAVSALTKRAPVRAFTKARPFTSSVTRFSDNKLNVPGKII PYEEVKTEDDLLPPGTKAGQVPSDLEQATGLERLELLGKMQGIDVFDMKPLDASRKGT LENPIIVNGAGDEQYAGCTGYPADSHIVNWLTVSRERPIERCLECGNVVKLNYVGPDT DAHGHDDHAHGHHATYEEPKTFADYVKPEYWYR TSTA_016730 MEQQRPEISLADPIEEIRPVEKNHDTTASETIVNDEIPHNWHSH VRRRLAGYMKRFEKQLLEYNIEARGIQRVEEQERHALTWMTYLQVFLLWVSINLAANN ITLGMLGPAVYGLSFLDSSLCSIFGAFVGSLAAAWSATWGPISGNRTLVFGRYAMGWW PSKIIVLLNIVQMIGYGLIDCVVGGQILSAVSPNYHMSVAVGIVVVAVITWFIATFGI KFFHYYERYAFLPQVIVVCILYGVSAKKYDLETPSAGDSLTVTGNRLSFFSICLSAAI TYAPLAADFFVYYPPATPKVVSFGLTFIGLILSFTMAFVVGIGLASGIATDTAYSDAY NAGAGALIVEGFSPVGGFGKFCSVLVALGLISNTIPPTYSSGIDFQILGRYAEMVPRI VWNTIAVIIYTVCALAGQGHLSEIFTNFLALMGYWVAIWFAILLEERYIFRRQTGYNW AAWDDPSKLPIGLAAFTAFLVGWAGAVICMAQVWYIGPLAKLVGDYGADVCFVLPLCL HRILTFLFRWVTMLASRGRLWSILLSDTSNFGILEGRW TSTA_016730 MEQQRPEISLADPIEEIRPVEKNHDTTASETIVNDEIPHNWHSH VRRRLAGYMKRFEKQLLEYNIEARGIQRVEEQERHALTWMTYLQVFLLWVSINLAANN ITLGMLGPAVYGLSFLDSSLCSIFGAFVGSLAAAWSATWGPISGNRTLVFGRYAMGWW PSKIIVLLNIVQMIGYGLIDCVVGGQILSAVSPNYHMSVAVGIVVVAVITWFIATFGI KFFHYYERYAFLPQVIVVCILYGVSAKKYDLETPSAGDSLTVTGNRLSFFSICLSAAI TYAPLAADFFVYYPPATPKVVSFGLTFIGLILSFTMAFVVGIGLASGIATDTAYSDAY NAGAGALIVEGFSPVGGFGKFCSVLVALGLISNTIPPTYSSGIDFQILGRYAEMVPRI VWNTIAVIIYTVCALAGQGHLSEIFTNFLALMGYWVAIWFAILLEERYIFRRQTGYNW AAWDDPSKLPIGLAAFTAFLVGWAGAVICMAQVWYIGPLAKLVGDYGADMGNYVGFSW AALVYPPLRYLELRYFGR TSTA_016730 MEQQRPEISLADPIEEIRPVEKNHDTTASETIVNDEIPHNWHSH VRRRLAGYMKRFEKQLLEYNIEARGIQRVEEQERHALTWMTYLQVFLLWVSINLAANN ITLGMLGPAVYGLSFLDSSLCSIFGAFVGSLAAAWSATWGPISGNRTLVFGRYAMGWW PSKIIVLLNIVQMIGYGLIDCVVGGQILSAVSPNYHMSVAVGIVVVAVITWFIATFGI KFFHYYERYAFLPQVIVVCILYGVSAKKYDLETPSAGDSLTVTGNRLSFFSICLSAAI TYAPLAADFFVYYPPATPKVVSFGLTFIGLILSFTMAFVVGIGLASGIATDTAYSDAY NAGAGALIVEGFSPVGGFGKFCSVLVALGLISNTIPPTYSSGIDFQILGRYAEMVPRI VWNTIAVIIYTVCALAGQGHLSEIFTNFLALMGYWVAIWFAILLEERYIFRRQTGYNW AAWDDPSKLPIGLAAFTAFLVGWAGAVICMAQVWYIGPLAKLVGDYGADMGNYVGFSW AALVYPPLRYLELRYFGR TSTA_016740 MYQMNFQISDHRQTPTVFSMMSSSPMSKRVKGSALNRSSAQQQQ QQQQQQQQQQQQAALSVTGLTPTSAYPLTTPAAQTPPLPQPHHQLQSQQVNARKRRTP PSATTATGIPVIPGSAVVAPVMSDIPGGLAEPPPKKKGRTNTPWTAEEEQRLKQLRDL GKSWSEIAKTFPARTEGSVKKHWYKDMHYAEFGEDESVALREAIKEYEANKWKVIGQK IGKPAKACEQYAKEHFKNL TSTA_016750 MEQREYRVHKHHRRVSSTGGGRAWTEEEEAYLVRTRMHKMPYKH IAAHLKKTELACRLHYHQMSYGNNGRRRSASVSSIGSFNSVSPGGELSDNTRLPRLSP VLSPRSSPEPNSQRVSSDDASPQSHRGPIPILPKPDRDNTPTTFEISMARSYETNVVG YRKEDQQSYIDMSHLHAVYEAYSASFWSKIATEYSRAAPEISPKLAEKAFMGNFSHTI ACAHLPPTPNVSPAASPEPQQRDYASNSLPPMSRGFRAVNEPQPERAAGVQPESTHRS LADRCSVSALLTVEREVRPSHQ TSTA_016760 MSFMFRFSNRTTDQRTFAAKMFNETDKEDEIKKIKDQKTSRQVL RVHLVNKAPVDIEANLAAITALVENENADWGSLWWDCKKRDLLKYRYLQ TSTA_016770 MLLSPAYSDTFEIMSGPPEHPGQLGRVGDYMDPADPRWSDIHSS PFLGVSHPYQTSPHGSVMLTTNSLADNAYIQARSSPALSHHSQEYPYIDETAVSQGLG ITSPYQDFICGPPSSPSFNYQHLQQQQQPQQTMDFYNYSPSPFPVEQPSDKRPKRQVT STTLPSQRNSPVRILPHPDGLQRLEHERRGGQMIDPHQLEPQKTRPLGRGRRDPQAEE EDAFVENLRAQNLSWKIVAEMFRERYGKNTSEARLQMRMLRRRKSAAAWQETDMNSET HKIKY TSTA_016780 MYIFTIKFPVLHSFYTSSIFTNSNIFLRYNGGPFLISLLDTLFI GASHLFHSLYLHGDFSVLSFIMMISVYRAVLACALVLSGATNVLSFPLAARQSAITDV DILQFALTLEHLENTFYKQALAKFTEVEFIAAGFDENFVKNLNFVAHDEEQHVVFLET AIKQAGATPVAACQYNFPITDVTSFVNLATVLEGVGVSAYLGAATAISSKEILNVAAA ITVSEGLHQAIQRASLLDVVSANIAGTPLTPNAIFTIASSFITACPSTNAALPFKAFP AIAVNGIPNPAQAIPVNTVASLAMPGPNPPAIPATAFVTFVSGIDIISVPAQVVNGAI AVTIPPQASGQIFGLLTTESLTGTGTLLDETKVIAGPAIMEIQPASPSIDFTVL TSTA_016790 MTSFNHYALGSIINWLHKTVAGVSPLEPGWRKILIHPLPGGTVT SAEAVYDTPYDRLECRCCAAQFDGEGDPSIWSIEDGKR TSTA_016800 MINKLHQNATWSMRSNFFFVPTDCPHREERLGWTGDIQKFAPSA SFLYNTTGMLGDWLQDLSVEQLSRPNAISPFVVPNVIPEPLWPIFPQAALGDATILFS VVLTVSGTKIYSNLETGLIPPLRRGQPGNSCTDGTLAVDAYLVHVTEILPHISTIVDE SANASRYEADYRALKSQFQIKYIFPTGLLVGDTHTALSLAVVFNLHDDPQQAAAAGHD TSTA_016810 MNDFSNETIQRERSISVFREPSIHLDVAKAMEARLSNKNEGYSA TTLAVAVNLHGRRQKEKPTIELIEQTEERRLVYLANEEDHALNKWASVKKYPWSFFWC NFAIWCILLVSFENQVSGNVTGIPDGGPVASAVVGALSFGQIADTIGRRWIILCALVI SVVAVTLEFVATTNEIFFSCKFLNGFALGALSSVPVTNVGEIAPLALRRTYYNRWAYR AVFVAQYGYVAMAFAGIFFMPESPWWLVSKDREEKALSSLSRFGHSDVEKSKKLAFIK VTLKQVRLETEGATYLECFRCSNLRRTIISIAPLTIQALSRVVFAAGKSSLPLVISCH GNPNHTGAIRGTAAMILIYSWWYNLTIGAAGNTILTESSTSRLRVKTIAIGLALQNAI YTM TSTA_016820 MQSEDQVHLLAALDAAKSRYIELNARSKSLHEEAVNVLPGGNTR TILHTDPFPIYMKSGRAYQVTSEEGNTYTDMAGEFTAALYGHSHPTILSAMNDVIQNV GMNIGATTTQERLFAREICKRFQLDRMRLTNSGTEANLHALAAARKFTGKKKVVTFSG GYHGGVLMFSGGKPAPNNVDIDEWIVVKYNDLDAAKEAIQSPGVAAVLVEGMQGSNGC ICGTSEFLHGIQRAASDAGVLFILDEVMTSRISDGGLARLQGLKPDLKTFGKYLGGGL AFGAFGGRADIMAGFDPRLIGSISHSGTFNNNTLVTHVGYIGLTTVFTPETAKAFTEA GNTFREQLNEVTNDTRIYFTGIGTLLTAHFLAKGPRHIECADDVEEIPELKTLFWLEM LEAGFWVTLRGFIAIILEMPQSELERFVQAVQSFISRHRDLVVLDL TSTA_016830 MLDSTNDLSSHYNRHVSSRAASSAVPAGMAYSICVSFALPSSSP GTCGYEADMAYIQTNNPSVNNVQIEIASSGSYYDSLAYNKYTVFSPGINGVWQLADYD NDGSPDLIYIQNRNTASGKVELKIASGASNYQTLILQTQTVYDAQINGRWQMIDYDGD GKLDLVYIQNSNTPSNKVEVKVASGASSYKTLTKEVTTVFSIGNDGTWQIVNYDNDGN NDLAYIQNINTSSGYVEVTILSGASNYQTTVQSIPTTFSVEDNGTWQMIDWDGDGYLD LVYIKEQYTAGTVEIHVASGFDESLYY TSTA_016840 MGITYSDGILSQLGLSGKDGVNGPSDKLWTITVTSTSYTTVCPC TTGVLSLPTELTSFPTSSGFEYSSASLVSITTSSYSLGQSSLTPEESGAASTYSPSVT SHSSRPSQSFVSSSSAVNQNSSSSSRYIHGSTETSIPSVSGYTSSPCTNTIVGSTHIP SGFQSSSVIRPSASSTPAQSIGPQYLSSTILSQTSAAYASTGLSGSFQLSSSSSVPIR SSSSARITPSQTVRSSSAIPHPSSTQTPLILYVEPSQLVRKRQTYNSAVLIGSGSLTA SCANADVFHVDGTSLYDGDFLVSTNPGVLQTKFIGSQIPGTISGSFSVAKNMLAWTND AFPAGQALFCVSDDIVYAVFDGNLPSGCTQVSIAAVPYSSICSGGTASSSFHPITPSP TSTATSIPTCAAGSDPELSTTVLPALDPNIDLSSLLNLSPSMYATLYYAQPYGSTIVQ VVYTMLYSQVTLENSQNIDTVTCSGGNTMTIVTKTKVAYNIINQWPQSDLILITNTAS CNSASQRGVYTVTSYTTDESNLTITLKISAATWTDVSETMQISYGTGDTNSPSSISYT PSCSAPIATTTSLGSATSTDVSYVDLTPEEKNIVAYLTKNNTYDDNGNIAVTMPASTS NLTAPSYNPASNSSEQAALEDALQTAGLPSPDSLWHKTANNLAGHCSNGVYVPPTTVF TKRDLPLIQHNAATAKDSRRVLAKRSGDINDSKWWKYLWEGGCNDIVDEIIKAINKEA GEIVELICAMKELYDDVDEAYQNRDAIKCVFTGCYLEETIATYWNYTYSWTADFDIPP QTIVSSRVGTVKCVDCSLSFSEVQFVGSVMITTKTGAVESAYMTPTMSWTADLVMSLD TTGAWSNEWDYTFSTLNFNNPITVPGEFTITPSMIYSLGVQWSTTDAVSFTGGASMSV NSGSMYLDFKESTATQISNWSPHVQYTYPVFTTAATVSFIPIMRSSLSIAVEIQNQPY KAQPIYINTASAVGFNAALIETDGGACPAGQLMMTSYTDVSSNVSFSGGPSQVLSNTG DVAGQMKCFAVPNDIPTVDEVNSLRSQGAAFCTSYLSYTPPTKVAYAVTTTTGPSTTH ITLPTTISTSSTVYVFPTITSVFVQTTTVNPTSYVTASGSQSLGDSYMRKRALETAAP MRVNNNPIKPTQPPAAHQLLARTITSTPAFVSTWDASKLSLGCSQVATGTVTTTFYSS TTTAYSGVVTSTAYSTVDVLGKLYTNTFERVVVSYTATTITGATTATATATTASSCPL QTQVSCFTITGTGPDHINGKQLYMSDGQASPVWGGWGAGYELATFYLTCSGDLVALPS MKVLSTAADMWIEFGNFTSSSSPTNQRCIQDTAAGTLSCGAGWYAMKPVATTINDFRA FSGYWQPIWSDGSNNDILTPITLTYNNATCPCQY TSTA_016850 MEPIECEINLSDIDTSENENDIRANKEMKVSLSEGENDTSESED MEDLSELKGNLLDTLSEIQSNGTFITAKELSTAIIPGLHVPGIGAIGLPVTPDQVKAM IQSSRMSPYGKGSETLVNESVRKSWQLDANQFSLQNPLWKAQLDNFKKEAITGLGLTA NPEEVKAELYKLLIYEEGAFFLPHQDSEKADGMFATLVVSLPSKHQGGDVVASHKDKK MIFSTAGNSEFGFSWAAWYADVMHEVKPVVSGYRIVLVYNMIHRPSAMIVKARDSEMG YLSKLLASWARAVENSMHNLRSWSDHIEDDCPPALVYLLDHKYSIAELRPSRLKGVDQ HRFLQLQEACRKNDCEIYLANIEKTTTGDVYEDDYHDDYYGSYGRGRRLNGKDFHNLE EVYESSLELLHVVDTKGVVVGAKLSFPESMIVQSDIFERDPDDEDFEGFTGNEGAHAT HFFRETDLIMKRALELTDFGLFGRALLLAGYFSDSHIALIAQVIADHGITPIRKPLDT MIKGTDQYGHRSGPRLHILSDIMKEYQSVCTQTNRSLSNEVIDWQNVMFLNAISAQLE GSESDGQKLAKSLSNLPVSGSLTKKIEEYLQHHLENTTFIASFIISANECLPAENSHE DAIAGMIRRLFPKAIQSFKIEHESNLSFSGAHTNSHTSYGWKNICISPTLVIKLIKLA SSMETDTDGVMNVLARYANNVRGDAAASAFHEFLFPVAMGICEDIAQTKRSWTAGEKD FLFGLLKSYVNGFVKKASPPPADFKAKTNIRCTCSDCMDLRKFIDDQSVRTRDFSLAE KRRKHLEQQLDRSYFSTDTIQRGSPYKLRVEKTNAMLVSYFNAWVKRARIANSVLEKL SEKGPLKEILGESNYRSILEHTNLQIPTDSPSNNTTTTNARPRIQSTVPQKRSLSGYY TSTA_016860 MPMNVFRILGDLAHISSKCILIWAIHRNRSSEGVSLLTQILYAL VFLTRYLDIFHQWSMEYAYNIFFKLFYILSSIYIIVLMTYFFPRTRERERSWKLAAWC VLGALIGAPISLLIWAAASHRSYPSFWFTETLWAFSIILESVCVLPQLLLLRQTTVPT VIDSYYLITLGSYRALYILNWLVRGFGPEHYWDPIADFFGVVQTLLYLDFAWVYYTRQ RVKLRRGGIVDSDDLRKSWFVGKILNSQRIHNQDEEQEPLDPEAEGDEHTRSRWGARG ISVSADDTLENHDRHSPE TSTA_016870 MPTRLTKTRKHRGHVSAGHGRIGKHRKHPGGRGMAGGQHHHRTN LDKYHPGYFGKVGMRHFHLQRNHYWKPTINLDKLWSLVPEETREKYVAGNAPSDKAIV LDLLSLGYAKVLGKGRLPEVPIVVKARYVSKEAERKIKEAGGVIELVA TSTA_016880 MRLIKSSIEHNGSGAVTLCPEEPEDMWHAYNLIRPNDLLRASAI RKVTTAQDSGTTVSQRVHLMLQIRVKGLDFDPNSSQLHVSGQIMNETQHTRIGQHHTL DLELHRNFTLEKEVGAEGEGVGWDSIAIDMLKDAVDEGGKRRAEAVAVVMQEGLAHIC FITQFQTILKQKVEMSIPRKRAGGGDHDKGLSKFFQVTLDTLLRQIEFNTNITSSNNN DDSSRPILLASPGFVAAGFQKYIQSAASTNMPSLKRLLPSIVVVHSASGYLHSLSEVL QSPSVRTLLSDTKYARETKLMDDFLDHLRKDTNKATYGPREVEHAVDQGAVGRGGGVL IISNRLFRSQDVAERKRWVGLVDRVREVEGGEVRVLSSDHESGKRLEGLGGVAALLTF PVAEEDFSSDDDDNDAHNDQQHAIEAH TSTA_016890 MPTHGQHKQQQPRRILEKSRTVRRRYQRSNKRFEFTASQIEQIE REEEREKKAKQLREREKRKLANKKKRAEKEAKQREERRRLGIPDPNTVKIPASQPLLV NFLGARRNSKLDEVKGQIQEEAEDFGTNLEPENSEGEELDESGDDGHGDHHISPVQEE ADVLQQQQQPSKTEEAVNCVSEDFSDLETELGDDLLDDIDLEKHIASIENSQKSLNSS ATTHNAIQTQVPAQSMLEAPPAVNSLSESFEDDTSLLLQTLDPTILENFENPKPTAVS HDLNKTKGLDAEPVTHPVVQSSSAPIHALPNVKTVNTQYVSHIANNLTPTKFSHASRN TTLGTSAHQPSPKVWAPNQQPVQKQQLECPNINKNVNSASIYSAPSVDAFKKSVAVAS SSLQQRGHLHSLPEKCVNQDTKTLLAEKSYPQSYVDVEDEFGDLPLSTQDVRDLDMNR TIVGDIAQNGRPFPTYSDVLLEELGQ TSTA_016900 MGGVTVRDVDAQKFIGAYSAFLKRQGKLAIPGWVDTVKTSASNE LPPQDADWFYVRAAAVARHIYLRKTVGVGRLRKVHGSPKNRGSRPSHHVDASGQVDRR VLQALEKIGVVEIDEEKGGRRITQSGQRDLDRIAKTTVDEEEEDDE TSTA_016910 MSKSLSVIVPRRNFRSSNTPRTRLRSRRSPSTESTASDDSNGSD YQESEHAVDQITNDVQKACPAKRRKRAATGTESFSPRQEMPQGRANSIASLPSQSSPE SMPQKLSGLKKIRIDGCLLRKVSLGRVEYCCWFTEDHGTTACSPSVSDCLASFQKQTN KQDQLTNMADLQVINIKGFFTRELNLYGDIWCCSFKERHVVPQSEKSFHQVQPSLDED GFMEEKEYFHMTISAKGNEYSREEDELIVRLKEVEKLPWSRIAERFPGRTKGTLQRNP NNGSRAYPPAADIAYSNHDSYLTVTLRSRVPR TSTA_016920 MASKNSIKFDITEICAGCKKSSTSLSQPLKCCARCKETLYCSRE CQTADWKTHKKSCNKAPRPSLSGNMIDSERSGLDDFTYYNTVAHTIPGARELAKTLNI SLPTGDNCREGMA TSTA_016930 MEAFLDVGRTFAFNLRMVDVIALSSTMIILIPTTWMIYNLYFHP LARFPGPFWHRATRLAYVIKMNKGTLAFDVLPMHQKYGPVVRIAPNELSFQTPQAWKD IYGHRTGTAAGAEEMDKYHTFYRTKGEVLSISSGGREYHAILRRQLSHGFSDRAMREQ EPIIGGYIDLLIDKLRERCVDPDVKDPITGKPAPKMLNMVSWYNWTTFDVIGDLVFGE PFGSLERGEYDPWVAAINDSIKFLGIINGVKHMGLESLLIWAVKLLNTGRREHTDRLV KKLQKRINLGVERLDLIEGLLQKKDEWNLSIHHLEANGSSILIAGSETTATMLSGVTY MLLTHPEALRKVTEEVRTTFKSSEEITLTSVSSLTYMLACLNESLRAYPPVPFGMPRQ VPKGGSTISGEFVPEDTVVAVWHWAAYHNDKLWTDPFGYHPERYLQDPKFANDAFGIH NPFSHGPRNCIGRHLAYAEMRLVLARLLFNFEMRLAEPDFDWLDHKSYVLWSKPPLNV YLTPREF TSTA_016940 MTTLIALWQLAQPDKIKFWAYVGLSLSAVSCLYIAATIIYNIFF HPLCYFPGPFIHRVSRVPWAIRHARGEQAFHTQRLHDRYGPVVRIAPNHLSFTDRQAW KDIYYHRIGNESGATEMAKSTEFTRNVKGQITTIVNADQEEHQRLRRALAHGFSDSSM RQQEPIMLKHIDMLVNRLRQESVNGLKKSNMAEWYNWTTFDLSSDLIFGESFHCLETV NYHPWVATIMKTIKFHAFMIALGYGGLRGLVQLIHKAGGFLAMKTMRGYIDSMLKSRL SMDQSRDDLFEGLAKRRHEWEKLGVNATVLLLAGSETTATCLSGTTYFLLTHPEVLKK VTNEIRTSFSSDTEINIQSVSRLTYLLPVLNESLRMYPPLTSGMVRKVPTGGARIAGH YVPADTLVEVQHWSINHSKDNWVDPWSFRPERFMVDAKVAKERGDLLDAVQAFSIGPR NCIGQNLAMTEMRLILAKIFYNFDMKLADDSHRWIERQKNYNVWDRIPLNVTLTPVGN K TSTA_016950 MQLANLVNHNALELLSNRNAVWTLITLLSFVAWIFLGSFGEPTK LSDPVPGVYNTVQFLTNNERFMKRVMNLLSKCNIAKFYLGTVPVYLISGTKNIQSIFG RDNKVGSEDIFVERVLPTLYKMPKKDVEKFANDKSGRGHNPAPGTEDMPQDQRYWAKY EHIHTEYLARTQNLKPIIEAYSNQLMQDLNKYPTDKWTTIGIVEMCRREVTKCAMSTL LGPKVFELNPNFLESFWEFDDNVFMLTLGFPKWLNPGPYKAQDKYLSMIEKYVVAAIE NFDWNGPDIESSWEPHFGARICRETAKWFKESGFPDVSISGALGTLLFAQNSNTIPTT MWMLLEILKDSSLLRAVQEEVATTYSKDPETGKPVCDLQKLVMLPLLQSIFTEVLRLH MNFNIIRHTKEPITMDGYKIKKGAMLQVPMMAAHYEESVWGSTGNPASEFWAERHIKY IDDKKENGEIERKRVFAMAGRPSSYFPFGGGPPICPGRHFAKHEILTTIGMLVTKFDI EFVEWVNFDGSPSDRPAQNNQQYCGAGSMPPDREMKIKMKRLW TSTA_016970 MVTFQHKIKILQLQWKRQSLGELSDVESRMLKEYFETPPVGVID TTGNNWLCNWWRIRKDEYRQMAAVARNYLAIPASEVAVKKLFSAARDVLAYSGEALTD IDNSTLLRIPVNPNMKLFPDHEQTPDIRWNRSSREKSVSQPDTQHPLNPEFRNSS TSTA_016980 MGQAADIAIQVVIKKCQTSFSPKSICLNNLEVATRLPSPSTGSS QEVFESFCTLVAAWPLRERLPYTKSSSIQIRWVPGHAKIPENEAADLAAKQGAASTSS PPHKSSYASLKRYAKARALTAAQTLWQSVAPQTYQDLGITTSPKHPGELQLNRLDLGH IIAARTGHGDFADYHKRFNHNNAHLICRCRARKRRASRPPRPPSEVISFLLGTAKGAQ RLATWLAETHFFEDICPRQPPLST TSTA_016990 MFLDSILHEDLGSQHEIAYSDFNPQIFDSAYDSGTLPLENFNKG TLHLDHRLSPQLVESGHRVSEFNLKLARRLQQCQSFFRRNDGGSKAANATGSEPSMLD KDDIRNPETNLFGHTLSDTSEFFAIVRAYTSDECKKFSETGTRNKGCHGIKNNIGTSS GSRPGLILTLNLISAYLQLVQIYEELFSCLSRKLFSGHNGDVFDLQIFPQLQLAGFSV QQGNLQIKIFIQATIHQFEMIEKALGLPPDLRVTDKQDAYVGLLEDETANEFKCPEAF TSTA_017000 MPSRRQHLKSRHGCLTCKSRKVKCDFQRPVCSHCSRRKERCRYT EDAPNLSHNRLSHAYRRNAMYSSEGHLSAPLFTSLPNLEQILLHHFSSSVSLTLSDRS DFQEVWSHHVPRDSYDYPHLMHSILAISALHLSQTANPENLADIRFYAALATNHHVTA LSLLTPHVTGVTINNFDAMYATAMLVFLYALMTLSDSSCLSQHIVALSELAKGILAVR REGEEKCEIKKSYLLRDYCAWDHPPPLPDGLHRTVRNVEHLVASLPETKEKTENKTEY QQAIRILSCTLNAVNLNREHPAMVFMWLTLVNRRYIELVESKDTMALMILGHYGICML QVKDKWWFAKCGAYLVSAVHRILDN TSTA_017000 MYSSEGHLSAPLFTSLPNLEQILLHHFSSSVSLTLSDRSDFQEV WSHHVPRDSYDYPHLMHSILAISALHLSQTANPENLADIRFYAALATNHHVTALSLLT PHVTGVTINNFDAMYATAMLVFLYALMTLSDSSCLSQHIVALSELAKGILAVRREGEE KCEIKKSYLLRDYCAWDHPPPLPDGLHRTVRNVEHLVASLPETKEKTENKTEYQQAIR ILSCTLNAVNLNREHPAMVFMWLTLVNRRYIELVESKDTMALMILGHYGICMLQVKDK WWFAKCGAYLVSAVHRILDN TSTA_017010 MKYLALTGFLAAHAAALRNVMYVDHLPSSDLVSSVTYAIMAFAP SENFNSGSTFTPFESINTFRARFPSTTKIMVAIGG TSTA_017020 MLESTGLDGVVNTFPLLVRAIRDAIGDDKILSIATPGLKRDMIG YTAENGPTVFGAVDMVNIMSYDLMNRRDNVTKHHTSIKDLLETVNNYLDIGMNATKGN LGIAFYAKYFTTDPSSDCATNPVGCAMVELEDANGQDTGKSGAVTFETSPEVSSFSIT SSWSRAKANGITDEDAGRQYYWDSDAQLFWTWDTPDLITQKFADIIAATGLGGAMAWS LGEDSLDWSEPKAINSGVAAAP TSTA_017030 MPNLEQFVNFDPEKDIPNLDGKVIFITGGTSGLGRVSVTSLAKH NPAHIYFTGRNHQAAEKLIHEVQIEKPSVRLTFVKMDMTSLSSVKTACKEFIHDRLDV LMCNAGVMFIPAGVSSDGFELHFAINHLAHAMIIQELLPLMKKTASLPGSDVRVLCLT STAWMSHPKNGITFSTLRTPQKGFMGSSFRYGQSKLANIIYAAELARQCANTNIKFIS VHPGAVNTHLTTSIPWHLRLLTTIVLLFLGVTFMEEAQGRLSQLWAAAGCTKNELVNG GFYMPVGRLSNDRLDKTALSPELALELWVYTQNILSKF TSTA_017040 MTALRTLPPKLDLVGFLSFRARCNSAFARSSIRRQHFSGDRVVL RRWCYIHHFPLLGAPQGRCCDDLVFNGQEEDYMVELFSIWVPFGAASLCFVLSANLFP GCQGRISNFKCRQNRLLSPIGSALVSIANGLLSTFFPGTSTGEWIGYQIIAGVGRGLA LQIPIIAVQNTLAFPLIPNAMALLMFSQSFVGAIFLSFSDTIFTNSLHELIPKYAPSV NPQAIVNAGATGFRAKVSATELVGVLAAYS TSTA_017050 MNFSATLKVVAFAGLCFGSDAFQQSPLGIDVNELELQSQPRLIT GCSSACSNLRENFGSQVTFFNERLYKNELSRFWSLQQSQTLPACIFLPESSHDVASAV LLARRSNCPFAVKSGGHAAFSGASNLEGGLTIDLVAMNDITVNRDRATVSVGPGNRWG DVYRQLENQNLTVVGGRIADVGVGGLTLGGGISFFSNMHGWACDNVASYEVITASGDI IKATPTSHKALYQALRGGGNNFGIVTNFELNTYPHDRGLMWIGKIVHAGAHNASLIEA FVNFGERGRDPSATMLFSIVYLQKQDNFICVSELDYAEPIADGGGHPAVFNAFFEIEG PIQETKSTKTIVNVIKDHSVSNPNGLRQSYWTSTFRLDLRLAQEISDLWAQLLDPIKQ KVAGIVPVLTFQIITTTMMEHMGDKDGNALGLEHEKEPLMLVALSAMWKDAAGDDILF EAYSKWLVASNLRAQELGLDHRYIYMNYASQFQDPIRGYGEANVAKLQNVAKEYDPDG VFQVLQPGYFKL TSTA_017060 MGNMRTLRSLLLCSTLAFAKAAPKPTCNYIAGDAGWPTRSEWDQ LNQTISGQLIQTVPMGSVCHYEPFGNYNETECNELRKTGISGIGSSSLGDGTNPVRST IINTNSMMLNMYSVTHPSEIMNPYYQNRSCDPFTSPETPCELGNYASYSINVTSARDV IAGIQFAKEKNIRLVVKNTGHDYHGRSSGSNSLALWMYNLKTSEIIPDYKSSHYSGPA VRLGAGMGVGESYMAVHEHGYRIVGGECGTVGVAGGYSQGGGHSVLTSENGLGSDQVL EWEVVTTTGEYLIATPEQHSDLYWALSGGGGGTYGVVVGVTVKIFKDGPFAGGALVFN NTDTPGNEVFWKAIELWYQYFPSFTVNNNTVQFVLLNSTLDAQAINLPGQTVDDVNKL MAPYLAELDALGIQYSFKTEYSETYYDHFNNYYGPLPHGAEPVTTILYARLVPRKVVK DKKANAKLVDSLRSVVENGKWLIGCGVFNLQNKIHPDNAVLPAWRDAQAVCIANGFWD FEAPVEENLKLKEELADFHAPAMDAATPDSGVYMNEVDPLYKGDWKEAMYGVNYERLL EIKHKYDPDHLLFGHMSVGSDEFYFDKRGRLCNGQQDTNVNLDLGLVNQAMDWMGIHG L TSTA_017070 MEMSILVRNEFIDLLTGIQKYNYERAKCEDIKIIREDFDRVRDV IQEYEILSEDMPLRNC TSTA_017080 MATETITVQAVINANINYFLEVSEGGTDVIYPGTATDKLRPLKS VIMPITDLRTCKDENFTLDTHGFQFVPHKTKEKTYDDQERIKTVVYDETAALLREVTG ATRVVPFSHLIRKHLVDTAVEAAKKAAPTDIIPIMTPSLLCHIDQSYDGAKQVLEDNL PPAVAESLSKTRWGIINVWRPVGGPVKRDPLAVCDARSCAESDLRKVFAQLPSQGDGS TVSVGAGFEVFNVAHNPNHKWYYALTMTPDETLMIKCFDSKTDGRARRTPHTAFQTDR DEGPARQSIEVRCLVFWENDSAE TSTA_017090 MDLESNETTPLLTERNGASNLSAIEENDGLPDPNVIWWDQDEDP EYPYNWPRWLSMSNCFLISAMTFLTALASSIIAPAVPQLMTEFQNENLQVAAFVVSVY ILGFAAGPLIIAPLSEIYGRVPVYHVCNVGFTIFATACALSPNIQALIIFRFLNGLFG CCPATIGGGSITDMIPQERRAAVVAAYSVGALFAPIVGPMAGGIVADKFGWRWDCWLL SISGAVISIFMMFVLKETYHTVILERKVHRLRTVTGNQLLQSKLNIGLSQRAYFLRSI VRPLKMLTLSPIVIITSLFIAITYGYMYLLFTSFTEVFQRYYGFTTSNVGLCFLGLGL GSFLGVAIFSATSDKMIKRKAAQENAEADLTGSQKKGIIKPEYRLPPLPFAVLCLPVG LLIYGWTAELRLHWIVPIIGTVFIGVGQLLLYMVLQMYLIDSFTIYAASAVAAITAVR SIAGGLLPLFGLSIYDKFGVGWGNTLLAVVCLPLVLVSFLLIRYGETLRERFQVKNL TSTA_017100 MHSATASEPIAIIGMSSRFAGDATDTEKLWDVLLKGRPSPSPFL NDKHYHPDQSRQGAIHAKAAYFIKDDTKAFDSAFFGLTKKEVLSMDPQQRMLLESTYH ALENSGIPLEQAIGTNTSVFVGQCGEDFAAMCNSDMELAPTYKETGVERSIRANRISW FYDFKGASYVVDTACSSSLVALYTGCQDLLLHDSEMAVVSGITLIDHPSQFMGLDKLG AIGSDGYCYTFDSRANGYARGEGVGTILLKRLSDAIRDGNIIRAVIRSSSASSNGRTP GIFNPSFEAQTALIRSTYNKAGLDPGRTRFVEAHGTGTKAGDATEAKALSTAFETAKR NAPLIVGAVKTILGHTEGAAGILSVIKVVKMLETGIIPKNHNFVKVNPEIDAEKLNIR FPIQTMKWPSKGIRRASINSFGFGGANAHAVIDDTLSYITEHNLHAIHQTVALEETQK MDDENSLVQIANGLNGHMVAQHNGHAVAQTNGHSENQTKDHMVTQSNGHAELQINIRS DNCPNGASSEKKSSNFEVNDAIRKRLFVFSTFDKKGLSRTAETYREWLQISLPQRSQN PDYVRRLAFTLSHHRSKFNWRSYVAAGNLEELLDKMASLTTLIAKSQTPNLALIFTGQ GAQWDGMIGALSQFTVYRRSIEDAAAYFTELGCPWDLTELLDHRVTLDRDDLALNLPL VTVLQVAIVDLLYSWDIKPRCVVGHSSGEVAAAYASGKIGRKAAWKTAYFRGIVAAKI SGQDGGMLAVALSPERAKEYIRDYENAGKLTIACYNSPSNQTLSGDVDAIDALKAILD EEKIFARKLNVSNAYHSSHMVAGAEEYTRLLGNLHTDGKLTGWSDVEMISSVTGSSVS SKQLEMPSYWTENLVSPVQFTSALLKMCNESGIAFDDLIEIGPHSAMQSAVNETLQNT LSAGSLYHATVKRKTPSAGPIIETAGALWCRGHHICLDEVNEIEPKTTRMLSDLPPYK FSHESNFLLESRLSSNYRYRQFPRMDLIGAPVPDWDSEHPKWRQFFRTKEIPWIMEHK ITGQIVCAGAGWVVMAIEGAKQLADPALKVTGFRLRDVALKSTLIVPETDDGVEVTMS MQWMPDSSETVSKIWREFTIMSHDEESNTWRVHAKGLISIEYEQSTKSIDKDNKMQAE DAAARRLLARVTENCKIDVDSDWVYGMFRAAGFDFKARYKNLSDISISEGPDCYDVLG VVRNPDLTSVTAAGYVYPHTYHTVALDSVIHMGLPTILAKLGNGTVPSPTIPGWFDEV WISANISSRAGDALKLCMHQYKDFWHGLRNDIVGFDEHNSERQLHIKGLKYHTVPGRQ LSSTLEPCHQITWKPVLDLKNTDDLTLTKMATKLLVVKLPETKQSEFCQLLAKLFTKE AGTENCEITPLEQIKEFDLSDLTCIVLSDGETASLIETDEKTFDHLKYIVTVSPRIFW VSSDSSRPQWAIASGFLRTARWEKGGSDTDFSILNIVRSGNDELDSTHAQRVFNYHFS DGRAIPNADYELRSGTIWSNRISEFDEVNEFRRKHTFPGSQIILGPFRSNTKRSLRLK AQTPGRLETLHFVDDVDATKPLAADEVEVKVAAAGLNFRDVVVGLGEQTEDVYGIEGA GWITEVGSDVTGIKVGDRVAGVWSRERGYMRSSCKVHHALATKIPDDMSFEAAAAIPM NLITAIYSLRELAHLTSGEKVLIHSGAGGTGQAAIQYAQMVGAEVFTTVSTEEKRQHI VENYGISADHIFSSRTLDFDTLIMEATNGRGVDVVLNSLAGEALRRSLDCVAPLGRFV ELGKKDIYANGRLHMKALKKSIAFFSVDILTLFKHRESYSGELLAEAIDMLYKGTIRL PPVLEVYSFSDILPAFRKLQTGNMMGKIVLTASDNDMVPTAMEAPKPFSFRGDVSYII SGGLRGVGKVIAQWMVSHGARNLILLSRSGVHLPETKAFVERLRLGGCRVVSDACDIA SENDLRRLLESCKDMPQINGCIQSAMVLRDKSILNMNHEDWIAAIRPKVNGSWNLHNL LPRDLDFFVMLSSITGVVGNHGQANYAAGNTFQDELARYRVSQGLRATSLDLSAISGV GWIAEHSNIDTLLRGAAFQQLKEEDIFTVLQYACDPENTATSVSGTVGEKENDDSRRV KMDQSEIIVGMDNAAAIRRKAMAKPAYLDHALFSSILEEYNAIGDTNTGDGEGVRSLA NSLSACSSIAFATELIIEAIKQKLADLISTPAEDIDDRKTFSSYGVDSLVAVEFRSWL GAEVASDIAVLEIIGSRSIRTIATKVATTSSLVNVQEAMES TSTA_017110 MVRQRVASPYEKRLAIREDLGYFNSAAVGGVYEFDNGEFEVKLA HSYMPALKSCIETYPQMMMVMKGKDTNEPLWEVVPHLNLADHVSILTEQAIEDEGEAA VIEKVLPSIVDQKFTDPSSPPWRLVVLPFLSTSNPRCFIAYVSSHATADGGSGCVFHR TFMSALRKFAAVQLDDTVIKASEASLPEPFDTPERLPISPEFMKSLASANVVDGNTWT GSPVFLGPEGLQTGLRIIEIGNSQVKSALAASRVHGTKLTGLFHQLVVRALSKAIPVE NGTNFASQTAIDMRAANGSTLAWGNFVSGLSHSHQRTDSSKPISDETWANARVISDKL AECSLRLEDQMIGMIRFVPDHRASMTQKLGKSRDASFALSNLNAFDGVTEGGVCKISK FLMATSAAVPSATLSFCIASVKDGNLTCVVTWQKGALGCLPEQEHTLLDVICSSIKED FESLAA TSTA_017120 MTSRQSEPIAIIGSGCRFAGDASSPSKLWDILQKPKDLRREITD RFNINSFYHPDPLHHGHTNVKHAYLLDEDLGGFDAEFFGVKPVEAKAIDPQQRLLMEV VYEGLESAGMDITDLRGSNTGVYVGVMVGDYGTMSLRDMDQTPTYYATGTGRSILSNR ISYFFDWHGPSISIDTACSSSLIAIHMAVQSLRSGESHMAVACGSNLILGPENFIIES KLKMLSPDGRSRMWDEGANGYARGEGVASVVLKTLSAAIADGDHIECVIRETATNQDG ATNGITMPSATAQESLIRSTYARAGLDLRVPSDRPQYFEAHGTGTPAGDPIEAEAIHN AFYSSLDGGVKLNCEGLPLYVGSIKTVLGHTESTAGIAAVLKASEAIRHGVIPPNMLF EKLSDSVRPFYQGLEIPTAAKKWPQVQEGPRRASVNSFGFGGSNAHAILESYNDKGSN DSYNQTLYGPLVFSAFSEKTLRQSLFAYAQYLETDGASLNIAHLAYTLRKRRTAFSYR LSVPASSIEDLKQKLLSKLKDENTLVGIKALPKSKNGNAKLLGIFTGQGAQYARMGAE LIENNPVARQIILKLESHLSRLPPGDRPTWSLEKELLASPEVSHIDDAVLSQPLCTAI QILLVDMLRMAGVELDAAVGHSSGEIAAAYAAGYLTARDAIYIAYYRGLHVQSAVSPN GTKINGAMVAVGSSKEDMDELCNDEMFQGRLNVAANNSTSSVTVSGDEDAIAELQVIL DDEKKFNRRLRVNTAYHSNHMLACFDPYVASLRRCKITPQKSNGKCAWYSSVTNRLVD HDMSLGDVYWAENMTKPVLFYDALITALASDSYDVTLEVGAHAALKGPTSQTIQENQG NDIPYCSLLVRGTNSLEATSAGFGYLWSYLDKSLVDLNSLEIAMNGGNDQFKVVKGLP TYQWNHERSYVHRTRASRKIYQTQEPFHPMLGHITPDSAPHQMTWKNLLRESEMQWLS GHKVQSQTVFPAAGYLSSAMEACRRLADSLNQEIRLIEIRNFVIHQAIAFDQDHPDIE VLIQMANVTHERKNNILANFTYSAVLGAGADDFTLAASGDVEILLGEPSTSLLPTRPA PLPHVIDVEADRFYGALADLGYNFSGRFRSLTSLQRKHYRATCFVKMQPFEEGEVPLL IHPTELDAVLQSIILAYSYPYDEQLRTIHLPTSIQQVRINPALCNATAWQQGQLAPVD SAIVPSKPDERGITGHVQLYSYSDPHAAIQVQGASFMPFGGSTAEADRRVFSKADWIS SQLDGEAAAREIPLGESHCNMVIMLERIATFYLRKFHREVPADHPMRSEFTTKWYLNY ARYITEMVETGKHKWAQKEWLNDTIEDVKNCSKDFAHIPDVQIMHLVGEQMPNVFEGK TTILEHFRANDILDRYYASGFGLRESGQWVSRTVKQLVERFPHMNILEVGAGTGGATK AIFKEIGSSFRSYTYTDISAAFFGNAASIFSQHRDRMIFKTFNAENDPLNQGFTEASY DLIVAFFVIHATSDLERALRHIRRLLKPGGFLVVGEGQEGMNGVASSGFIFGTLPGWW LGTDTGRELSPHVSPQGWVDLLHKTGFGGVESHSPDAFEDILNVFHFSAQAIDDEVSF LRNPLSTTSWKPSHIQKLVIVGGQTRRSSHLATSLKSILEQFADQIISFPSLVDVNFD VVDADSTVVSLTELDHPVFKDISAETFNGLKHMFGSGKTLLWITSGRRGDEPYCNMTV GFGRTAQHETPDLNLQQLDLEDPENVSAEAVAEILLRFHVTKDKKEEHLWNPEPELVI TKDGSCLVTRLKPIPELNDRYNSARRPIVQEMSTADNNLTLTFNETGCFLKKQSRYEA ELIEHSNPKGLVDFQTTHAVLSALKTPVGYSYLVTGQQKNSNTPYLALVSSLSSVTKA PLTCAITYDNAGVSNVELLTKVAAHLIAMNIVNPLYNGQTLLVHNATVSVAQSIATLA SEKGVHLVHTYDSSYEKVPETWIKLHDYLSTPDIDEILLTAPSSFVSFTEEDITENEK SLLATLPADCLVMTTKMMYSLVASASHVPALPILKESLTKALQYAQNENKSTSDAESV TLEILAREGRPSQPLTIIDWNTNSSLPVQQARLDTSTMFKGADSTYWIVGMSGALGLS LTDWMISKGARNVVLTTRNPDIAPEWLAAHKRRGATVAVFSCDVTNEQGMNEIHRKIQ DTLPPIVGVMNGAMVLRDVSIRNMSYKELTDVLRPKVIGSIILDKMFYTTDLDFFVLV SSINCVIGNLGQANYAAANTFMCALAAQRRKRGLRGATVNGGAIIGAGYMERESRKAL DMIVQKLHMMRLSEEDWNQTICEAIDASRLESPHGPEITAGLSDVPFDTPNAPYWFLN PKFSSFIVQSPTAVSDKEKEKTTLSVRDQLQKCQTFDQVQKAIEHAFASQLRRVLQVT SSDEEIMAAHGADIGLDSLVSVDIRSWFLNTLQASIPVLKIMGNESMSSLVSYAVNAL PPAFIPGLAGGQDDGAADSTDESSLPTEFDADSILKVDSQITTALTTPERKASPAGHK QDHKRSAEIDWQAEARLPVYDLDILSSATGSSPKNPPDVIVLTGAAGLLGHHLLNWFL EKTPARRIHCLAVRNLSTRLAKKELSINPRVIYHEGDLTFPLLGLSEKEATNIFAEAD VVIHNGSDTSHLKYFPDMRTANCGSTRDLTRLCLPRRIPIHYVSSVGVCVLYNQPAFP PVPVTGPDSLLPAPDGTFGYMCSKWVNERFLEQVHDTYGLPVYIHRPSTIIREGDDAT NVRAELDWVNALLHYANKIEAVPQVKHNKGALDLVSIKTACSDILNAVLDTSNPKNVT YVHEVGDIVLPLNDLKSISRKGGKPFDMLPIEQWISKAVAAGLHPAVAALIEIMDTPG APDYPRLLKTVPSA TSTA_017130 MPLLDHKTPRETTSQPSVELSEIPKDGTKLTPGNENSSEKNDTP ESLESVAGEKNDANYPKGVRMFLILFSLCLAVFLMALDNSVITTAIPRITDEFDSVLD VGWYGSAYLLTTASLQLLFGKIYTFWSIKWVFLSAIGIFELGSLICAVAPSSATFIAG RAIAGCGSSGIFSGALLVITHTSPLEKRPTYIGLAGGMYGIASVAGPIIGGVLTDNVS WRWCFYINLPIGGLTVLVIFLILKDPQRNVPKLQTWIDRLRALDPIGTLFFLPAVVCL LLALQWGGTEYSWSNSRIIALLVVFGVLTIAFLLMQARLKEAATVPPRIFANRSVWAG CLYGFCTGGAFFVIIYYVPIWFQAIQGVSAIESGIRNLPMMISTIIMAIVVGGLVTAL GYYTPFMIAAAILSSVGAGLLSTFDVHTPSSKWIGYQILFGIGYGLGSRQPLVAVQAA LQTKDIPIGTSVVMFLQTLGGTVFVSVGNSIFANSLVSSLEQNVPSVNPATITTAGAS DIKSIVSAEALPQVLAAYNHALDQAFLVAASMAALSVFGSVLTPWMSVKKNYTKVDEP MEEAHHK TSTA_017140 MAIPQTQTAVIQTNEKSTLPLTVSESVPTFQQPSDQYVLVRVLA VALNPTDFKMVQYFPIADNLAGCDFCGVVEACGNEETSQQFPPGTRVCGAVFPYNPQA RQSGSFAQWVIADSGLLLKVPDGWNDLDAAAVGGVGWGTAVLAFYDPDALALTGRPTK KSDEKEPVLVYGAGTASGTMACQLLNLSGYAPIAIASSASADMAMKYGAIGTADYTSP SCADAIKKLAGGAPIRHVLDCIASAESAAMCFSLIARTGGRYACLEGLNPAWKTRRTV KVKEVMGFEGLGIKIDLGPTSYSRDANLALRKICCDTTKDIQIVMDAGLLKPHPVREV PGQWQGIIDGLAMLQRGEVRGQKLVVRVSST TSTA_017150 MAPGPSLRSTWAAFRPPKPDFTEKNVPDLGGKVYLVTGSNTGVG KETARILYSKGAKVWIAARSEEKANTAIEEIEKAEPSSTGQLHFLHFDLSDLRQVKKA AETFLSRETKLHVLFNNAGVMVGTPEATKTVQGHELALGVNCIGTHLFTRLLTPLLIE TAKAEPANLVRVVWLSSFGLELFGAQDVGVALDNLDYHEPKPATERYGISKCGAWALG VEYARRHKADGIVSVPINPGNLTSELARDQPVALKMVVKVVGYPPIMGAYTELFAGLS PEITLEKSGEWVAPFGRFYPLRPDLINATKLESEGGTGGTYKFWEWAEQQIKDYI TSTA_017160 MYLGTPAPLVSGVKSIPNQTIPNLSALAREFDVPCQRLSNRYHG GNSRSTRARTNLRLSESQEIALCQYIDTFEKVEIFPRRDMVLNAANSILREGQPDASP LGEHWLKRFFSRHPEYRVRRAKALDVERKQSHDFETIQDWYIRLEKKITEFGIPPEDI YNFDETGFRIGIGRNQYIVTREVKKKIFVPINTNREYVSVVEGINASGFAISPFIIIA AKNIIQGWFDATSYDQNIAIGVSDSGYISDELAFQWIQHFHRQTFRKIKGQYRLLLCD GYGSHVTWDFMNFCEKQKIIVFFLLPHASHFMQPLDVGVFHAFKHWHSEAVFAASYTG IGKFTKVEFLTALHTIREKTFKLRTIQHGFRLTGIVPFKPAIVLDNMAEFRPPATPPS PSLLESDFSSGNTPKTARKFEAVGLTTVRITKHRR TSTA_017170 MYEELKASAETVSFSLDVWKTSNKKYILAVICHWATEDFEDCQL VIHFGHLKGSHTGENMAKEIQEVLQNFDLEQKLVAICGDNASNNPTLCCSLHKLLKQR FVDSVQKLNLLGEDRKLMCFRGDKSFVRCLAHVLNLIAKSMLKIFKVGSHQEAKRRVD KYMEYASVALDYNVDTQWNALLKMLEIAIRERSAINCMCKEYDHFDDIIKLQGAYASI DIQIQDTARVGRKALDKYTKKMDAETLIIYSAAVLDSHIKTELLKIHLGDNAIDVINN LRVHFNEISPAPEPTLPSSC TSTA_017180 MNLTQLQALETINPTPPPPWQTPAFIEIDIEADHNKAKEKASAR QKAAGITVFSDASGQQNVLGAAADTTASQQEPATILSDSMSALQAISNARNKSGQRII TSTA_017190 MIVLDQQVPLQKPSPYSKRWFTPELKSQQARVLLETFFPKMADL EIEDPVPPSEGIPWYPITELEVHQSLKAAKGTTAPGEDGIITLVWKHLWPYLWKMITY IFARSVELGHYPHQWKQARIIVLRKPGKPDYGVLEAYRPISLLNTLGKILEAVMARRL SFWAKSYKLLLDTQFGGRPGRNTEQALLTLVNAIDRAWLWSKVITLVAFDLTGAFNGV NDSSLDTRLQAKGILTVARRWIRSFMENRYAGISFNDFQTEISPLEHAGLAQGSPLSP ILFGFFNSDLVDQLVDHYGGASAFIDDYFRWRAGQSAEDNIRKIQEEDIPRIEAWAQQ TGSLFNVKKTELIHLTRSKRQHGVGQIIINGTVIKPSDTVKLLGVIFNKKMRWKEHVQ QVVKRATQVNIALGGLRHLRPE TSTA_017200 MEENDLAEKGFEIEDIAWLKKKDRPLGKAASMGIWLNTPEAAES IINNGLLVGQRYIGKQVKCGHCSGQHDQHNCPLGIRPRAGMEALINDHQSQNLNLLLI QEPSVTTYRTHVNHSAWRLYQPTYLNTNESTRYRSLIYVNKRILTSSYRQIQCNHPDL FLIFSVYIPPLDAHQATSTTAAELILAEIKNTIEEYTKEPNKTTRLILAGDFNRHHPA WSHRLVSHVFTSQAEELINFFQTYKLQWCLPPGTPTYWSPSLPGKASVLDLTLTNDPA KLMKCQLYRDNYGSDYHGTYSEWDLRPECNKNPKPKRAYDRADWDKIGSALLELLG TSTA_017210 MVLKPGGQDVLALGLPRLQTEAVLLVFRITPISVLYRESGFSPP KIELDRIALLETVRLRRLDPYHPLRRRAEQVASNSRQTSRFARRILALPNSKQINPLQ YAPWHPRETRENAQARIGAPMGRSKEQAAADFIAFQRTIPSSDIIIFSDGSRLVDGRA GGGYIRFQAHNQFLRSSLSYGHRKEVFDAEAEAALAGAQAAIAYSTAQFATNLWICLD NLEVATRLLSPSTGSSQEAFESFRTLAAGWPLRERLPHTKSGSVQIRWVPGHTKIPEN EVADSAAKEGAASTLPSPCKSLYASLKRHAKTQSLSAAQTRWQTIAPQTY TSTA_017220 MARVVRFTTPQPQNHWAISGRPRVLTYARKKKGIRTSQLRPFTS DTEEASDLLFLQIFSPTGKSALIVNIYNAPVGCIRAGEAAKALTTLPEAYFPQTTILA GDLNLLHNRWQPSLQRSPTTFAEPFINWLDLQGLVLISDIDCPTHERGNVLDLSFALS PLTLAGAKASIASHLDATSDHQPLITTVPWDQRYKETAQKLRFDTLDHTSFLSLLASN LAGTESSAATEEDLDAFAEKLISAIQGAYRGSAKRTLTQGIGQPWWNKDCKNALHNYR SGLCSKTDFRRITRWSQRQFWRDKLSTITQMKDVFDMSKWHKSTGTFRSPPLKDPLRP SSLPAVTIYEKRDVLVRNLLQNSAEAGDIPLDSPAVPITSLYFPDILMSQVEESILQA GNTAPGVDEIPTCILKVAWPLIKDKVQMLYQGCLKIGYHPKCFRYVILAIIQKPKKTD WFSPRLYRLIALLSVLGKGLERLVVRNMAWISIHYKVLARQQFGALPLRSVNDLTTCL THDVEQALNQGMTTSLLTLDVKGAFDAVLPGRLIRRLCEQGWPTNLVLWIASFATGRS VQIRLDGEIGPSTDIACGLPQGSPVSGILFMLYIAPLFRLGNPRNKFGYADDVANLAI LTSLATNCEALSDSLQEALNWGAVEGITFAPDKYKLLHFSR TSTA_017230 MEAYAIYSSLRSQLNSNSAALKEVQTTKTGFALCPSSPEALLAL EAQKEIISAFFVNCQIERSSRWVSYRVTNVPRKIGQILNGQYSLIPVNPTLLSSEISE TTGLKPTSISETTSSAVNPDTPSSSWFLFNAHDAGNGIMQDPVPAPLDAGYVAPQSIL KRAMPIAAQPWNLINALLDAYTVMDHIQLIS TSTA_017240 MKDVRHPPAGSISGCYLGRLWIAFGELRWPNHDFFDPDIPAVCK SAYPKNMMIQGEAQDSGLPLTRLNAPGNTMARKGSGTDGPLQTALLESTFAATTRASE GQKIFSPIAAFLDKHRSQTAGLAPHLLRALTALSDDLASIAHTFQRLH TSTA_017250 MSNPNDYTVGWICALSTEYVAAQEFLDDEHAPLDFVSPNDTNDY TLGRLGKHNVVIAVLPDGEYGTASAATVATNMLNSFPNVRIGLMVGIGGGAPSRKHDI RLGDIVVSAPRDGEGGVLQYDFGKTIQEQAFQHTRFLNQPPTILRTAVTGIQAQHKRK GHQIEDAIGFVLEKNPRLRQEYARPQPNTDKLFHPHAIHDSRGCGFCVHDPSYVVERR ERAEHEDNPAIHYGLVASANQLMKDAVIRDRLAAEKGVLCFEMEAAGLMNHFPCLVIR GICDYSDSHKNKEWQGYAAMTAAAYAKDLLSRISPNRVEAEKRISDVLSLVRKDIKEV HTTAQNTKTAIEILDNDRRREKVIAKLPYAKGSTFDSFDGVLDPRCHPETRIALRRQI REWAENGQGKSIFWLKGMAGTGKSTISRTVAESLHMEGKLGASFFFKRGEADRGSVTM LFTTICAQLLVKIPSLIAPVEMAIDADPNISDKSMGEQFEKLIYLPLSQIRHHLLQTP KLIIVIDALDECAQNGDTLLRLLSQTRENWSPILQIFITSRPEQQIRSGFVDIPEDIR ENIELHEISQAIIKQDITTFLKSRFVQIQEKYRKDGLLLPSNWPGLEAMSVLVEMAVP LFIFAATLCRFVEDPAWSDPIGQLQKVLEYRNMKSGSEMDKLDATYSPILNQLINGRP EKAQKSLVERFRRIVGTIIHLAEPLSRSSLASLLNTDSQEIEGQLSSLHSVFSVPSSA DSAIRMLHLSFRDFLIDPDKRHTNSFWVDEMETHKMVMTKCLERMSQSGSLQENICNL PGHGTLRAEIDGRIIANHLPPDMQYACRFWVHHLKESQTRINDSDPVHEFIQDHFLHW LESLSLLGRIAESIHLVGTLQTIVSDEDTAICKFLDDAMRFIRKNISLIDQAPLQLYA SALIFAPKGSVIRNKYIDKIPNWVRGLPEVESAWSAVQQTLEGHSGSVFAVAFSPDGK LVASGSVDYTIKLWDLATGTLRQTLEGHSSSVRAVAFSPKGKLVASGSDDKTVKLWDL ATGTLRQTLEGHSGSVFAVAFSPDGKLVASGSDDKTVKLWDLATGTLRQTLEDHSGPV QTVAFSPDGKLTASGSYDKTVKLWDLATGTLRQMLEDHSGSVFAVAFSPNGKLVASGS VDCTIKLWDSATGTLRQTLKGYSSLVQAVAFSPNGKLVASGSVDYTIKLWDLATGTLR QTLEGHSSSVRAVAFSPDGKLVASGSVDYTIKLWDPATGTLRQTLEGHSGPVLAVAFS PDGKLTASGSYDKTVKLWDPATGTLRQALEDHSGPVQTVAFSPDGKLTASGSYDKTVK LWDPATGTLRQTLEGHSDLIQTVAFSPNSKLVASGSYDKTVKLWDLATGTLRQTFEGH SDLVRVVAFSPDGKLTASGSYDKTVKLWDLATGTLRQTLEGHSSSVRAVVFSPKGKLV ASGSYDKTVKLWDPATGTLRQTLEGHSGPVQTVVFSPNGKLLVSGSYDKTVKLWDLST GTLRQTLEDHSGLVRVVAFSPDGKFLETNQGRLNTESHHVRSLSQTPSSLHKNILVTN EWLTRNDFNAIWLPVEYRATSSAVYESILVMGHASGRVTFLKLI TSTA_017260 MAVPEGGPQTPENSARNEAISIAPGRRQNHPAETLQALVVEFPS LDNGKRITYQMVASLVSSLKKVITQQTNIIELARAEKELNCVRISTAQPEATDNNGNN NDNFTRFLPTNTANKHIRTALSNTESTKDVQVADVGTTKTGYIIRFRDAQSAETAQNN TAWLEELGNETRLVKPRFGIVVHRVPTEDFDLEKEKREGIEKIMEENDLAEKGFKIED IAWLKKKDRPLGKATSMGIWLNTLEAAESIINNGLLVGQRYIGSVEPYKVKLKRCYHC QKFGYLAWSCKEQVKCSHCSGQHDQRNCPPGIRLRAGMEALINDHQSQNLNLLLIQEP SVTTYRTHVNHSAWQLYQPTYPNTDESTRYRSLIYFLIFLVYILPLDAYQATSTTAAE PILAEIKNTIEKYTKEPNKTTRLILAGDFNRHHPAWSHRPVSHVFTSQAEELINFFQT YKLQYLLGKASVLDLTLTNDPAKLMKCQLYWDNYGSDHCRTYSEWDLQLERNKNPKPK RAYDRADWDKIGSALLELLGQGPEISSAADLDYEVNRLVEATMTVLDQQVSLQKLSPY SKRWFTPELKSQQVIVNQVRRRWQSSCATLGSSHLITTSLFNDMCHKRREWTRTIKKK VATYMRPRDPYTNIPPLKVGSKEITENNAKAKVLLETFFPKMADPEIEDPVLPLEGIP WYPITELEVHRSLKAAKGTMAPGEDGIITLKMITYIFARLVELGHYPHQWKQARIIVL RKPGKPDYGKILEAVMACRLSFWAESYKLLPDTQFRGRPGRNTEQALLTLANAIDRAW LRSKVITLVAFNLTGAFNGVNNSSLDACLQAKGIPTIARRWIRSFIENSQSTTMAARQ HLLTTIFDGEPEEDIPRIKAWARRTGSSFNVKKTELIHLIRSKRQHRVGQITINGTVI KPSDTVKLLGVIFDKEIWWKEHVQHLHPEQMRQIYQACVTPIVDYASTVWHNPLKDKI HLRTLGTVQRTTLICILSAFKTASTAALEVEAYVLPTNLRLKQRA TSTA_017270 MEQVGPEDYLSGSVISSGVKSTGNSAPTPIGFIRNQIQEEWERG WKTSKNGSHLWRIDRNLPAVRTQRIPYDKNYGRRSGLHLTISRTCWEEDLKVSKRHHR DFKAGRRRAADRVPGMLATIGHDEALNSSLCNGLMYIDIDKD TSTA_017280 MPISSSLGLGPIDRNSLTEFPSNMRLPVWDGGALFTMTSRLVDY ESSEDGVEPLPEPSPPKEPCEPHTRIPAPSTDQTFKTQDAAMKFLNSFTKTYEYALIT KRSKMPKEGGPIHRVYLQYSRGGVYRERTNEKTRVREISTQCIGCPFRLILRHDKHAD CWCLDLTDPRHNYHLATGSTLALLRHEEIESKETQIKSYLDLKMSTNQILSTLYKDNP ESIIKPRDIYNKKRKLRDDFLDGKTPVQALISVVPDNGDWIINYGTSDTNILLAIFYM HKTSLEMLCQNPNVLFMDCTYKTNQYKIPLLDIVGCTACNKTFYAGFSFMLDEKEESY KFILECLAEVYAQANLPLPICILTDKDMALMNAIPTVFPMSNNIICLWHIEKNILTHV RPILTNEVLHIIYSGDPAAAKKDVTQYKTHIESKWRNFFGSFNKIVYAKTKEEKDEAV NAFKAEYSSDIWQEVMDYINSEWLNDDITQRFLHCHLLDVKHFGQLITSQNESAHWTL KRDLQTYEDDKVLKMKNDFLGPTKKPFNPECSGITKRTTGIPCIHIIKHYIDSEEPLQ LYHFYQHWRLYSSKELPPIDPHTIVLNLAVVQGRGRPRGSINHLIASQAISIQDSSTR RELSAFEHVIAQGNLNTGSRGRRGRGRGGRGGYSSQARAESIVANSRTASRGMVSQDA TATASQIASEGPVRRSQRRGRGQSARWLGDENELPGY TSTA_017290 MWCDESVWTAVHDSNEEAEEEEQNDDSFMSDLFSRLGFYTHSTR ESTSEADTPIRPTTERSNNQGRRTCESTPVTDTPTRPRTARNKPSLPSATETPRRPKN ARNKRNSTSATDTPTPPRTARAKRNSTSATDTTITEPNSTPRRTRKPTPKATPKSAST RNPRSPLAGADSTPLPSTGPSSDGIEELTDRLKDLNAGDEDDMDLDLSDADSVIATQS VVSYGPRDPQHRDYDKYLPDGLHGSLYYDIVIKHLSTKLYKKDGPGYVYILRVTPVTP DKDGAESTDNKKIILKVGSCGSIPERLKTLSEKCKHYEYEIIRDWPEIGLIDLSYKAE KLAHATLKHRSYQSNCLCDKRHTEFFRVCPEEVKDVYKCVKHWAHIMNTYKDELWRNA SNRTRS TSTA_017300 MQTTIPPSCQQRTRQYWFAFGDSWSATGFQYQLYTTLGIKSDGK YYSPLAIAGATIDNSLATWGFGDMTSQIDAFQLYYASRPASAPWTADNTVASFWIGVN DVYYGFAHNDDPSVFVSRLMNRYRSLIEQIYSNGIRKFLFVNCPPSTRSPQVHEENDL PEQFQRHAEMATAYNEGLNSMLFQFRDEHKDATVVFYDSFQYMTRVLDDPAEYSYQDA TCMNTDRSSCVWWNNLHLVGNITTTKLRIWCPFLRLSGGDITLQCIQRKP TSTA_017310 MWPCDEQEQDRLDLLHKVITEARIGDGLLYAPHPSNARVLDLGC GTGIRIGCSLHWDSTRDRYSYST TSTA_017320 MNDPLVIISGGKTTMPGGYSTKDPPSICLQDPSPPASVEQKRTR PRENNTVAVVIPAGQPPKPRTHRSAKRRYRAHPRTSTYRTASWDESDVSDDSDDEDYL DLTQDDPDRPTKRRKQLPVTKSVQLKPEIRSKLARLSLPDLSTVSRGLFSWDIYPSEI LYSFSWTEERENSDHSQREADHKLDQDHDQNEMNSTREWKSHKSLEDETTGKPRSKQR DHHLNTRAAGSGRNHKHRKKWTEEENARLKRLREEENLSWSQIKKHFPDRTEGALQVQ YSTALKDSASKSSATALRDNTGRDAGFSPSPETDFQRRRQHSLDTATERMIRSRPARA RRAVEPYSP TSTA_017330 MPMPLFTPFRPVHQRSRYAESPEELPSASAGSHITTISDPTPIT LGLQEEQSPSPPRLPLHPDRHDTDPSTSWLSVFDDYTNNEITPPFVTDYGPILHPTRP ETILPIDPAILGDETPCALTHDWQDSGDSGFVSFDELSQESDSIRDHFASTPDPQPGQ QSTRLGALPSYDRLDQQTHLSGAHITEAETATAPALQSTGGGSSQEFNKRRSTPEYDS EQPSKRVCPRTAPSENVNPPLLPTLCSHFLTAPKDV TSTA_017340 MPPIRQKDPLQSAQIEGKIELAISDLKNGRIRSIREAARIYTIP RTTLQDRMNGIQYRQITRANHHKLTQSEEDSLVKWVLDLNKRGLPPRHSLVREMVNYL LLQRDKPQVGKNWITRLIKRCPEIDSKFARKYNYERAKCEDPKIIQEHFNRVRDAISE YGILPEDIYNFDETGFAMGLCATAKVITGSDRYARPKLLQPGNREWVTAIEATNSTGW AIPSYIIFKAKKNVRLGWFDDLPSDWRINISENGLEWLKTHFIPYINGRSMGSYRMLI LDGHGSHLTEEFDRTCIENNIIPICMPPHSSHLFQPLDVGCFPVLKRHYGQLVEQRMR LGFNHIDKMDFLMAFPQARTVAYKAQTIWNSFAATGLVPFNPDRVTQQLNIQLKTPTP PPSRSSNTQSSCLQTPQNPRQFKRQMTTIKKRIGRRTRSSSEVIDEAILRISKAYETT RNDLLLIRKENHDLRAAHEKEKQKRQRSNKQISIEQGITRDEVQALIQGQIEASQAVT AAPAEPELPASQAVVRRQFRCSGCGVEGHKINRCPNQSST TSTA_017350 MPGVVFLLRGKFFPPKQITTTFEGKTVIVTGSNSGVGYATALKY VQLAASTVILGVRSLQKGETAKAQIEKATGRTGVVQVWQLDMASFESIDAFAKRVESL RKVDVAVLNAGIMNRTFKLSEKGWENNLQVNTLGTALLAILLAPKLQASQNADSPAHL VVVTSTGHIDVQLKPQDKDQLLHKYNSDLGVGPYQQHVASKLFMMWITRELAYRCLNG KGKPTVIVNDACPGACRSDVAREFDSTLFVVIKGIGSYLLLRPAENGARVLVGASTLG EDSHGRWWSADGNYRPAGKYITSDEGKILQKAMWTEVVDVLEEKIPRVKDVVASLSER KTLS TSTA_017360 MFRTIIDDKSTGKEASVSQLHQRQGQVHFCFVGRRIMRKISVKR LEEKLELLSTQVATLTQHVHSTSFQGPRSNHSTLENLHSSLSSSRDRISGGHFASSGS LPGSRDVHNDSLTNVGLRDLGGLASVDRQLETFRRAFVNYFPFVVVPPKVSVEALRND NPFLFLCIIAVTSFDDPILQRRLGQEIKKQICDRLVMGHEASMDLLQGLLVFVAWYHY FCVPGKHQYFLMLQLCVNMCHELRLDLNEKGKRGLETAQTQGRARTPAEMRALLGTYC LSSTLSMVLRKRTIMHYTSYMDDCCTSLTQGSDVPSDQLIVPFVKIQVLQRKISDTFC YHDTSTCDIRGERALQITVDSFSREFDGLKKGIGSTNRNAILVQHLHFLEVWIYEFAL YNELWQSNFVPARLTRPETNLSIQSSMQRTKMLRQLVAATVSFQDWCLSVDNADLLHF PFSWWAELSYVLIVQVKTVFLDSETGITGQEQINLRQYDQAESLEADFRRAAEKEVMI PHMLDMYMGKLATLTTQVVDDEGYRDMVYNYGTVLKSIQSGYESRIGAENRPALGQFE IQQEQSSLSQLSYPEVRSTDMQGSMPPAVSTNHMELEIGDPDSSSTSQLNLQFGLAQP GFDDFVWDTMMNDFSFFTPQNGPSV TSTA_017370 MTEPESGFETHPLASQRPRKPPTSASRHNKTPAIPSQLPEYSDD PDDNTDEDIANVPLDFGRSKHSKKRTARIEERWHKYCGVKAAEDGAHLKWHD TSTA_017380 MASCGFLSHHLFRRSETAQLFVRERHHRKNTNVTVTSSEDDSDG YSSPSRKVTMVEEKQPAAGYRRFSPNDEYIDPGLLKKHCAHLSYTTSVATYPAIRTFF SPHPHMDKLPSEPTPIPMLVFIHGLGGSLAQFHPLLTSLSNVGPCFGIDLPGCGLSTF APKSWEAYSLEALAELVAVAIEEHRDKVANQGVLLIAHSLGCSLSALLASSSSTVGKE LKPYIKGVIAICPKASPPTAQETALARKLLYIPTPIFDMWRLWDRRGGAESKSVRRFV GEKADPDTKQLQLRFNAQSRSDVWRRMAWGSLPVYNSHGEPIGGMPGKTLWSNIRVPL LLVAGDSDAVTKATEVAKIVRFMGGSDVDSTTRTGPEDAIIPDAARVHDTRSSAYTDA RADEEIYGLDVSEKQQPDIQSTKGKVVRTRILPAPASHALLYDRATYRTLAGLIQDFV QKYIDHRLSLGWQLQHLNTSGKWDVKNLVKWQKVPPTSAPIAKTFIAMKMLRGVDEVH NPVHFSEEWRGKIHAVIDISHENPVYDPHELEKGGIHYHKHPTVSKIPPTPDETRDFI GLVDQLEKEITEKTGKDVSDPTRPLIGVHCHYGFNRTGFLIVSYLIERKGYGVQDAID EFQQCRPPGIRHDHFIDTLFVRYCVGLKRSTTLE TSTA_017390 MSTLLKSIDLSSANTVLSSVQLNTVYHLTMPEEPKYINYSPAPP GSKHEDGTPILNRYSTVLTRGHDSPAAQAMLYAAGVPDRNAMKKSPQIGVASVWWEGN PCNMHLLDLGKTVKKAITDRGSIAWQYNTVGVSDGITMGTEGMRFSLQTRELIADSIE TVTCAQYHDANICIPGCDKNMPGVIMGMARHNRPSIMIYGGTIAVGYSELLRKRINIS SCFEAAGAYAYDTLRQPDDGGDNTKNKDEIIEDLERHACPGAGACGGMFTANTMATAI ESMGLSLPGSSSTPAESPTKMRECVKVADAIHVCIEQDITPRKLLTKRSFENALVMTM ALGGSTNAVLHFLAMARTAEVDLTLDDFQRVSNKIPFIADLAPSGKHYMADLYEVGGI PSVQKLLIAAGLLDGDIPTVTGKTLAQNVESFPSLAQDQVIIRPLNNPIKPTGHIRIL RGNLAPGGAVAKITGKEGLKFTGKARVFNKEHELNDALAKSQIPRDENLVLIVRYEGP KGGPGMPEQLKASAALMGAKLTNVALLTDGRYSGASHGFIVGHIVPEAAVGGPIALVQ DEDVITIDAETNELNMDVSEEELEKRLKNWQPPKPQVTRGVMAKYAKLVGDASHGAMT DLF TSTA_017400 MADSILVKTAQISAILTAAAASGGILSLSIFTIPAIGLKHKEPK ARITTNRSAITLGAPLSHIAHQWQFTYDLGKKVFPSMAVTSSLLYSYVAYALHSDRFG RRHGGWVFYLVAAGLNVMIAPFTLLAIAPVNNAIAPYTKGEHDLPAVEAAKQGGEVKF EKAERELVGHLEKWSFLNLIRGVFPLAGAGVGAAVSFGLLS TSTA_017410 MATIKASDDSGGAITEKQGQFAFLPERTCAICYHDQNPTSTSEA DILEKLEAEEGEGWTCLRCGEIVKQCKPWNGDVLEEAPRSSSNGKVVGFVVAEDKEER EKHISEDETTIDNDELEEDKLSDMVANDTFESSRTWSTIDRETLGDVPESG TSTA_017420 MTSADFAAAQKRVLERRQRLELEAQTRLVEQQRASHINSARLPD PLNRLTQSGFLIWDKVKGRDGTKPIFRVGQVDAELLDEELLGLLKCQVGEALKYFGSH AREEWSSEIQLALRAILFKLSIWDHNASYGASLQGLQYVDSRSTSAVPKPPTTWQKLL HGLFTVGGRYAWEKWESWLIEHENGYDEV TSTA_017430 MQSALLLLAAAGAAVAQLATVKEPSRADIAAAAATVVPSSPVSN VEGLAFKRFYQVWLENIDYSNASADANQKYLASKGITLTNFWALAHPSEPNYAAAAAG DMFAMDTDDFIQIPQNISTVVDLLDTKGISWGEYQEHLPYAGFQGFNYSDTAANDYVR KHNPLILYDSVAGNASRAAQIKNFSSLWSDIASNTVPQWGFITPNMTNDAHDTNITFA SVWERAFVEKLENSTAFWNETLILLTFDESGHYPIQNKVFSVLLGGAVPKELVGTTDD TFYTHYSTLSTVQANWGLPSLGRWDCHANIFQIVSNKTGYTNYKVDTTNLYLNSSFYG PLSTNTYSNYSSVWPVPLTNNDSCAAGHGILPSVKSTWGGKAPTYNYSSPFPFDTQSH KDINVAFSRNGSTWVSGVNNTILAGVTSNSTSSNSTGSSTSSSSTPTHTGAASVNGAS GVLALAAGVAFAFAL TSTA_017440 MSDLTDIAHQAERDLNSYQKKQGAGNQSLSTTESGVNENVEKDF PGADVRYGEKANFGGSSSRKIPPEEGGEIDARGRYVNTQTFLLFEQNTNI TSTA_017450 MADAIEVPGETNPLTAQNLLNCLLAAASSVQQQVQIGAQQLQHW EKQVGFYPLLQEAYLDHSLPLEIRYLAIIQLKNGIDRYWRKTATNAIKKEDKEQIKSR ALEAGIVEPAPLLALHNALVIAKILRYEFPSDEWPNALPFLISTLRSSAQPGAPPLQF PRTLIILLQIIKELSTARMQRVRASLQSASPEIFQLLGGIYVEKVNAWSALLEYNRLD DASLLELLEQTLISLKVIRRLIIAGFEHPHRDKDVQGFWTLSHTQFANFFGFSETMTN PPRVNTLIKKHLLQFSKLHVEMAKVHPAAFALLPDCVALVKSYWTVVSRLGEVYDAER ASQSRQEKDADEGDEKNVIETLGLKALLLIRACAKMAFNPVHSFKYQQAQDKEERKDS VELIKSQLFTQDFVIMIMELIVSKFLRLRKVDFQEWEEEPEEWERKEDTMSEAWEFSV RPCSEKLFLDLIIHFKPLLVPQLLNVFYNFANPQNQDILMKDSLYSAIGLAAASLEQQ LDFNAFIESALVPEVQINEQGYKVLRRRAAIVIGQWMPIQPEKMNRQYVYQIFQHLFN KADPVNDLPVRITAGRQLKNVLDPYEFSPQEFLPYATPILQNLLTLIQEVELSETKMA LLETVRTAVVKMEDHILPFSDQIMSLLPPLWEQSGEEHLMKQAILTLLSSLMNALKSD SVKYHKDMLPLIQNSIEPDSETIVYLLDEALELWAAILTQTPAPASSDIISLFPRLLP IFEAATDSAPLALQIAESYILLCPREVLHDEIRVPFLASLETLLSAVQRQRLGVIPHL AEMLIRATEHIDGGSENSYNVIAKSLLDSSFLHAILDGLHSAYEASQSTGPNRKTSHI YANLETDYFSVLARLALANPRVFLSAISAAISTSEEQVLSWLLTEWFFHYDNIALVTQ KKLHVLALTQLLAMNATQAPPPTYLLNHLQSYLTVWTDVVTELAEGSDELSALNNRDP HAARDYLIYWNPNSNTTDVTNNKNEPPENARRRQWENSDPVHSFNIRQFIQERLQAVM HACGGVQRFHEEWLVNVDRDVVNAFGSLGIL TSTA_017460 MIFSKMQNITLAALATFMALAQAAPGVRVESRDLAFNYNSDKVR GVNLGGWLVLEPWITPSLFEQAGEGAVDEWCLSGALGADAQGILSQHWNSFITVDDFH QISAAGMNHVRIPIGYWAVVPQPGEPYVQGQLSVLDQAINWARDAGLKVIVDLHGAPG SQNGFDNSGRRGSINWDKVPEQINVTLDAIRALSERYATQSDVVTSIEALNEPMTVMG DAGVNVWTLQQYYYDSWGRLREVNQDTALTLHDGFQDIGFWNGFMGSGSGVWNVMMDT HHYEVFDNGLLSLDINGHTQTACSFGDKVAATDKWTIVGEWTGAMTDCAKYLNGRGVG ARWDGSYGSGSTFHGSCDRYSQGEVTALPEDVRTNLRRFIEAQLDAYEKHTGWVYWTW TTEGAPEWDMKRQLAANVFPNPVSSRQFPGQC TSTA_017470 MPGTQSSCPVEVPIISEPTPSADATKSTASCPMKSWPRAIQHID RKDLYTNLEDRIHYLHTFLDFSSNDIEALISGSKYIKALIPAVVNIVYRKLLQYDITA RAFQTRSTSFEGPLDEFPSEDSPQIMHRKMFLRGYLVKLCSDPSKMEFWEYLDKVGMM HVGQGREHPLHVEYIHIGACLSFIQDTFTEAILSHPRMQLHRKIALVKALGKVIWIQN DLFAKWYVRDGAEYAGETAPAKIEQEGYLHGKKIFNESDDSSVGSSD TSTA_017480 MWPRNVADDTTPNFAHALSNPSDPPLPPRYAQCPPSKPLTPESV SSHQAPPTAEDVPNSEPYSFEWAMDPYNPDKFLHPPKYTKQNLMWAVEFQILSSEEFH PTVIFTARLHPEYKERIGLATERLTTNEWARVVMYIPDPGLPVALCRHQGLVAVFKEE DMANVPLGPFEYRVLYGDGHSRRAQVQNITAAELGDQPAKRDRGWRGKADVKHKDYSM FQWQSRTPAPEG TSTA_017490 MTLISSILNATALVARLSQNVTNGKWFGSGYSRCSSAAEIPDKQ YDVPNTDVFRHYNFDIMEGWMMADGVNKTGLYINGQFPGPTIEANWGDTIQVTVTNHI KDSAEATSMHWHGLLQRETPWFDGVPSISQCPIAPDKSFTYSFIADQYGTSWYHSHYS AQYADGTFGAMIIYGPEHVPYDIDVGPILLTDYFHTRYYPLVQRYTGSQDVPNSDNNL INGKMNFDCSLANGTECVPNVGLSKFKFESGKVHRLRLINAGAEALQKFTIDDHEMTL IAADFAPIKPYKTKVVTLGVGQRADVLVEGKDCSESQKAYWMRSDIPGDCSHANQAHS LAAIYYDDADTDSVPQSTKVNYNISSQGCVEDSLELTEPFFPEALPTEITTYQDINIT FGANATGAMVWFANNISFTANYNHPLLLLAKEGNTSYPYDPQWNVLDFGKHKTIRLYV RNFTPLAHPMHLHGHNFWVLAQGKGDWDGTVTRPYNPQVRDVQIVEKGYPYEPAYIVI EYKADNPGIRPFHCHVAWHVSDGLYINLMENADLIKNRGIPYTSAQTCRE TSTA_017500 MNQNNRESSNNNWRGSSPDTISSSNTSSKILEKPTREKARRRYR VITSCLECRRRKLKCDKQEVCMNCRSQNRQCVYIASSEGNDSQLRRKLTEMKDAKDSL EKKVLLGRIEDQEKRQIRLQKLSGAPIASLEGEVGGYGDESDVEGYLEPTALAIPDAA YAEDDSEHVNDLGVSLGMIQLSERVGGLYRPRIGDELGQSLQNQLPRSPAKASPVYQS PASTASHSCTSKSGISSNVPSVCILLGQPAPDADWLTFLPTRATADKLLERYWVSVHP VARTLHRPTFAQRYETLWELIDTGTNIPASLGAIVLVVMLAASVSMSKEETSISFTQE MTNRLKQGAELALSKANLLVSNKTETLQAFITYLLPLCVDGISRAHSALVGLAVRLAE CMGYHRDPTEYGFSTAECQVRRLIWYQICYLDWRTSEVQGPRPFIQPNGYSTKLPWEV TPSQSFSPSSNIDTGPRWNDMIFSVIRFECQEMHRICLVIRKKVDLKKLTITAAISKL ERFSKDMHDKYDSVLNSTAQQPLQHAARVTMDLFISLLYLNLLHRYMNSVTYRIPDRL RQIVLIKGAEALEAAVELETAEDLRLWAWYTSAYQHYHMALLLLFEVFTFPLRKEADR IWRCLDIILADPLSSLTDLPTLGNPPIYHELIAHRDVKARYLLNLIIRRMNEYREVRK LRSPVTLTDRMILITPQKVGDDSDPTLPLNIGHDQESQPQSNEARTHSAAHGAIENES YSSSEDMRSLPLNSFENPGYQASHDQSSKQPWISPGLSVFHTPTTSVPREAYHFNTVY PTGNMLKLPKSSHNHYMTPMDTLGYNESYPHQGSFLDQGYQEELEIDWASWESMFPPG VLR TSTA_017510 MANRDYYGTENPELWKENTTNDTSNKMSTAISDPTIQSSDHLQT PQNMSYEQQQQQQQQLLQSDLYRGTDPQMPQESERGLGATVVGATGGAFLGHKFAEDS GHGTLGTIGGGVAGALLANAVESVVRDCHGGHHHHERHDRVRERLERRLDRLG TSTA_017520 MWSNCTTVGPNCPADGSGLSYPPNLAANIIFAALFGFSLIVHIF QGWRLRTWSFLAAYALGSSTEVVGYIGRILFHSNPYDLNRFLVQIVCLTMGPAFYSAG LYLCLARIVIVYGEEISRIRPAWYTRIFITCDLISLALQGGGGGVASSATKPSTLSMG NNIMLAGLIFQIVTLVLFAVLCLEFSWRVHRSPHMKNVQFRSLRDSRRFRGFLLAAVA TFVLIFVRCVYRVVELAGGWNNHLQREEVPFIILESGMITVAVFVFAVYHPGYAFKDV FGALRASVSSNDIQKGEIVEMRPESVETLFRPNKQAASV TSTA_017530 MKFLAVAAGLVATVSAYTYPTPFNPTASGAGPSGNPIYLPDTSS PVTLGEAYKITWEPTTPGPIALVLCKGPSTDCEPMLTVAEKVPNSGEFTWTPSGLDPS ATSTGGYGIMLIDWTTEAYQYTTQFGVLAGKAPVVSASASSSTAPAASATPSTTTVII LPSSSAVIKPSTTPVWSTVVNYSLSTTVICPESTKLPLGTGTVSVPWATSTGSPSVPT SVPNTVPAAPKYTGAADRNMVSYGTVGAIAGLAALLAF TSTA_017540 MDSTSKSLQHLSPSSTTRRNNTFRSSPRSSPPSVYVRSPPSPPA RPEPLNLQTRRLQLRNARFQGARISPNKVVSDRFSTWRSTSPASDISVDKENRPASPD DAVDQRQQDNRTPGSTPASARRRVSILREIHDSSQRGRKLRRPSLSRLFGPPLDVSDH SVNQRYSYTSPSSFRRLSPYSIFRDNDADPLMTTQNQHNRSSPLSTLNTNRLRSNSRD RASNYATERYIEHLEEQLAAMQNQSSPMQESYTKTHVSKVRTLNAEIKVLRQEVAEWE DKFDIRVHEEVGRRTEIENKLRTRIIFLEGELEDYVARIRELEHERDLQAQKLRNVES LRSTNRSLERRIDVLTQLLAESPTKVEPRSPEVSPTRSPGPRLSRPKSMLPAMPLRQD ITYQPLVDPSSVVTPEASVETHPEPEVPDLISDDSTVASSVLSQSKRSSTASHPSPIS SQWSVPLPFSPELQGKTTGRPRNMRRFPSGTCTLKPLILPTTATPTPTSPHRPSTSGG PYSSIYSPGYERGATEVATGLVQEETLAALEGRTQHYQAYEDAMSELEDGDMSDSPLS RKSGPDVKRFSTTLYSELEEAERNDESTSQTSRLSTPVGSYRLNVRSSYSTPKAYNSV SLSMRKTSDVDLEAGTGVGQERKKSSFARTLIAGIWTKTAKQLSRLSWWVLGFLFGSE QRNEWMNAASSLRMRMKPETRRRSSQHVCDACGHGSQDVKRASGNPTNNNLDGINRTI VMWTKLSIAMIVAFGRAVRYGPETVLLEKLDNELKRSSRPSSVHQRPARNRSIETDSF GHHNKDELETPPKNTSDEYTTWPMKPLTVEDFFIT TSTA_017550 MAQAPLKKAKSTTAKKSSSKVGPRVIAPKKAALAKQRKTMKKLS SGLINKTERSLAERAGHLELLANVKKEKMKEKRAEYKKK TSTA_017560 MDCGSADNAPGVDPNFLSSWDFNNTFSDLTWDQNALDNLPELDS YQDSNEGDAELFDFLSAQGPPSRDSLDPSVIDATSIDLSNAPVGVADGVNFVGGTFSP RTHPSADDNSFPDNAWSQFQLSHTNGLVMPMDPVYSNQYSKAALGPNAPVIVGEGERG MDTGLTGYQRARSLSAYQQLRQSVSYNNNNNSNNSNNKHNGWRNSISYTASFGPTQFP ISPPLEAQSENPMSGTATNHQWFPSTDMSVGTKLTDAFATMSHDVSVYSRSQPVKPEP QTGASSPSIHSTGGVAVSIDSYSSMANNDLPLYAENDVKDEQLHVLEWEEPVSPDKSL ESSPEFPKINGFMVSEDTSDIPQMSLEQERRNPTSSRHTHSALQPASVARRRKQRNSS VVNIDKIQQPRPLQIVQEDGLGGAISSEDFVCPPRGARRKGPLTIVGRANAGLRRKNK DTCVQCRLNKRKCDGNSPCDACRPTLQEQPCARACFASIVEYGTCNYISQRAINHPTS TSGEQRIRIEIPSAFDLQELLTYLGERRGKFNIRASQSWGSLYVLDLGETYKFLKNLS EYNDNSQSTFLEFIDHRVIDSKDKTKNWLSCVKDCDPMNNVYKLLSQWNNMPSRASYS FVSLDGNANEKMMDVSNNQDRRDILLAAQLSRIFCRLLEVEGFRKLERDFYNIKWKRI SLDAHLRFLEELGHILLSLRWRVSWWKRLGDGGKQPDPGQQHYIDRVELLCRILYVYY TSVLTKLPAWSATDNLKGKWSRYADTEKEIWDDFPENPTEAGFQRWMEHGRELIEEAG SPIRVTMISKA TSTA_017570 MHILVVNDDGPPSQKLSPYIRPFVDALEDAGHRVSVAIPAASRS WIGKAHIIGASLTATYVHPDSFQEDGTWVEEDATEDHSKPAAVTTNSHLPPTPAASTE HLNVTGSAPVSPEDYWVVVTNGTPASCTQLGIYELFPDRGPIDLVISGPNHGRNASTI YNLSSGTVGGALEAATCGKRGIALSFGSKDEQPREVIAAAARLSTRVIEHLYRNWSDK VELYNLNVPMREDVESRPVRYTSALRNEWTKGSLYAEVGNHGTSDEDGDKDTLQRKGK PNRVRQFQWSTELSDIKKSLAESLRGTDARTVLDGYTSVTPLKANFWHPSGFSGDLQL DC TSTA_017570 MHILVVNDDGPPSQKLSPYIRPFVDALEDAGHRVSVAIPAASRS WIGKAHIIGASLTATYVHPDSFQEDGTWVEEDATEDHSKPAAVTTNSHLPPTPAASTE HLNVTGSAPVSPEDYWVVVTNGTPASCTQLGIYELFPDRGPIDLVISGPNHGRNASTI YNLSSGTVGGALEAATCGKRGIALSFGSKDEQPREVIAAAARLSTRVIEHLYRNWSDK VELYNLNVPMREDVESRPVRYTSALRNEWTKGSLYAEVGNHGTSDEDGDKDTLQRKGK PNRVRQFQWSTELSDIKKSLAESLRGTDARTVLDGYTSVTPLKANFWHPSGFSGDLQL DC TSTA_017580 MPPKGTKPTNDELLAQFDDLGIDTTGKSSEQTSAPPTTTTTKPA STEPSTTAVVKDPLAELENLASQRPSTPRLSAEARRPKPSSGRTSEEKPPTQPHAAAV EDEQQAEKAPVVPPQEETKSSGGGWWGGFLSTATATATAAMKQAEAAVKEIQKNEEAQ KWAEQVRGNVGALRGLGGELRTLALPTFTSIIHTLAPPISSHERLQIHITHDLSGYPS LEPIIHSVFARVMSQVEGGDLLVIQRGQESAPRRGTDFGFQSSITGWRDGPWWRTITP GTPRSIAAVPGVVPGTKLARASAEAYATEFYSLRGGLEEAAKQATEVLSESNPVRSSD IFLAIQAISQITPKDLFQAGPTAEKSTSESAGGVVEIAEEDEEEILFAVYLHDPVHGI AFSTISQTIPRKWIDWLDASAPASDDDTRTKIPEAIQDIIESGGVDPREWVSEWLEES LSLSTGVVAQRYVARRMGVGEGGTAKGKLRAEQATTIEGGAGEAARTLI TSTA_017590 MELLVEVIQSLAYATAWRPLSQSEAKAGEIDRKTQSDPQPLFSV SVKRQASSAESPWKSSAAFHTIFDPEDSNYKVYTTVVWPLIDNILKGENCSFFGYGHS GSGKTHTILGYDFQDTQQLGLCLAAAKQLFDALQSLNKENKGQQLGIGLSVFELRNKS AFDLLNNRAQCHIREGSDGKVHVRGETEVLEGGKVRVRPIVQRPCWEFESFKRVLVQA LGQRATGSSSVHDQSSRTHAVLELEIVSQVLLDSRHALYDRQSELVPVGKLATDIRVE EESKGFMQTPDGKWAPNPDYKINQERIDAAEAEKDRFEARVKAAEEDIETVLKLSGSP CLGAKMVFVDLAGAEYYDRNATQMQTIKQAAQERQEGRQINSDLLALKEVMRAWAQNQ KRIPFRSSTLTMVLREHFMSTRKGNSGIIVTLSPATEQYEATLNSLKYASLVGAAVA TSTA_017600 MATAVKHSYDEETQSSDSTNDVHGSPPIKLSVHHQINCPWCSES FDAPEDGVKPDHDTLKEHIALVHPRIANLSAHDVARKDNDSDDTMTVRNVAAVTAPVT EVDLTPIGSETPDIDLNAGAADSLDSKLHDLERQQERARNTEKSLMACWKLHDARKFS PDYDDTTAELEETWGYVFDDSKANKKAKGRKRNRPNPYLSTTTKKGEFLEVTPVEEFL ISLNDYESMSTDQLYAASQNVYHALKTWQDEWMAIEELNKRLSTKTKKSANPRTLDPP KVFQDKREAALYGYKYDHSIHDPKKNDVNSETTFKSQDPFIQGGFRPTPAQLRKMQSE VGKNKPNPDGFKTMTRHGQEYIPRFQDPPLVPFESRGSTTRKRKLPQGEVAALRQASV HDSTPAYDSDLDGQPLKRVTRSAVSKTVEKPQTKTAPPSPGPRARGGKKRGGASKASQ LSKITSEQVITQTGDTPTDSTLSTGIETTASSAPIVTQAPFIGFVSAPSPEQADIASA PKPALAPAAPGAPPALAALPSNLMEPGEEVTEEELRRREKIAKSKNPRRTIAMLDHWS KFHGDGRVRNPKRTREQMEADRLAEEQRKASEQGKPAKRRRRRAANTTTVSDATVTPM ITTTATVAGVSLQPVSIQPAAMTTTIAPVVSSSPPLPPPAARSQGFPIPPSEPHQPQP SRIFSSLHPDPITGRIPQPPLNPNPTLPGLPEVNRNTAPPPLWTAPSQFYTYGNPPPP LPYHPFGQPQYPHPHPPPLPLQLHQASRPAADPTEHMQPGANYPYAFRPFHPPQNGPQ TRRP TSTA_017610 MGKKKRGHPDLEELLARPWCYYCERDFDDLKILISHQKAKHFKC ERCGRRLNTAGGLSVHMSQVHKEQLSEVDNALPNRSSLDIEIFGMEGVPEDVIQAHNQ RVLAQFQQAEAERRAATGNPPPGVTKDGGAAKKPKLEISDLKKRLAEHKAKLATDGKP SEASSGSVTPVGAGQSASQSSSHTPSGFQSQTYQTPPVQQSAPSVPVPNQYPYPAAPY GTVNPPYQHTQSPVYPNFPPNGQQVPPTPQPYGAPPYASPPQFQPGQTPYAATTPSTH PYVQQQQQPARTHTPPHNLPQFPPRQGSLPTAPGLPQRPSFSGTPAIAHPLPPMQHPL PGMPSPSIPQGYGQYSPPQTTTPAVSIAQLSTSVDELISGAAKTAETAQSQGTPAPTT EKQPSTPAEEKKGKKEKDKSKTRLVYSDNETSPEEKMASLPRYAYSPPHLAGRETVLS DATVPAVARPTTNSDDVLDASG TSTA_017620 MPSQDFTITSAGALSALTSLGGIIGYARTGSIPSIAAGLSVGAL YLLSLVRLRNNQPYAEEIGLLASTVLAGSSVPRALRLRKPVPIALSVVATYGLIVFGL AFRDKRATRL TSTA_017630 MLREGPKLPVKQITILAICRFAEPVVYTSVLPYLPEMMESVGVR KNEIAKWVGISSAVVAGCQCIMAVPWGTFSDRFGRKYTILLGLTSTMIFSLVFGFSQS LTMLLVSRAFIGLGNANVGIIRTMVAELVREKELQPLAFSMMPLVWSIGSIFGPAFGG ALANPAVKHPEIFGNWEIFRKYPFALPNIISAILFIIGITTGFLFLEETLESRKHKRD YGLILGRLLTQSCSSRRSHPYQHDHHDSTVNERTGLLISDEESRNSSSHEGDNTSKAP TYGTANTINDDNPDYDIVEPKKDASSKFKTIFTWQSTLTLMVYGMLAMHSMGFDSLFP VFLHHPKQDLVNNPDVNLPFQFTSGFGLGSQKIGILYTLNGATGIFVQFVFFPMAARR WGVLRCFKVVAICFPFIYFITPFLVLIPESISMIVIYLLLMIKMTLGMFAFPCTTILL TNTASSLKTLGTLNGVGVSVSAIGRAAGPALVGEAFTAGVKAGYMIVPWWILTALTVI SAIPVFWIEEKDGFTPDEEDDNDGDE TSTA_017640 MKEKADLNMPASETTPLLVVQTAPTRHRYPHHALRRTCTALLCL VLLVAVTLFILPTKLFPREDGASWVYGPWSKPYPQSWPHGSGLSNAELRAVLHETPTA EKIEEWSKYYTSGPHLAGGNFSQVLWTQEKWKEFGVEDTTIASYDVYINYPLDHRLAL LKKKGSDNYEVTYEASLEEDVLDEDGTSGLRNRVPTFHGYSATGNVTAPFVYANFGTY QDYQDLIDADISVKGKIVIVKYGGIFRGLKVKRAQELGAVGVVIYSDPQEDGDITELN GYEAYPAGPARNPSAVQRGSVQFLSIAPGDPTTPGYASKPGVERQPPEHSIPSIPSLP ISYTDALPLLKALNGHGPKAADFNDFWQGGGLAHKGVDYNVGPTPDDVVINLHNNQDY VTTPLWNVIGVIKGAIPDEVVILGNHRDAWVAGGAGDPNSGSAALNEVVRSFGEALKA GWKPLRTIIFASWDGEEYGLIGSTEWVEENLPWLSVANAVYINVDVAATGPNFDVSGS PLLNKAVYEVTSTVQSPNQTVKGQSVLDVWGGYISSLGSGSDFTAFQEFAGIPSVSFG FTGGKTNAVYHYHSNYDSFDWMRRFGDPGWKYHLTAAKIFSLMGAYFSEKPVLGFNAT DYAVNLQKYVDRLKSHADNLPKKSHFSFGPLERSISSLYDAAVEFDAYAAKIESELGK EQPWYHWWKRLQLWLKVRTINTKYKILERKFLYESGLDGRPWFKHVVFAPGLWTGYAG ATYPGLVESLDAGNITNAVRWSQIIQDRIGEATKLLG TSTA_017650 MSTASRRVGQSRRNHTAGPPLTQVGGKPIVRGSSNDDMLKEKKN QSQKPEPATDDEPLSSSEEESEKEESKEREEEENHQRESEVAKEVRQEGAAKRKRDEG KNNNPPSSSQADDKDAWFDRISSQRSRNSSSQAKYGEFKMPRDFDAEKGSDAFLSPRN IDVPPTPRKAQKRTNGSTANSSKNKEEIAFRMPAAFEEDLYDRLSSPVNQFKDPPGQS LTSVPSSAFTAREFDLDFDDDLSSLSSPPSSLSEDDKTPSKSLCPNCNAPVDSDLLGE YLVQPHRRLRDDRLFCESHKVNKAEKEWTNNKYPTIDWDTFDQRVRDHLPEFERLLVP NPTTFFRGRFESTLKDGQAKVFKMSLEDDSVERLSCGYYGPKGASKMLNYVTSKYSGK LRQLAATDKVIKAAGAAAYAQAVLVPELTVLLIKKDMNVDSQDARQILRTSTDIGNRL NPADNDQIHVNEDDENNHDNNYT TSTA_017660 MFSAQSGTVPDTNPIPQQSSAQTRCEVDDFGLPIRPKKERISSE SSESTSSDFQDASEVPASNDNTSPPTQDLPATTNNHAEINKGEDGSKREGAQSAGSLA GLGVTMESHDGSESQNITEFAIDESANQEPSAGAKEEKRAHTKNDSIGASEWSHQKLT EQHSEEESDGEGEWKAMPALGEMDHYDDYGRLVAKGSKLDDDDYMGASAASRGYTRVQ FDEDTHSVTSMDEDTSYLFKENHATSAGIDDDTRDPLSQLQATKDLLSEGQRIAYVGV VRLSIYQMTSDVEKIPTTKSSKKALQDAVDSMKKWGQGVMMRLFGHMDIDAAEQVMIE QLAEHGVQAADLVDPLMKNSRVKNPMAEEGRSAAGTPSLNSPSLGNQKRNSSSALNGS PPAYEETQSPPPYGAEGDEVPAVQTPSQMPKSANIEIDLRWTVLCDLFLVLIAEANYD ARSRYLLEKVGEAMKISWMQICRFEKKVIDALEMEQATQKETWDESEHMEQRRKKALK SKYVIMGLATVGGGLVIGLSAGLLAPVIGAGLAAGFTTIGISGTGAFLGGAGGTALIA SGATLTGSYSGLRASNRRTGAVQTFEYRPLHNNQRVNLIVTISGWMTGKVDDVRLPFS TVDPIMGDLYSVFWEPEMLQSMGSTINILATEALTQGLQQVLGSTILTALMGALQLPI VLTKLAYLIDNPWNVSLVRANAAGLILADSIMSRNLGKRPITLLGFSLGSRVIFSCLK ELAKKGAYGLVQNVYMFGAPIVANKDEYLKVRSVVVGRFVNGYSSNDWILGYLFRATS GGIMRVAGLAPVLGIPGMENFNVTDLVSGHMNYRAAMPRLLREVGWEVLSDEFAEIED PDPENHAERQRELIREIDEARREAEQKPDKKRFGLFKKSTLAKKKGWETYDPDQGDPT PHPDSPNSSSGRDSVLFDIDAIRAELASEQIEVKQLESTLPPITLDLNNHNADRPELR ASKSENNAATLADNLPSTSKSTPEMPSFNSPTTNDPEAKHKDFIHEHNDEAEEDIQLT FDTAYHDTSTPTIPPPFRSANFDNEYNYKNTSNNKLHDQEDLSSTNRPPLHSAATMPV DFGHNAWAEGTNHEEDEIHMTFE TSTA_017670 MPNTRRKACQQCRSSKARCSLALPCSRCVDRGLSCHYPTLRIRD RNKPQLNILPDTTNCPSNNRDSTSDTSYRHSDIITDATSLGDFLQPTELIDWNDVGQN GDLLDLLHTSLVDTNFTSSGFDIRACGAVQASTLQSSTNTSENLSSFVSALNSADTAN NIISETTPRVNGPASTSRRNLPSRSPLLRRGQYKQRNSVSTFPSPTTTAAKTLTHKVL RGQIRCYPEMMIRGVVLPHFIHPQCVLRDQSVQDCISDSGAHSCLPESLAICASLMHM FFTKSETSSEFVRSKIYEELCRLHREHTTYDVEALLAAFQASIIYVLVHKEAASSASS QYDEPTNSMLVKCAVRSSTFSGPFFCYSSPMRPMHKGNTFLTQLGVLGYWDAITHTL TSTA_017680 MAPIRVGFIGLSSKKQDAYLGPGSWGALAHLPYLLSSPDYNIVA LANSSEEAARKAIKAYNLPVTTKAYGSGEEIAKDPDVDLIVISVVVMKHYELVKPALL AKKQVFVEWPLGATLDESKELAALAKENGVRTIVGAQARADPLVAKVKEILGSGQIGG VRSSSVLGCFSSIYAMDVWFEGAEYYLDMKSGGNSFMITFGHFFDSFTDVLGEFVEPQ ATLKTFKNKIPIVGADGQIKLSEHPKNTPDHILVQGVLKQSGAVASICYRSGKSTVDG VAIRWLITGTKGEIEVTVPEVYWQMSDPGRKLRLRIGTDEAIDVPFANYEDKTGLERL SINVGGLYDAFLRDDQSRYATFGSALETHELLDKILKNSGSNT TSTA_017690 MSEDEVLLRSFSNDDFVDGLAEPLNGSQNLQLTARPPFLSRLPF SSLISNFCIFLINCQHAHCNAQPRSPRAIICRYVKRPFWGLVVLLGLLNVFSIGLNCI YILFPEDFDDQTDAWLLPNNRLAISSDWDRPGTPLSRCHSHNDYWRDVPLKSALLAGC IGVEADIWLSDGDLLVGHNAFVLNRHETLRALYLNPLLDILHQRNTRKNTLNLPDNFD APFPMAGVFASDPAQTLVLLIDFKTDGDKLWPYVMDQLEPLRESGFLSYFNGTVIHER PITVVATGDAPVHQIIKNDTYRDIFYDAPLDKLPLSPSLNQDSLSPKYDFTNSYYASV NFANAIGGLYRNQFSQDQLTLIRAQIEAAHKRGLKVRYWGTPTWPRGLRNHVWHVLVR EGVDLINVDDLTGATRQDWRKHRSWLL TSTA_017700 MTPKLYKEEEELIAKALSACQHEKKPNFSKLSREYGVSRKKLSR RWHGLPSRSTRPPTRRLLSLDQEKALILWIEYLDNIESANYLLGKDFSGLGEAPCAGK NWVHDFIKRLPKQYERTVAEHYGEVECWFIDLELAIQQYKIRPQNLWNFDETGFIVGQ GKDEAVVTAYPKTSKRVSSLSSRESITVIEGINAEGKIIPPLLIPKGKVHLEEWYRHI KDDDWLVAPALNGFITDEIAFKWLQHFDHFSRPGAFPDWRLLLMDNHTTHLTIQFVQY CEIWHIRPFQFPPHSTHFLQPLNGVLFQQYKYIHGRVVNKIARLGGFDFNKNDFFEEL RDIQIKTFTTRTIRHGWRERGIWPLNPRLILDMMLQPEEAFEALVAEGDALKIYSEAD DTIPSSPTTKSISPPSTAVKLRRYVNKIEKSIDGIKDILDEVSPGLSRRIKVVNQGSL TLAKLGDLHRESFAKVRDIATRKNQKTTKRQVKASGALYVKDANRLIKRRHDGDLLKI YKSHVVGVPQPMEEVASTEPQNSGFFFDTQGDR TSTA_017710 MPDYRYSRLENDSDEDERQQSFAEPPKKGKDTYRYTAGGQHTLV LIQYVSNGT TSTA_017720 MPATISVMNDTGVANNVTSAAGVGLRLALLLNAVACQVANLGVD IHSISKGISLFSNSLKQLGQSLQAEHSVHTRECLDTARQISDQARTVFEEVEDMLEKV QKAEVELEQKDVPVQQRFKDCFKKQRVTYLLAQLESLKLSLMVMVQILHLGRLREVKN VLNTLTNELIVQERAEAQNMLIVRYWSIKKLDRLWELARQEAKEDRRSTVHFDSDEKK TTSSTDSPPLTKLPIIALGVESSLSSMEESPSDMLRLTQEVLDALLSRWIRVEAGQSA RPPPQAYVYSGSDDELSDDDSIDRDSMHGYYLEGVTTDWRKPHSQEARVQAAQLRKMY SDKQAQVHSDSDGSEDSIVRNGKHHAYSRRKKKKKAYTSSEYDDMPEQAQMDTNTNPT TSRPYSYSKTNAVPGCDAESHWRHTSGSAKPQQPTTQAQSRPIPVPQAMKNNLNMNNS AQLPRLATSQPTRGVPSSPSTRNASPSSYYKPPTHGFPTPASSYTQQHPYYYPQQQPQ QQYRQYSNPTPNFARTLSDNTLLHPPQHQQPRYLKPHLQSHRHRPSRLNRTSKGGSSG SSSSSSSGSGGNRSPSPYNRHKDLKRTAMRGILGASAIGGFMDALEAFSII TSTA_017730 MQPAVDVSMSNPPPAAAPSQMEPRSTPSQQLNRSCESCRSLKVR CLPDANTTNQCQRCAKANRACVFVAPQRRRPRKRTDTRVAQLEKEMAAMRTALKGRRL TVEETPDEEDTESVGGDEETDDVDFGSEVVKRSQAPNYQDIQYNSYSTGFHDTSRSPG RVSASQMSGDGSSSLHGTPALTLNSSLPDIAGDPDIVDRGIISAKTAEHLLSLFIYDL LAYFPFMVFPADTTAHHLRARKPVLFLAIMAATSIAVDVGLGNTLNHEMLSMYATRFF FKGEKSLEMVQALVLMNVYYLPPESPSQIQAYQYSHIAATMALEIGIASRKRVPRKST PGQRQAKPKTKFDEQMAEQARAILACYHLASNVAMRTRRPNLLAYNDWIRECVRLLSC SPHDSDRRYASWFGLQVITDEALSSFGLDDTSSTAVLSETRVNGVLRLFDKKMEEWKE DIDPDFLTIPMRLECQYTTLVVYEIGIGEGYRDPGAIKRQYYTLPAPDGDDFLKRPAE RLSAIRVDLTIKWMHAAQGLLDTFLECDVHTMRKMPNLTYSRTVLGLMALLKIFFSIK SGALGEVISTDSVKVEDYLEEVAQRLTEASAGSKYPIPARWLLVVGGKARDWLQRFQK HCMEREEQQRVQSKAENSNNAGNSSEATANVGPSTSTVSDAWHGGIVPLPTPVAYPGA NFSHTGEHLQHRAHHHQQPQAVNPQQPHHFGGYHTPTAVQETSGMTKGPMTETANTYY PHYNQPATPWIPPSVSQGTNEYQLNSQSVWAFQQQQQQQIDLQNQHFSPEMVGHPTAT GHGMFPMEMEFDWVPEHGIFQLPNF TSTA_017740 MPPTRTENRQKLVEQEGKILLAISDLKNGKIRSVLRASEIYQIP RTTLRDRLNGIEYRGEKRANKESLLKWILDLDKRGLPPRPSLVEDMADLLLSQRGNRH VSERWVYRFVDCHPEVKLRFSRRYNYERAKCEDIKIIQEHLNRVQEVIQEYGILSEDI YNFDETGFAMGLCASAKVITGSDRYGRPYLLQPGNREWVTVIEAVNSTGWALPSYIIF KATTFYQQGWFEILPQDWRLNISKNGWTTDEIGLQWLQKHFIPHTTSRTKGRYRMLIL DGHGSHLTPQFDQICTENNIIPVCMPSHSSHLLQPLDVGCFAVLKRQYALHVEQRVRL GFNHIDKYDFLTAFPEARTVAYKAENIQNGFKATGLVPFDPDHVYQKLTVQLRTPTPP PSRSSDSQPSCLQKPQNARQFKRQITTIKKRIDTHKTSPLDRIAKAYEVGMNQFVIIQ KEVHDLRAANEKEKRKRQRSKKQISHEGDLTVQEAQELIISRDQASRSNPAVSGESEP QASQPRVRAPPKCSGCGIIGHKINRCSNRTTS TSTA_017750 MESISNGLLAEQDRQLELHAKIQLHSQKVAHRIQKNRPAATTRQ YDSRQKEFIDFCTKEGFPDGQVVTEKKLVYFLDHYVINRPIRPSRYLRNRTDSQGAAV VQTLGLPSVKAYTSAIVDLWRFQQSLGTNPYPNPRGHLVGAMIKNHQFDETQRKRTQF TDRGFNTLQDGYTSENIRAIVRYCWAGWLSDQTRGRKPQAQEAYLRTTVDFLFGHNML LRGEDRRHLELADLFTLRMDEGPTPCWPMILMKLNGKTNQFGRLEYMGVVRHKDPLLC TICHTAFYLFHRWEIMHEPVPQFYQRQQWYKFVLFKGSDSEHSFSYETQLKWINQVFQ SIGLNSKKKTHSGRSSGARHAELQGVDENSIRRAGHWNQDSMSNCYLSELPRPFIRTL AGFKPTDQGNYYLPRAAIEPPETLVRALWPWIDQWLAWFSPSELNPVELSKLDLPPLP LLVQQGVEKCDQDDLAAQSFLKLLSSFRTVIIQDAVFLQQEFPGHSMWTHPLFQRSDF QSFSQQIIDLVRTSETPHEIKLRQTIPLVANRITTIGENLEHIIQLNHQQTQDSIRAI QSQMDQLFSGEVTFTARLTGSDEKPSTPGNTTSQSTEQNTAHTVVQSLQVTPNPIQDH PPGIHLPNEPSGSPPFYRMSRTIQTVRELWEEWHVGIHGNPSIQSLEDSYGCRWRSDN KERVFFSRRKVIIDWIQARVSKGILLADAIDEIELMRRNSQRTLYQLQALLKKEAHLK NQFQMDLSYDPARIKGKTILITGGASGFGAAFGARWASCGANVILGDINASGEEFAAR IRQETNNQNVHFIQLDVTSWTSQVNFFRESLKLSPHGGIDVVVANAGINDGQESRVFE NPKVDYLHSPSPPAPSFKTLDVNITGVMYTVHLALFFLPKNPGSMPCQKESVTSESGR DRHILLLGSVASLHPLVTQAAYTVSKHGVLGLFRCLRVTAPVSAGVRVNLICPYYTDT PFMQAPVRALLAGVPLGKIEDVVEAASYLVADSACIGRSLVTGPKLKLDESTGELKTP FDTQSGDTAEERAVWECCLHDMDPADIFTRRMLTVVNAAVQARGWTGWVYDMAGALTW PVPRWWNSR TSTA_017760 MILSSYTMRTSLVICGLIAATANAAALNANFMEERKKLAKEWSG NGGDPAAKYFHEASFSYHYDGRFTDKALDREEQSAHLSALITTYLSFMDELDVETWIM HGTLLAWWWNQRIFPWDDDLDVQVSEPGIHFLAENYNMTEHHFEIPGVPGGRKYMLEI NPHYVIRTTMDWRNVIDGRWIDTSSGLFIDITAVRADDNRRAQGEVGALMCKDSHRFQ ENEIFPLRRTVFEGVPAKVPYAYTKLLADEYGEKSMTNPRFNGYTFSEEFQQWIKNSE VDTVIEEHEKQPEGKEKVKIENSIQRVDNSTFNSTTFNSTNNAT TSTA_017770 MSSDAPPHAAGYTTYPSSSAPSATSNPDRNANPATLSETSGAVA PDSNLNPRSCVTCRRRKVRCNKREPCSNCVKAGIDCIFPGPGRAPRKQRRPPDVEVLS RLRRLEGVVESLGGTEAIEKLIAAKLAADSDPTKTASHPQAPAGESVNLSKDSSASTQ AIWNLSSTRNSEVYEELGRLVIDDSKSAYVSDRFWSSVGNQIEELEGILDSESEEDVE NMTSPEDASQSPNTYSHDAFIFGYNSLAKSLRNYHPSPTQVFILWKTYEQNIAPLLTI VYKPTIRNLIVNAAMNQDPVDKNSEALLFAIYFATVISMTPEQCLAEIGDDRDSAINR FRFATEQALARANLLNSRNINLLQAAVLFISSVHKVDNGRFVWSMSSILLRLATGLGL HRDGTNFGLTPFETEMRRRLWWHIVIVDARTAEDHGTEPMINESMYDTRLPLHINDDD ISPESKTFPSERAAFTDMTFTLIRCHVSQRYRHLIHFSTGKNSVGPTLEERKRTVERL HDTLNESFVQHCDMQEPFQWACATMARLVVAKLWLVVHHPMIKNNLTSLSPEDRNRIL LTSIEVIEFTRLMETSESTRRWSWLFGSYVQWHAIAFVLAELCVRPRCPGVDRAWLAI DSTFHEWERRAQGKKGPLWRPLKKLYNKAQTFRTNTYGARDIGCTTMQSPFKGLDQNI PTIPLNQQQPLPSQIPQPEQQQWNTGTNSNGPYHLDGKSSQFSSPGQTFPDSGQNVST SATFNPAPPRDNMDTANKAIPQFNLDFSKSIPDIMNDFMPTYTMAIGDSSADNIMNTN PINTIPTNGTNNTRTSAFPPSSNALNNNLNPNDNVWSQELPNAFGMPLNWDRLDDVMR DFQHEFEKAAGPDSGNGQWVTMLSDAANKIAQYTEDTQLQLIFRPAPSYLCNRTSNSI EHYKSEPSNTSSRSTNITDTMAPKRQQATSSSAAALTPTTGSSTPSSSSTSAAPTTTT ATVPLSPKASVAEIAQHILNRYFAQTSQRTFLLDAFMVYLVLVGGIQFVYCVVAGNYP FNAFLAGFSAAVGQFVLTASLRMQTASSSSSTNVSLSSKGKLQTTTSEQDSGIQEISH ERAFADYIFGSLILHFFCINFIN TSTA_017780 MARDDAPPPAKRQKSSLPAPLRDAKRQDIDNWETNRMLTSGVAQ RREFTGDFTPDDDEGTRVHLLVHDLRPPFLDGRTIFTKQLEPISAVRDPQSDMAVFSR KGSKVVRERRQQRERQKQAQEATTMAGTALGNLIGIKEDEGDSAVAAPIEDVYKNTNK FSQHLRKDEGGASAFSKSKTLREQREYLPAFAVREDLLRVIRDNQVIVVVGETGSGKT TQLTQFLYEDGYGKQGMIGCTQPRRVAAMSVAKRVSEEMGVDLGDLVGYAIRFEDCTS DKTVIKYMTDGVLLRESLVQPDLDKYSCIIMDEAHERALNTDVLMGLIKKVLVRRRDL KLIVTSATMNSERFSRFFGGAPEFIIPGRTFPVDIQFSRSPCEDYVDSAVKQVLAIHV SQGPGDILVFMTGQEDIEATCELVEERLKMLNDPPKLSILPIYSQMPAEQQAKIFERA APGVRKVIVATNIAETSLTVDGIMYVVDSGYSKLKVYNPRMGMDTLQITPISQANSGQ RAGRAGRTGPGKAFRLYTEQAFKNELYIQTIPEIQRTSLANTVLLLKSLGVKDLLDFD FMDPPPQETISTSLFELWSLGALDNLGDLTPLGRRMTPFPMDPSLAKLLITASEEYGC SEEVLTIVSMLSVPNVFFRPKERQEESDAAREKFFVPESDHLTLLHVYTQWKANGYSD AWCVRHFLHSKSLRRAKEIREQLQDIMTVQKMPLVSCGTDWDLIRKCICSGYYHQAAR KKGLGEFINLRTSVTVQLHPTSALYGLGYVPDYVVYHELILTSKEYMSTVTAVDPHWL AELGGVFYSVKEKGYSHREKRITEHEFSRRMEIETQMAADRERAAAEKEREKERNDPV RRKREIEVGVGIGRPSSATASPATRPSSVVKRPVIVGGKRGGLTTGSNSSGGGSVVKK PAVVRRPGGRAF TSTA_017790 MGSHDTMRDGTLHHKTQGEVTTLRFLRRETNIPVPEVIAFDDSC NNEIGFEWILMELMPGVSAYKRWRTSTTFQKVALVQNIAELQAQLFFHTFSGIRPLTV GDEDQQKVHPGEMIRTAAKEEAEDEWDEEEAAYTLELAHRLVDLLPKIFPSLQSPPER SVMLHEDLLLKPQSLMGSVREEELKRQDYGNESDDEEYELRGNEDDDLDNEGKCELYS VNLMEYENPQLRRVCRAHMRKLRPDWDTEIEQNALKHDFIGAVFRCGNGITLQKIDQR VEAIEKGQFLRLRDILEAGLRV TSTA_017800 MASQQQVSVRRQQELQLQYSNFKNALQSMAQKIGDIEQETEEHK LVIETLEPLAADRKCFRLVNGVLVERTVGEVVPSLKTNADGLKQVLDELLKQYKAKQT EMDNWKKKNNVQVVQQ TSTA_017810 MSSASPPKEPDVEPETQSGDDPETMDRDNQDPSGQGQEFEVKEQ DRWLPIANVARIMKTALPENAKIAKEAKECMQECVSEFISFITSEASEKCQQEKRKTV NGEDILFAMTSLGFENYAEALKIYLSKYRETQSARGENQNRPTSSGYNAGGPVGGSAS GAPAARGATAAAPAGFAGASENPNNILASNLDPSEQDNSGYGYGSMVGQGHNGAGTEF H TSTA_017820 MASAEKETRPSPGCNGIVKRRPGAACVHCHRRKVKCDAQFVGFP CSNCQSSGKLDCRIHEKKKRPITRSALNPVPILSRPLPPLEASVATSRSQGTATDSLW VKSPGDSQRLSHRSTVGGGVVDRSAGAAGPQHAHGTLLHHTAQSPPNPSDDRREMENR LVTLIDQQELDSREIQHGVRAIYVGHDVSNMSFLLRQQRGDEISYHLAGNEIPRRRLE IGHDQFLQDALTLPEKHIADELVDAYFTHVNPGYPIVEEELFMSQYRNRDPSNPPPVL LLQAILLIGVHVSRDSPEREELKNVLYRRCKWLFDNRIERNRDILVQTALLLTWHSDA IDDDVSANAHYWVGVAARIATGLGMHRDPIANKFVATDRRTWRRVWWILVQYDVLISL SYGRPQAINLEDSDVRPLTPADFVGCGPRVQIDFVIHFAGLCSMISYIIRERFGLTVS MERRKAILPEADEALANWSLSLPDSLRLRGSMDSWSAMLHLTYNNFLILLHRPHPRAS AYSEDYGPHDAEICSAAAGVITSIFEELRQKDCIKYLWCSGVHTLFTAMIQVRVELRF SNPVLAINALRRFDSTLSSLRCLAEYWYSAETILRLFENSKKLQQDLRLVHEEAPGQV RAPRDSDISAETMSNSTTVPVAAPTISQSHTQDGVDDNAIHNGDGNESVDHSTVSGPQ GQSEHVPGGHYQYSGNVLDGSNDMSVSHHGDGSEHSREYLDWKQLFPFAGLEEPGPMN VEGLMDIEEEWRQLYLEPQMSDLLQESIWPP TSTA_017830 MAGERFGFALTVSGPFGPFASLNDLSQSLQASSAHREDNYRNLE DTTAITTDNIFQYNPTSTVSISPQQQHLAWYDQVHPIPYPSSSSNIFKKESLDDKRDT DNFEDDNDRDQDDGEQEPVECIESEEQESKKRKCNKPIFSCRECVGKEMRCDRGRPHI CLGSDRLLTDWMQLSLLYPKRNRVCL TSTA_017840 MLIQCEGLEESEAQEKQWIEAGRTFSGPSSVEKYAKGTLDAAYE SMRALFVIHDVLGGQSSVWWSFCHRAFSTSITIARLLKMRDSAKELQNNSDATSSRSS SRPKRNISLDPLWERARTDVHRMLELRLIPTDSGDQAAKTRVEVLSSYL TSTA_017850 MADKTVENNLPFPWNLGVFDAHCHPTDTMTSISDIPHMNATALT VMSTRGEDQELVDEVAKRLGDYHQELKQGNGKIVPCFGWHPWFAHQIRVEADEEATSK TETTEAIKIQHYRNALTGSMGNEEEDNHFFNTLPTPKPLSVLLEETKTRLSAHPNALV GEVGLDKAFRLPMPWQEEELEFRDAGLTSGSREGRKLSPYRVTIAHQKALLKAQLQLA GQLRRAVSVHSVQGHGAVLEVFQELWKGHERKVESSRQRKKRVDEEKIEREAEQDVDG SGQETELTPLPYPPRICMHSYSGSPEAVRQLLRPSVPSDVYFSFSTVINFTGPSVKWV QTSLESLPDERILIESDLHTAGKRMDDLLEEVVRTVCRVKGWSLEDGTRILAENWRRC IYTVATPEY TSTA_017860 MKYQARESLMHDIDSKRGSKKINLSLTAKTFGVNRSTLSRRFRN VTGSKQAQYDNQRLLNDQQSKKLLEWIDMLTGRGLPPTPSMLANFAYR TSTA_017870 MSLRHANVVPCLNNIAYYSKEADLLPANPVLGILHFTFHSEVDV SESSQAASILWRKSLKFVSTIFGFQSLYWAPIIHNSPCQQIIVLIQWDSGRGWKLFQF SLGFSMMLGYVQSVFNRCIQLALPSDLLHFDSVLEMISFQFPTMLSTSQIDMEPVFKD KWETEFVPYLSTSTAMAQLSHACGEWVEWFDIHVRTMFFWKPDTQLGNKDNIADQIAE MGKYATDVVSVYTSQLNQASSETFQLQASDLLQVTVQSQDLMKVNQHFQNPVKPEFNL DDSTLRREDLLYSESIREVKRNERIACGPAGFWYPMGHISQHNLHQEKYDAEINMKMI SFRARIGNAPVDSLFEGLEGSFFQFLERLPNKPMLELRINRKIPCRATPLTLLLYQGA RDGSLMTFILHRQIRASKAGGRNSYQFSAAIREALKKSSTATLPNELKPSMIFWAILS ICYGHYPSASLFSTWSWKKKIPGQLQTGWKNRDVHRRQYHGLFLTYPGLRISQNKHPM LCEFCHFGFIQKRILVKYELRHHAGKTILIGRGDTWWYQVCYTTRPIVR TSTA_017880 MTTRSNPLLVPKIIGLVLEKVELVSDLFSCACVNNTWNVAAPRE LYKDSLNDMQFRTPDIGSLNCLLVASRRYLLLMKPRTQTLGLDALKSVARSVIANTQS SFCGWMEVVLQAS TSTA_017890 MADTIVSAEAIVEVDTNEGDSTLGDDISTSSTSVVSSVLQYEWK HGRRYHSYHAGTYNFPNDEREQDRLDMIHHVFYRLLQDRLFLAPIDPNHGLRVLDIGT GTGVWPVDLGDQFPGASLILGNDLSPIQPRFVPPNVKFIIDDVEQQWTESQPFDYTHC RYMAGSIRNWSRLIQQCFENLKPGGWLELQESANTLYSEDNSLKPDNAMVKMMDGLME ACEKIGRTMNPAPSMKGWVEAAGFVNINQRRFKLPIGGWPKDPRLKEIGIFMGINFVE GVEAFTVALFKDVLGWTQDEVQVLNAKVRESVRRRDAHPLFDFLRLPGQKMTLHGSYT SLLQGALTLGGQEWHGLVGSRICMPRFWPLYWVAGEAAAHY TSTA_017900 MRSILFQLAVEKKGKMWATALSDIFFLPVLLLVSIPLALSASIT TALALITLFIRALVVYFELSIALLANFFLFPAHSPATGSLLALTEGTTPGIERTARTP KYSSHIGAQQTGFTKSRRGSLSSKDGVAEDYFSHHNHSQHGYAPIHSPHEALSSFISN NAERDFEGVGGWRTPLTRRKHHQTSSVPSLSRKNSSEEELLLDNNDDEVWLSINKRLE LPSRRSAWKTHSLV TSTA_017900 MRSILFQLAVEKKGKMWATALSDIFFLPVLLLVSIPLALSASIT TALALITLFIRALVVYFELSIALLANFFLFPAHSPATGSLLALTEGTTPGIERTARTP KYSSHIGAQQTGFTKSRRGSLSSKDGVAEDYFSHHNHSQHGYAPIHSPHEALSSFISN NAERDFEGVGGWRTPLTRRKHHQTSSVPSLSRKNSSEEELLLDNNDDEVWLSINKRLE LPSRRSAWKTHSLV TSTA_017920 MPSVETVSQAHGGMGNEGLQDTRESGRKLPHSVTHGMAPASSSW LPLGMKETLHQWWHKAPAAVAEHKVLSTIPYLQEAPTQLQTGSTASAPNSPTATDSLQ AVDPSQSGDEIVNSNNDPYGPRRWRSRMVELSGKNRALNEFSVERIGEEADQNLVMLH GYGAGLGFFYRNFEPLSRAKGWHLYALDLLGMGRSTRPPFKIKASDREEAITEAENWF IDALEEWRVKRKIDRFTLMGHSMGGYIAAAYALKYPGRLNKLILVSPVGIPEDPYAVN EAMPTDSTEGNGTNNRMPPRLPRLITYLWDANVSPFSFIRWSGPLGPGLVSRWTSRRF SHLPYAEAAALHDYTYSIFRLRGSGEYALTYLLAPGAFARSPLIRRIQGIGRQVIRPD SPVAMTSVSDVSAASVAASPASQPLRENGIPVIFMYGDHDWMDVAGGFSAKEKIEEER RRILKEATPEQREADNGSAKVYIVKNAGHHLYLEGDEEFNDIILKEMEDVRRREAKRQ S TSTA_017930 MAASRLDQLVKGAPPPEAHLPFNDSPAPFPKSYLEGSKTATDSR PTTPDPLSTLPSSPPQIYLNLLILESSLRAQYLALRERRRQNTFFLLILALWIAYFFY ALFMRPREDGSGVGGSVYWMVETAEKVAFMAGIVTGILVWGTGQWERGMRWPRRWFAV ANRGLRVLIRGPWWKELLSLLSFIFPYSSLFQSPKNFQYVELPSSEKRAARQHHSVHN HESDSAYIEEDLAPGGDYIRLLLLPKAFSPEFRENWDEYRADFWEKENERRAQLRRIV HERERQRARQEGGWLWWLPFRWPRSRRHLQSHHHHQHHRQMSSVAKTHEGRVRRNTRS NSQSIHNRTLSRSSTPEGSTATPTDIQGHKRKKSTRPSSSRGPSPLTPQVSKRDSTIS VSSTASDDSFLPASRHRRNSPEVNAAAEA TSTA_017940 MAPKKKGNKRQEEDWEAELGESVPAATETPAEANGDAAANGDDD QMGGGLLAALKKNRSKKAKKGKALDNDFVEGEDPTQADTNGDAAVDLSSKAPQEATFD DEDDVFTGKPKKGKAAPAPPKLEPAEEAEEGGKVKSKKEKEKEKKEREKQRKKEQAAK KKAATPAAPVKEEPVKAAPEVKAEPTPAPAAKIEPAGKKKKIPAHLAAIQKQQEALRK AQEEEERLRAEAEAAEAERIRKLEEEEKKKEEARQRKKEKEREKKEQLRKEGKLLTKA QKEAKERNELRMKQMLAAGVGHVAGLEERGEPSEKKKMVVTNRKKKGPKKDEVDLEAA AAAARAQREAEDEKRRKEEEDLAKAQAAKDEADLEDDWEAAAKAEVGDDVKDSWDAES DEEQQDNKQVDQEQKTAKQANGTAKSEDSESEEETSSDEEDEEATAAQKAIAQRKAEA AERRKKQHEEALAARSKDNLRSPICCILGHVDTGKTKLLDKIRQTNVQEGEAGGITQQ IGATYFPVDALKQKTAVVNRDGSFEFKIPGLLIIDTPGHESFSNLRSRGSSLCNIAIL VVDIMHGLEPQTLESMRLLRDRKTPFIVALNKIDRLYGWKKIDNNGFQESLAMQSKGV INEFRSRVEQTKLAFAEQGFNSELYWENKSMARNVSLVPTSAHTGEGIPDMLKLLTTL TQERMTNSLMYLSEVECTVLEVKVIEGLGTTIDVVLSNGILREGDRIVLCGLNGPIAT NIRALLTPAPLKELRLKSQYVHNKEVKAALGVKIAANDLEHAIAGSRLMVVGPDDDEE DLEEEVMSDLENLLSKVSKDQRGVSVQASTLGSLEALLEFLRVSKIPVSNISIGPVFK RDVMLAGTMLEKGLKEYAVMLCFDVKVDKEAQAYADDVGVKIFTADIIYHLFDDFTKH MAELNEQRKEESKMHAVFPCVLKTVAVFNKKDPIVVGVDVVEGSLRLLTPIAVVKQNA AGQKEIIQLGRVASIERDHKAIQVCKRGQPSVAVKIDGANQPAYGRQLEESDTLYSEI SRKSIDTLKEFYRSDVTMEEWALIKKMKPLFDIP TSTA_017950 MSANVAVGVARRGARSVFGASAFSRSSSFVFRSRNIQIASQRVK NFSTERYQLLKIQYCSPQHYQSRFNLQQQQQHRKYSSENAPVFKQIGFDDINTKLSLS PSSTPSNIILIDVREPAELAATGIIPGAVSVPLASQPDAYFLPPEEFETRFGYTKPGF EQSSSPETAGKEKKEIIFYCKAGVRAQAAAQLAVQAGYDPDTLGVYRGSWLDWTNKGG KVEKWEGND TSTA_017960 MAELNGSFASPVADSSVMAQLANPTDALYSFVNGLTIWSGLLYL LLAAIVYDQVKYIYLKGSIVGPSMKIPFMGPFLQSVFPKFTEYKAKWDSGALSCVSVF HKFVVIASNRDLSRKIFNSPAYVKPCVVDAAHKLLGSTNWVFLDGKAHVEFRKGLNGL FTRHALSLYLPGQDEVYDKYFKHWAEVSAKNDYKPMQFMPEFREFMTAISCKTFVGDH IDNETVKRIADDYFLITAALELVNFPIIIPYTKTWYGKKAADMVLEEFSKCAAKSKVH IAAGGEVTCIMDGWVKLQLDSAKYREKAAKGIPVDDSEKPASVLRDFTDYEIAQTVFT FLFASQDATSAACTWLFQLMADRPEILDKVREENYRLRNGDRNAPLTMDMLENMTYTR AVVKETLRYRPPVIMVPYMAKKDFPITDTYTVPKGSMIIPSIYPATRDPEAYEDPDSF NPDRWITGTAENQTKNWLIFGTGPHYCLGQTYATHNLMGLIGKASMHLNWKHQITPES EEIKVFATIFPQDDCYLTFTPREK TSTA_017970 MEITNLFFTRREESLLSGDYNTYRAQTSRRLHTVRKRLGRTTPK GRKYSAEAPVTSEDVASNPQAAHLLILSAERAWAQAMHMKAVHSADPSAKSVLGPTRR HIISRLTKASRYAERLVSILQDRSFSGASDIDLLEARGYLALLLGGLYMEKQKWDQCL QQFSMARIVYSALSQKERKEAYREIISVTIDTSLRYAAYQLKISRNKPLPSLAIERFP GDSEVRAELEKADPNCLVEDAAGTTRLADGEIEQLPETISWRSRSVPIEDASIAQALA AAAAAESKLTSFLQERPTTSPKEKAAAYDNVILASQEVVDATKTAIDDLVNEGADRGD RRMQALQVTRTAVNYSLVSWRVGRNRVLSGDHDGLLLDGEHFKADEWRKRARQESGGK ILSQLREKVALYDSVLQSLDFVQELPGVAGDLEFVEELELKRNYFRALRCFAIGRSHS FQENTKNALALFSRSSELAATIQKSGKGVTAKAGPPRLDVSSEEIEFLAMTSHGFEAK YRGLVSLDRLSETKQDPSRFQLPLIERMDEYAVENLDLSKLVPFPPNMRAVPVKPLFL DVAWNYIQYPREKIEQAEAPSMEGRRGWFGFGR TSTA_017980 MLERAVGCLEFAGQGVLQKYLTVFRSQKSLDPNFWKCQISDTDV RLWQSGLHHEAKNGRPAPISTQASPDFFLDFLYPRRCLVSAAAWVSKHKKGHLNIQKR RRVYNFMRSYCQTSRSRSHCASDRASRVNIPRTPRRTHATAASSYVPSIENIGPSTVP RTRLHRDYDRAWVLWETGDQERKSRSQLMAYFSTSDRSLDVERAISLLEGINVNERRA NDYENMIQPLLGAGHLPAVERLCDDAVSKGVKIDVWSLATKIAIRHSKWPELSHIWNK KHEGISQALPSILASLRDLPGLLNLFLDYLQETSTSSTDLQLASDILGFVFRSDKIMR DIIVESALSLTRKSANLGFLQQSHYETAIQTLLKIGTRPSRVLSMILYRNFRWHLSSC RPTKTLIHQLLEMLAAMKTRYGVQFLLDEFAHFFKQPSIGAYNFALLAFSRSGDVAKT QETFDEMVELYGISTKNPNVPHKTPRTPRWVGALIHAHARLGDYPSAEQEFHKIAHVY KVHQSRQCWNALISAHANARNSYGAFRVWRRMNRKGMKPDWYTYGTLMGMCARLGDIE NTIELCQMAEKRPGKMPAALMDTVVEAYCRNQRFQEAEQMAERCLALSLDGSRTRMWN VLLWSHAFRADLDSVSRIQNRMKEAGIEFDEMTYAAMMLCFVVIGQPDSARRLLRGLQ RGRRIQASEFHYTIVLYGYVKQGNRDMIYLINKEMEQRFKNPSMSARILMLRATLQRD TFVLPSQADGLGDSGYRLALTEDLLYKAVFDFDRRQFANKYPRPGTSSLPVHEAFPSL LYEPVLQAYSTEGMHRRTQQLLKEYAKDVESAMASDKRIVAPSLRFLSVLMEVYSQAE NFETVQKCWELALERAGRMLIRSPVSPVLTPRPGDAPIPRGNISLPGLPSLSISDSGS QSASNDRRDSPDKESHTTEAPTPESTDKHVRTPRYPSEESIIPSQRYILSRHFTIYMG MVGKAGQSSKLPELVGDYQKRGFLMSSQNWLMYVRTLATSNSAPDQLEAFSTFERIFM PHFPGWRLLARGTQLRPPGVPSTIDLMDRRPIGKDTEHLGKKGSRLWTKLTPTWMHPT YNVMIYLAATLKDFRERSLTEGREQLDTLSSVAPRTLEAIAMMPHLREKYQGVLLRNQ VEQGDLSPWQRRKYVWTGGVLGVGGKKRAAELVEEIDTLSEESEFEYDSSEILDIDGG EPEEHMETTEPTISPQDEHDIEVETLIQSRRRELRTDPLREEELSDRGSS TSTA_017990 MDGFRLPEDTAEDRVLAVTEFLDPTDTRARSYRADIVLMLNRGL RRLIVSIDEVRSHNRDLAQGLLMSPFEYTEAFNKALKNVIKTLPNRPARETAEDVMYY CAFIGAFGENACNPRTLGSSHLNRMVSLEGIVTKCSLVRPKVIQSVHYSERKNKFFAR KYRDQTMTASGATSLNVYPQEDDEKNPLTTEFGYCTYMDHQTISIQEMPERAPAGQLP RGVDVILDDDLVDRAKPGDRIQLVGIFRSLGNRGAGSGSSTFRTVVLANNIIQLSSKS GGGIAQATLTDTDIRNINKWAKSRKTKIFDMLAQSLAPSIYGHEYIKKAVLLMLLGGI EKNLDNGTHLRGDINVLMVGDPSTAKSQMLRFVLNTAPLAIATTGRGSSGVGLTAAVT TDKETGERRLEAGAMVLGDRGVVCIDEFDKMSDVDRVAIHEVMEQQTVTIAKAGIHTS LNARCSVIAAANPIYGQYDPHKDPHKNIALPDSLLSRFDLLFIVTDDPEDKGDRLVSE HVLRRHRYRQPGSEEGVPVRETATQTLGVGIEESQDSNQPTEPLEKYNVMLHAGMAAT SKNGNVEVFSLPFIKKYIQYAKSRIKPVLTKGAADHIVATYSALRNDDLTGNQRKTSP MTARTLETLIRLSTAHAKARLSNRVEEKDAKEAEAILRFALFKEVVEDARRKRRKVTT TDSDEESNEDSDEDSEEESESVASRANQRPGRRSGLRSTGTVDDSSALESEAPASSHP EKPQSSRATRGETQTESQMSVASSLPASQLLQSQTDSQASSVAPIQPARLNIFRQTLG PLMSQVFVNDDSADLEALIGAVNTSIRANQSLGERNVFQRHEAIQALKVMNERNELMF LEEDDTVYRI TSTA_018000 MAFQASNHGNPTALHPTGFHESVGSDAQRQQASDIMNPSKPRIG LLSQTIVPSPIIKFALPARLRSRRHNDVVFVYERSLLVREIIMDTYLEDVKVNSDFDA NVVAAKTVNIDEDSGVKSEIKLDSEWGFLQENRQSDTLPGHILVLTLDSRELLFLYCH NTSPENDMRFVHFRRALPSDVSISERFGRHLAVDPRSRAVAVAAPTDFFGVFMLKPQE ELRLQLKEGSLSPIAEVCSRVSFILPFFSHKTTQERFYRLEGHILFMDFLYPSEEQND TIILQLIVARGPDTHAVSYIWDTKKGIRQARSKPVIHQLPDFCRLPNLLIPLTKSSSF LLVTPSFIVFVPNALGSKLKISKHRCYDLISNSPSIWAKWARPYRHSKYDKSHDDVFL CREDGRLTYVEIDNKGNLGTINVIGQLDCDVDAAFDTIDFNHPNHGGDLLIAAGNTGH GGLFIQIARQNPTCVQRFVNWAPVFDTVIVPPVQRDASNEVHIMENVNNDLKIYVCSA SSSNSGAVYEFRHGNEAQIGMIISLEDFPAAQSIWVIPDMTQEGTYFLISDPMSSVLM HLSRNEGDDGEDIYAVDGSDLALDLSVHTLAAGHTLTGVLVQVTPNTVRSMLLNKSHL NCVSNFPLEQTAFVATVNEESGLVAVVLRSEQGITIQVGKVMLNDDSLNIDFTGTTVD VGYEPISITAETLKDATYVFVGTSDGRIAYYRIEQNEMVRVGEYMLVLPYEHISKAIE SITLVDWVKTGKAVIYCGLRSGLLVALDVVFETMSINQCIETRFGQTAVRLLKKESSI LVTCGMGFWCISDIRIGNASDFDLQRIWITDQNNSTYTQKSIEGFTIVNSSQSPSSNT LGGSLVCISDKQLLVCSLDSRAKAVPRMIELPGTVNRIEYSAHLKCLVVAYITTELEK DSAPDPDTSIVKRYMRPHLNFLTFDTSNSHLLSYQHNLESLGSSQSQKPVGSSGERIT CILDWIPETGGHKYHFLVIGTARKTQEQKGRVVFLNAKRNPTNSEQIDCSLKYIHSFD GPVRAVAAYGDSTVMVAAGNDIIPIAPRLPNDGEQWAASARFKLTSPGVSITVRGSLL YVSTARESLVILQVVNKKLELYAQDGVRHESLSHQYMGGDHKLTLVSHRGGTISAFSE SGVTDMDKIISPAVAEAHLPLSIIRLNAFGDPSLRSPSSSSSVVYGTTMDGAIYRISI LTEKEWRLLRFIQNLCSMDPTISPLLSAQKRRWTWADIEPQVKKPSSMHVDGDILSRL LPKGTDYLRRMLHSDQEEAASVHSDAAAVIPLPRTYIEFFNNLSDDVFVDGIAERDRL DHLMTWLRGLLRHRF TSTA_018010 MNEQKSEKILDGKALVESMYVDSKETTEEFEIKQKAIESACQRR DVKALVEYATSSGGLLTDTLRQTAWPILLGYYGEKEVLKDQPDWKGLPRHGDEDQIKL DVNRSFVYYPQCNEEELERRKQQLSDLITETLRRYPMLCYFQGYHDIAQVLLLVLGAQ LARPAFSRVSFLRIRDYMLPSLSPALKHLHLIPAILEVTDTRVRKHLAGTQPYFALAA ALTLYAHDIEEYRDIVRLYDFLLAHEPVVAIYLFAAIILRRKDELLEIPIDEPEMLHF TLSKMPQPLHLENLISSALELYQRHPPESLPFRVWRRLPRHSVLKTSRTVGERASLQE AEDHFVAQTKELRREEFRKQTYALLWRYRRPAGGICVAILIGLASIYVRRTGLEGQIW SYIGRLKHHLSR TSTA_018020 MANQGYDVVVDVDAEGDLGHTDLQEDLEFHPSNFENDPRSAKIQ ADSQPFLGNDGGPSRGGNGGSGGGISSGGGKRHLWTIQYYSQFFDVDTNEVARRCVAA VYPRSNFLDVLDGNPDLYGPFWIATTVVIILFLTGTISQYLAHEHDEHFEYDFRLLSG AAGLIYGYTGVIPIALWGALRWFGSSSADLIECWALYGYANLLWIAVALASWSPLTAL NWALVGVGFAWTVFFLLRNLYPVLSATDAKTSKILLILVVVLHAGLAIAIKVLFFAHG SPVSKKNHHDDDDHKDKDKGHDDRRRVLF TSTA_018030 MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGIIEPSLKALASKYNCEKSICRKCYAR LPPRATNCRKRKCGHSNQLRPKKKLK TSTA_018030 MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKVLLEIRCGGIDMLYFGKNGIGSEMIRIYVDG TSTA_018040 MEYIKRGPCGQDGCRETRYYLDNGIWFCRRGHQQEGRQVEEDPD DYNKRGTVHRIKKAAVEKIQKTYTGRQGYRLFIFAYQLILWKQCQALITQKGFPADIE KLVKDLWALRLENLTDRFDLSLDEPRGDDGSDGGVFSSQTPAASIEKKDATHISARKS TDSPLLRETLGLLYLACLLLRLPVSIGDIYKYAVRNEIPFIRALKSVPQEMRDRLSPQ HTRGLSVQVMPESDDIHETVNLMVAMYKREYNIVFPPLNMPLMLFQFIRKLALPLEVY TVVRRLQQLLQFRFTFSSGKKWTLKAENYPEAQLLGLIIVATKLIFPFSKTKGFPTVL TEPAAQLIDWNLWAAAQKKFESLDKVPGRLAKGDEINVNEGNVFHMTENQLDDYLDWY QNTWLDQKKDLFPLKPSETDNRPDLKTGAEVEEAIDKKVREMTASIRLSKIIPDDRAQ ETKETDIDQSSENEEEKTPRPGYSYRIYRTESDLPKTARKFYQAAADLAGLSLKTLVL AVNRIEGKLENFQYDLRRAEEHGEDVWNHE TSTA_018050 MADDSQHEHTFDSADAGASATYPMQCSALRKNGYVVIKGRPCKI VDMSTSKTGKHGHAKVHLVALDIFTGKKLEDLSPSTHNMDVPNVTRKEFQLLDVTDDG FLSLMDEAGNTKDDVKKPDGEIGERIDKLFTEEGKDVNVVVMSAMGEEVAVEAKEGPK SG TSTA_018050 MQCSALRKNGYVVIKGRPCKIVDMSTSKTGKHGHAKVHLVALDI FTGKKLEDLSPSTHNMDVPNVTRKEFQLLDVTDDGFLSLMDEAGNTKDDVKKPDGEIG ERIDKLFTEEGKDVNVVVMSAMGEEVAVEAKEGPKSG TSTA_018060 MWLDRLSGHSTPSGTLHLFPIDTFPKPQTITDFLSELSTNLHPR SSSTSLLLTPNDSTTSLPSTARHISNGAPQRSVFVRTPPGEVVNPLDVLNSIIGKKAA DNISGVTPSKPAELVETIDFGDLSLEEFMTRGRESGGLLSSEAGVGTIEQFAGDRDKF QELHNAITDCDEVLKSVESYLSDFQTELGIVSAEIETLQTRSVQLNAKLENRRNVERL LGPAVEDISISPNTIRTITDGLIDENWVKALNEIETRSASIEKSSVSSLKAVDDVKPL LVDLKNKATIRIRDYLVSQIKAMRSPNMNAQYIQQHHLVKYKDLYSFLTRTHPTLSEE ITQAYVNTMRWYYLSNFTRYSQALEKLKLHASDANNVLGSDPSAQRGTGTGPGGRGIS HDPFTIGRRIDVLKTNNPIAISSYIAEEDKSVHGFEVPFRHFNLALVDNVSAEYSFLT EMFSVRSYQQISRKAAEIFDPVFALGQNVTKQLIENTTDCLGVLLCVRLNQRAAFELQ RRKVPVAESYINGINMQLWPRFQKIMDLHCDSLKRLASGAARSSVGALSLTTEDAKQS SAPHYLTQRFGQLLYGILSLSNEGGDDEPVSISLSRLRGEFDALLTKLSRGSGDPKRR ERFLYNNYSLILTIISDTDGKLAEEQKEHFTKQLKNASKRG TSTA_018070 MALTSPRRQLCRHLQLLQCRRQQSHDFSTSREHNADFTHAVVGG GVVGLAIARQLAARQGASTILLEKHDAVGTETSSRNSEVIHAGIYYPSNSLKTRLCIG GKNKLYAYCAEKQIPHRNTKKWIVAQTDSEWEACLNLHEHAKQIGVPTRFVTQEEAAR REPDVRAEAGIVESPTTGIVDVHSLMACLQGDFENAGGDIAFQTEVTRIESIENGRGG YEIFASSPGQDEESSITTETVINAAGLYACHINNMILPSSRHRQPFYAKGTYFSYGVS HPKPSTLIYPAPVPGHGGLGTHLTLDMGNRIRFGPDVEWTTNPTDYKPSPARLQQALP EIKRYLPSIDVNAIEIDYCGIRPKLGHGSANTAGKGFQDFVIQREDGFKGFVNLLGIE SPGLTSSLAIGEMVEGLLYR TSTA_018080 MVLRKCNICDRKFKKTEHYKRHERSHTKERPYECTVCHKKFSRS DVLSRHAKGHVQNANGPTSINAAKNTTATQSIPPTTTTDATDSGTANNRAHVPVFPPT PRDVQITPPGGLSSNLDFLADISAHQSRTEPEINAMMMDEQQQSYFGWGDISALDSVE QQQQAQRPITFDAMPSEMLQLWLEPRADSVSHHSSSIDFMRNMNLMGENFMSSGRRTS RSMEPNKAVDDIPNERFARVERSWVAPTNLVGRLINSLWHDASCYDSDNLFSIPPWQS MSPSTGNYPGSRFGLDEECRLQLQHAFGLSPAPLSSVDSVIPNDAALSPTASTTGSGS IPSFPPAEILDMALDLYFRHFHPLVPFVHVPTFCAKKTKLPLLFVMCQIGMIMLGTKG TTSFVSKTFACSLERISLELAKCAIGNETSTGVISTFAAALLMLNLAAMTGEKSHLQQ SQMLYINLISIAQRHGLFTAGEGQSLDMSLFEAVPDLEMRWKAWSRVDLIVGLLLLDS WFSSFLSTSPIIVPDSVQIVLPCHESLFEANCSSRWMQHIRGGKRIITSMVKSPSETT ALPALDVPVDEFCMHGVLAMLQLRLSEAYHRILFKRPSYPFAPCHSYAMDSRARCLTS LQVQLMNTYHESLSHMNPNCIVMWHHMCMYLTADIQIFDLAAGRNGAAPARKALDDIA AWTQTPAARRACLHAAQIFKEISNRKASDDTMFQSVHALFSAALILGLYIYMVPRSAE TQAGATSIELLEDIDWQQVGTEGFAGFMEPQSSNPGSRTIDDQSINFIRHGGTIYVRG VPHQGGYQSARRILLDYGGLLKDTGKWSVRRFSYVLHIMSDVLMDVD TSTA_018090 MVATTLQPVKERKPIKDLQKVFHYTDTQTRKPTRDNDPYEYQAG WGNRFQSEVIPGTLPAGQNNPQEVRFGLYTEGITYSAFTAPRHANFSTYMYRVRPAAA HNGYKTNVETKAHIENCFLSINPKVETLVEQAEWAPFPLPPETERIDFVDGVHTLGGS GDPNLREGIALYVFMINADMDHRAFCNLDGDFLLVAQHGNLDIQTEFGKLFLQPGEIC VIQRGVRFAIRLGPGHKEARGYITEIWGSMWELPDLGPLGGHGLANPRDFLYPVAYID DNLHEKWTVVNKANGKYNAIEQDHTPFDLVAWHGNVVPYKYDLTKFSSQNATSIDHTD PSVNCVLTAKSRDPNTSLVDFLWFGPRWDVASNTFRLPYFHRNSATEFLASLYGNGLG RSDDFLPGGGSVEVSHTPHGGFSEGYQYEMRIQENEPRRILENQMTIMVESSRSFLFT EYARVGCGTFHNQGTDPKVWDVLPDKFSAYPGIHDILAQVKADKEARKERQEIYYNDD KLAELVKLAKSQTTNAGAGVEKDSVVEPVAPTNGIAPTNGIERDSH TSTA_018100 MSSSLINRVVRAGKWHYAPTKASALPIHLPAQLSPVSNALQKYL RQPQTRSMGSVSAIDSAIFRTLFGTEEIRQIFNDESYIKRCVDAETALARAQSKCNVI PSEIGAEVTHNAVASKLDLERLRHETEIVGYPILPLVRQLSALCGDKAGKYVHWGATT QDIMDLASVLQMKDGLVVVERLLRDVIKTLRELSEKYRDTPMAGRTHLQHALPVTFGY KCAVWLSGFQRHLERLQQLKNRALMAQFGGAAGSLASLGPGDDGLRVRKALAEELGLT DPSITWHVARDGVAEITNFLALLGGSMGKLALDIIIMSSNELGEVSEPFVPHRGASST MPQKRNPISSEVILAASKILRSNAGLVLDGMVSDFERASGPWHLEWVAVPESFVVAVG ALFQTHFALSGLAVNTQQMLQNLHSTRGLIVAEAVMMGMAPYVGRQTAHDIVYEACRN SIEQDKSLLDCLLQKEEVTSKMSAEKLEALCNPENYLGAAPKMVDDVLAVKNL TSTA_018110 MFVLSIANSKSNYKPNAYMLTKVGREVLRKTPHDVVVLSAVRSP IARAFKGGFKDLWPEEILMPVMQAAVQRAKIQPEDVNDVLIGNVLAELGFAKTGRMAL NAAGFPNSTTFHTTNRQCSSSLQAITHISHAIMVGQIDVGMGGGVESMTRCYTTRGIP VDVSPTLINSPIKDARDCIMPMGVTSENVAERYGIPRQEQDEYAVESQRRASEAQKSG LFEKEIIPINARYINEETKEEGQRLIALDEGIRHGVTYEKLAKLKPAFKENGGSTAGN SSQISDGASSVILARRSWAEERGLKPIGRFVGTQVAGNAPDEMGISPVYAFPRLYKYT GIEQKDVDVFEINEAFASQTIYILRKLGLDPAKVNPRGGAIALGHPVGATGGRQTATL FAELERQDKELGIVSMCASTGLGVASLFIRE TSTA_018120 MSLLLRGGIRHLATMGSRQTTRIELPGYSLRLDYKPPKSANSIE SAVFPNALDYADIEGGYVGHINTKREIIMMQLMDAIAEKPEWDRKVFDEEITSKWRNE ILNSDQDVTPKMMDWIVKEMQWKAGTLKKDGLISVFDIGVVRSDSAVNSELRKALLEA VAPLEDVPDNKKDYHPGSDMKVVDLVHPSLFPVVYGRTRILPDRLITVEDCLDSIGQG ELLPIPSEEEAEGPQPTYGWRRNSPPPYSRKFQWLPCDVKFTDEGGCRIVSYINNVHP VKCRPLYSVVERIIASAIPLWDESLTERRSYGDEQRIPYTQVEYLESSTPEPEQNEED GDNEDYWERYEEWERSRPIKLPEPGEFKPPKAPWRGEVRLRDKFREKGLQVIVKLANI ELTPEKPEYDGGSWHIEGQLNERICATAIYYYDSMNITESRLAFRQRASSIDDVHYPQ GQHEFLQAVYGFGPEVDGYNNAQITQDLGSVVCREGRLVTFPNILQHQVAPFALADRS KPGHRKILALFLIDPHLRIISSANVPPQQEEWDREWQETVHKVLSERLPAELQNMVAE NITSYSMNMDEAKEYRLELMEERSATQAERNTTFETGRFSLCEH TSTA_018130 MPPKHYYQSERLIGWGLLKENFHNSRKKKILTAERVRFEEYGYT FSSTLTWVFGERRHSSHSLGREFSAPMEHNGSIDAVCCDQALRDLRSQILIYLRDMSE NFSVLFLTINRCRAFVDTFDYAQRGLIDRTPYGAFLPLYRNKHFDTSVRICHQWMDNF VHKNLEYRAQARHGKQSDGYNVLEELSRKSRSAKDLRDQLLCVLLAGRDTTAGLISNV FYFLACHKSVSQHLQSEVASLQGCPPSLEEIKQMKYLSNVMNECLRLYPLEHSNVRIA NIDTILPVGGGPGSESPPLIGKGQIVMYNVYSMHRRKEIYGLDADYFRPERWEKPRLG WVFLPFNRGPRICIGLELELQMIESRRLERRPLACKAYDVEILRDILRSFEVGRHPNN ESWLLYRGKCILDGFTRRPAMSNIMCVHFPREHNITICIKLTGQEVSIFACLMAPVIL SPTTTRYRYFASKSQQGAHSGRPETLNWWPEVALESPVKVMHTAHGESDCHTDLSHTE TFENHRMDLEARLVKDIYRHIAKEKRSPYLTSSRIVVNGNVGPLALPQKFTRTRASEG TANCVHTDDEEPSNIKCLALPPQQRNAAPGPICHWHILKNDSGLELLREVRVRVSLMC MKPSLGPMIIGIQG TSTA_018140 MLVMKARIAGPSIVRPLWRREFSSSSSRRAINKIVPSAQEAIKD LKSSTMILVGGFGFSGVPSSLINAVRDRPELKDFTIVSNNAGMPGVGLGQLLETRQIS KMIASFIGENKVFEKMYLEGDLALELTPQGTIAEKCAAGAAGVPAFYTPAAYGTIVQS GELPVRYNKDGTVTEKSKPKETREFNGKQYILEESYFADYAFVRVAKADKLGNCQFRK AQNNFNEAMAKNAKVTIVEADEIVEVGQLAPEEIHLQGIYVSKVIKSTEEKKIEKLTF AKDPNDLLQAGNGDATARRERIVKRAAKEFEDGMYVNLGIGMPLVAPAFLPEGIEVVL QSENGILGLGGYPRPGEEDPDLINPGKETVTLASGASVFGSHESFGMIRSGRIDLTML GALQVSQYGDLANFMLPGKVKGIGGAMDLVANPSQTKVVVTMEHVDKKGNPKILEECS FPLTGPRCVWKIITDLAVFDVHPTEGLTLKEVAEGATVDEVKSKTAAPFKVAENLKTF TSTA_018150 MSSSTVLPTPTLSEKHSGIPFRLYEKAQYAKSLILDIATKEQSE RKRGPAIPAGVEKTTFLKALDELSGQLGKENVEINDQPLKDGWYMEHPNTHDAMHVLD EEELVASAVVYPGSTEEVQKIVLWANKYKIPIFPISIGRNLGYGGAAPRVRGSVVIDL GRRMNKILDINPVDHTCLVEPGVTFYALYEEIQRRGYKHLWIDCPDLGGGSVLGNTLD RGIGYTVYGDHWACHSGLEVVLPTGELIRTGMGAMANSSSWQIFPYGYGPMADGLFSQ SNYGIVTKLGMTLMRNPGGHESYLYTFPNEEDLAPLVDIIRPLRIGNILENVAQLRHV VQAIAYSGKPRSSYFQGEGQMTDEQVREIARKELKYGDFTWLYYGMSYGPKEIRQYKL DIIHKEFLKIPGARRIDPATLPKTDYFWSRDRIATGIPDLEELQWVNWYPNGGHIAFS PVSPVRGPDATELWRMARSRAAEFGHDIFPAFCVGLREMHLIVECVFNRDDPDSRKMA LACMRAMIDEAASKGYGEYRTHLVLMDQIAKTYNFNDHALMKFNERIKDTLDPNGILA PGKSGVWPARYRGRGWEMSGLSERSEGDGVARDTATRL TSTA_018160 MSGINLALRRELLNRQLPRQLLFPVLRSYTTAPTRHIVFKYNTN KPVTYSKPVFTRCISTSYGASNATSTGKSKLPLAGVRVLDMSRVLAGPYCTQILGDLG ADIIKVEHPGRGDDTRAWGPPFAEYKDGREGPGESAYYLSVNRNKKSLGLSFAHPEGV EILHELAKNCDVLVENYLPSSLKKYNMDYDSIRKINPRMIYASITGYGQTGPYSNRPG FDVMVEAEFGLMHLTGSRDGPPVKVGVAVTDLTTGLYACNSIMAALLARANTGEGQHL DVCLSDVQTATLANMAESVLISGKRDSGRWGTAHPSVVPYQGFKTADGDIFLGGANDR LFGILCEKLGKPEWSKDPKYVTNNERVRNRKELEDLIEVETTKRTTQEWLDILKGSGL PYAAVNDVMGTLNHEHTKARGMVQEIDHPSCGPIKVLSPPVKYSNANPSIRSPPPLLG EHTDEVLEYVVGLKRDRIQSLRAKGVVA TSTA_018170 MKPEKNKVSRITIACNPCRSRKQKKSSILELTLCRPECEQCSSY NRTCEWPEQLKRGPPKGYVEALEQRLHETEDVLLKVLSQLNEAQLTSILSAPNAFPVD DVPQDNGTPNRFPMLHARNRGVEHWKSFPLRDAESIRKWQQDFHRIRSTSSSSLPNEN HWRSSRPSRRRSSRKNARVDSGSAENLPNAQSPIDELPSEANGKFQDSNLYSRRTVNG GTSGNRPLRPKMNFHIPAPTNQHESNSSHLSSWNAAPSKEFQEQFMW TSTA_018180 MQAADPPFGTSELGFLRVHSNDESEFVGSSSGVFFVNTVRQAFT KSLRPLETGAAPGFPTPEDTLVGSPKPSSVGNDDGRDPSRLLELRAKWTYDPQIARYL GEAPGLEVASQLMMIYFERWHPIFPVLHGPSFLMTMEAFYSETRTSGEGEVSKHHRAC WTAIFQGVFNIASILRPDLQLAHKSKIISAADAVQLANVLLNRHDILSFQAILAIQLY LIASMSLRSASLLGGTILRAILHAGYHRCPYRYEEFDVYDRFLRKRIFWSFYAIDRYL SQALGLPLGIQDSDIDVCLPAVVDCHKPGNPLPLPSMSPGRAAEGPSEIAGSSTLQHS LVDQWSIENSYNGISPRREITLAAFVFYGRLTGRALELFHKSIHARKVPRDAVSWLVS DVFRWWNGLASNLEHVAGRNSTVSTEDFSPKIGPLFFVLYKHLILCINRPYLSREPSS SGFSSGLQMCLEAAKSILSSLKTQLSKEQDFFWPGLLSAAYMAGLVIAFACQLGQYSI FNGCQEISDCLDILQAMSRQWEIAKHCHTALSILLKNIQRQGRDRESSSSKRLHQPNS QSTQPKRRSKRRKKTSADHEDDHTASQSYDNANYQAQETRNATTAVAQQSTEISSNNI SNTLHVSSESAQLYQPPTDSNNISSFEDVTLAVPMINDYFNIEGIQSPDESSFFTTNF DLNMTDLFQNSTWDPKLFDAFNQG TSTA_018190 MSAEVATTPATDNANSAADSNGTTVNTNVAPDTATSDAATTPNP NQPHSASLYVGELDPSVTEAMLFELFSSIGQVASIRVCRDAVTRRSLGYAYVNYNNTA DGERALEDLNYTLIKGRPCRIMWSQRDPALRKTGQGNVFIKNLDTAIDNKALHDTFAA FGNILSCKVAQDEFGNSKGYGFVHYETAEAANNAIKHVNGMLLNDKKVFVGHHISKKD RQSKFEEMKANFTNVYVKNIDPEVTDEEFRELFGKYGDITSATISRDDSGKSRGFGFV NYVDHQNAQSAVDELNDKDFHSQKLYVGRAQKKHEREEELRRQYEAARLEKASKYQGV NLYVKNLTDDVDDDKLRELFSPFGTITSAKVMRDTVGVSSDSDKEEGKEASEKEGEEA SEKEETKVKAEDEEKTETKKPEKKVFGKSKGFGFVCFSSPDEASKAVTEMNQRMVNGK PLYVALAQRKDVRKSQLEASIQARNTIRQQQAAAAAGMPQPYMQPAVFYGPGQQGFLP ANAGQRGLGFAPQPGMVMAGIPGGRPGQYPGGFPQQGGRGIGGPNQQIPQNFGQGIPI GAMQAGPGGIPNGMAYPQMAQVQFGRGAGGRGQVPQGIPPNVGGPRGGPGFGQGRGGM PPRPGPGGRGQNVPAGAPVAPEGAPGSLTLQTLSAAPPQQQKQMLGEALYPKIQAQQP ELAGKITGMLLEMDNAELLGLIDDESALRAKVDEALHVYDEYMKNKGSDEPSDAKPQE GAKESSEENKS TSTA_018200 MFQSFTGSSRRPRQVNLSGRNVNPFASSPGSSRQPPHGHGPQNT LAIAQQERLARQLERERLNSARLLQRTWRGYLSRRVTRNAWRGEWDINEQNRNGVKTG FGDDDVDQIHRAVAYSSLDQCRVQLRLLLQFFEVPNDSDALRLLYFLNALRETFEEVS TMADEEGWTLLLARLAKITLRLTLKLASPTRHSHLPGDLLLRSLCFLIDLIPRQMARL AEEYYTVMAALTTDVHGLSKKLGFSVDQLVTTTLALLQPITADTSDAYEWFARKYLTV PDLGRYIGRLDAITFKINYRLLAKSLDSYPQGTIGKELSNDELESRIWLLAYFIFFRR SAVSGSSVEEAPEPEFVKVISNLLNSVTSYLSRRIEPDDSLDTDEPQQPPLHPFIEEQ INSLVNQSSITGLLYKVRPTEMQNGPDEAGSFVSEDAKALASYALTLLRVFPRRGDDI RMWLYLGSTSSGPSTSQPALRIPAIKYFWKMSNATEVFAKISSDSNGVLPLLRPPSGD ATSRVQRDQDWTIILLFLELYTFLLKVMDDEEFFSSDSVLTSMDTKASWTRESALLLK DVKSLTIFLKNLGFTLYWNAADLNETQDTESTGSIMKYFSPSNQKEPLPSVRELEQRN KEKGLPGVTGIPLDYFRGLVTGLLRMIHERDSRRKFLPEGHWLMTSRFDMEGFISAVV AEEENRHQLQDDEETEDNNYLDDMPSQSLGIIGTGRAQQARRIEAFRRHQQQAVRRKQ LEALAPRLEILRHMPFFIPFATRVQIFREFIYRDQMRRRQGFIDPDSWRMSVSAASMG RLLDGNAAARDILSRHHASIHRDSVFDDAFEQFYDLGEGLKEPIQISFIDKFGATEAG IDGGGVTKEFLTSITNEAFMPSNGLDLFVENDQNLLYPNPVAVEQRKEVLRQMGCKEG SPEFVDGVRDLLKRYEFLGRVIGKCLYEGILVDVHFAGFFLLKWALTGGSTSARRESA YRANLNDLRDLDEGLYQGLLQLKNYPGDVEDFALNFTVTDTIPVPGSKPRIITKELKP GGANISVTNQNRLVYISYIARHRLQNQPAPQTNAFLKGLGDIIQPSWLSMFNQSELQT LVGGDAGEIDVADLRRNTLYGGVYTIGDDNQEHPTIQLFWQVMQELSNEDRQKVLKFV TSTPRAPLLGFSHLNPRFSIRDSSDDQDRLPSTSTCVNLLKLPRYSSAEVLRQKLLYA VNSGAGFDLS TSTA_018210 MKPSQPLMARLRLTTKQVGRGYYKGNRTGSMGFFLKTSAYIIDP GKLRTYVVPENLDDFKLTPFVTKSFLPTRTKYTTEEVRNGLTISKDRAFNGEDYLDLW ENLNPREHDDWSSHWKSKRNNLKAKAEADLQEVIKRDEQNRRKKKLKGGRTLVQLKKQ GL TSTA_018220 MAGRKSNILKSRNDTVSKPKQKRKASKGLNALAIAEHQFPTKAK VRAHRYGEVEDIPKRRRNDEEEDVPDTKRRRTDEDGASEGDYGSDSEGHEWKVGQVDS EDDSDIDSDEALGSSDEERFEDFTFRGSSSKPNAKSVRKSKTVKRQKIDLSEGSDPDA DADSDQEDYDDDLGEEAVDLATAWDLNGEDNEDDDKVSAKTSKKSKKPQLVDGFSDDS GSDSLGEGSSEEEESDNTDISMSDDEEDDKGDGLSKLQDFVSSLATKSAGATSRQRKV HGQENAVPTEYGLTSTRKLNVSDLLSSVTDSRLKGSLKHLSSTSTAQGVKSSAPGKLT APLAKRQQDKIDRAAAYEKSKETLNRWIDTVKANREAEHISFPLPNPYEQQVARLGPV EPKTDLESTIKSILVESGLATDEKSAEKQFQAAEELETRKMSLEEVRARNSELRKRRD LLFREEVRAKRIKKIKSKSYRRVHRKEREKLEEVERQALIDAGIDPDEQERELYDRRR AEARMSTKHRDSKWAKSMKETGRTAWDEDARSSMHDMARRDEELQRRIEGRNVKADGD DYLGSSSSESEDDHDEWDEEADSDVEARILNKDLDALNDFDDSGSTGPHSKLMAMSFM QKAEAGRKLQNDEEIRRLRREINGDEGDQSEEEEGGRKKFGGSKPTEKQSLADTRKKN EFEETVASDDEDLGTETIDMQEHGTSKHTKGSSKTKGSVVAKSDKTGVYRPAMRDSDK EVENPWLVQNTRANRKRQVNNIDETINISVGDQEQATVSNASKVSGVQKSQKANNLNS HNNTQANGLDSGSDEENAPVLLTNHDLVKRAFAGDDVVEDFEREKQETIEEEGDKVID NTLPGWGSWTGAGISKKQQKRQKKFLTKVEGIKPEQRKDARLGHVIINEKRVKKNVKY MATQLPHPFENRQQYERSLRQPIGPEWSTSDTFHESTKPRVLIKQGIIRPMQRPLI TSTA_018230 MHDGMLPALKYLYSRHPGTEDMLIYPRNCLHSFERGCHPTPLLI SLVLNIWLLEKEESHSFTQCIYCLPPLIPSPTTYSVPKSPGSVIQSTASLTTLYPPST ARLLDIQTEGVYESRLESDGHPSLQPFPFPTISIEPIEGFGDFSGSRPFIPLATAPPA TVTVTSTVYSPSASRSVQSSSASQRSPTTKATASLSRMDGQDVFETVSLDAVPTNIPV KEDHPVPRVGILNTTAPIETNKFYANFFLGNQTTSSFTQPYSLSWSRGTGNAGSWGLA ISHTDLDQLVYGGPNNSLPGNPVEYYLNPIGLQSITLSARELGPSTVLNTDQLTGFSA DVILQPSNQSSPSITFPVLQGMGFVTGIYTGLQPLVQSCITFRDLVCVGPIRQGTYKY RVTLADGKSWLFYVTPDSDNGVDPGFDFAGSNTAIQGPSGFSGIVQVAKNPLGGAGEA VYDKSAGVYARSASITGAVKDSTGIYRLTWEKAGKNATDTPVLMFALPHHVESFDGQT KSAVQPLQLRSTTKGNMTAVASDSWTMVESDLPIGIDFAPWSPSLGNVDGLSTDVQQT IKSSAESELTPDVDGQSNLNSMYYSGKVLSKFATLVYTVHTLANDPGRAAATLDGLKK AFTRFVNNEQQFPLNYDNSWRGVVSSAAYATNDLNQDFGNSGYNDHHFHYGYFIHAAA IIGALDPSWLNDANKAWVNMLVRDAGNPASNDPSFPFSRAFDWYHGHSWAKGLFPSAD GKDQESTSEDAMFAYAVKMWGKTIGDKSMEARGNLMLALLRRSFKNYFLMENDNLNHP SNFIGNKVTGILFENKAHHTTYFGTNLEFIQGIHMLPLLPSSAYTRNETFVNQEWDAI FSQSACTPASTVSSGGWKGVLYANRAIVAPKEAYDFFNQPNFDMSWIDGGATRTWYLA YAAGKLLFALA TSTA_018240 MNRTTSSSSSQRNIFREFRRAGSSYRYNMTRNGSTDVHERSLLL HPRTYSESYHHTDSPYRDDPRFWVRWPAQTAHLTWLTLASNYVNVLLVFVPLGIVAGA LEWGAATIFTLNFLAIMPLASLLSFATEELAATMGQTLGGLMNATFGNAVELIVSIIA LKDGQIRVVQASMLGSILSNILLVLGCCFLIGGIRYPEQEFNSTVASTMSSLMAVASA SLIIPASLFAAMSDSYNPEPDTRKSILQLSRGTAVILLLLYVMYLVFQLKTHSNLFEE SSGGDNNVESQVPGSEDEVEEHILSPLAAGVALVVVTILVAVCAEYLVGSIEGIVEKT GMTKTFIGLVLIPIVGNAAEHVTAVVVSYKNKMDLAIGVAIGSSLQIALFVTPFLVIL GWIMNVEMTLYFHIFETVSFFISALVVIFLIQDGKSNYLEGGLCLGMYAILAIAFYVY PDTD TSTA_018240 MTRNGSTDVHERSLLLHPRTYSESYHHTDSPYRDDPRFWVRWPA QTAHLTWLTLASNYVNVLLVFVPLGIVAGALEWGAATIFTLNFLAIMPLASLLSFATE ELAATMGQTLGGLMNATFGNAVELIVSIIALKDGQIRVVQASMLGSILSNILLVLGCC FLIGGIRYPEQEFNSTVASTMSSLMAVASASLIIPASLFAAMSDSYNPEPDTRKSILQ LSRGTAVILLLLYVMYLVFQLKTHSNLFEESSGGDNNVESQVPGSEDEVEEHILSPLA AGVALVVVTILVAVCAEYLVGSIEGIVEKTGMTKTFIGLVLIPIVGNAAEHVTAVVVS YKNKMDLAIGVAIGSSLQIALFVTPFLVILGWIMNVEMTLYFHIFETVSFFISALVVI FLIQDGKSNYLEGGLCLGMYAILAIAFYVYPDTD TSTA_018250 MAPRINLFTARNALRQQCQSLTIRPRQSTQSPINWSNGRTAYIT ENSWRLYSSKSEKDFPEHVEQPKGPTQDQLPHVSQEAAAIDKILSGKKCDGNAPGSPE LEQGTPIEEMMKRDEDALKYAPKVIRDQAKKGTRSYSTSARLYQEVTQQSTGAAAEDP SIVTVANMINLATERAAENLPGLKFGMPAPLPKTENVRSRYDPVVEQFTKLLMEDGKL SRAQKDMEFILNHLRTSAPPKTDPRRRLLTSLPSPQLPLNPVIYLNLLVDSVAPLIKI RQQKGLAGGGASVPMPVPLAMRQRRRTAIRWILEAAEKRKDSKLALRVANELVAVAEG RSGVWDKREQVHKIGVSGLSDWLKQPQQPQPIDLPPISQSFDVSREDVKRIPSKLVPL EAGPLSSFSPSSSSATRATRPFNQRILPNTSSTSLRRTAGISYGFVPHDDTASSINSG SQDHEGTGFSPLPSQNLERAQQRFIKSNGRAATGLRPHILWAEALEKRNRGLDKSFRY DLANMKFSHSLQFNSVPDWSAYYIAYDNLKKLIYSLEKQIHHPDTHGAADSESAPLLD TSVDTDTIFRRALDAELEKICSFYQIKELTIFGEVEDVLKEQAQYKASTDGMVMEPVT ETVIKSRTLSIGSRPRTGSILHSLGLGPNRRESTISGASVGEDDEDDEDDRDSDDLES PVEENRRPASRTRSHTGRYRDLVHGGDRSDGFTSEMGDSRLWNLGNSRHEEEQHDPHI LDLYNVGIALKKRVISVYVSLCELKSYIQLNKTGFSKALKKYDKILDRSLRRVYMNGT VSPAYPFTDSTGNHVDENIRRIEHVYAEIVTRNDLSLARRELRLHLREHVVWERNTVW REMIGIERKAQAANMGIRRTLLGGDHDPSTAQRQGDVESKGKEIETPLGRWYFPEWMC SLSFFSLVGIIIVFAILLSVPIMEKPEQQNCLAMLVFVSLLWATEVIPLFVTSLLIPF LVVILRVMRSEEKPHARLAPDAATKAVFASMWTSVIMLLLGGFTIAAALSKYDIARRM ATFVLSRAGTSPRIVLITNMFVSMFLSMWISNVAAPVLCYSIIQPLLRNLPSESNFSK ALILGIALAANVGGAASPIASPQNIIALENMYPAISWGTWFFISIPVCVLSILAIWVI LLVTFQPSRGTTIVPIRPVRDRFTGTQWFVTLTTLVTITLWCFSHQLDTVFGDMGVIA IIPMVLFFGSGILTKEDFNNFLWTIIILAAGGLVLGKAVTSSGLLHTIAGAITERVAH FSLYGVLLVFSGLILVMATFISHTVAALIVLPLVQQVGVGMENPHPNLLVMTSALMCS VAMGLPTSGFPNMTAIMMEIPSTGQRYLRVSHFLTRGIPGSLVSFVIVVTVGYVLMSI AGL TSTA_018260 MEEGRSACLGILEGDNRWVNSVTYFHDSKHLASASDDGTVKIWD ASTGQCLQTFKGHRNTVYSLAFSHDSKHLASASDDRTSAQSPTLIIQSTLSQLQTIAL SRYGIPAVANAYRRSRVKAIQSTRSPIPIARLASGDRTAKI TSTA_018270 MSSEQANNNPDLAQENNSSAGSSAPQQQKKPRKLNKKPIAEQEQ QQQDQPKQEEDQDEQPKQEEEKQPEAVKQEPEEEEEEEEPEPEPEPPRRQRRSRPNRR VQRYQDPEDTEEIERSDMERQQRGGRRNRARSGGSRRQQQQDNGALGPLGGVGDVGNT VNGATDMVQNTAGKAVNGVTDSAGKALGGVLGGGQKDEDGGKDEQLRLRLDLNLDIEI QLKAKIHGDLTLGLFFAIISNIPNS TSTA_018280 MSAPTAEKQKKEDNPPQEEDDYYSEGDSDYDYSDDDPDEPMTES DAENDGQLRRKARGRRRNDKYYGDEDDYSNEYDDDDDDDDYDDDDDNAVAPYGGSAMR DQQQQQGGGKMELASDAKKGIDDEEGLKLKLEVNLDVEIELKAAIHGDLTLSLLKDQV QQQRIITHMNNDHRNTLSLFLEVYNKVPYSQAQTARIEEIRLIGMTISTADNPNSTKS SRTNFLVPFEPALNRYEDARHRVVEMHKHCLKTLGRSDITIQEYRRPRGLGAVLFTIC LTVFIAFSRRSNFQPDSALYSNVLGHFPGFATFCYKIQPLLISVMAGIHLSEAAYLAV YRLRPHSVPFGSRVWFLWVVNDFIEGFTTLQRFDALIEEKRALKAQHKSN TSTA_018290 MGISRDSRHKRSATGAKRATYRKKRAFEKGRQPANTRIGPKRVH LVRTRGGNTKYRGLRLDSGNFSWGSEGISRKVRVIVVAYHPSNNELVRTNTLTKSAVV QVDAAPFRQWYEAHYGQPIGRRRQQKTEATEEKKSKSVESKQAARFAASGKVEHALER QFEAGRLYAVVSSRPGQSGRVDGYILEGEELAFYQRAIRKTKTKLRPSTHQHHHPKTE SKMTKTTKTRICVISDTHTLTPHQSSNTHYAYRHPLPKCDIFLHAGDLTKIGRQAEHE FIVDMLKRDVDAEIKIVIAGNHDISHDRKYYSVKGVMRHGSARQENVDDVRALYTDES ARQAGIVYMEEEVRTFTLPKTGTKFTVYASPYTPEFGGMAFSYERDEDRFNPSSGPIS STVKQFVPDFPGVDIMLTHGPPAGILDKVYMGIMSVGCENLLKACRRAKPRLHVFGHI HEAYGAVRRDWSTDKDTEVEKEDIETVLENRCRYIDMSADSDAPLSFGKETLFVNASV VTLEYHAGNAPWVVDLDLPAA TSTA_018300 MPWRPLPRIAFAVAIYPFQPSSPADLPLELGDELYIIEQGGVNG SWFRGYLVAPPSLLAGLTSVKGQTLEARVFSGIFPRNCVEIREYLGDAEGRRALDSVD GALANGINGHQKGIPEDVVKHRQETADILEDFGYTQRSASAATYRSGSVPLTPSSVVA RNPNGPKPAAPVPMLKIGDETPTSYEEPLVDEIASCLREWHSTNLHELLLTRQYGVVE TMYNVVLDLDLARRQLLHNVLTSKEKSAVRQTTVWNLVRGNKMLTGEVIVRDPTQNGQ LLTGDDSAIELTKLQSEMSMFDADPRQTGDSSALRHLLLEIKTIAGSHAGPVTLSLYL STKADNGTLKPLSETYILEIQSADSFMALAHTSKLKTLFTELCAADVGDGAGVEAQLY LVVMVQSAELPRPSLPYTARTPNSRDGSMNRSPSNMHSVKGSVKGRRSMVWTAKSPRP GQPETIRETPPRSSDSTTNSQVENTTIKDATVIRTVGAGILDVAHIIRQNIDAEQVIT IWSPLGDDSEESGTDSEGFDELLRSVLPSSSGRYSKCYQASQLHLHFYPFINSDADAL IRNNPTLMHNVTQTRRMGFAAAPAQARSDIYLTISRARFPWPALLNHPQTGQVSVPVN TGFRNLQLTLEVRNANGSRLERCIFASSNSTGHTAWRTTVTDRNASWDQTIRLNIPTE QVPGAHIVMSIADAPEFPFALCWLPLWNQQAFIHDGPHSLLLHAYDKVTSSVDEEGRG AYLNLPWSSLHKNSSVPDESVTGPLSTVHVETNLCSTEYSQDQIILGLINWKKQTGNE VLELLRRVLFVPQIEIVKQLRDVFDALFGILVENSGSEEYEDLILNALVTVLGITHDR RYNLGPLVDHYTENQFNFPFATPCLIRSYLRLLQSYDTPQQSRNLRAAFKVGRHLLKF IINARQQQKLKEEGIGVTKVQPTFNRDLHSIFKSLDALMRNPSPVLVGTKTLVVQHFH TWLPELTNVLPKDEIIMIALSFMDSSKDIKGMLILYKLILIQNYTRLDIFTSGEDRDT LISCCSSWLDPYWGNTGEVSDQYRDQVRLCASIVAEFSKQPTPQLYTFMHKITSSYCA VVLEGVDETEYLSMLYSKSFPFQVKAAERKQRFDEALVELAGLMAALSNIQEPKLPIL KLEDLALFVFNSLEAQRSIISCEAYPEDWLSLHIYNHRAAVKNLEILSSILIRSFVPQ PDEADLFDMKLWELFFTTLLKVVSSDALALETFPEQKRRAVWKIGGDVREQGAILLRH AWEAIGWETSEDERVKYGLHKLGGYQVQYVPSLVPGIIELCLSVHEGLRRVAVEVLQT MIISEWDLNQDLSIIEMEIVTSLDLIFQSKHMNESITQKLFISELLDLFEKIEDSDEA LATDVKGLIGTIDELLELLVACNSGGITESLHTLRLMEFMKDMDREDIFIRYVHELAQ TQAAEHNYTQAGLALQFHADLYTWEPTRMVPALANPAYPEQSAFDRKEALYFQIIQHF EDGKAWTHALACYKELIEQYEHIVMDFTKLSRAQGSMAKIYESISKEEKPFPRYFRVC YRGLGFPPTLRDKQFIFEGLPNERMASFTDRMQKLHPAAQFTSSSETEDIEGQFLQIS SVSAHREIMHPVYQRSKVPHSVREHLLISVPVQFSYTSKRHTGGSNIKEQWVEKTIFT TAEPFPNILRRSEIVATEVVELTPLQTAIERTWRKTQELHLLEQHAISGDDSSLSNLT EALIQLLDLGAPHSSCVAIYRPFLASDDENDEARQEEDNETEQKPIDPLENALAVALI DHALSIKRCLSLYSRPAHQATQVELLARFEAAFGPEIASLAAYTQTPSPPQSANHSSN RSTPHANGHGSDLAGRSFSPEQELIRTSRSNSERRHTAKPSLSHRISIANPFKRSNHQ ASASVATVQQSQDLKQLADAQSSCQSINLQDRDDDAVTVHSRATSRGGKSEKRRSWFG GDAKPKQKATPSTIEPTEEQVDQQRRDRSRARSSTNKSTKSHDELSQSRTRQNRLESK KSTPVLPSGGWDSAPTALPRTSQSDHRPNTSEIFGYPDKSLAATVTISAGVSNNQSNS NNVNNNGSSSSSTSGVRDSVKKRFSLLKGINKKTSRANVRDGGNGILAESLREE TSTA_018310 MATIGGPPPRGYERPRRPEADARNENKGATGDGSTSRAQLFQDE KRRIISSCFSKQDSDGALTESYITHIRITEDAAYPTTPAPPSSPPENKKARLIIVALR KSGRVRMHKARENNDGTFSIGKTWMLDDLTSIQCFSDLPATTPTEQQQKQWASNVGFV VTVGKPYYWQASNYKERDFFIASLVKIYKKYTGGRVPKLIGFDEKQKQALIGDAGQAA LRPSTPSSQGPQPSQAANRAPSREGRNEQRPPLSEEQKLRSQRSRDAALRPSPSPGRP PQLPRVRDDLPSSLNSRVSETDRPPKPLYAETPQVVSKGRENSPANIRQRGPPQPEDE KVPYGVFSNPALDMAREPLRPGTSGSGSAPSIPPLTNQSLSLDNSMLSGTSSRGDAPP SRGSNVSNRSSRNLEDLPPALRSGSSSGIKNNVSTDSVNISREANEPEPAPTTTSSMP DAPLSQAVSETVESSPVPGSISETPESPLQVKAPVEPSQPSVTIEKPPTPPIPEETED SEAHRPGLGPMIKKKSGKDIAGAFRRAATAYGAFKPRPGGAGERLLAKEKTQTNEPDG ITSVVPAPLLRGLSSESTKPATPEPVSNQATPLQESPAQEPPKVEITRVNTDEFPPPP PEVTIEAPADQGPETVEEKIRSRSVSPAQERRRRRREDNIAKYCQALGVEVHIVEGTE GYFDEILTDLGWHGRLSDEKKIEDLEADIRREIGRVQATSWLGNIEQQEGKIDQLAKL IDRTIEECEELDGLLTLYSHELSTLHDDVAFIEAQSQGLQVQTANQKLLQSELQNLLK TLSISADDLRPLQEVSLNSEDGVHQTEMILSMLYKAMLTIDCDINQNKKRMADAAGDS SSVGVYADTEIGQMRAIREKKDQYRAESGYFLQRLRQFMSVAFKSSQQKRVNTSSNFM NGNLKFDSTGHNAARQDLWMYSALMLFAREVSSSEWVMLINLYEQDSKTPYQNELRDN LTAWKKAAKKSSGEESEILFTHHEKEKEGEGLTGAARKLTVRRGKTVRVQQGPKNIGE KQGRLDVFEVFTGALQETVKMISEEQNFIVQFFHLNSLTTVEFPDIVATSDPGERQIP DFSIKQMHDPDRDFAKRVEQVIDGIFSFWGTDIQNLVDWVLNIDQLQGVGVLAAVEAT ISEFEDTNQDFIVHTLQKLLNRLNGLFNRFVDQQIRGIEETKVKINKRKGVISFMRTF PHFSTAVENMLAVQSSSNFSDVRLAVNEAYNKINRAMWESLKFIAKEAPGQVGGATAG VGDPEDKEALNYHILLIENMNHYFEEVDVRGLPVLERWRDRAIQDYHEHMKLYMDAVI RRPLGKLLDFIESTESLLANSSNPTDIASRTSHSKPVVKKLLSSHDSKELRRGADLLK KRVEKHFGDADDPGLSRSLVVKVFNECATRYEDTYDRLMKIADSVYEGQVELDWSRDE ASSLFRR TSTA_018320 MASKKQQKLAASGKKSAEKTKAVVTEESTKQVPEDLLKAIVSFL NGHGYDSSSNALIKEEKQRSGKVISGSSKDAEPSLVTMYEAWKSSSISAKEDESEESS SSSADDVESSSESESESDSDSDVSMDDAPAPKKKSKSPSPSSSSSSSSSSSSSSSSES DSDADDESGPESSPPKPAGTKRKAEDSAEDSQASSKKAKKAKKVDVSESSSEESESSE ESSSDSSPEDSSEDSSSSDSESKSDSADSDSESETERKTLKEAAKKPLPESDGSSSSE SSDSEDSSSSESDSEPSEDDSKKAATTNDMSDSTDTIASTSTKSGQTKSQKKEADISF NSVPLPPDPLPKKKHTGARPTRLAAASALPHNHPSNDYVAYAYAERAYKDLSVTRGKG FTKEKNKKKRGSYRGGPIDIGPSKFSFKFED TSTA_018330 MLADLPSEIIYHIATFLPTASSLTRLSQTCQRLYKIITADDRIY RLFIRNRFPVLGDTPPFWKDVVQAVTSRSRALDRCAVVARFVVPSNTAKVVGIRDTQR HDRPTIGYRPTVDSYEVWTGERWQDREDVLAWGAAAEIALRIKKTGTGAHETWLRFND LEHVSSHDDVRGLHLLRPDHQSISAGKQHLIYARARGDVSHIAIDPDTATYHQQQSFA TNDTEIHSLDLSTGSDPILAVHSFDGNISLYKTTTKDPQVTPFAQLEGETEEGTPRHF VKFLAPSRLAVGHGKIADTIVVSDLAEDRISTHRELTFEDAYHRSLWPGRKARVGAIA PLGVESYGGGTHGEVFLAGWGNSRVRLHDLRSPKMFEREYIDIMDSNPIYCIQPLYDR FLVGSGSEAVVNIFDLRMHNYSHYETKPSPSTSCSYDAPPNEFSFFLSHHPPNVPRNR NYGTYRGPIYTMSSPSPTSSTVYTGVVDGVVRLDFGSTDDFLGDNTRNWYQDYLDFDA HDLSKNASTSNSARHRRQHSTTGEGILALSGYERPSPENKSASAKLRSQVPFWSLSEV DEIRERESGWDRRWRRLDENQSWRSRGG TSTA_018340 MAISYIQVPCILGALSLLIYKYIIYPAFLSPLARIPSAHFTAPI SSAWITWRRFIATNNRTIQAAHERLGPVVRLGPAEISINCVDGGIKVVYGGGFEKHEW YPRVFGSLGTVSMFTMTGSKEHATRKRMLSNIYSKSYLQSSPTLKLISTTMIYDRLLP ILETAASAKQAVDIHELNSAMTMDFVSAYLYGLQNASNLLQDVPFRKYLLHHYQCRKP FEFYYQEVPSLVTFSQAIGLPIIPQWCRDATQIMDDWNMDLCDKAEKSLASSDPRIEP TVYKQLKISMMKQMNLGKEDMAANSEKPKQQKIDIACETYDQLTAGHETSAVGLTYLY WELSKNPHIQEELRKELHTLSTRIDGAPVSEAAKQLPRAKEIDALPLLHAVIMETLRL HAPIPGIQPRVTPAPSSTLAGIHDIPPNVRVNAQAYSLHRNPEVFPDPESWQPRRWLK EYNSPEMEEMRRWFWAFGSGGRMCVGSNFAIQEMKLVTTAIYSNYKTTIVDDEGIEAI DAYTVKPTSDKLILEFERV TSTA_018350 MPDKDAGTPRVFIARHGETEWTKSGQYTGITELELTPTGETQVL NSGRVLVGAGKLIDPARIARVYVSPRKRAQKTCELLFSSSSSIDSDKVSTTERLAEWG YGEYEGMVTSQIRALRKEHGLDNERPWDIWQDGCEGGESAQQVTDRLDDLIKEIQSFH VNHMHGESGPADIVLVAHGHLLRAFVKRWLGYPMEFPLSLMLEPGGIGVLSYQHHNVG EPALFAGMAFPTPPSN TSTA_018360 MPAKGASTRLNPVRLQTIQHLRVRRPNQQQQNSCVTIMSSMLNC WASAGQGNEGCSGLEESLKACMDQRKDKTSNNNAVNYHLMRMFPKVSGPRKREGRLG TSTA_018370 MIRVPRSNFCCTQCTSCLRAQRRLPSITKHRRTLSASSSRKDEK PSNGSEPSESKQEGALSRRLAEMTEESLLEGGRSAHKNLQEAGFSDDLKRALEEKIKA ASFKSENAQAFSVLNTPTSAGKGTRDHAMAAPWRGTENVQDTALRMLDDAKKPIRVPF KIPNPAIPVNVNLKPGAKVRHSTGSRLASARDKTQTYALSQSSGLSDKEREEIRSQLT ARFEAGARSLPMTLSGLSSLANERIEDAIARGQFKNLPRGKGKHTTTDHNANSAFIDT TEYFMNKIIQKQEIVPPWIEKQQDLSREIDRFRQRLRTEWRRHAARIISSQGGSLEEQ RRRARGYAAAEARLLAKKKTEASLTSSGVDDAVVLPSYSQISHDGRLAGGPPRAVPVP STESAQTETDAVVVLEDTASSEDDSLPHLPPLRDPEYMSIERGWHELQIKSINDLTRS YNLQAPRLAQKPYLNLERELNSCYADVAPSLADELTLRATTPRKAPNTVQKPSGGLLE DIIQSNQKVRVYDEDRSKGYGLKEFWRDLWRREKAT TSTA_018380 MNGICGPCTLDLHASTNKTLSSGDSSNAQKHERLASRTVKAAAI LLNFTSSHLKSPITDRSTSSSSADFLPIPPMRTGNSSITSKPLYVEPLLSIKLMRFRD LTDRSSLLSTRLVLARPVATGDFSASIVTRRAEDSDKGQLPIQIGGIAASYVIFDAIV VFLILFVGRRLRRAAHTSNYSLDMVMLQPYNDPFAQSTDPSPISGYSVDTQFPSPVKP RGWNMSWTSVTKGHKAQGSVTSSVATVDEDVVSADRRRAQEQMEFLYAAVMEHDAKKA SAHNSPVMESSTTPIASPKGSPQFAETTTANQYSSFPLQATPLSPRQESVTTNKSRTS KRLSKLSNLSIFSPGLRSSNSNKLKSPRSVRDLPISPPLKSPDPVQTAAVTATAATFQ DSAAPMSPRIYNPGPPPTAPLPGTTESNQLPKFTLQPISTPSSMRANAPAPLSINSSN STLPFRQQFNPPMSAPHTKTTILERPLHVPGGPRTGMPTPYSPYMPFTPVTPLTPSRL VTKRDRKRLDKSNGLKVLHEDDMVKSDEEIWG TSTA_018390 MPLTILSGAQVRTLLLSLSRDDVLTLQHNLAEALREYSTGSQEE GCSASYQPHRTAITGADGGTTIFMPASTGKMTGVKILSSPLTASSPFGEKRGCTTIGQ EFANTRQSAKVSLALEEYSSRRSSSSLSADVSSMSLTPSNDGEGGGDDSEVETSSFSS SNAGSSSPRASKSYMGNVQQVSGKAWPAVGARDSSPRGTLTLVGQDGLPIGLISAQDL TAFRTALTSLLGFNKRKHVKTITVFGAGRQAYWHIRLALLLRGPEVKRVYIINRSFDR AAKLLRDIYAPGNASWRSNVKFHAFSSDFVEYSRLCREAVRKADVIFCCTPSLEPLFP AEFLTSTEGRRKGRFVVAIGSYKSHMTELHPDILKHEVKPPGHHGHHYHKHAQRGGVV LVDSLEMCLQEAGEIVQAGLGPHQLVEIGELMIMRHAARLGIDRQKEESEQSMQRWLE SGNVVYKSVGLGLMDLVTGGDLVDIARKRGVGTFIDDFE TSTA_018400 MPSSTCHLPNGHTFSVTPVFGGVTFKEIDACVHNPAFPPGWTVV IHTEKLIEEDHANEERKSHEENNTNDNDNNHDTNAEKTTASEPKVRISAFRKPTLRND CIFISSINDPSDFKTPVSPSRQIAMMLWATLWWYFHEPEPDLHLLTEASAGTAESGRP KGEWRVNIKREGVFKGRNLLQKLERMGLIANEDSSVGIEPLDARNPAHCSRMFASRRS FWQLDPRIFIFTSTPANISPGNTGSLSPVTSPYGSRPASPNLETLRLVDASLTASVDN PPPIHILNTISGPFHSGSHLPTYFPPAPTQYIFTNGVRHPLRQRPPHQGETFYVRYVP SVGQYLSFRVPILNTVKTSGLHHSHSASTASCRGPISPSQGSSDVDLIHKWMNVPRVN AAWGEAGSKSKIEEFLKQGLNSRHSFPVIGCWNGKPFGYFEVYWVKEDRFGRLLNSVG NYDRGLHVLVGEDEFRGAHRVPIWLSSLVHYCWLADPRTETVLLEPRVDNQKLISYLL ELGFYKEGEVTFPHKQSAVMKINRDSWNQPAL TSTA_018410 MEHNMNTNFNTKMQSYNRDALNEFVMLPVSREMITHLALQTSKV IRCEGHVTVSSMNQHGQPTPPSSPPLDPADNLPPLPPLEVFISSIVQRSQVQVPTLMT SLVFLARLHARLPPVAKGMRCTVHPAKNLNDSSPKNKHWARYTAVRGYPGFGFSLAEV NLMERQLLFLLDWDTRVNEEDLFEHFEPFLAQIRRQYEIAEQKEAMAQHHHHDWWRLN ASAESLAARLRRQKQEMRGEIGTLSQRKSQYLTTSTTTNQQQPHAVNRNSPLSMVEDS DRYSPYPRHRTSLYRSANRSISPPSVKDVPALSRTETSNSLAPSSRSSSVAPSVRSTP GSISTCSSSVDEVMVVDGNASPASSALNYSYVNVMKSQPKQRPSIPAMSQQPSKKIKT SNSLGGSGGGLMARFFASAAGAYMNGRISRTAA TSTA_018420 MANAKSASSRGKGTSTLTVLAVVLAAFAALFYYVEQHLDSFYIF DPAHLHDVSQRAIAAHGNDTRAVVKYIVSELDEKLEGKHLNFDEEWVFNNAGGAMGAM YIIHASITEYLIIFGTAIGTEGHTGRHTADDYFHILQGTQLAYVPGSYEPEVYPQGSV HHLRRGDVKQYKMPDSCFALEYARGWIPPMLGFGYADTFTSTLDFPTLWATSRITGRE MIGNLLQGKL TSTA_018430 MKYSALTWVVNSIRLLLHLLVTTSAGKTFLASVFLFTLTFGWCK VRFWRDPHSAFFDDTHVYDLKYSLTREHEARQFISAYNAQTDGPPALKASPTPVVCVA VTTVKRDGVNYLDASVGSLLAGLYPQERSALSVNVLFADTDPRKHPSWEQQWLNSLVD SAASYNVSNEVMGRLRELEESRNYYEKGVYDYVYVLERCLQTNTPYIAIFEDDIIAAD GWLAKSLMGLSTLGSRIGSEKTEKDWLYMRLFYTETSLSWSEDDFWYRNMPLAFLLMS SATLVILLIIRRVSTSARRFLNWPTIAVICLVSVPAFTGLVYMTGKYNVMPLQGVVEM NKHGCCTQGMIFPRDQVPDLIRWLEEHKSGQTDTLIEEYADSTGLRRFAYAPPQLQHV GSRSSRDNLDINTRSTWAFWFEENDPRVLAREHESLVQGGRVPWI TSTA_018440 MAPTLCFNCQTSRAVIIRPKNRHKLCKSCFISIFESEIHETIIS TSLFKRGERIAIGASGGKDSTVLASVLKTLNVRYDYGLDLVLLSIDEGIKGYRDDSLE TVKRNAKQYDMPLEIVGYDELYGWTMDQVVAQVGKKGNCTYCGVFRRQALDRGAARLG IKHIVTGHNADDVAETVMMNLLRGDLPRLSRGTSIVTGQDSTDMKRSKPLKYAYEKEI VLYAHHKKLDYFSTECIYSPEAFRGSARTLIKDLEKIRPSSILDIVRSGEDMALLVPA EVSGSGKTQASSTVDADDYSTGGCGNHNGRSSGGEMAAMEKQLAANEAAEDHEIEITL PKPQSSNRPRKAPQVKGKMIRVQNIGKCERCGYISSQKVCKACTLLDGLNKNRPKTSI EVGYEEEESSSTLMRQMEIVQLTSVINDQDVRLSLNSTAPYHLDGRPAQLSGRCFQPP SLYRDADEALQVYPSRFVKGRTDCNGPT TSTA_018450 MNPASSRRTSSPGVVSSNSPLRHQQQHQSSALRTTWRHSAGSAD AFSSFLDMDEETDDAASRSRPASSENHAPEKQRIYETTAPSDPGVTFEELIERLIALP MSKQDAKFSAIFLCLYRKFAAPSTLLNALIARFETTEQSDLPQLTRASEQLRLLQVIA QWASEYPGDFAHPKTRQRLVDFVESIEDSHVYMFAAKEISLHLENRVEDDDLGWPFRD GEDGESSEGTGSSHLSPSTSFMHSSFSENVINNISTLDLSDDPTNDPARDSGTISTVS STGRSVSTMTQASSAMLALENAQREAMSLELTARCVLTKIQWRQFMEIPDDDFARELT RIDWTMFTSFRPRDLVRHVSLSGSEKGSSKTLQNVNRMIQEFNHLACLVANMILLRDK AKHRAKAMEKFMNIALRLRRLNNYNSLGAVMAGINGTPVQRLAQTRELIPLSVQKDFL RLVILMSTQKSHFAYRLAWDNSFGERIPFLPLHRRDLVSAEEGNKTFVGENKDRINWK KFEIMGEVVLAIQRSQRTPYPYIQRNEEVQRLVLDAKMFDEEIENSEPNKNKMTDLPL RARQLYRALLRELPRRHISLPSSSSTTSPLKQRFRTQFERSTTSIHSIQRVEQFVQYA KAQRMYATLLARYNPGMDMDQEERVRLTARRVGMDLPVENRFDAEREKEKGGVFESTE KGEEDDDGGRK TSTA_018460 MPVCEVCSKEPSKYKCPTCGLLSCSLGCTKSHKIYCAPKQDPAA EDSAENAQPEDPGNLAKQEDNTTQSKEQEPLPKAEIQKLFAEYPNLRSRLREIYKTTL EEEWNATAPDSKHSTYHRGDRQAHNHHHHHNNNRGTWTAEKGFNRGVAKIRRWREGCE GGECTDADAEGFVKFMALVAGERGG TSTA_018470 MSAQQISRSLLRRTVQRSQNLTATIDTARFTSARRSIHYARSSI SAITPSQSRRRPVLHPNHQTSNLPKINGPSTGNKRSIFIQTESTPNPDALKFLPNHRV LPENFPTPFLEYLSPRSTLAPPHPSPLAAKLLNVEGVSSVFYGPDFITVTKQSDVNWA HIKPEVFSLITEVVTSGEPIVNTVERTSGAQDAQEGGGEDTLSYNEEDDEVVGMIKEL LETRIRPAIQEDGGDIEFRGFENGIVLLKLRGACRTCDSSTVTLKNGIESMLMHYIEE VQGVQQVLDQEEEVSMHEFAKFEEKLRQQKGPGATTSSPLDSAPA TSTA_018470 MSAQQISRSLLRRTVQRSQNLTATIDTARFTSARRSIHYARSSI SAITPSQSRRRPVLHPNHQTSNLPKINGPSTGNKRSIFIQTESTPNPDALKFLPNHRV LPENFPTPFLEYLSPRSTLAPPHPSPLAAKLLNVEGVSSVFYGPDFITVTKQSDVNWA HIKPEVFSLITEVVTSGEPIVNTVERTSGAQDAQEGGGEDTLSYNEEDDEVVGMIKEL LETRIRPAIQEDGGDIEFRGFENGIVLLKLRGACRTCDSSTVTLKNGIESMLMHYVSY TTPVISWTVSLTLR TSTA_018480 MAAGTDTPILPSKAAANSASGTSASVRLEKGKPSIRRSTPDSEA LTSSDDEFEHLRQVTTAHTAPMPKPTRRTSWLNEVPQNLPRKASLTTAGTVVSPGSIP SSSGAEQQGWGNATSPSLASSMSWGHGSSFPWATGIWTSETRKEPPSRLTELSQSPTM PSMAVTTSSAADEILSPTARSMAGESSIPFTIPLHPTPKTYRSQSYSVGQLDPETMNL MSTKTTNHFNGLRQRPGNQAGGLQHRTSRPSMLEDLGHDPALLGRVREDDADDEDNAN DLNDGQSYTSSQARTIEQLTRENALLRQAARFDGARVRDRAVSSASVNSNYSLQNGLH SLHRIQGSVPEESDLAVEDLDELGDIPGYGGLRNSARRRLSEHSVNLERQFAAFGSVE NRPLENLKRAHWQTSLGFGSFGELPQSRRHSFADVPTRHGSVGSIGETPSQLGSRTGL HDREDNFASALEGALATPTAENPSYYSSRDSLRGDLPLHQAYNVPSAYGRPHSGLSQP HQNQRLYIVTFKCHRADVFYIQEDTGLQVNPGNLVIVEADRGTDLGTIQHANVTWQEA RELKEKFAEEHYKCLMMFSRQNQNGASSVVGSSLGGRSAVGGMSPTGTHGTHEPQSTE IKPKLIKRLAQNHEILTLRDKEGNEAKAKRVCQQKVVEHRLNMEILDAEFQMDWKKLT FYYFADSYINFNSLVTDLFKIYKTRIWMSAINPASFVTPSAGLQPPGVGALGYSQEPP IDRRHPHESRNYNLSHLSQAPPNIRDALERGDFAGGNAMLRNTYVDPYQGLGSGARQH DAGFAQSNPSHDPFAPISPNGYGFLDPTGPDYLRAASNNQRSEHPQADWIGGFQGLSL NS TSTA_018490 MGALKYVEELQKKKQSDVLRFLLRVRCWELRQLNVIHRASRPSR PDKARRLGYKAKQGYVIYRVRVRRGGRKRPAPKGATYGKPTNQGINQLKYQRSLKSTA EERVGRHAANLRVLNSYWINQDSTYKYYEVILVDPQHKAIRRDPRINWIVKPVHKHRE ARGLTATGKKSRGINKGHGYTKTNAGRRKTWLRHNTQSYWRYR TSTA_018500 MPPWPSRILPSTSSPSSHSNSVHASPLPQPPPSFHRNPNDVDRA AFNTVDYNVPVLLPTTSSTSIFRNQRRSHARSSSQPFPSSLMNPHGLRKPEKKLTKRD FGLDLDFDDDDDDDYDDYNATVRADHLRSTSDDMATGKCMTCNSTCRWPRHVQVFRCT ICLTVNDLEPRPATKTRDHYNHVEDDDHPPPPPPKDGSPEHPPPAPISINETKRIIEN CLVRFLSSRLCGNQDAKFVRPEQRYRENSNRRPSTQTDEKRSARSEIPTGFTDTRFLS PPSNGAGYMERPDGRTMRVRSSSDTPPMSRRRGHSAQGRESSPLRLNTRRPDSRGRSE GSRPSIFRLLEEYIIRSFTGCDCLNNSFMTASALRSMSNAGIEQPRQPVQQHENTPPP KSPTSETVMFPEIDPKMLLLGDLAENSSWWMGGRPRRQDIKVAGPKKREKSPLRSRSV VTTKSPRIDWEGVAEWYQLVVHVGENWREVWNKLVSAEQEHGHEGEAKPNSAVDIGLI DREIAEARVHAQKTLMKATEILLKRPRRPLRRPESARFLLILLANPLLVYTSNSAPTG VDFLSPDAVAGSQRSIPNFSRRYGSSERKTGPPSSRSQSGSLGHHSGIIKRILGLLGN LPNDCHHYLVGWFSRFSKHQFEMLVGLVGRFVTYRLSRQPGHQRSESAQAINELVPNI PAGIESSPAHWHAVLHDSRSSNQANEDNGRRRMIYNTEDWQIRAAARVMALLFAANNH VPHSIHKRDALLPQDYNAATAPLISQHRQSGSTMIPLSTFYNTLLDFSDLVSDFEAWE ARTARFSFCQYPFFLSIAAKSRILEHDARRQMNIKAREAFLDSILNRKDVSQYLNLKV RRDCLVEDSLRGVSEVVGAGSEDIKKSLRIEFIGEEGVDAGGLRKEWFLLLVREVFDP NHGLFVYDDDSQFCYFNPYCFESSEQFFLVGVLLGLAIYNSTILDVALPPFAFKKLLA AAPSTNMPASAQRQPHTSSLDDLAEYRPALAKGLRALLEFDGDVQETFCYDFVAEVDK YGQHISVPLCLNGENKPVTNDNRHEFVNLYVQYLLDTAVQRQFEPFKRGFYTVCGGNA LSLFRPEEIELMVRGSDEPLDVPTLRAVATYENWPKPLPPLPPSGTDHTYNSDNNNTE TEPAEPTISWFWDFLARSTPTNQRKLLSFVTGSDRIPATGAASLSIRISCLGEDSSRY PIAHTCFNKLGLFRYGSRQKLERMLWDAICNSEGFGLK TSTA_018510 MSLIHFILAAGSLPALIFGAPVPSLPSRDVAPAADIHSLDKRAS YTVFGGNGQISDGWPAVHDWVSNFDDMFNTNKNIMFSSCGNLQGHPENDSEEEINDIY NAIQSVSKSSGVDARFILAIVMQESNGCVRVQTTNYGVNNPGLMQSHNGKGTCNPGTP ISPCPSDTILQMITDGTEGTLDQGANGGPGLKQLIEQAESKYHATDATKYYIAARLYN AGSVPDNLNLGAAGATACYSSDVANRLLGWSSGATQCNGNIIGSLTAAQGSFTPANNS GSSSSSPSDTPAPSSTAPATSATAAPTPTTTTTPPAPEPTETTPPPPPAPTPATPTTT TTPPTPPSAPASAASPTATGPVYPGAVSNCKKYVLVMSGDYCLEVEEDMGVSASQFLA LNPGLDAACTNLWLGYEYCISTST TSTA_018520 MSAHKNIILLIADDLGREMMSCYGSKSIKTPHLDALAASGSRFD LAFASTASCSGSKTTIYTGLHTHNNGSYGLNHDKNGFKTHPDIETAPHIFNNIGHKTG ILSKVHVGPDSQYSWQVRYESDSRNVAHIADKAREFMSDAIAEDNPFFLTVGYIDPHR HVPHRGGFGNVEGNYDPRLKDRTFSLEDVVVPRWLSDLLEVRQEFCEYYRSIWRLDQG VGMILQYVKELGLEDSTMLVFMSDNGPPFINSKTTLYDSGVHLPFLMRVPGRTTGIAN PNMISWTDVLPTFLDWAGHPGRQPGKGFWGPRRGRSFLSIVDHTEVDETWSCVFGSHT FHEVTNYWPTRYMRDRWYKYHRNVCKPSMIGQRKLNDYIFRPPEELYDLETDPLEVHN LVDDPVHRGKLLEMRAALEQWQNDTEDLWLWRDGTSVWMYRVQGYHREGLRIPDRFDF DPTNPGNRDLGMPIVELDTSKITKTPV TSTA_018530 MVVIYTSTTVPINTPKDDSVRLTSAEFWKVLEHKCRNPVSFVKA ITSSRVVREDHDQQTKQVTGLTRMVTIDGVEGEIEEVAILKRPVMIAFTIPSTGTSIT NILSQGVEEGEMYMVSTYEWHHPEVEDGSEEHKKLMDLYWRLSSETVKHTVAVARQMK SEGKLTI TSTA_018540 MRKAPSDCAADPAADPAFTKHGLYQPILSNKMSPLQIALILGST RPNRISPLVGNWVKTILESSGTSSPQFSILTVDIQTFDLPAFNESVHPALINDLSKFT SQSARNWNHEIAKYDAYIIISPEYHAAIPGSLKNALDFLYHAWTGKPAMIVTYGIMGG TSASEGLRVILENGFKMKVSSFAPRLEFPGRDPTKNNSSQALIEAMQGKLSVETMKFW EGKKQEIFQGFKEVLEFVAK TSTA_018550 MTCVPCRTRKKKCDKGIPGCGRCLRIGINCRYDKPLASTEDGNR FGGEVLPCAPLNIPRYESPRILEYTSNLRLSTWTDFIEAHLTNVTLATLTSRGRGLRS ACLPYLETLNEWLPVVLPGEVDDSISSILSSQDSESSLLLYSCYLLSQICGNEPLSNV DELYFRCKGFFTLLVSQRRNSEKLVQIGLLLSLYEYLQAMIDVALTTIASSTLLADHI GLFLERDGGGHSTRLTSSKRIWWSLFILERVIMAAAIDNPVRCGAFTCPAPPVPPFPV TKEQRADPFLSESHTLIPGNLYLHIIDDHTAEDDLQLEYFTRLVQACYLLELALLDRW AHPLQPSSSDHCIDLDRKLTTFASAVLQEGEAGRGKNCSSLAICVNAMIILHEERPID VCPALTVAIQMVLDRIKQFPTWHYHYAAIIPIWSHHCFYLGALSCLRYTERSDRLFNM DIVESVLHYLKVYSNRWKLSVQFYNYLYQMASNVSAYIPW TSTA_018550 MTCVPCRTRKKKCDKGIPGCGRSRCLIYHRIGINCRYDKPLAST EDGNRFGGEVLPCAPLNIPRYESPRILEYTSNLRLSTWTDFIEAHLTNVTLATLTSRG RGLRSACLPYLETLNEWLPVVLPGEVDDSISSILSSQDSESSLLLYSCYLLSQICGNE PLSNVDELYFRCKGFFTLLVSQRRNSEKLVQIGLLLSLYEYLQAMIDVALTTIASSTL LADHIGLFLERDGGGHSTRLTSSKRIWWSLFILERVIMAAAIDNPVRCGAFTCPAPPV PPFPVTKEQRADPFLSESHTLIPGNLYLHIIDDHTAEDDLQLEYFTRLVQACYLLELA LLDRWAHPLQPSSSDHCIDLDRKLTTFASAVLQEGEAGRGKNCSSLAICVNGYSDGTG PYQAVPNMALSLCSNNSHLVPSLLLPWCPFLSAIY TSTA_018550 MTCVPCRTRKKKCDKGIPGCGRCLRIGINCRYDKPLASTEDGNR FGGEVLPCAPLNIPRYESPRILEYTSNLRLSTWTDFIEAHLTNVTLATLTSRGRGLRS ACLPYLETLNEWLPVVLPGEVDDSISSILSSQDSESSLLLYSCYLLSQICGNEPLSNV DELYFRCKGFFTLLVSQRRNSEKLVQIGLLLSLYEYLQAMIDVALTTIASSTLLADHI GLFLERDGGGHSTRLTSSKRIWWSLFILERVIMAAAIDNPVRCGAFTCPAPPVPPFPV TKEQRADPFLSESHTLIPGNLYLHIIDDHTAEDDLQLEYFTRLVQACYLLELALLDRW AHPLQPSSSDHCIDLDRKLTTFASAVLQEGEAGRGKNCSSLAICVK TSTA_018560 MASVPKTMKALQYSKPKEFKIVEIPVPTLRDNDVLIKVKACGVC GTDLHIHEGEFLAKFPLVPGHETVGVVAAVGPKVKGFEIGERVVADNSELCGECFYCR RGEELLCEHFEAHGVTMNGGFAEYCAYPAGRVFKIKNLSDVDATLLEPASCAAHGLDK IAPKMGSSVLMFGAGPTGLVLSQMLRQNGGCHVVVAAPEGLKLELAKKLGAGDEYIAL SRSNPEVQFEKLKSENPYGFDIVVEATGSVKILEDSINYVRRGGKLVVYGVYANKDRV SWPPSKIFGDEITILGSFSETYKFPAAIDYLDRGKVKVDGIVNKTFKLEQWAECLEAM RNKSAIKAAITFD TSTA_018570 MVKPLSFKGDKKTKKRKHRDDDSRPPTTSTDLVTQQQQPDEQSS TTQEDQTWVSADVPTDINGPVIIVLPSTPIPTCIACDANGAVFASELENTIDNDPRTA EPHDVRQVWVATRVAGTEGVSFKGHHGRYLSCDKYGILSATATAISPFEMFVAMQAPD TPGMMALQTRGGEGESDTFITISEKPSTTAKKNNDNDGDQKNRQIDIRGDASTITFSS SLRIRMQARFKPKLKASRESKAKEKISRKELEATVGRRLEDHEVKRLKKARKEGNYYE EVLDVRVKGKHDKFAS TSTA_018570 MVKPLSFKGDKKTKKRKHRDDDSRPPTTSTDLVTQQQQPDEQSS TTQEDQTWVSADVPTDINGPVIIVLPSTPIPTCIACDANGAVFASELENTIDNDPRTA EPHDVRQVWVATRVAGTEGVSFKGHHGRYLSCDKYGILSATATAISPFEMFVAMQAPD TPGMMALQTRGGEGESDTFITISEKPSTTAKKNNDNDGDQKNRQIDIRGDASTITFSS SLRIRMQARFKPKLKASRESKAKEKISRKELEATVGRRLEDHEVKRLKKARKEGNYYE EVLDVRVKGKHDKFAS TSTA_018580 MSLNPHSQAVDYFRKVPDKPAPLVCFLSHVHSDHLQGLESLRSP FIYCSAVSRELLLRLEKYPHRMNFSKGILEARKQHYGHLAKILRPIPLNTPTEIELTP LQRIRVTLLDANHCAGAVMFLIEGQGKAILYTGDIRAESWWVNSLTRHPALVPYACGL KTLDNIYLDTTFAVKSNIYRYFPSKAEGVKELLEQVQRYPKDTIFYLRAWTFGYEEVW QALSAFLDSKVHVDRYQYSLYKSLASRGNGTPGFDTTTFLCGFQLGNEFVPGCLTSDD SVRIHSCEPGVFCKTMKSKKSVYITPIVTRDNRTGIEVPEIGAGGGKRDLYQIHELEL PDELTLRKLESLLSEHIKDESALSQTKELLRLAFMSKHRALSLDEFGLADDADISLKD LASMLSTTRGTGKSRSFEEKYPDITTITFPYSRHSSYAELCELVQAFKPKDVFPCTVD GKNWNESVSIEGLFGHLCSRKKFVHDRHMRGARGEDILAHESKRARYTIMREARLQLQ AMGDKVQFDIGPMPRDANEVLGEESQSSYQTTTHSSSEKFTREDDEVTVGSIIHTNDE SQTDSELTTTEAKRESQQTISDLLGDSQEAGSEAYQNRVRAYRAALKGTWNELSLVSA GENHAEEEVEL TSTA_018590 MGKLSLTDHDAVYIGSSHWVTILEEIRSIKDDLSDEQSIGPISR ESTPFDAGFTRGAPPSRISLLASTTSFSREQILARMPPRKAVDRLVGQYFNTVDLSPV VLHRDTFLAEYANFWRDPSIVPIMWIGLLFSVMSMSAYLQQQDNEALGATSVESNDML ETYRALTIYCLVAGDYLRPSRYTIETLTLHFALEQNVSVDTYVGNWILMGVVIRIAFR TGLHRDPSHWPNIRPLQAELRRRTWMALYQMDFFTSIQLGLPRIIKDTQCDTRPPLSL MDSDIGLESVDYPPERPSTERTPLSHLIHRHAIIKVTAEIYEATETASPSSATRSILS AKIEKAVDSIPTWLRHKPLESSIAENPITLLSRINLDILIHKAVYLLHRWSFIKGSTG EESTKSNELCINAGLAILDHQRRINEELQPGGLMFAIRWRVAHVLNHEVLQATMILCF ALSRFNESITTNPYAVYRRNDILDALKVSKGIWKEIADRSVEAQRAATTISSVLNNEP ESSKFPGLVPSDGLFEQIPAISGQDYMSGFEFGNDMALDPSFLQIYDDVTFAGMLDEF VTEQS TSTA_018600 MLAPERKANDDFVYHSGLKFALLPAYYLPVWFQAIKGDSAVESG IHILPFILALVVATVLTGVLISCIGYYTPFLILGICLAAVGSGLLTTLGVKTSAGKWV GYQLLYGFGLGACFQTPNMAAQTVLPRNEVSIGASLMLFGQTLFGAILVSIDQNVLDG QLANRLAGIADITPQQIENAGVTGILAMIPSEYHEAALEAYNASLRVCFQVAVVVACL ALLGGLGMEWRSVKETHTPDDESATEEGREGGEKDGKVDDGKEEV TSTA_018610 MHKPSIAEAVYNATFPRPKPTDPTSFSVHIARNLVPEVRIETQA FYGLLDSIEAQYPGLDYSVFDELGLTKAEIASLCRWEGTLSARQRYEKEEGIKVRDTT ADSVRPATPAPTPSARFHTETEPSTPADGPVTPDECVQDLLPPVTTHQKHEEDGDYSD EEMESYGVQLNQRLMYATAAREQGHNIPLDEDWEQWLKEARERGSYDMMDVVRTGQPL ETRSNGPAASMPALMNQLAIAAQQPVSVHPGAGYRRPSTNPSGVAQ TSTA_018620 MIKAIFYGRFDTQEGPKVVHQVPDGAIVPSPTASPQQAPFFTFS DISFFVIPRQELCGNLLQVCTNGYRILGYPICMKSAQYDRNEFIFNFCFVLAEEEDFS KYKSIVQKLADLMHGLEEQSCFLSRDFSKSGEGKVYSLCETLMEDLNSYSECMIPIDK LNTLNFKLFPVYPAPPHVKAWDVPLFTVRYEAFLDENWDLTLQKIVPYINGVNSVRII SILADVELSLAYKAIRHLIYYGCVFLLDIFSFSAIYAPTASFHSSIASDEGMQQECAR YVNTRFAPTSSLRMAPVSPSSSDYRGDLSGSHHRLEDMDDNIWPIIGDTDDDDVHSNN YNTHRNKGGSSFLSETRQRPRKKRKHLDGVGIVELYASLRQGQSVKQWYTLYARQLGN VDIRRFITFGIIKGFLYRVHKYAYGPRPEGFVYTSSHADIKSLSKASSVKGGISIGSG LGSSMNSAGGATTAGEDALVSRESSIRYGRIDDQQQQQAGAMSGSYQSTNNTHSNRSH NSHSHTNSSNNIRLGSAGTSSFDHNNNHPLHHHHDDQQNSENHSTASEDLDIMDGEES KEREGGTAQEAIRFHQNLKVLEKYLDGQHCFDEICTELEISERELTARLRMVPWVVHI IHR TSTA_018630 MANKSKGKGRDSRQSRSRNTTPSSGLSASTATAASTVSGYLDNE FSKLFAGVTVQYSDILEQSGGGGSIPDIKTLELISENLKTLSQLADTRGDTCNAGMRE LSQRKKDVIEDQREQEIERDAEDRVKMKREADDDEEPSRASKGNKLKKRKERPPKEDR PLAVGAHEVTRQDGGELGDRKRSREATSPSSKKSRHAVATGSTSSLSPPSLASPTIKD EEAAAGSFEEDSDDSHQPEPAQLVPQFQVFGPNPLKFDDPTIYHIREVTPETTDEMKR EIYSVAHFPPSDLSHMMAGTPPDKDFSNAKPTNQVNANTFLTWVDPFVRPLTEEDIAF LKEKASGDRTTPFVMPPRGKKHYTEIWAEEDGAMNIDNPNEKDKLPLNQGRGNIDQIT DEVAETDKVSVGPLVSRLYSLLRYEHRVDPDENNTNGTTNGDTSMNGLLGGDSFMDLD HPLGESESKPQPQPAATSFPDASPNGFKVPAAKLEHAQLDERLKAELRYVGFLSADDN PDFDAHYDDDIAQRLRLLQGELKKQMLINGARKTRLLEIAKERMAYQEYSTIHDDLDS QVQQAYLKRTRTLGKSKKGSQAKHRPGGAGGGSHVVSAAGVGRPGIGDMARTLMDRRK RWRDCIGPVFKDSKTTVPSKEESIFDPVTMAEYEKAELEGWDEEQE TSTA_018640 MSTTAVTLFAGPGTLHCAPSLHNGNGNILFNYVIDGTIRTLSNT NSPTQQSFKGLLYVPDFDTKDTECTNITAPYIPQNATRLTDLPFTKNTNNTTIALAPW VTRDCAQAYLTASQEAKVEALIFYHPESRDSVKPPAADNSMWDLGDNGQWKKEYNYPV YAVSGPAGTNLMHQLSQYSGRNNGVGGRNESEVQAQSIEDCARLYTLIDLEPEQSSTV PGIWVFALAILGMIILVMILAYIALRHLRRKRRETLQRRLVSGEIDLEYLGITRLVVP PHILSSLPVYVYPVIESEPETTPEKTEEEKNKTQESQNTTMTIITETQDETLTSILEE ITPPAPTLGKRKRRHHTLTFSQNTCAICLDDFVPGSSLIRELPCTHIFHPECIDTFLM RDGSTCPLCKHNVLPGSFIEEQVSGLLVRREERARRLRRYRRRSHGENPVGSPVDTSP SSGGVMDRFWPWLHRDYENGRGVRSRPDGGGEVSETDLQVQRPPPVGIARGSEESYGP ERREMMQRRAMVLLGTPVTNRA TSTA_018650 MGSLLEVYEKCVDTVVTNALIIDWTGIYKADIGIKDGMIVGIGK AGNPDVMDGVHPDLVVGSSTDVIAAEGKIVTAGGFDTHIHFICPQQINEAISAGITTM LGGGTGPSTGSNATTCTPGSTHMRQMIQACDTLPVNFGITGKGNDSGKESIREQAIAG AAGMKLHEDWGSTPAAIDTCLEVCDEFDIQCMIHTDTLNESGFVEQTIQSFKNRTIHT YHTEGAGGGHAPDIISVVEHPNVLPSSTNPTRPFTLNTLDEHLDMLMVCHHLSKNIPE DVAFAESRIRGETIAAEDVLHDLGAISMMSSDSQAMGRCGEVILRTWNTAHKNKEQRG VLPEDEGTGADNFRVKRYISKYTINPAIAQGMGHLIGSVEVGKLADLVLWTTENFGTK PKLVVKGGMIAVAQMGDPNASIPTVEPVIMRPQFGTFVPSTSIMFVSQASISQGVVQT YGLRKRIEPVRNCRNVGKKDMKFNDVMPKMRVDPERYTVEADGQLCTAEPATSLPLTQ QYFVY TSTA_018660 MQLIPKEIDKLVISQLGLLAQRRLARGVRLNHAEATALISSNLQ ELIRDGNHTVADLMSIGKTMLGRRHVLPSVVSSLVELQVEGTFPTGTYLVTVHHPIST DDGDLEKALYGSFLPVPPKDAFPAANPADYEPGNQPGVVIPVKNSRITLSEGRKRIKL KVMSKGDRPIQVGSHYHFIETNPQLHFDREKAYGYRLDIAAGTSIRFEPGDTKTVTLV EIGGNKIISGGNFLASGKVDLTRTEEILTRLQQAGFAHEPEPTADAGLISPFSMEREA YIRMFGPTTGDLVRLGATNLWVKLRKILLIRR TSTA_018670 MSRKDESKAKDAFVTVNVDEFSRARDKFIIGLAGLQSAVSDLSR FYLDHTNTILNRGPVLAENSLTSGLAGGFASSLFENALLGSAVAQHALGQDAGKSETG EKKKRKRAPHDPNAPKRALTPYFLYMQHNRQLIANELGPDAKPKDVADEGTVRWSNMS DEEKAVWKKLYNDNLAVYRAKMNAYKAGLPIPEDDNVKADNQLQQSVAAAEAEAEEET DSPSESESEESDESDIPAKEPTPPPSKRRRGGALKTPASPVSTKKASPEKKKQSTPPK KDTSSRKSIGGSTDGKRKSKKRKSEVGGDE TSTA_018680 MTPKLYKEEEELIAKALSAKLSREYGVSRKKLSRRWHGLPSRST RPPTRRLLSLDQEKALILWIEYLDNIGAHPTNQQIEESANYLLGKDFRGPGEPPRAGK NWVHDFIKRLPKQYVRIVQKPQEKERTVAEHYGEVERWFIDLELAIQQYKIRPQNLWN FDETGFIVGQGKDEAVVTAYPKTSKRVSSLSSRESITIIEGINAEGKIIPPLLIPKGK VHLEEWYRHIKDDDWLVAPASNGFITDEIAFEWLQHFDHFSRPGAFPDWRLLLMDNHT THLTIQFVQYCEIWHIRPFRFPPHSTHFLQPLDGVPFQQYKHVHGRVVNKIARLGGFD FDKNDFFEELRDIRIKTFTTRTIRHGWRERGIWPLNPRLILDTMLQPDEAFEALVAEG DALKIYGEADDTIPSSPTTKSISPPSTAVKLRRYVNKIEKID TSTA_018690 MFARSAFRLSQPARQSFRKYSTEATAKGNNLKNIAFVVGALGVG TGVYRYMQSKPVTAEEIKERDKVFTGGDQGFVDLKLSDIEILSHNTKRYRFEFPDKEA VSGLHVASALITKYTPPEGKPIIRPYTPVSDEDTPGYLDLIVKHYPNGPMSSHLDSMN VGQRLDFKGPIPKYPWQPNKHNHIAMIAGGTGITPMYQLIRAIFKNPDDKTKVTLVYG NVGEDDILLKKELHDLENTYPQRFKAFYLLDSPPKEWTGGKGYVTKELLKTVLPEPKE ENIKVFVCGPPGLYKAISGGKKSPSDQGELEGYLKELGYNKEQVYKF TSTA_018700 MGRLNEYQVIGRHLPTEANPTPKLYRMRIFAPNTVVAKSRFWYF LTKLKKVKKANGEIVSLNVITEKRPTKVKNFGIWLRYDSRSGTHNMYKEFRELSRTDA VESLYQDMAARHRARFGSIHILRVVEIENNESIRRPYIKQLLTKGLKFPLPHRVPPQS GKVFAYKRPSTFA TSTA_018710 MASVFDDFKAAHTLQSGPILAAALTPAATPEDPHRLLNFVRVTN SANSEGDIRHHLLYDRHTGVKLSKQQGNAWVSVVNAFWVTAVELVKIEEYSPRGSWLK VFEAWKEFVNQLIRGYSNNHFPSWTIPCLYMAGKYLRAFAIKADASDASQSAGGYGNG FQDDVVSAEKNANLEDAARTINRMFTLCLGDRAPIEESRKWGIYETTNLLFKTYFKLN QVGLSKSVLRALDASSADIPQPFQFPKSHIVTFNYYVGLINFLEENYKEAEESLTQAW LMCKKDAWKNKELILTYLIPCHLVTTHRLPSKQLLAPFPRLERLLRPLCDCIRQGNLV GFDAAMSAGADDFVKRRIYLPLERGRDLALRNLFRKVFIAGGFEPATASDSVPIRRTR IPLTEFAAALRIGNKSDDKARIDMDEVECLLANMIYKNMMKGYISRERATVVLSKSGA FPGTGV TSTA_018720 MFVKKSSHGRSESFTSKYRAGKSTSTSTSRSMKRSFREHAAGGH SSESRHGKHSSPLAEQNLTSAVVTICVGQDQRLFAAHEDVLSLSPFFAACCRGQFLQA HNKQISLPDERPEVLSSVLEYLYKGDYYPRLIHNKRRDTWLLEDDMMDIDGGDGKDAA YLHQEDGSIILKDTAMYCAAERYKLPELKRLALRKQGLQSGIHCSTILTSARYAYANT PETDSQLRAHYLALIIRSRSTFKRSGTMQMEMEKGGKVFFDLFVAMCNHMDDIATASP TLSRKT TSTA_018730 MDLLQKEHERAWKRMKAAKSINDVQATIDLLQKARDSIAADPTK ASITLAKLQNPVKASFDATNESLKETHSSLNKYTKALDKLFKDRPLPSTEYDALSNSP SLVNRAIAMHLLREGQFSVASTFLDEISQAKSLAQPESDGSSTSSEDSASSLLDQIQS GRIREEFTTMYHILHELRENSNLLPAIQWARDHRAPLETRGSNLEFELCRLQFVWLFH GGRQPQYPPSSYGRRAALEYARQEFSSFIPRYLKEIQQLIAAMAFWPNLDESPYRHIF NNPTAWSEVAHSFTREFCSLLGLSADSPLYIAATAGAIALPTLLKLQTIMKAKRTEWT TQDELPVEIPLPRSYLFHSIFVCPVSKEQTTDQNPPMMMPCGHVIALESLQRISKGNK FKCPYCPSESHPKNARKVIF TSTA_018740 MSGTTLDSYNTSAAASPTGQNSQTRYQSNEPLPSATNGHVAVDQ SARKRHQRVVLADPVALMYLEEDPSTTLLHRRTRLQGYEIYIVEQWACSRVHPTLIIT TYTGDPTHLIYVGVLGVPTDRDSWSPRLKLYFDAVQQYHAVAKETPLGTLMVTNLSAF PSALTVIAVPDGDTRKNRDHFIVNENLKRLGCAGRASLKLQEPSPVVAAKFHQLYKTS DRVPLYVAVTELVKQCQLALTIFGKLAPEYADGLLCDVTEKALGDWWADIGTDLYNIE PSDGILGPTTVAALLGTLMGARNRLHAVGAPVPKDVFDLSSMKRGIGSFQKSQKLERT RRLDRDTLDRLHRVSQKPTNSERWAGAVKSTVAELSGKGGEMVMGMVGREKGGISDIE TLDLERFVQLVHGERARWLWQGKPRKSSLNSGLDSASRPEDLVFTTDDQGSYIWAGRK RSAGLDSGAERVSQDNDSTKRLQEPATVVEEKDSNLGRIVSRSLTEKVSDARAGFGRF KDAVGLPSRRSHQYQNHHRHRLKEFINPDISDTAVGEDDIENEVATVDQKDFGHTPGK NIDAQQIDRPKKAPQDSDFSIPSSKSSNVKVVPPEIHVVPDSPPHSEMDKRSLSPIPA QHEETIAGESEEQEDETKELELTKTRSTEVLSEQDASEGTVFRCLRRSQSFPGERTDY STFARKGKWPRHLSFSTVEEVIFVWDGFDTVTTTEWDKEPSKSLDDAILRECILLSDA QTFSSGVLDLNRQTVPWVEKQVTSVELLHRKTSTLQEELGYLYPERLEEIQELRERIN QLFGDEGSRLTEEMKKIELLGAKLDYELNVLESKIEDVEEGLQDFQRNVDVVENRIKG LVRGEQKNGTSWFSWAGRKFGRL TSTA_018750 MSFLFGGRPQLSSEQKIAAAETEVEMVSDLFNRLTQSCTKKCIP PEYREGELNKGESVCLDRCVSKFFEVNIKVSEKMQGEAANRQGGGMGGFGM TSTA_018760 MQSIGPGRASQRLLEPGFDSRVQEIQAAVSDAYPRLESNANRLS IEQFRNLYDHLEPNQVVEEDKVVVQGRIRTARLAGSKLIFFDIVQNEHKIQAMWNLRM STDVAPEKFKQLYRLLRRGDAFSVTGKPHRTGRGELTVQVTELPTLLSPSLHDIPTDT KEHGVSGYPRHVQFLADKHTSDIMRIRSAIIQYIRQFFVERTFMEVNTPIMASEAGGA TARPFVTTANEFPDKSLYLRIAPELWLKRLVIGGFDKVFEIGPSFRNEGIDKSHNPEF TTCEFYMVYANLEDVISITENLFSGLAEHVSQQKERMSSEIPTPSTNFSIPFRRIDFI SGIEAAINRPLPDLSSPSAEQDVKKLFKELSIPLPDLPTLPRLMDKLCSTYLEPQCDE PTFIIHPPECLSPLSKSFIQNNQRVAARCELFIQGREYVNAYEEENSPWEQRRKFEQQ KIFNQHTNESTGVDESYLQAMEWGLPPTGGWGCGIDRLVMLFTDSKRIGDVLPFRTLR SV TSTA_018770 MSKSIPQAQPVTVSLQDLNSGSVPFEALTEAFGPSSLGIIVVKD LPPKFKELRAQVLSNSSYLASLPQNELEKLESPQSKYLVGWSCGKETLRSGHFDTLKG SYYINCAFYQDRALQNAPADEFPDFPQYTAPNIWPNPEKLPTFRTAVEDLCSLIIDTA ALVARACDRYASVNIEGYKERYLEHVVKMSLTTKARLLHYFPTSPAQEQDGEEDDDWC ATHVDHGCLTGLTSAMFIDEAEHLPSASISKDSPLPELSSSPDPKAGLYIRSRTGEIV KVNIPKDCLAFQTGEALELITQGKFRAVPHFVKGSRATSDGRRIARNTLAVFTQPNLG EEVQKGKTFADFAREVVERTY TSTA_018780 MSYLTTPENSSNNLETISLPSAPECSSDGDQNAWPKEAYWRKQD DWLYRHKLAMMWMEKDRKAVKGKQYILEKLPDQYVLLDRPRFSSPKIRDKFLYGHPSG SCFNSPKNFFPHFYWLVSGKSGPCPCECCKKKRPPTSASGSGTSTSTTHHYLGPGRWA RGRPPKGAPKLLCQRRILDSEGVPDIFRETVTRLSKENEIDEPISEPRSMDWRAENKL VREHIVRVGLQGSYIPRVGEIVLWIPQLNGELKYNEQTRSYQIYSPKKSLFIGNPVWR AGTVSEVPEKDPVVLDDLIEPTQKKWEVNYSGFRVETFPDPNSDDKSASLQYQYVHIY VLRPFNSWPVWLEGLPQREWHPSIKHAMTVMSSVCMINKYHFKGVWPNASIHCRGMFL GPEMILVGDTVRLKPKGATVNRLSSVTDVMVIKRIEFRLNSCIEDLQSPNLAKSYSVR VRGNVYSLAPNRAYRKPGEYSSLPLNRQEVIDAFQQVGMSEYGAWYRTHGPSTSVEIS HDMIIGRCYEPTAMELLFGHTSLDFDLFGMLASREWSRKTDNRMEDGQDWFWADYRVQ ALSIDTLNGDEVGRYSEAREPRMWKGVLNILDGTATQADWEAAKLPRNAGRQPGRPTK SQFAEVQKMSSLVSSGLNMDLSANASSSDDETVNTSSEEESDKDEMDLVAVEVPATNT PPGVTKFGHGDGGVKDRDDEDDENDWTGDPAVLIQSFKPLPIPIRGNDNLLVKNQQFK RPRLDL TSTA_018790 MAAHSTLPRAEDPLVALYRRYIQLLRGRLQRTSKPSKILATLSL IIAIIGSSYGGYSLLLKRSKERAQGRRMLRRNSGLRGKDGSRIIYVSYKDNMTSKVTI HPTKPTTFDAHRRLFLNPPRAAGIGGVDSISSIPPPSTKPGLNLAFLHQFLSLMSIMN PRWHSKETGLLMSHGIFLLLRTYLSLLVARLDGEIVRDLVAGKGRAFLWGLLKWCSIG TFASYTNAMIQFLQSKISIAFRTRLTRYIHDLYLNEHRNYYKLTNLDGAVGQGADQFI TQDLTLFCASAAALTSSLGKPTVDLIFFTYQLYRGLGPLALTGILSGYFTTATVLRKL SPPFGKLKAVEGKREGDFRGLHSRLLANAEEIAFYGGADIEKVFLTKSFKDLQRWMKG IYSLKIRYNMLEDMILKYVWSAFGYLMTSIPVFLPAWGGVGGTQELSDLTGEDVRERG RMKDFITNKRLMLSLADAGGRMMYSIKDISELAGYTSRVYTLISALHRVHANAYYQPR GTHPELFSLSDVQGTVHSGFDGVRLEHVPIVAPSLYPQGGDELIESLSFIVHSGEHLL ICGPNGVGKSSIARIVAGLWPVYRGLVSRPRNFGMDGVMFLPQRPYLSVGTLRDQVIY PHTEVDMRENGRTDAELGKILEEVHLGYLPSREGGLDCRKEWKDVLSGGEKQRMAFAR LLYHEPRYAFIDEGTSAVSSDVEGLLYERSKEKGITLITISTRASLKKYHTFQLTLGL GMSGDEWGFDRIGTEKEKMGVEKELQELRKRLDNVEGWKRRREEIENELNKVWVVSGE LAPPPYQELTEQEVSTEPETPSGEA TSTA_018800 MKDAGSMSTTAVQTLPGRDSASSQPSADELNQTQLPVPSDHEPS ATNPSGSQNNLAASSSQPKKKRSLLLVPSRTSSRGSKNQSSEMTTDTVPDESVTIANS RTNLTKRRRDASESSSRRSQRNEQDKSQGTRKDPTEESHHARSERKPKTQSKFLAFLN CCSPSVSDNDNEGPDLPAKKAEPRQPISLRQPVEKPVSTGTESTPTESKEQEPLDEKT RDNTSSQPTEETIGPSTSVLTQPTTLQSSSQEASGARQEPAYPYGVVPDLAPLPEGKK DDNEDVIEEEPENETAEELLHEDHDITVPEAPVIPEAEDEHKLETGEVVVAQAPAPDV LLPGPPPTPGKQSAWLLPPPLPHLRGRKCLVLDLDETLVHSSFKVLERADFTIPVEIE GQWHNIYVIKRPGVDQFMKRVGELYEVVVFTASVSKYGDPLLDQLDIHNVVHHRLFRD SCYNHQGNYVKDLSQVGRDLRETIIIDNSPTSYIFHPQHAIPISSWFSDAHDNELLDL IPVLEDLAGTQVQDVSLVLDVAL TSTA_018810 MKDRNGWDGKLRVDDSENRRAVLTNPEALEDPDYSDEDAPPVEE IDADEDLLEDEDPDAEDIDLVHLRISNIAALKLERFTKLARLCLRQNQISRIELPSNL GQTLKELDLYDNLISHVKGLDDLTNLTLLDLSFNKIKHIKNVSHLTELTDIFFVQNRI STIEGLDELVHLRNLELGANRIREIQGLDNLRALEQLWLGKNKITEMKNLSSLSNLKI LSIQSNRLTSITGLSDLHSLEELYISHNALTDLSGLESNTNLRVLDFSNNQVSKLEGI SHLTQIEEVWASNNGLSSFEEVERELRDKEKLETVYFEGNPLQTKNPALYRNKVRLAL PQLKQIDATYVRLS TSTA_018810 MSVNWDYLVDLISRIDLLEDEDPDAEDIDLVHLRISNIAALKLE RFTKLARLCLRQNQISRIELPSNLGQTLKELDLYDNLISHVKGLDDLTNLTLLDLSFN KIKHIKNVSHLTELTDIFFVQNRISTIEGLDELVHLRNLELGANRIREIQGLDNLRAL EQLWLGKNKITEMKNLSSLSNLKILSIQSNRLTSITGLSDLHSLEELYISHNALTDLS GLESNTNLRVLDFSNNQVSKLEGISHLTQIEEVWASNNGLSSFEEVERELRDKEKLET VYFEGNPLQTKNPALYRNKVRLALPQLKQIDATYVRLS TSTA_018820 MNSPVRRIVQTPRATIKLLARQIDIKTSQPLRNIAERRCLLDAL QKFGEVATFLPSKEGDKSNILAIYETSEAASKAVDASPLTISFPTSPIPPRADYGPAH EHPVNVNVTCDIHTSIGTQSEHEERIGGNPWNNDHMGYSYDKNHSAIKNDARIDDVIA AGAPRRVFADGVIDTSYLRGGQDIEQQQKKKKKAVELKGSLLALLDE TSTA_018830 MSSPTVKLNSGYDMPLVGFGLWKVNNDTCADQVYAAIKAGYRLF DGACDYGNEKEVGQGIARAIKDGLVKREELFIVSKLWNTFHDGDKVEPIARKQLDDLG LDYFDLYLIHFPVALKWVDPAERYPPGWTAPDGKVEFSKATIQETWQAMESLVDKKLS RSIGISNFSVQLIMDLLRHARIRPATLQIEHHPYLQQKELIKYVQSEGIVITAYSSFG PLSFIELDMSSAHNTPKLFDHDVIKSTSQKHGKTPAQILLRWATQRNIAVIPKSNDPT RLSQNLDVTGWSLEQSDIDAINGLDLGLRFNDPLNYGIYIPIFA TSTA_018840 MADWQTESFAPQTQSSFDRVGGGSTHRALQHTSGNAQSYADGTM HGDAGSRGDPLQLALKYPPAPIPFHHSISSTSLHDSHVLAARLQAKKLRRLQSAAHSM PTMRPKRSYLKSQKYLEYRARPRRDTGKDGEPVWSDALEDAFQQALEANPPMGRRKWS ERGKSYGRNELIAEYIYKLTGKRRTRKQVSSHLQVLDSFLKGDPEWERLVRERPDAPN SQPPMTNPEYRTSIDYQLSRSFNSQPHSACPDYSASSHTYNGDLPTPITLGSNIYDTN SHLIHAFNFDMWVSAPQQTNRIDKALHVYTRLQGDQHRPVALPMPLENLAGWRTSFPY LSSLVDDPTSSLDCDIILLEVNLQLMTDFPPTGSRLGIQLDLDFSHPTMGDVSLVSQM EDWACSTLLYEGGQKMQETYHDLQKASSTKVKPFFESSWWAKLFTQLTQEKQMAKSSG QPEAPLAADDHTRQFFRSLSAIQELTATPSSHRHMSNSFNTNPQGGDDRKRMAILLWK FRQTRPGEVGTTTWRRLIPPAGRSTTNSPRAAAAAGIDLPPLALESLLSNKPQDSVYH GNPHGNLIHHHHHHHHQQQQPQTAASLQQQWPVYTDPQDSVTNMFGPQGSYDFLSDIN KADDGIVDKTSVTSVLDSFTGLAPESTQGGSINMSSAGDPLFNVHDLSLSSHSHLSGY SLGGHGNQYMPSQTIHDSNNNVLNSIFGTTAPSMHGISHTQAPSMWESQGTGPSLHHD ISTENYGHLHFPSSSHHHHHNPYHHSHHSHHHHQVPVSREHQNSSLEGMLPPDELIGK LVGSANPGDSHLGGTTTGNTRYPESNAVETV TSTA_018850 MENTRVFVSGIPPTLSNEDLGKHFSSRFHVTDSHVIPNRRIGFV GFKNAEIAKEAAKYFNKTFLRMSKISVEIARPAGESKPTKNRTHSDHDSTSNASNLKR KRDETSKDDPKLQEFLNVMQHSSKTRTWANDDIISAAPTIIDSSEPAIVKTNDASQDK PSRPVKKSKMDEVETPRPASKTPAVPAAQGGDEAQHQDDNADKEASAEEDQPKSDMDW LRSKTSRLLGLLDEEEETASGPQPPASASSTVEPIEEDSDEVEEAPVAEEPAEEKDYN ADIELIRISGRLFLRNLAYDATEADLETLFAPFGKIDEIHVAFDTRTTSSKGFAYIQY VDPSSAIDAYKNLDGKDFQGRLLHILPASAKKSYKIDEYELSKLPLKKQRQVKRRMEA SSSTFNWNSLYMNSDAVMSSIADRLGVSKSDLLDPTSSDAAVKQAHAETHVIQETKAY FTANGVNIDSFKQRERGTTTILVKNFSYGVKVADLRKLFEPFGQLTRLLMPPSGTIAI VEFAKPDEASKAFKGLAYRKLGDSILFLERAPKDLFESPPTGSALISGVEGPQAKDQG FSAADTFAAEDNEPQLPTSTLFVRNLNFSTTSARLTEVFSSLDGFLTAKVKTKTDPKR PGETLSMGFGFVEFRTKEQAQAALAVMDGYTLDQHKLVVKTSHRGMDAAETRRQEDNA KKVAARRTKIIIKNLPFQATKHDVRSLFGAYGQLRSVRVPKKFDRSARGFAFADFVSS REAENAMDALKNTHLLGRKLVLEYASAEAIDAEEEIRKIEKKTGQQLDRQKLQSLTGT GRKKFVVGAAEDEG TSTA_018860 MATEKQDKLAVHVRSVDMTEDMQQEAIEVATEAMEKFHMEKDIA QHIKKEFDSRKGATWHCIVGRNFGSFVTHETKHFIYFYLGHCAILLFKTQ TSTA_018870 MVILFRVFTFVRSSGDIPRFEAVKQQLGIEANYIDTEFQSRLEG YENVRAQCRNCGNWDAHCETRWPFFTVCFVPLIPLSTHKYKEVRCYRCGFSQDLSMRP DINPGTQPPPGMPWGPAYGPPQPPQYAYQPQQHPPAGYPSGPPVASGGNQDAGHTTFK YNKMSRHHCRDFICLPSPSPSPYHIFFLPGNPGLVEYYARFLLLLHSTLNHASSIQFN IAGCSYAGFETEHSSLEYDNGGHKLYDIAEQVDYSLERLQDYINQTEEKQTKAKVILI GHSFGTFVIAEMMKRIYTSSTKDQQQNYEIIGNIHLFPPIPDIARSPRGVKAAGIVKW RYLPGIISYIAKAVYNLPYSLSNAVVRYLTAFPSDSEALHTTRMFFGSRNGVAQALYL AQHEFDEIKTSRWEAALRAIASHHQQENQQGKFPIRIFFGVNDHWVNNELRDAFIYKY CDPNGPLAFGKEVLDLRARIDPSVDGDESSVVIPHDFSIGHSEHVVPYAAEYVEEIIG IKV TSTA_018880 MAEAHFTAPLSQGFGYGIVVGLGFAFALLMIFITWALKRYQHEV QTSEMFSTAGRTVKSGLVASAVVSSWTWAATLLQSSTVAYEYGVSGPFYYAAGATVQI LLFATLAIELKRRAPNAHTFLEVIRARYGTVVHCVFIVFCLMTNILVTAMLLTGGSAV LNALTGMSTPAACFLLPIGVVLYTVFGGIKATFITDYLHTIVIVVIIFTFAFNTYAVS PTLGSPGKVYDILTAAAKARPVDGNSGGSYLTMHSREGGIFFVINLVGNFGTVFLDNG YYNKAIAASPVHAFPGYVIGGLCWFAIPWLCATTMGLTALALQGDALLSSTDVTAGLV LPYAAVQMMGYGGAVATTLMVFMAVTSAFSAQLIAVSSVFTYDIFQAYIQPSAKGKRL VWISHMACVVYALIMAGFATGLYYAGVGMGYLYLLMGVIISSAVIPGSLSLLWNGQNW VAAAVSPPLGLACALIAWLVTTKSLYGEMNVTTTGSNYPMLAGNVVALLSPLIFIPIL TLIFGQQNYDYESMRNIRKVDDSDVAADAHVDLELIPGETTNAQDMTEEETRKLNRAA FYARTLTVIMTLCLLILWPMPMYGSGYVFSKKFFTGWVVVGIIWLFCTAFGVVIFPLY EGRNSIVYTVRAMWLDATGKKKPVNAIHVDREESGGTSRASPTPDEKLSVKT TSTA_018890 MASPRASSPLASGTESGPDSKSAISGGAAVSSGSAANRPSSPTP PGGPRTALRRRAAADHKESLRNARPASTRAAGAGGSSGTMLKLYTDESPGLRVDPVVV LVLSLGFIFSVVGLHVIAKITRNFSS TSTA_018900 MKLKAATLLAVILGITAPCAQICKDAGSTHCSYGPRQKQCVVGD ESGRDEVRSRVYYMKKKLEDNPLPGGEDGDGEPFPEDCEADKEACESEKAKLDSKLKD CEDKRIASAFSFNSTVCAVTPERRSALVAILTDLQLLGANIVFYP TSTA_018910 MGVWSSINAALQLKGGGGPNHWKNLDLTPLPVDLRTWKDLDFVG LWSTAFVTIFGWQGVSALLSMGLSVWQAIVCNFVAKLIQIAVVIGAVWHIGFSVNARQ SFGLRGSYVPLIIRVCLCCVWFGVQAFTGGQLVSTLLSSIFSGYQNMENTLPASASMT TKEFIGLVIFNIICIPFLYIPPHKLRIPFRYTLTISAITLIGMSIGLMAAAGGAGPLV HTGVTVNGGSQLGWAWIHGITTVIGGTVVGSTSQCDFSRFARRPGNQFKGQIFALLFF GNVIPVFGLLGTSAASKLYGDVTELVLWNPPNIIQTWLDRDYHNPKIRAATFFVSLGL TCSMVAINTVENGISGGMDAAGLLPRYINIRRAKATTFTAVLSSFGVILGPLIAVFTC DYFFVRHQKVKLTDLFQPSSQSIYWFWHGVNWRGFLSWLVGTAPFLPGLASLNPKTAD NIPIGLTQATYTGFLCGYVISFTLHYVLNKVSPPPGLGAFDVTDTFGTFSYEEAEKLG IRTLETDMPYESGAVYPNKGLE TSTA_018920 MRTLVGIDVGGTNTDAVLIDADTAPSDPTGSILASCKTPTTRHV GDGISQALQNVLEQWTGEERRRIVDSLVRITIGTTQFLNAVVERDSQKLSPVALLRLC GPYTRELPGFAGIPPELRRIIEGTTVYLSGGLEYNGNLIEPLDEAEIRRTAAELRSRQ LLNVAIVGVHTSIDFTFQQEETVEHILREELGPSAIITLSRDIAGLGLLERENATVIN AALRPLAKVTVSNLTQSLTALGIRAPAFFTQNNGALISASEAKCLPILTYLSGPINSL TGAAFLSRGQGPFLKDVVVVDVGGTKTDTCVLQPTGLPRPAAAFSLLSGARTNFSVPD LRSIGLGGGSIIHVDEKTGNVRLGPDSVGYQLETRSRIFGGPDLTVTDVVVSAQRQSP ILLKSTAKSIEVAPDVLRKVEKEITRLLETVVDESKTEGGPVNIILVGGGTFLVSSSI PGVGRIYRPNYGHVANAVGAAMARMSHKIDQIVSIGLETTEEKVLSRQCDRTIEEARL RGALNPQIVEKRTMPLSYSSTRKVRIITTAVGDAALSPSTEDNEDNETESPVALSRAP IPSEDQAITTENEPEPTKNASTYRPSVVSGRWKLSQADLYFLFEGCGILGCGILGCGG GGDPYPSFLSSLALLKAGQSVYVVDPGTIPANGAVPAVGFMGSLDILSERFPSGEELK YSVQSVTPQGMDSISGVISLEIGGSNGFRGIQAAAWTQIPVIDADLMGRAYPNLWQTT LTRAGIPITPCAVSDAKGNTLIHHRAASHQAVENFLRPLCAEMGNAAGTSFALLNGDQ IRSSTVHHSLSLAWRVGRAVYIARQEKTGVVASILAEYPGRLIFTGKIVQVKRDISGG FSRGFIDLVRSHAIEKDDEPVRVEFQNENLRVTTLQGKKTLTVVPDLITVLDSETGSA VGTQDYRYGLHVFVIALVASPQWSEQEGLKIGGPAAFG TSTA_018930 MVVAELTSLSAYQEELQKPGVVVIDFYSPSCGPCKVVAPLFDKL ATEAVNAHVRFFKVNGLNDQGSKIQEAAQVVWWPTFVIYKEGQEQWRAKVPNPPDQHP IAGLTSALEKAR TSTA_018940 MYLPNSKWTWLFVMTAVIQAAVILGLEAYVFADFQLHLHSFSDS ASSSKTIPTFLSLYIFGFVYELILVYDALRLKNTIQIIGLCFCNIGLLIYGGLQIEQA TQEENLWRQTKGIMIAIPCIIAFSSTFLIFVAWKLYDEFAWTIYKHISADLRMKRRYL TYQIYIALLKFDFFFFLGFTVQFVVIVTGKTDVEFALTLAAIPVTILILFFAAYFTRR ENTLGMCAVILLYFGGLAYFLFKLVRMYQPSRAPEYIAARRSLTFFAVITILLILATI ANAIACTINFHKGLKPHVMRPRKSTDDEKATELGTRVPGEGVPSRMMID TSTA_018950 MFEARLEQAGLLKRVVDAIKDLVQDCNFDCNDSGIALQAMDNSH VALVSMLLNAEAFSPYRCDRTVALGINLLSLTKVLRAAQNEDILTVKAEDSPDVVNLM FESSETDRLSEYDIKLMDIDQEHLAIPETDYAATVEMPSVEFQRICRDLNALSESVVI EATKEGIKFSCQGDIGNGAVTIRQHTNVEDPNKNVSIQLTEPVALTFSLKYLVNFCKA TALSHQVKLCLSQEVPLQVEYSLSGSSGSAASGHLRFFLAPKIGEED TSTA_018960 MDPLSALGAISGALQVAQIIGQTIAGLSSLRGKYQHADLTIRSM IGELSTIKSAITQLYDWTKYNVEVNSSHREYEDSLAVAIDSCSAIMEVIRDKVAELTA ASATGQANMPTLTFKARIQLLWNEDLMRNLEERLHSQVRALNLLLQACQCRSSTEQLE LLRKVESRHIIQKVADDTVTLRSTISSVASSQVDSLSINSRRLSSVGDTEFEFDSTLM ATLPYQRPRVQSQVVQMQPILPQVEANIDFELRRGVSISTSNSASDEGYASNNVTPSQ SLSPNTSLHRGQSLGPNDLIMLNHGRSKSVSFGTQQTQQNPDVRRWVSTSDATTLPST IGSKREKFLSKLKRLNTSSRATLSPSLRNATINPIVGSTRARRGRESGFTTSIDLNTQ TGRSAPDIVKAAQSGARYDVERLLGNGHDIEEIHLHTRRNALMVAAHCGKDDIAALLV QNNAQLNRSDASGSTALHLAASRGHVSVVELLVMEAIDIEAETRLGRTALWVSANNGH WHTTHTLLESRAKVNARADNQMTALHVAARQGDVEISRLLVSYGADIDARDASMMTAL HYACEGGYVDVVELLLNNKADIDGAGSERKTPLICAAANGKLMVVQMLLRRKSKFRAV DEGGMTALHWAAYNGHVDIVDYLASQSRSFLVLTNRQGRTPLHLAAMNSQFAVVELLA RKNCVLYARCAAGLNALHYACKADSIEIVRLLLTYGVDIESEIAVTQQRPIHISAGEG SVGLVRLLCEKGASLEARDAEGDRALCVATRHGHVAAVQTLLDFGSPLYLRSGVRSCD SPLCLAAKGGHLPVVALLIRSGAPVLSKDELGWTPFRYAACYGHPEILEAILAAEPSA MSPLESTNFSPDTVGMASGRLEFAPDANISADRKRRVTEILSRSRQPWTDGMLRSPAG NAITPAPRVESDYDHYTVSTPTRPANAHRRTLSMTPNIPAPSELPGTLEQGLPSSRSA TPPHMHRGEQEATATLSAVSNQPRVFTQDTPSQGYQFHTFNPSTILPADRLAQSLAQL NFQLDEAETTSSHAEVEDEEETHEEEIPEIAELEGTLPPPYHAYSSPML TSTA_018970 MTMSAGDKSSSSTSSHPIPLKPGYDPSLLPDYETEFITDNDLRA FEKALSAPEVEPLVAINDWRPIRQRVRRPFRSRTVSSTPKKTPRRSKDETREGFLYAL LKYPFLVTVFAWIAILSVVYVFTRLYIYLYEHFVTWTGRREKLRRALHSTHNYEDWLR AAHELDTYLGNEKWKENDEYAYYDHLTVRKVVKQLRQLRRQVENETQNGHLPNEAVED LRSLLEACVKNNFVGVENPRLYSEAYSGTKHLVQEYIDEVHLCLEWLLNTRDLTKEVK YRHFRHLDTNFGRTALCLSGGATFAYYHFGVVKALLDNGVLPEIITGTSGGALVAALV ATRTDEELKQLLIPALAHRIKACHENFPAWVKRWWKTGARFDTLDWARQCSWFTRGSM TFREAFERTGRILNVSCVPSDPHSPTILANYLTSPDCVIWSAVIASAAVPGILNPVVL MKKNKDNTLSPYSFGHKWKDGSLRTDIPVKALNLHFNVNFTIVSQVNPHINLFFFSSR GSVGRPVTHRKGRGWRGGFLGSAVEQYIKLDLNKWLRVLRHLELLPRPLGQDWSQIWL QHFSGTITIWPKSIPSDFWNILSDPSPDRLARMIHVGQQSAFPKIQFIQNRMKIENLI MLGLLKNSRSGLNHELSPLLSRRHDHNDDKTREGDELDEATRIVTLPSTEGNEEALSS EDYLQVPSGRGHPLSSLSDPHPSHHRRSSVMDEMRRQSAVFFDDSDVYYGEESDESTA GLGVGGDTNAVWDVDKQAKRHNT TSTA_018980 MEKLNSEDGQLFIKNLASFVRTHEKALANALQLRRQSVPSNNYA PSTSSTLAAALSFGALKFTSQNVKPAKLTLTPHHLFYLLSQFEDLSIAVGSMNVRLEN IHNDSSSSYVSFLNQPRRSRGDRDSIHSVSSVRSVMSGMSAVWSSFGLGSKDSATKSE KAKAALETDLKYLYSAFTKIPCLRLAPDRRARLIRGYEEFPLDTAVPLHAFKNLSALE IIDIDFRSFYGWDRLSEQLRTLTLKRANINDPADLVTKIVLDDVDKRRRRSSKSHPSP IPGGSITPQPVYKYDYASNTSNDHKPVSAPGSPSVSSNLATSTSPKSVPMLRAGSEGE RGHRRTGSYSPTRPQTASRQHTQSRHSRGHSVNKVARSSSGSSNSSEVSLHGNRSSSN LLTGVLPPSKWRFLRHLGLADNSLTTLSSDCFLPVANTLHSLDLSSNLFAEIPDSLPS LVALRALNLSNCMINSLRSISKNPLPAITALNLRGNRLSSLAGIERLLSLERLDLRDN NLTDPTEIARLTGLPEIREIWVSGNPFTKTHSNYRVTIFNLFRRTPGFTEDILIDASG PGYTERKQLVDRAAEPEAAPVVRPVDPEPARTVSKVPAPVRQELLSQDTATAAAAAAA AAASSTTTTTTETTGNTQHKRGNRRRIVDLSREESVTQVFTSPSSQDKPLPPDPPVLV DPFTASGTRPENATPADVEPVKKPVTQSVSQDQKVVPAKQDSSIDWNTNFSLGGETNI FRPRLEAVPNNNNNNSGWLSVLHEGNWQTQPSRPQANMSAVPRTGTVFDASLIRPDTV SRPESHLLVSGRTVG TSTA_018990 MADQDVDLDSIIDRLLEVRGSRPGKQVQLLESEIRHLCTKAREI FISQPILLELEAPIKICGDIHGQYYDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLET ICLLLAYKIKYPENFFILRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCLPI AAIIDEKIFTMHGGLSPDLNSMEQIRRVMRPTDIPDCGLLCDLLWSDPDKDITGWSEN DRGVSFTFGPDVVSRFLQKHDMDLICRAHQVVEDGYEFFSKRQLVTLFSAPNYCGEFD NAGAMMSVDESLLCSFQILKPAEKKQNRFGRK TSTA_018990 MADQDVDLDSIIDRLLEVRGSRPGKQVQLLESEIRHLCTKAREI FISQPILLELEAPIKICGDIHGQYYDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLET ICLLLAYKIKYPENFFILRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCLPI AAIIDEKIFTMHGGLSPDLNSMEQIRRVMRPTDIPDCGLLCDLLWSDPDKDITGWSEN DRGVSFTFGPDVVSRFLQKHDMDLICRAHQVVEDGYEFFSKRQLVTLFSAPNYCGEFD NAGAMMSVDESLLCSFQILKPAEKKQKFGRK TSTA_018990 MADQDVDLDSIIDRLLEVRGSRPGKQVQLLESEIRHLCTKAREI FISQPILLELEAPIKICGDIHGQYYDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLET ICLLLAYKIKYPENFFILRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCLPI AAIIDEKIFTMHGGLSPDLNSMEQIRRVMRPTDIPDCGLLCDLLWSDPDKDITGWSEN DRGVSFTFGPDVVSRFLQKHDMDLICRAHQVVEDGYEFFSKRQLVTLFSAPNYCGEFD NAGAMMSVDESLLCSFQILKPAEKKQKYVHGGISTGRPITPPRKQKKK TSTA_019000 MWIINWFYDILASLGLLNKHAKLLFLGLDNAGKTTLLHMLKNDR VAILQPTSHPTSEELAIGNNRFTTFDLGGHRQARRLWKDYFPEVNGIVFLVDAKDHER FPESKAELDALLAMEELSKVPFVILGNKIDHPDAVSEDELRHHMGLWQTTGKGKVPLE GIRPIEVFMCSVVMRQGYGEAIRWLSQYV TSTA_019010 MVFSSDQPSVVSAGQEVEVVDDCDEPPDQNRLHNRPNNDQIYIK SPPLVAEKKESLLTRALKSPEIPPVDRTRKASYPMSNGSGHSTAELTSDGDLTSPAYS NTSSPPHVSTLHLAPVLESRTASPPADPNESKIEADLGRKRCISFACTARQPVRPKEV EKQKTEDSDATNPPQRKSRRCQSYTSRTNRSPPPTCRRSSADSKQADATPIRATEPAP VKKPGSIHMPGLGDFQPSEETRFHEFASSLEEVDGWVAQATEHQGKITVADCMKKEMA IRKLGEEAEAEAIAEEEEEDEMDNGDEDDTVDLDDEEEDADTVHDFSSDDGNESDNEA GFADSDDESDDNSEYEFWAPSTTTAATSADNMDIIRLAVDRRNSTTSIESLKHEYSRP PSSMAGSKGKSRRPINIPRAQTPDLPDSTDFVCGTLDEDRPLEAAYISCIEERRRKKH IPVPQDIDPSFPTTDPEDNDDDLEENEDDAEDDEVATAARIEEDLLRGRSKGTLKKKT TRASPRRVHSPAPRAHGQVSPKRMKSPAPKPRHALAAGLPTISIPVPRTGVNITGPVQ RPHYGRTKSLPRTPNPFFTGLEESRQPIQQASPSGKSIDGPSSRSRELHTRGPIDIIQ GLERKRQKRKEKFWRQHCRKAAAKEQSERRAARGKGAERMKELGLEVAERFKAYGVTK DTQLMLSV TSTA_019020 MESLVYEDSPLADYLEGEGERDQDWTPQQVETNGDAHSQNFAPK GIPSIGSRVRKKFAVASDKSTSQETGSGVFGRIYHTCSSAVSSRIGKRDNERFLEQFG YVIVASHLLDEYNAASHTTAAKDAQSNIPGHDTVSFSNTVGLTGAVVTAVTSFSIVGL IHWSRSRTGAGFNPRRIVTLLVVLPLVGAVFYAFARRQWLRFVRQQAVQGASNFINGA QRFDSLVSSSVLFIQEVELVSRGYRISTPLPPVSRLEESTQVRRCLRLRRAVSDGLSA VLDRYIQAQHTIGLLTDPSNLEKYYDIYEISMAELAEARSASSETTGEDQYSLKWLRI AFSRLYTMRQSILCCLLALKADGSGSDIPPWTSAVEEMRDLAAVTHEAANKIANILNE KDRPIPPLSPLPSASTGRDTLRAQARRLNSLSQGIRALHAKMHVMREESDESLTQDAS EQDVGASLMAQYESIGADIRGLLQEWEAGKLALMNSLEHPNGLPSSSSYDRFSRSSSN D TSTA_019030 MNSLLESPCPAGSRSGFGNGQYSSLTDAYEDDTLDTLEYTAAIH LPQPPRATSLTKAKPRRALRKPPFTIQEDNQSNTTGNTARTAMQNSLKRKTQDRNSSI LAQPAQRFQRPRVSSTAGSKDSSSQRTRMIESNGDREVLGHKPQPEDRVRPSQRPSGY DSLKKDVRRRTVYIPTEDTTVPTVFMSLFSPLKSMDTNPNISSSADETVPLYSLEARI AEKKQSKESSVGQPRKTPLQPSKKVTQQPAITKDVMGKNGGKENIPPGFFIDKSKEIQ EKKPLSQTDTRSREPLKTASNAIQNRKPNVAQLKRSKGPALKPIGQKSSVVLLTEAGS KDLKSSTGKADTKRTNLRSRSVLQPCQSKESLTENRPTPPTRLSVPKIGPISIDQQFP VLSNDISNPAMYEDNWLSHQEVVITQLVNGLFDSVGGKLHAHDPEVLRHELLDIYQSD AFLLLYKRIQASILYGALAPPKDILRRGSRLPDDLGMKKSFLNFWSQTYDLIALRAAA ETVVGRKITCQLHRTSNGPSGLSPKQQKVLKRSIETFLETFLIRNMDKKGNEGTQNDA VGALYRRTLLRSIMIITLLDKARLTSECSLPRRLFTDSSPHKSSSAALQALANLLLPS MGDINRQVNHLNCSLLYKQHQLQDHDYRIRNLAVDLRDGVLLTRLVEILLYPKSSYSR TGRKGSDSTTTIIDEVVLADETAEWSLSQNLKFPCVSRAAKIFNVQIALDALEGVIGI DALVKEINAEHIVDGYREKTIALLWVLVGKWGLAEMLDWKDVRSEIVRLQKKLVLCRE YQPCNVDKLYDLELVSHEDLLIKWASTLALLKGLQLDNLTTSFADGRIFESIVDEYEV FLLKGQDGHGDSITGKQPRAELGSWQSRLQALGCSSQFASLVAGSTSASRIFDRDFVL GALTFLCSRLLSSTRRIRAAIVIQKAWRRITTEENSRRRVLAKEIAEQCAAVACARER ILWAKTVIMTRWRERKMSRKQVTSKGKKPKSKPARRARF TSTA_019040 MKNSQATGSLTGAIELETQTIQERNNHTISSKDQRVDNTTSTSN AVRDAVPSERDAESQQDQFPTVVAHALEKWNDPRVNISRLFATFWSFVIMGANDAAYG ALIPYLETYYNLSYTIISLVFLFPLVGYAAAAILNNTIHMYLGQRGVAGLSSLAHVLA YVVISVHPPYPVLIISFMFAGLGNGLADSAWNAWIANLANANELLGLLHGFYGLGAVL SPLIATSLVTKADWPWYTFYYLMIGGAVIEFGATLTAFWTADKHDFRKHTAYSRDQQQ KDNILKQALFSKAAARVTWLCAAFLLGYVGTEVALGGWVVTFMMRVRDGGAFASGMTA TGFWIGITVGRVVLGFVTPRIGERLAIIVYLALAMAFELIFWLVPQFYVSAVAIAIEG FFLGPLFPAAVVASTKVLPKHLHVSSIGFASTLGGIGGSIFPFAVGAIAQSKGVQVLQ PFALALLVAVLGFWLLLPRMPRSRE TSTA_019040 MYLGQRGVAGLSSLAHVLAYVVISVHPPYPVLIISFMFAGLGNG LADSAWNAWIANLANANELLGLLHGFYGLGAVLSPLIATSLVTKADWPWYTFYYLMIG GAVIEFGATLTAFWTADKHDFRKHTAYSRDQQQKDNILKQALFSKAAARVTWLCAAFL LGYVGTEVALGGWVVTFMMRVRDGGAFASGMTATGFWIGITVGRVVLGFVTPRIGERL AIIVYLALAMAFELIFWLVPQFYVSAVAIAIEGFFLGPLFPAAVVASTKVLPKHLHVS SIGFASTLGGIGGSIFPFAVGAIAQSKGVQVLQPFALALLVAVLGFWLLLPRMPRSRE TSTA_019050 MASSENYLGRVGDYPDSSYQEDQPSLDPAIDPRLFDSSYLPLEP GSGDVAFEDFGQHGPLNTASMSIGQRRLLQEQVDLSGSEEESDDEYYDDNDDPVWNGG HQDYEGSTDAESEEDDGYDPNDAASPPPRKRRRRGHGPFSGRFGARGGKGIKRGPRRP LEPSPEFKMLHSQATEAFIDGDYDRAIDLVQKAILVNPEMFAAHSLLSEIFLARGEKD KAVSALFSGAHTRPRDPTVWHKVARLIQDRAGEDRQKTLNDMIYCYSRIIEIDSKSYN ARFQRAAAYRELGYNGRAATEYERILKELPHNIRALRHLAETYIDMKDVRKALQYYLE SIEYYMSLDNDENMEFSWSDVNICAELYAFVGEPEAGLQLLSSVSRWLLGRKDDKIWD EYIDDDREWDAGDSPRRIKTDGFIPNAYPIDSYGLGLPMELRIKLGTFRLKLGERYFE EALAHFEWLNPDDTSESSTVFDYADLYREAADALKDSALFPEALRFYRPLQLTEDYAD VSFFLAMGECAFACSDLSLAESCYLTVAENDSTNLQSRVNLAKLYETMGERDRALYFV NQAVLLGRESRGRNRRRRKDRRIAQLAKEFQGDAHVSTRIVPRHLANEVSRREALQDS DANRPQQVQYLYSKMNDLRPRMRDGDTDATEDWLDIADALLHDFRTNRVFYPLQKNMI FLGYSREEQKGARKKETVMGEVQEVAERLKEWIGQYNADEVPTSIPNDYYGISFDEWF EIFLEYAFVVSGQGDKDEAYDVLAAAADASVWYHSKDKVRQIHICWITCALRAYDEET LVSEARWFTKEYPFVTDTYRLFSMLSRICGDPNRSLFHASPSMKFMLRQVKFMDSTLP EDHYLTKTWTSKSGVANKSMTIVTRNSKNPPKTASLTKRGENAEPITADDMDVALLVL YGNILYSGNSFYPALNYYYRAIALDPDNPGILLPIALCYIHHALKRQADNRHYLILQG LSFMSLYRRARSKSEIEDNGDKADNEKTQNSVHSLTLQQEVEFNYGRVYHSLGLLHQA VPCYERALELGDQIKSSQNEQNGAEKGKVRDFARDDKPEEDLIVEDFSPEAAVALQNI YALNGNIAGARKITEKYLII TSTA_019060 MASHIIGNRNSTPEASKATLRPPSSARNLGGSHQLRASADMSGF PSPLSTRNIRPSSEVFFNQQSQGQGNPDDALDQAAQQWIADIDQFETTLEEMAAATID QDFKDELSAIEQWFRVLSEAERTAALYALLQQTTQVQIRFFIQVLQQMAKSHPMSGVL SPANFGEKDPMSNRLSDAMSKLTTADGSRNSLGRPPPSPGAKRNSGLDSSTINAMFPD AAAAIAKKKAEFTQQTGNAPASNRNSAVFGGDRSSLVAPTISAPDSNTNSLGQPPSSP WAQRAPELQPPIARPKSSSGQLQQQPMGQFNQPNAGGLRSPHIGNVQNQTISAPEISH EPPLLSPYNIGNSSWASLTNTPMAPTFNTQQTGATQADMVANATAMKLAALSTVNNRI ALDDARKYRRARSNDGHGKNSNTGHLSPGLGPSIPGANLVMVNDAGQVLNAQQIAALQ AQQQAALAGRRSRPSSPGITLQAPLGQVGFTSPQNNGFLAAYDPNSALLGNSLNSLGL GQFGMGSEGYLSDHSEVQRGRSPRGRRGSSKPPEDPTDPNLLKDIPAWLRSLRLHKYT DNLKDLKWTELIELDDKGLEDRGVNALGARNKMLKVFEQVKEAKAEGKLDTAA TSTA_019070 MPPTRQELSLLIHPLVPEAVQHNIRVLSQLRSLTAFILGISAGI LGLQSTAGFAFYFIGTLLVSGLVQIFLLGPPSSISTGGAGAYYPGASGGEIDGIDDFG KIHVSGGKQGQGKPVLRKGAWRDVWFGGGVIGETLSGFVLGWAGVGGVLR TSTA_019080 MSSTTTKIGHGLAKGLGIKIPYRDPLGSEADPVTRGESVFTTGT AETYIEPEPTVTGWIREQIPTWNDVFNYVIALFPFLKWITRYNMQWFIGDLVAGITVG AVVVPQGMSYAQLADLPVEYGLYSSFMGVLIYWFFATSKDITIGPVAVMSTLTGNVII SVQKEHPEIPGPVIASALAIIAGAIITFIGLIRWGWIVEFIPLTAITAFMTGSALNIA SGQIKNLMGEKDKFNTRGATYMNIINSLKHLPSTQLDAALGLTALVMLYGIRSACNYG ARKRPHKAKMFFFISTLRTAFVILFYTMISAATNIHRRKHPAFSVLGNVPRGFKHAAV PTIDTSIIKAFVSYLPSAVIVLLIEHISISKSFGRVNNYTIDPSQEMVAIGVTNLLGP FLGAYPATGSFSRTAIKSKAGVRTPLAGLITAIVVLLAIYALPPLFWYIPQAALSAVI IHAVGDLITPPNVVYQFWLVSPLEVVVFFAGVFVTVFSSIENGVYTTICMSVFLLLWR LVKARGDFLGKVRVHSVIGDHLIDEQGKYGPLGSTPEQTVADDDVNDTYRNVYLPVTH GDGSNPRISVQHPYPGVFIYRFSEGFVYPNANSETDYLVSVIFKETRRTNLESYPTLG DRPWNDPGPRRGKPAKDTSDLPTLRAIILDFSSVDHVDVTSIQNLIDVRNQLDLYANP EPVQWHFANINNRWTKRALTSAGFGYPTPTSPDGFKRWKPIFSVAEIGGRSSAAAVAE RERLNSHAKVDDLEEGRPKSATVRERNDTEISSNEGDDYIKQLDTTTAYQAKRNNIAL VSGLNRPFIHIDVTSAVHSAISNIEEHGSAKFIEE TSTA_019090 MVKDTKLYDVLGVPPTATEAQLKTAYKKGALKYHPDKNASNPEA ADKFKELSHAYEILSDQQKRQVYDQYGEEGLEGGGGAGMNAEDLFAQFFGGGGAFGGM FGGGMRESGPKKARTINHVHKVSLEDIYRGKVSKLALQKSVICPGCDGRGGKEGAVRQ CTGCNGTGMKTMMRQMGPMIQRFQTVCPDCQGEGEMIREKDRCKKCNGKKTIVERKVL HVHVDKGVKNGHKIEFRGEGDQMPGVLPGDVVFEIEQKPHPRFQRRDDDLFYHAEIDL LTALAGGTINIEHLDDRWLSVNIAPGEPITPGAIKVIKGQGMPSFRHHDFGNLYIQFD VKFPQASELQNVHLLEQVLPPRQQQVQPPPDSMVEDFGLEDVDPSQNARAHGAAYEDE DEDGVPQGAERVQCASQ TSTA_019090 MVKDTKLYDVLGVPPTATEAQLKTAYKKGALKYHPDKNASNPEA ADKFKELSHAYEILSDQQKRQVYDQYGEEGLEGGGGAGMNAEDLFAQFFGGGGAFGGM FGGGMRESGPKKARTINHVHKVSLEDIYRGKVSKLALQKSVICPGCDGRGGKEGAVRQ CTGCNGTGMKTMMRQMGPMIQRFQTVCPDCQGEGEMIREKDRCKKCNGKKTIVERKVL HVHVDKGVKNGHKIEFRGEGDQMPGVLPGDVVFEIEQKPHPRFQRRDDDLFYHAEIDL LTALAGGTINIEHLDDRWLSVNIAPGEPITPGMFTYSELYTLNQTNFLCRCHQSHQGP GYAFVPSPRFR TSTA_019100 METDPGFIAAVEEARQGQAEGGVPIGACLVSKDGKILGRGHNMR FQKGSATLHGEISALENSGRLPASAYEGATMYTTLSPCDMCTGACILYKVKRVVVGEN NNFLGGEEYLKSRGIEVVVLQNEECIKLMTDFIREKPELWNEDIAV TSTA_019110 MQEQFEPLKNDLLLRAARGETVERPPVWVMRQAGRYLPEYHEAK GNRDFFECCRSPEIASTLTLQPVERYAGLIDAAIIFSDILVIPQAMGMIVEMIDKKGP SFPEPLISPDDGQYEKILKKDVDVKKELDYVYKAITLTRFKLKGRVPLIGFCGAPWTL LCYMVEGGGTKLFIQSKKWIYKYPNESKAMLQKIAEICVEYLALQVAAGAQLVQVFDS WAGELSPATFKEFALPYLRHISQHLPKRLQELGLERVPMTVFAKGAWYALDDLCESGY NVVGLDWLHDPAEAYSVARGRVALQGNADPGILYGDRNAITKTVENMVKGFGGGKKGW IANLGHGITPFVNPDDLKFFFEEIHRHFR TSTA_019120 MLSTAQYPFTCIRHIGGTIVASAGPNIYSFSASDGRKISTWPEL KPNDSAKAGTNDDNTESSEGPPEKKRKLSSTGTEKDEQANGKDNKFSATWLSVPILVP SPSGRYVVAVTAEDKHVRVFELNSEGVLTESSDRPVPRRPCAVAFSSDGNTIICADKG GDVYSMPLLPGESGEFELVSRAKKPSKPAANPLVVHTKRNLDALRQQLRQKQAQDNTP ANPTAKRDVLSGHVSTLTDMVYAVVPSSTSASGSHSYILTADRDEQIRVSRGPPQTHV IEAFCLGHESFISTLCIPPTLPHLLVSGGGDNSIFVWDWRNGQSLYKLSVLPEGKNQI VVRDIWAVKIAGNSSVAIFVALDGSRELLSFLLEDSGNILPQESIQASGNVLDLTYLD ENNMIFVSIDSCHEPGSIKDWKKDSDESPVLVEGYTVKVKDGKLVLDSVANQAVNNIN AQGTAKVLAGVDESSWPKSQKAFSERLYSLQNLRKRTTGDGR TSTA_019130 MGGKSASKAAYFDKLKGLLDEYKTIFIVSVDNVSSQQMHEIRLS LRGEGVVLMGKNTMVRRALKGFIAENPEYERLLPFVKGNVGFIFTNAELKGVRTKILS NRVAAPARAGAIAPADVFVPAGNTGMEPGKTSFFQALGVPTKIARGTIEITTDLKLVE AGAKVGASEAVLLNMLNISPFTYGMSITQIYDDGQTFGSEVLDIEDEQLLKALSSAIT TISTISLATSYPTLPSVMHSLVNSYKKVLAVAIETDFSWPEIEELKDRIANPEAYAAA APVAAAATGGEAAPAAAEEKEESEEESGDEGFGGLFD TSTA_019140 MLSTLLNPLPYHILAYGTFLGSQLYQSFINTNICFRSLPPQNFN NLNKRLFPVYFRCQLGFAVLTFVTRPPGTWLQLSAVNDVGNLLLGLATVMAGLNWYIF GPRTSDAMVEKARVLKEVQDEKDGDNVKAEGSHDSAETDAFVAKVKKTFSRNHAMSIH LNAIAMIATVGYGFVLGGRLQLK TSTA_019150 MIRPRTVLRLVLYVSHTWLALAILYAGLPSFARIDDGTEYATKL TSPGEESDDGSPVSLSVSAPAGDTDGISLPWFDKADAVMMFHFIHRDEALVKLNVGFE KDKKRLDVWPAGEDRYKKNLLSLTLDNAEKESTTRILTASVPHPPLSLSPSPTYHVRL AILVVLAPLTLLLMGVYVGLISLISTIFRLLFSLFWVFALLFLGRWVYKGRPPVGEFI QEIRNDAKALVKRVRTWRSGQSSNRGKDEEQPPLDNEEVKPDLEFEKADVS TSTA_019160 MPPAEYHATFSNSHNHGLQVGNNAGKIETAHHHYPVERPETPSS PILLIPFGRDPDFVDRGTILHQLHHRYAAPGSRTALVGLGGVGWPISLGEAARKWADG DEQLCELGFASNTRTKAEIGRELGWTPKKTRADWEQSIVEEFRELLKKIKST TSTA_019180 MSVNDMSVSDPLDAVDYDPIAHLNGIFSHPSTLSSVSQTSEALR KYQNELDTDIARLVEEQVTSNAESVQRIQAAKADLSELFKKIDDVRERASRTEQSITD MTADIKQLDNAKKNLTVSMTALKRLQMLTTAYEQLRALAKTRQYRDCAQLLQAVIQLM AHFKSYRSIDQIAVLSRNVADVQRELLEQVCEDFEITFAKGEVVQRRVMLAEACLVMD ALGDNARSRLTTWYCNTQLREYRQVFRGNEEAGSLDNISRRYSWFKRMMKTYDEEHAN IFPASWRVNEVLANTFCESTRDDFKGILSRSVRSGQTIDVNLLLSCLQETLDFEHSLD RRFAQTSRASMDTVASSVESPFAIQSISEAFEPYLGVWVEAQDKQLAALLPKYRQQPL KREDEEFHAQLVISSSTELFTFYRHSLAQCAKLSTGSSLAELSKVFAKYLDQYAQQVL LHYISERATTHTPSKIPSIEDLILVLNTADYCYTTSTQLEEKIKGRIDEKFRNTVDFE SQADAFMGIASAAVRGLVRKLEVQLEPCWREMRNFPWNKLENVGDQSPYVGELLSKTK TQAEEILQSLHKQQYARAFADHIVEFISNTFITTVYSCRPVSESGAEQMLLDLYTLKS GLTSLLPSPTPPGFVKRVNSSFTKVDCLLKTVQVRPSPPEALVQAYLIHIADRSEPNF RRILEVKGIRSKQDQSQLVELFNLHRASERHAPNLQQSNPLFAFLQPSAPTSGPGGAG STVSQGLSNLGTTAATSITGPGRFDPSTLGSAIISAARDGVERFPLGSGATMTGSGPT SPGPEGGQRVTNDGFDATGTSTPSSATTQLNENLKNIGKFFRRDLGGFGGRFGRSADD SNR TSTA_019190 MARSRGTAPSRPGGPSRGGIRKRGAPTRTDRDGDLDMGAGTQRG HVGKRARGHGHPAHTRDLSSRMELDRDRIQKAISSGDASSQANIRQGATKSRFEEYSI TGWKESKAASNSDGGVRSLVDWLEKKLPTTKHGRKNIIKHRVEGDTIVVSVRPDLGDR MSQINGYTFAGAELLVEKYEETPSISTEEMKKRLKAFLSNRFLPANKILNLQNLAADP ILLDMGLFKTPTSETKFYQALMKVWTLEFPTEQASRDAVENLSLANNGLTNINPVTTL SQNFPNLKALDLSNNNFQDEKALDKWRWKFRQLEFLDLTNNPFSSVASFKDIMMKWYP KLKTLNNIQVRTDEEVAAQSRAPIPVQPPFFQDEGNIAETFIRNFFLGFDKDRNGTLN LYYDNNSSFSYNVNPVAPRSAQDGITTPTPGWDSWIKGSRNLKKINHLAAQMNRMYVG IEKIRDVWNSMPQTEHPGLDQPAQWLIECHLIPCLPDATGQSPSGVGGFLIMIHGQFN ESSSGKVETRSFDRTFILGPSPGPENVKVISDMLTLRAYGGYNAWDINNKIDTAPAVG APPVHPEAPQGYGMPAPGKPDAQVQQEQLVLQLSFATQLKLEFSQRALVQHNWSIEGA LRAFEESKAQNQIPAEAFVSLVS TSTA_019200 MPAKSRFTRLDAFAKTVEDARVRTTSGGIVTLVSLVVILWLVWG EWADYRRVVVLPELIVDKSRGERMEIHLNMTFPRLPCELLTLDVMDVSGEQQMGVVHG LNKVRLSPVAEGGKVIDVAKLELHAQNEVAVHLNPEYCGQCGGAPPPPNTNKPGCCNT CEEVREAYALKSWAFGKGENIEQCQREGYAEKINAQRREGCRIEGDIRVNKVIGNFHI APGRSFSTGNMHVHDLDTYMDRELSDNEKHTMSHIIHQLRFGPQLSDELSRRWQWTDH HHTNPLDDTQQFTDEPAYNYNYYIKVVSTSYLPLGWDSSQSDQLHGDDQSTPLGLHGA VHGAAGSLETHQYSVTSHKRSLHGGNDAAEGHKERVHAEGGIPGVFFNYDISPMKVVN REVRPKTFTGFLTGVCAVIGGTLTVAAAVDRFLYEGSRRMRKSAAHTE TSTA_019210 MVRSRVRSFIAAFGSKNESNTKESQSSSGSNSSSQSKLAKPHAT PPTSSPPNGLHIVKTRAAAPERASLARPASMVFTYQPPVTEIAQDTLPELLPIFTFMN SHSNKMYQEGYFLKLNDLDTQGRPCADRKWVECFGVLTGTVLSIWDAAALDAAGENQD VTPTFINLTDASIKMLETLPTYDKNAKPLQNILSVSTAGRNRYLFHFNSFHSLTQWTA GIRLALFEHTSLLEAYTGSIIAGKGRQLNNIRMIMERSRYKYEDWARVRFGAGTPWRR CWFVVTPPSDKDIQKLHKSGKKRSAYDRTTPILTGDIKFYETRKTKKAQPIATITDAY AAFAIYPQSNALIDQSTLIKIEGNIMFHSQPQSSTEGYIFIMPELHPAVSGLEIMLRF LFPVFDTFGLYGRPSRLIADTNNVKSLMFAFPKHQPYGYLDVLDVVNLINKSGSQGWS EKEWRRELREATGQRMSTVNSPASSISAGRPRQRGSILGRHGKTRFKEPPLSSGFNQS AEAIIETPPSTAESPVTESGSSSPYGHYRAVSDTTAFLPSSSTGRSFAPSRLSIQDTP PEPPVHGVDPTATHDRPSTRHSNGSGSDGDAQLHDVPRTDNLAQEFRSSPPAPVAVPP AFSHQPGEVPSSRPQASSELRRANNRMSSSTLAQLADVSKLRGVTTTISDIQENPYSD ERYDSDSPVHMDARSSHTNAHPVEEQAPPVPVHGTTTDTRIQQSLPQRTSQTTPNLHL DTSQTIKRKPLPPQHSYISEMDSQEPSSASTDPTLRDLQHTVDEAALSRILPMQPSYT YERTVAQLPQLSRVDTESVYDDDGSVTPDYASRKSTETKRSEVSIPRPRMGVLKTVGG SSLDKQEVTIGDAHYLANQEVPKENPDIPVIDFGPTMAHNLHTRRPSMSDVLNNFGSN SPSPTRRDDRVEGHARKLSRSPAGDEKRRSVVWQPGLANYRPESPASRTVTPEQFVHH RSTSNSHPLDYPIGSPAARPRSGDWTLGASQPFYGRDLPPRPNSRGSTMLLNQTEIPA RPHSRNSTMILNQHDMPIRPHSRNSTMLLNQSDLPPRPHSWGSNAILNQTDLSSHLSA REQEHVARMTGSAFFNLSNSNVKPAVSPAGLIGAIDARERERRYMKEGLSNQMVQQAI AQRQQQQMYEAQQMQQSQVGSVYNMPGASYTWDTLNQNTFNQPQMIPRPATSSDWHTW NGQVVPQTPPAAPPQHQNQYFHQQQYQQY TSTA_019220 MSVKRKAGDAAVKRPSKFVKQTSDSEYVISDASSGFHTENEEDE DDGPDDETPATPFSRTSSKYPSELKTHLCPFEGCTKAFNRPARLQEHLRSHNNERIFQ CTYDNCEKTFLRVSHLNHHIKSAHTAVRDYVCDRRGCGKAFVTGTRLRRHLAAHDGRD KFRCTEYPPCNETFRKHATLAQHIMSIHLKQKPFPCQYVNPATGQRCSQAFDTAAHLR GHENRVHSESRFTCTECASDNLSFASYAELQAHIKSVHPPECPHCSLRCQSSRELRRH LEISHGDVSLEERRQFPCTVPGCGRSFTKKGNLAVHVRTVHEGEKRFVCGETDLSTSK KVEGWTGTDACGKRYSSKIALEEHVRTTHLGLQNSKAERRERLGLNKEPSKRKTNHDV STMTLLTGEGYADQSGRHIACLVSDCQYRFYREFDLFVHMRSKHGYEERDIDNLIMER ARLAQENTDTIFGIYGLEFDTPIDNSHDPDPFPSADAAPPFFHDSHIMNNEFDEKMND IAFFNSLNENIAPHHENNTLFGFGADDVVQSNDGFAFVDPGLSQ TSTA_019230 MSDYGDHEAEDTGYDYDGGEPEYDDNEPEDFLEPEDVEPEGDEA VHGAEDVYQDAVNGERTIISGDPNAGGGRGVMEQPREKKIPNDQRSTTPYMTKYERAR VLGTRALQISMNAPVLVDLEGETDPLQIAIKELNQKKIPLIIRRYLPDGYYEDWTCEE LL TSTA_019240 MAQDTSAEGLEKAIETSDTSVNGSESAVPKTEEIAPHANTGYHN AELDFDTGFKAWSQVLGSFFLFFNSWGLINTFGAFQTYYEDQILSHESASTIAWIGSI ESFLLMFIGVVAGPLFDAGFLSVMLAFGSFMVVFGYMMTSLASEYYQVMLAQGICVGI GAGFLFVPSVAILPQYFRKRRALANGIAASGSSFGGVIYPIMFDQLQRQVGFPWATRA IAFVALGTCTFSCLILRARFLPTERRDLIQLSAFKEVPYTLFCFGMFFGFLGFYNFLI YVQPWAIQNGVVNANLGFYLVSILNAASIFGRITPNLIADYTGPINILLPAAGLTALM AFCWIAVNSAAGIIVLAALYGFFSGGFVSLPPVVMMAITKDFRDLGTRLGMCFSISSL ALLVGTPIGGAILSAGNSYLGVQLFCGACLATCAAFMVVIRFIRVGWKLTART TSTA_019250 MSYIRRMTPMDMFSLNLTNLDALTENYDLGFYLNYLMRWPSLFS VVQDRDAGIVGYIMGKLEEQPAAMRNSEHYTPWHGHITVLTVAPAWRRLGYATRLTES LEHSSDINDAWFVDLYVRATNRVAVDMYKGMGYSVFRRVVNYYQDDPTGMSDQGEDAF DMRKPCSRDKDLIHVREDGENFLVNPEDVY TSTA_019260 MSTPSSPVSPRHRRHPSSFDMTPTINDANDNGYFYLQTPQSPGS LSADGQHLRHSISSNSDRRMSAVYMGAVDSGGGLGNLADELADAWGDGEGYEYASGIE VNDQMNNIHDDNHSSGEQTPREQTSPSDTNSLQPPRNREKQIQNRHHRRTESQYDGSD YGNDSDFEEGSEFSPNFERRLAGIESLARRGIEENGSSNDQIIQRFVEGLRDLGAQSG IENGAARLITAHTSITSHLTHQTRALQTLIHPLLFSHFPLLSLESMDDLIPLIDEGLL PNLPLPFSTQQTHNSSLRPQSASSSRSSQSQAATSADPLLSLQALLGQTSDLTLTLRT LSDTLHESRQLTSTASRRLRSARELVAEIKREDEDREEGHRWIESGQWDRKLKEREAG RVCGEVVSGFEAVCGEWRRKLFGASGASSAPAATEAAA TSTA_019270 MPPPPHIKPENVLKRARELIAVGQAPAALTVLHEHVTSKRTRSS PVASLEPVMILFVELCVDLRKGKAAKDGLYQYKNIAQNTNVGTIETVLKHFIELAEQK VTEAQAKADEIQSSLESTAPSTNVDDLEAIETPETILLATVSGEQSKDRTDRAIVTPW LKFLWETYRTVLEILKNNARLEIMYQTTALQAFQFCLKYTRKTEFRRLCELLRNHVQN AAKYSTQMHAINLSDPDTLQRHLDTRFQQLNVAVELELWQEAFRSVEDIHTLLSLSKR PAKNVMMANYYEKLARIFLVSENYLFHAAAWNRFYNLLRQSAVTLATGQGAKKDNPSV TEADMTKAASFVLLAALSIPVISTSRSRGALVDVDEVRKNKNARLTNLLGMAQPPTRA ALFKDALNKGLLKRARPEIRDLYNILEVDFHPLSICKKITPILKQIGADPEMEKYVVP LQQVILTRLFQQLSQVYESVELKFVYELAQFPDPFQVTQSMIEKFIMNGCKKGDLAIR VDHVAGVLTFDSDIFSSAKALHPGSAAGSAESEVGSVQRLQSTPAEIARSQLTRLAKT LHVTCLYVDPSYTASRLEAKQRAHARALEGAAKEHQETLARRVIIEKKKEAATESLQR KQREEETRKRIRTQQLQEAEKQRLLDEHREREKKRLKDEQDRIRKQELKKQLEELSGV KGIDINEIDPEELDSNRLRAMKLAQLEKEKNELNDRIRITAKRIDHLERAFRREELKH IPEDYERQKQHDMEVYELQKEETLKEAQLKHKADVALKHRLSRLVPVFTEFRDSLNEK RHEEFEKRRKAAERELEAKKKQRIKEVMERRRREKAEREEEERRRKEEEERIKREEEE RTAREEEQRRIMAERKAQREEERRKLDEIAVKQKQREEEAEARRAARKAGGVPEPTRA EPVAERGERGPPRLNLAPRGGAGTGASWRERLAAKEAAGETAAATPTAAPAAAKEEPT ATLPRKTGGYVPPAMRQGAGAQSARSAAPPASTEKYIPRHQREGSGAPAPRPSAATSE RSEESKPTGGKWVPPHKRGQQ TSTA_019280 MPTYELRSGGDVKNKKQSMADLKYRRLTELNSRLKEDLDRPRVK VSEAALSLINYCNNTRDFMVPSVWGQVDKKEDPYAPQQSGGCCTVM TSTA_019290 MNISDAKALQNQHQQQPTEDSTPPSLRVLDVYRTSRCFLASDFY PFLNELESEKTIQLMNELDAKYYVNLYFAHFHGQWPFLTRPQFSPETEPPILVLAMVI CTLRMTEEKSLMRLAWLIHAHLHAIFVTQMDNWTVKEYSSHRWPIATYQAILLFTIFT ITANDYSEVFGPNAEDEEEDVLDRIYPIFTRLVCTCRVQRILYYPSILSQIREDDPLV YKFSAAEELKYFALTLFKVDNILSKLMHLDQVPDTSSDVGGGHVPLSISELQFPPPVN NYLWETDGIREWLRRRARQCRDPSRSSHIYGAIMANDRRTVLNRELNPWICDILGSGH VDGQAGNELQMATTAKKLGGRRRAWMGLGPWLGYLVGLDVGSAFS TSTA_019300 MTEPIAPIEQTRHPNTKADASRENTHLPRITITYCTQCKWMLRA AYFAQELLSTFGTTIGEVSLRPATGGIFTVTIVSTSSTEETLLWDRKTNGGFPEVKQL KALVRNIVDPTRDLGHVDRALNKANKDKEDSTVTKSENSAEKQQVGADATAAASTATQ TDEKEEDLPLKPQEPCEDCQ TSTA_019310 MIQKGILDLPNEVLISIFELKNPQTGDYHRDVIPNLRLTCRRFC VLSSHLLLRTVTVDISRPDTLTRLQSIAADRNIARGVREVYIRLHFYHHWVAASQENF MEAVVSEWLQRTSYMHDYIRPEKGVLGIDQIALQDNDDNRDQNGGDTLAKNDKTSSSE SESLRSQLVCSEAYKLYQTRFQAQDQMMRKTDFATTLAESLSKLVNFTNLVFHDGAVN NNYGPGATVLLDVHDYLGQEEALVRVFSRPMIWEDVRWIQPLRDYWDAVPLNLLVDIP LAIGARKDIYINYLSFHVSAAPSYASFPSDQTILASLSAAIDHMAVFQLIFQPCCRSS CGPWLDNNNENHTSVSLLSRADFQSLDRYIGALLNSSNLGYIKIDLSEFYTSAGFNSD LDFPDPDPLGDYWYFNPQAEIWSICLRQVPTTSRVVQKLAAALCDDEDADLGLHYITL REGTWRETLDVLQAKKGGPKITIGYPVYDGRGTFFAD TSTA_019320 MSVDLCDAVYIDDRFGTERWVYRDELLEREAAGELAVIFPDEPE RLQSTVRVLFNVCKRIYLCHSNQTFAAALADLNDRSGPECTPIFAFIDVESTGGARRQ ESREFEGSLTLDSATPFSGRFTFSSEYSDSYGVQLLALLASDLQLQDGPKLITPIAMM RTPEGLGEEAEKLQCSQLSQKLCATSLALPSLPSRCIDAGAADVLCQPLQEARVQGLL VHAYRVRRTARKEMTRFLSIKKSRKQSWVGVNAEKPYSYIREAMVSKLLESICHPDQV IEEFQYGELNISAERKEMIEREVGVWRFPVHHLTDDELVYAACTMIGHAMTMPEVGPW RLTKGELQTFLLASRAAYNSFVLYHNFRHAVDVLQSVFYILIRIGALPTYDISNTNTS PSKSPVASLITPFDALSLLIAAIGHDVGHPGVNNVFLVKLNAPLAQLYNDSSVLEAFH CAAFSQILRRHWPAAFHDAKMRKLLISSILATDMGVHFTFMGRMAELQRKCEENSDIT SWTPQDRDTYKTLVCGLIIKCADISNVARPWKIAEQWTNLLQEEFAHQGEMEKEIGME TALFGGPPELGNIHKLSLGQINFMSIFALPLFEGVAGLCPEIISTVKHIKLNRARWQE VANDEETRMTPTSSGERSPRSLSPPRRESLKVSTVQENDVSTDRDANAHIILDEPPEL TNTAPSLTVQKDYANDHIDLSQTTIAGFSTSANGTVKDEPSSESQSSDDGDSNTGDSS GATLMTHSKAHYDRASSSDQASRPTSSYGVGRDTRTQSASTTTNTVATPVSPATNATS FVTLDSSDDKESRGSGLSSASDVYPLDDNHSRPSSSGLYGKRLQPNGHVIPPKPRSSQ GFEEFGKSHHLMTAILGNSGNDHDHAEEKLRTSHRSHEQLTSAPRHELPRKRSRLRLA FWRRKS TSTA_019330 MSEDSQSTESMPPSAEQSDSAGPGPANAHNTNNTDSPSSPARSK SRHAAPATKIATTTERPAEAKTANSNVAVAQSQPMTVSNSTSSEMTSITDAASNAAAP YSTRSRGRTNAARPNYAEDVEMDFELTSPASNAKSAVHSAKRNGISSVNGSPSAATDS EKGPAASTRKGHTATNGSQANGVAKDSIPGTSSFSTNGTSNNNASSAARKRKQPPSGA TGANPNGSAKRVHTTSGYKHDIRLSNMMTFENSGARLRNGKLKADDGSFLEVNDHVYL ICEPPGEPYYLGRIMEFLPGKGNPTGPVEMIRVNWYYRPKDIQRRVPDPRLVFASMHS DPCPLSSLRGKCTIKHVSEIENLEEYRKQRDCFWYEKMFDRYMLRYYEVVPTKEVINV PSHVKKVLDERWKFVLCETNRKKELTGAVKACTKCGLYAASVDSVDCAVCHSTYHMNC VRPKLVKKPARGFAWACALCSRAQERKLEARNTPLVGETLPDIEEDLPEEEEEEQVNN NAAVGTERSSPAADEDKKPAPATAAQISQAKMWPYRYYGVHCQLEDALDYDDRIYPRA SSRLGTRHQAVVSPWYGRPVEYVKCADNKKKNKSSKSGSSKQSKAVQAAIEAERQERL KRPWVMDEPPGYIPRGEDEPIVINDKEVRTAQLLFKMPEPGEIPSTRGEDDGPAPEMS QEEREKFIDEYMNRARDLAAERGLPRYHTNFLDKALEYLYSEKFNVESALKRLKKADL YKDLKEPKLTKDQKALFVEGVSKYGSEWLNIRRHIGNIEPRHVVRYYYMWKKTPEGRR VWGSYEGRHGKKEAKRADSTSKLLDDIADEADDSAFDNEKASEKKRGFQCKFCLTRSS PQWRRAPLTLPGATVPAEPSSKKADKGPQLAVALCHRCAILWRKYAIEYKDADELAKK LQASGNKAWRRKLDDELCAQALVQQETPQIIATPPTGTGANTPSATEAAVEPPKKKAR TAAEKDSASASARNSAEPPPKKKAVEKPVEPPPIIPDPPRAKILPCAICRKPDPTGEY SVTCRDCRLTVHRSCYGVEQDSSHPKWLCDMCSNDRNPIVSTRYECVLCPVTLTEQEL MEAPKSSSHKKKTERDREKERMEKEMVVEAIKLYQQRQEAVGKPIHPREPLKRTVGNN WVHVNCAVWNPEIKFGKAEEMEPAEGFGLIPRERYREVCKICKTSIGACVSCHFPGCN AKFHVGCAHQAGYTFGFDVTPVKGSRRDSTITMKMGGESGSVSPCIWCPNHAVQSVVH DMSEPTEQNNLTALELYVRTYKQADLTMTGTVRKAAHVQQQHAQSMLQSNGSGHANRR ASLINNAGSTSASERRNSTSTIQDPAEVIQPSTHAEPPRPQVEYKTCIYCRSTCSPRW WPVQQYPLMSNMMSNGMNHLMNGAPPMHSRTLSQTSNSYVNGDGIRQSEQAYECHKCH IKKPAAALQPPETLPAGAFTAQTPRGTLLPAPRQPEYPTYAPHGNPGPLPNVLATRPG PPPPPGPAPSGPEWYSSYDKRLGSHGGPQTNGYGHGQPAPYAGGPLPQLNGYASGPPP TATTLPSLPPASAPSHAHPSPGPGPGPSPLLPPTPHHHPPPGHYGPMPSNLPPPPPQP THSYVGSAMGVPSMLSPRAPPARPFGTSMSPPDLNATLHRSSPSHGLNTGPPRSMMYP VDRYASAPSLGNPHTDTQPGTTDEHASQPSGRYTGPGPSGQAGPSTNGGSGASASPSL KNLLS TSTA_019340 MSGPPVQSHGFQTSVDTARQQTTTIAGFKRPRQNSVYTEPATSV TTSITAAAAAAATAAAPRSTGTRHNNDPPSPKRRPVNFIRAPAATHEIRIAASPIRST ANNNAVSAIPSLAPPPAPSQSAATSNPLLSLQHPKYGLPPALVANFQAVGIHSIYPWQ ASCLLGRGHLTAEKNLLYTAPTGGGKSLVADVLMLKRVIENPHRKAILVLPYIALVQE KLKWLRRIVQDVEKNVDTPDSAKQKWKQPSKEIRVTGFFGGSKSRATWADTDIAVCTI EKANALVNTAIAECNIDDLAVVVVDEIHMLDDDSRGYLLELMVTKLLLLQQDIQIIGM SATLSNTELLAEWLRASFHASTHRPVPIEEYLVYENSIYPAISSKEFFQTATKLQSVQ DTVPPCRRIDQSPFKALSHPTANAMVSLAIETATAGFGALVFCGSRHACQMNAQLISE AMPSPSTLDSELLERRLDLLDELGSLPCGLDPAFQNTIIKGVAFHHAGLTTEERDLVA EAYDQGTIKVIVATCSLAAGVNLPARRVIMQGARMGRDIVGPALLRQMRGRAGRKGKD TVGETYLICQQADLEAISEIWDAETPAIDSCLAQDNKGVKRALLEGIATRLVSGCEAI DEFMRCTLLCKTRSEAEMEKLIDSSLQELIDTELIHLRDDGNNSYESTKLGAAIVASS FAPDDGVFVYEELKRALQAFVMDGEMHIFYMFTPLSVAMNTNIDWLIFRDQLDLLDES GIRALLFVGVQPGFVNNMVHTGRNFKEDDPKQQQLSRIYRRAYAAFQLRDLCNEVPLS TIASRYNVPRGIVQTLAQTCHGFAAGMVKFCQRMGWGMLAVVLEHMRDRLQAGARDDL LEMAQVTHVKSWTARLLWENGFRSVRALASADAKDIVPVLMMARSRKSQSQTNTEKEV ERHAAKLARKAEAIIASANKIYERQMQVEIDE TSTA_019350 MRLTTAFSLLTAAAAAAAQCNGQTAYCSRPFSNITFIGAHDSPF IGSGLSDNQNINITAQLDMGIRFLQGQTHYFLNELTMCHTSCILEDAGPLSGFLSEIK VWLDSHPQEVVMVLVTNGDNVGIGNFSAAFEESGIDGYAFVPSTSPGVLPMGQWPTLQ ELIDQGKRVVAFLDYGADMSSVPYILDEFSYYFETPFDVTDSTFSDCSINRPSGASAD GRMYIVNHFLDEDILGIDIPDRADAATTNAVSGTGSIGAQAQLCEGLYGRAPNGILLD WTDKGDGIGAQNAINGV TSTA_019360 MSSTNEGNDEGAREDELDLGQQDDDLPTHVNGQSEDADADKLEN EDADEQKSVDDLPSVNVDNQNYDNQDEEGTPHLLPQAPASADELSSIPDDTPSLHGSL QSSPSSSALAFRASARSSPGPAHRPFDLRFQSRLSSSSFSSPRPSSPFVLHTHSRNSS FASQPPLSSTPDLEPDTPQTPWEVVRWTKLRKIAAQSFSEIGRRNFGRPTCICVSTAI VLGTSKGIVLVFDYQQNLKTIIGPGTKAVEAGAITSLAISADHTTVAVGHAEGEIFTW EISRASRPFLHIPPVPMAQHVHGGAPDGHVAGVAVVHIGFLGTRRTALVSADDRGMAF SHLATRGMGAVARTVKTTRILGRYPEPPSEEVKPRKPSSVLAFSPLPLGNVDQSTDGL GLVAMLTPYLFVIVSTTPVAHTQFKAPRPKEVGSHCVMSAALAWFPAIRLKGKEEVSN TKLVYCWSNVLTVLDVHESDVDGELSKDRPPVLEFRPRSRWRANEAIVAVQWLSRSVL AVLTITQQLLILEDRTLRVTDSFDLLHKHIYHTDIFSSHLRTLVEQYDEENTTMHGVV ADAFYHSLKAYKGRLFLLGYNELSVGSLSNWADRLLAYMETGDFVSAIRLATSYYQGD AEKLTVGLPEEDDLRHSVVEEKLLEMVSASLKFAFGRNEDAGIERVQKSQLEALADAS ISACVCMENFDYLWDEVYEWYEGHDSQGIFLDVLEPFLREEKVRSIPPTALKALINHY SATYTSARLEEVICLLDPTTMDIDQVTTLCKQHNLYDAYIYVWNRVLSDYVGPLEELL AFATRVIESAADVNGSNFEPIEYENAMKVFPYLSFILTGRTYPTGEEMSEDQAVNAKR TLYTYLFTGTHLPGSKQKPFGALRTALKFDSSSFMSMLNEAFEDSFLNDSADVGNGES EQGSGLTINRQFLVSVLLDVMSNSEFGPHETIFLDMFIARNLPKYPQYILLSGSTLYQ VLERLCRWPTSELAEDCQLSVEYLLSIYHPPDLQSLIPLFKEAGYYRVLKSTYRAEKQ YAELIVTYLQDTSEREDVFTCIYECLRSRSALSGKQREEVMQVIKSRAGELALINVSK TARTVQELIPSLHAVFLKSLEQDDYKQYHYLETLLEPQDSDTDVKVAPAELDRSMVEL YVQLLCRYNRSHVADYIDTLRVNELRLDEVLPAIEGSGVVDAAVVLLARQGQVRKAMD RLLAYLSTLESGLMGILTNAEEAPDVAGTFEAVGDLLESVDKYSGVGIWLCQGQTKNA QAQPLRNGHAGSNKRASVMTLQEPLTFEENLWLDLIGAVVGMARNVSSLLQKTSTPEK LKSLLLSDNQDKAADGDVELISSFRQLVQKVFTALLTSTAAGGPSPGERTGVSFLRIL RAFLTQAATTSPSLSELRSVLASIFSAYTYEESLLSLANGMLDKDLFVHVNEVTKLRQ HGWRPKGQVCEICRRRIWGPGAGASQWTAWERRLDAEDRRRRSARQVDNGGVLLKGKG KNRDEDDGNLTITGSSSGGDADDASLNDTTENTAGFVGSGPVVVFACRHLYHRPCLVS KMRDDGESQSHQKQILDSHGHETTRELVCTTCT TSTA_019370 MRASTLLELAALAGLSSAQTYSLVDNYPTGMDFFSKFSFFTDSD PTHGFVDYVSETTAKSAGLIYASGNGTYIGVDNTNVASSSGRQSVRLTSNAAYTKGLF VLDLAHMPGSVCGSWPAFWTVGSDWPNNGEIDIIEGVSQQSANAMTLHTSNGCSINDS GFTGHLATSNCYVNAAGQANNAGCSIDATTSATYGDAFNTNGGGIYAMEWTDNYIQVF EFSHATAPADINSNSPDPSNWGEPAARFQGNCDIDSHFSQHQIVFDITFCGDWAGNVW SSSTCSSYASTCNSYVQNNPSAFTESYWLINSLKVYQS TSTA_019380 MFLQARLWPVLDRLPIDWATFGRYGRRGWQFADKADSHLRYGPV WALVTPVKVYIHVTDADVAYDMFNRRKNFPSPKLAEIYGPCISTAHWTECEHHRKIVT SPFNENTMEFVWKGRSEQARQILNR TSTA_019390 MYSEEKAALEDGKPGKGGLMTSFLRTDQQLGKIFEGDRLGGLTV EEISGNIFFINFSGYDIMAKTFAYTMLLLDCASRGARMGGGSTTAACTCRRTMGIRYL VSKAQAVQGCFFPTLIDKKTIIIPTHTGVITVLLAKSHTSTILGRKKPSSNLPKELLS LSLTAKNWPGAKFSQVEFVAVIARSLRDHRYTAVQWPDENLEDTRK TSTA_019400 MPRKAIDSRIPALIRNGIQEKKRSFFVVVGDRAKDAIVNLHWIM SGADVKQNKSVLWAYKKDLIGFSSHRKKREAKIKKEIKRGIREPNSEDAFELFISLNQ IRYVYYKETEKILGNTYGMCILQDFEAITPNLLARTIETVEGGGIVLLLLKGMKSLKQ LYTLSMDIHSRYRTEAHDDVVARFNERFILSLGSCDSCLVVDDELNVLPISGGKNVTP LPLPNPIEDNKSTAQKELQEIKDSLADSQPVGSLVTLAKTVDQAKALLTFVDAIAEKT LRSTVTLTAGRGRGKSAALGVAIAAAVAHGYSNIFITSPSPENLKTLFEFVFKGFDAL GYLDHVDYTILQSTNPAFNKAIVRVNIHRNHRQTIQYIQPQDAYALGQAELLVIDEAA AIPLPLVRKLLGPYLVFMASTINGYEGTGRSLSLKLIQQLREQSRGASLKDADTDIAD RSTGKSAKHTEKGVIGSRTLREVTLSEPIRYAAGDSVEKWLNKVLCLDATLPKSKMNT QGCPHPSQCELLHVNRDTLFSFHPVSEKFLQQMMALYVASHYKNSPNDLQLMSDAPAH QLFVLVPPIDEEATKLPEPLCVIQIALEGRISRESVMNSLSRGQRAGGDLIPWLVSQQ FQDEDFAGLSGARVVRIATNPEYLNMGYGSRALELLVDFYEGKFANLSEDVSYASEEM VRVTDDQLENANLLDDDIHVRDIRSMPPLFGKLSEKRPDTLDYVGVSYGLTPSLHKFW KRASFSPVYLRQTANELTGEHSCVMLRALTAGSNDISWLSAYTRDFHKRFLSLLSYQF KDFPAVLSLSICESATAGSKLDPSFSPSPLTKFVLDSAFSPFDLKRLDSYANNMLDYH VILDMVPIIAQFYFLGSLQGKVNLSGVQQCILLAVGLQRKNIDELEKELNLPSSQLLA MFIKIIRKISTHFRGLLEGAVAATLPEKVNTADASGAHDDVVEDKFQPLETGLEEELR EGGEQIDKELKEKQRALIDALPLDRYEINNGTAGWEEAEKQIRKGGAATVSVKSTKSS KRKAGETAREIYEQEIDSKIQKKIKKGTEGRKKK TSTA_019410 MPNHLYFALLASLLGGASAAGLYTKSSPVLQVDAKNFNSLINKS NHTSIVEFYAPWCGHCQNLKPAYEKAAKNLEGLAKVAAVNCDDDENKAFCGQMGVQGF PTLKIIVPGKKPGKPRVEDYQGQRSAKAIVDAVVERIPNHVKKLTDKDYETWLSADES PKALLFTEKGTTGALLRSLAVDFLGGINFAQIRSKESKAVEQFGINSFPTLVLLPNGA SEPIKYEGEMKKAPMLEFLSQVAKPNPDPAPAAPRKKSSSPKKPTSSSSSTVTDSDKS TDSPSPKVEVAPEEKPVKIPVKAPEVAQLSTPEDLGPTCLNSKSGTCILILLPETESA DAELPSPAKEALSSVSELAHKLTGHHLPFYSVPAINSHAKILRTELGLSEPSVTEIIA VNGRRGWWRRYSSEEGFGLHSVEGWIDAIRLGEGSKSKLPEGVIKEEEEEVSHDEL TSTA_019420 MALTYKGDMAYLPQEIFQMIIQHLEPVDLVRCRRVSSSWYRAFT NPVSLAQVLKVTFPLAKEVRELVGQIKGPIPYDTIYNDPPEKWRLIFDRVTERYYRLT NGIPRSVQTIDFQDTRTAPGEDGYFSVPHWNSHSSHPGSFIDMLFEPVFWTYNEGLVV YPDERGRCLMLLDVETEEQFMVPFVTIDKVIRRMRLHDRLLVIEWAESETFHWLNDQD SVHRHFATSFEVNAVGRGWDIVFRNEWKIMFLGHPLGERDRFFSCHSRSHYAIYTWQP NRSLYTADEDAPIESLSIWDISAASGYRPSQDPTGRPKDNGPRQVASFAFRDLEFYSV RQRGLPAIMRMDIDSDSNSISITEVRDNAHMDFNVLEYAPWTRIITIPFIGQGPAWQR EVQTRMEIAPWTVTKPWPCYCAICESIDEKAQVSFCISYASSKVQAGFDKTLLLLTIH TPHGIRTLPQEFSQQLSYTGKICGDERFVIGENDLNQLVVMKF TSTA_019430 MLSRTVRPALKAGSTVVARTAPTNAANFATLREIEGRLKSIRNI EKITNTMKVIASTRLTRAQKAMEDSRVYGTTSNTVFESAETKPEEGDKKTLYIVASSD KGLCGGIHSGLSKATRRLLLENPNADLVVLGEKAKAQLGRSNAQNIVLSFSQVCKDIP TFADAQAIADQIALLPTNYGSIKIIHNKFLNAQSYEPTTVDAYSEEAITNSPNISAFE VEEEALGNLREYALANSLFWAMAEGLACEISARRNAMENASKNAGEMIQKFQILYNRQ RQAAITGELVEIITGAAASEDQ TSTA_019430 MLSRTVRPALKAGSTVVARSTAPTNAANFATLREIEGRLKSIRN IEKITNTMKVIASTRLTRAQKAMEDSRVYGTTSNTVFESAETKPEEGDKKTLYIVASS DKGLCGGIHSGLSKATRRLLLENPNADLVVLGEKAKAQLGRSNAQNIVLSFSQVCKDI PTFADAQAIADQIALLPTNYGSIKIIHNKFLNAQSYEPTTVDAYSEEAITNSPNISAF EVEEEALGNLREYALANSLFWAMAEGLACEISARRNAMENASKNAGEMIQKFQILYNR QRQAAITGELVEIITGAAASEDQ TSTA_019440 MSSSDDDAPLLKASRPSGLNPGVSIRFGPIDNEDVDMKDAANGV NGHAVGKRRARVSAGKQSYAEAESSEDEKPLSKKRRVSTKKQDDSDSEEDIPLARKAN AAKLPRASETAIGEESDSDIPIERKLKKQKANIEKKAEQEAKAIRKAEATSLKKTSTE KAAANGKKPKANGVKTENGVGTKKIKAEPSTPVKKGKGKATPVKEESEDVEAAEEEEE YRWWEDPTKGDGTIKWTTLEHNGVVFPPPYEPLPKNVKMKYDGIPVVLEPEAEEVAGF FGSMLNSTHNVENPTFQKNFFDDFKAILKKTGGAKTQKGEPIDIKEFSKCDFKPIFEY YDAKRLEKKAMSAAEKKALKAEKDAAEAPYMYCMWDGRKQKVGNFRVEPPGLFRGRGE HPKTGKVKTRVQPEQITINIGKEAKVPPPPEGHKWKEVRHDQEGTWLAMWQENVNGNY KYVMLAANSDIKGQSDYKKFEKARELKKHIDRIREDYRVGLKSELMADRQRATAVYLI DKFALRAGNEKGEDEADTVGCCSLKYENVSLRPPNTVIFDFLGKDSIRFYDEVEVDPQ VFKNLKIFKKAPKKEGDEIFDRLTTSALNKHLSNYMPGLTAKVFRTYNASYTFATLLK DMKAEGTVAEKVKQYNDANRRVAILCNHKRTVTAGHANQMEKLGDRIKGLRYQKWRVK MMMLDLDPSQKKKKGAKYFELDDDLDQAWIQEHQAFLVEEQKQKITKKFEKENEKLAA EGEKEMKPKELDERLAVLKDMEKKFQKENKTGKVEAEGKGQTIEKLENNVAKLEQRIE TMSLQAEDKENNKEVALGTSKINYIDPRLTVVFTKKFNVPIEKFFSKTLREKFDWAIK SVDEDWEF TSTA_019450 MATDKPAVLIIGGLGFVGRHLALHIHKNNLASELRIVDKLLPQL AWLAPEFEQACSKEQFVQADASREQSYPRIFDRADGKQFDYVINCAADTRPSQPEEVY QLRNHAMCMGLAKEVAKRNIKVYAQASTSYIYKSGSKPAKEDDKKKPWHNLAKWQLQV EEDLPGVEGLNWVSLRLPHIYGEYDRGYTAVAIALARTHQWLQTGFDQLESKDLKFNT LHVKDAACALWTAAEWRAGEKDNKDAPHIFNVVDHTDTTRDQIGAALAEVFDLKVTFA GMLVSQLARLKLNDIVDEMNEEMLQAWADMLEEKGITRPGPISPFIEIDMLSDNDLCI DGSLFESTTGFQLEYPRFSSDSIREVIASYERMGWWP TSTA_019460 MATTIPDSLKSADIARFVTRAAQIERAKPVIAYWCNYWVVNQII SKGLHTTNAESTQFTTDLMDKLERFKNENPDNDAITDNVAGQAYVEQFGLEVFNRADN AVRANKASKQTADTFLAAATFFELCQIWGEVDPDIASKIKFAKYHAVRIAKAIKNGED PNLSNPVQESQTPDSEIQALNPDDPEVRALQGNTTERERQPSVEEVPDEADRVQRSLA QQSILDESLHPSRSSSLPPRPPSTTDAFKTEDLLAAPSALELPSAPSDLTLPQTPSAL PDTPETFTNPSAAFQSFPPPAVVQMSNAVDPSEQPPLPRNITQQPVIPPPPVPAPARA PAPAPVVSTPSGPQTSSYDITADDQAITQAQKHARWAVSALSFDDVPTAIKELKIALR HLGAE TSTA_019470 MSESTDKRTSNIHLDRAIKYERQVSDAGSQSQALEAAIAAADNY MRALKLADTPREKQWLDSKCKDWLCRAENIKLDKAWKPSSSQVENSLLKEPVSKRTLS TREEIILLESAKLNGFIFPPWKDDPSPHEFELVGEEGQFEDKTLRLSILQKEVFSGWK RPAELIKERGLGSLTSDEPKRVGTKVVMDLVQDITTDCSVIASICAVTARFERGYNCN RIFPFDYNQGIPRKSISGKYIFRLYFNGCYRKVVIDDRLPSSKTSRSLFAIDRNAPKS FWPALIEKAYLKLRGGYDFPGSNSGTDLWVLTGWIPEQIFLHHEDVAPDQLWRRIRGA FQYGDVVLTLGTGELNSREERLQGLIGRHDYAVLDLTERDGYRQMLIKNPWADSSART PHSYSRPSAQNEVDTTSNTLLPGCFWMDYDQIFQNFDNMYLNWNPGLFTTRHDIHFTW DLSKARFSSACFANSPQFSISTVAGGPVWLLLSKHFRTTNATSPSVESGFISIYVSKK GGQRIFVSDDAFYRGPFVDSPNTLAKIDMEANSTYTVVVAEQSLPLVAQNFTLSALSR DEIMLKPAEDKFLHTYQMGSEWSPITAGGNTESPRYPSNPQHVLQVSDTADVLILLET PKPDLAVHSKLFWSDGKRVSTVRKRDIVTDSGHYRPGCVVAETEQLRPGSYTLVCSTF LPDQVAKFNIWVFSTTKCHVKALPSEAAGRLAVLSDVGILPPGIDRIFAPLSVPRLTR LKLIARSRNSTIGTRHITSSPMLMTVELGQGPYKEILASSENGEYSDAVSGIRVPDFD VCPEFAEKRGIWIVIERIGGPVGQVTDCVDVEALSEERVEIGEWITSS TSTA_019480 MLSQSLALSILVGGAFSIPVENRANCPNIHVFGARETTVSPGYG SSITVVNDVLNNYPGSTAEAISYPACGGQSSCGGISYSSSVAQGIAAVASAVNSFNSQ CPSSEIVLVGYSQGAEIMDVALCGGGDPNQGYSNTAVQLSASAVSMVKAAIFMGDPLF RAGLPYEVGTCAAGGFDERPAGFSCPSAGLIQSYCDSSDPYCCNGNNAATHQGYGAEY GNQAFAFIKSKLSGSSTGGSGGSGGSGGSGGSGGSGGSGGSGGSGGSGGSSGTVAQWG QCGGQGWTGPTQCVSPYTCTAINSYYSQCL TSTA_019490 MTGQSKPARLSPWGSAVAGATGAVLANALVYPLDIVKTKIQVQV KSRKDTSSNTEATPHYESTLDAINKIFQDEGLTGLYNGINGALIGVASTNFAYFYWYS VVRALYIASGRGSNTPGTAVELSLGAVAGAIAQIFTIPVSVVTTRQQTQSKDDKKGLL ETAREVVDGEDGWTGLWRGLKASLVLVVNPAITYGAYQRLKEVLFPGKNNLRPWEAFV LGAMSKALATLATQPLIVAKVGLQSKPPPSRQGKPFKGFIEVMQYIIEHEGTLSLFKG IGPQIVKGLLVQGLLMMTKERMELAFILLFAYLRKFKEEKLKRYAERAAATAKTNLPV TLK TSTA_019500 MKYYINRGCKYQGRRTATLTPKPVAKIAAESNWFLRWSSIATTT LPWLYPYLHITSQQPCSLNPGMGWWPFGASGSTSDPEDKNSNDSHHHSSSDHKQEEQK QSFIPPLLISHSSSSSKSTTDWNSSLNAFDWSQFKEPQNLIPTALLTGGILFVVYVQR RYLRRFPEATDITSSYFRQRSLLGRVTSVGDGDNFRMYHTPGGRLVGWGWLPWMKVPT AKKELKDRTVHIRLAGIDAPELAHFGRPAQPYSHEAHMWLTSYLINRRVRAYVHRPDQ YKRVIATVYVRRWLDIPPLRRRDVSYEMLRRGLATVYEAKSGVEFGGTENERKYREAE RLAKSRKLGLWKDFWRQGGVDFESPRAYKTRMGKLETSSVESSSPVEKRPSGFISLLV GRIWPFGSKKDGK TSTA_019510 MTTSATKRLKKAARIILIGAPGVGKGTQTERLLARFPQLAAISS GDLLRENVRKKTALGLQAEALIQAGNLVPDTVMLDLISAELVTKGWLRPSEPTTTQSS SASPPTISSSSHSQYNRPPSIAPSASFILDGFPRTAVQAISLDRILPINFVVHLFTPP SVIISRITKRWVHAPSGRVYNTDFNPPKIAGKDDITGEPLTQRADDSIDTWKQRLRKF EDSSKALIEHYERQGSLWRVEGNSSDEISPKLFAEIERRFA TSTA_019520 MMRQDFHRIDPKRRAVLDHKKKQFAAPSFKQQDYPHRLNFYDVP PTAEITLEEFEQWAIDRLKVLAEIEACSYRNKSPQETEAHIAPVLKKYLNLSSNSSAS GGVVNQQLKNERQKDHYSHFILRLAFSATEELRHRFVRAETMLFKFRFQKDDSKERRA FIESLNLNWEPVSDEEKSELAEQLVTSTPGLRRADDESWFKVDWEKVPELIERRAVFI RKGKAYVPQREQLSMIVADFTARLEKAMELTSRALPRLDEDDRLTPILNHLSKNFGSA ESVYSEGEGVVDGAPITAASIDPLSQHFPLCMRSLHMTLRKNNHLKHFGRLQYSLFLK GIGLSLDECILFWRQSFKGFSDDEFNSKYKYNIRHVYGDVGGDANRRGRGYPPYSCQK ILSESAPSTGQTHGCPYRHFSVDNLTALLQSTGVHDKDLLRGVREDVEKQRYHIACNR VFEWTHKADIKRVKEDGSWNQADLDTIVHPNTYFKRSYLLKTSGKPSKETA TSTA_019530 MDYEALKDQWSDVEDRDGIRLSWNTFPSSRMEASRLVVPIGAMY TPLKEKTDTPLLQYEPVTCKAPCKAVLNPYANVDIRARIWICPFCLMRNPLPPHYKDI TENAIPPELHPQSTTIEYRLARPAPAPPIFVYVVDTCQEDDSLQALKDSLIMSLSLLP PNALVGLITYGTMAQVHELGYGECAKSYVFRGSKDYAAKQVQEMLGLLNAGVRPGAPQ QPGRMPPPMGPAARFLLPVQQAEFQITNILEQLQRDPWPVANDKRALRCTGVAISVAV GLLETSFQNAGGRIMVFVSGPATEGPGLVVGPELKEPIRSHHDIDRDNIKYFKKAVKF YDNIAKRAAHNGHVIDLFAGCLDQVGMLEMKNMANHTGGHILLTDSFTSSQFKQSFVR VFDKDENDNLLMAFNASLEVLTTKELKVTGLIGHAISLNKKSSSVGETECGIGNTCAW KMCGIDPAASYGIYFEIANQGGPAPMQQGPQRGMMQFLTYYQHSSGQFHLRVTTIARN LSGPAGDPALAQSFDQEAAAVLMSRIAVFKAEVDDGPDVLRWVDRMLIRLCSRFADYR KDDPTSFRLEKNFTLYPQFMFHLRRSQFLQVFNNSPDETAFYRHVLNHEDTGNSLIMI QPTLDSYSLEHEGAQPVLLDSASIQPTHILLLDTFFHLLIFHGETMAEWRKAGYQDQE GYENFKAILEQPKEDARELIADRFPLPRFIICDAGGSQARFLLSKLNPSTTHSTGGYG GGVTAQTIFTDDVSLQTFMEHLMKLAVSGTN TSTA_019540 MPPKFDPNEVKIIHLRATGGEVGAQSALAPKVGPLGLSPKKIGE DIAKATGDWKGLRVTVKLTIQNRQATVSVVPSASSLVIKALKEPPRDRKKEKNIKHSK SIPLDDIIDIARTMRSRSLAKELRGTVLEILGTAFSVGCQVDGRSPKDVSDDVKSGEI DIPEE TSTA_019550 MLFTFIILKQISPKAIRGLLILQYAACQMVGVAFGLFINYGVAK SYTGTNKHNGCSPPFYNSSQQQSGIRHLPADHPLILSEIKAIEIQILHEVEPDSPTIF GYLGVKGTESTLLAPGIYAIVKFVSTMLFGIFVMDFIGRSRSLMTGICLQIVTLAYYQ GTPCASRASTGAIVAIYFQAVAWSIGRFSIPYVLGPEIFPIPIRSLNMSISMAFHWLF YFASSRETSSLLAAMEKWSAFVFYGFICTVFFARLDTTGKSIEALASLFRRPWYTVWR VAYPTANEKQEVTDDPKSNVDHVEKV TSTA_019560 MVAVKPLILALAAGHLAHAQLNTAAKAAGLLYFGTAVDNGDLSD SQYLPNLETDDFGQITPANSMKWQPTEPSQGSYTFSQGDQIASLAKTNNDYLRCHNLV WYNQLPSYITSGSWTNETLIAALKEHINGVVTHWKGQCYAWDVVNEALNEDGTYRQNV FYQHIGEAYIPIAFAAAAAADPNAKLYYNDYNIEYPGAKATGAQNIVKSIQAAGARID GVGLQAHFIVGQTPSLASQKANMAAFTALGVDVAITELDIRMTLPDTSALQTQQSTDY QTTTTACVQTKGCVGITLWDFTDKHSWVPGTFSGQGDACPWDSNYKKKPAYYGILAGL QSGAGGSTTLTTTTTSTPTTTSSPATTTTTGAGSGTGAAHWGQCGGNGWTGPTTCVSP YTCQVVNPYYSQCL TSTA_019570 MDVSLPSGSWDTHIHCFDLQWYPFRSTRAYTHGPAPLGELVENS LTNQVVLVQASIEDGHDGLVAHFSRIRKEYAHILARGTICMDESWTNLDNSHVDVLHQ LGVRSWRIHEQFLLVAQSYAAKTHGWSISAQLPLQAWTALKQFLLHDKEINCHDNCRP QCLRRAGGYLQFRIQIFSRAAIVWPPDDFHNMQSVIQSFADTASCSLLWGSGWPHVNP ANKSVHLPIDAPKIDIRGELKAL TSTA_019580 MAFVADGNTFDFIVVGGGTAGNAVAGRLAENPNVRVLVVEAGIP NPDQIEEITTPSKAFTLRGSKYDWAYKTTMIKRDDYERVEKPNTRGKALGGSTCANYF TWIPGSKPTFDDWEQFGGSDWTWDNCVEYLRKCATYHDDENLYSSDLKKIGTGGPVNI SHADLVPEMKPFRDALTQAWLDKGGVLTEDIYSGEMRGLTHCVDTIYKGERQGSFLYL KNKPNVTILYGVYSKKLIIDPTTKVCSGVTVISEVYGTEISVYASREVIVSQGVFETP KLLLLSGIGPAAELAKHGIEPVIESPHVGQHLLDHPIVPFVLQLKDGYALDDHILRPG PLNDAAIASYKKDKTGPASSGFLELVGFPRIDERLNKYPEYREAKVANGGLDPFGPAG QPHFELDFVSLWSSAFQWHYPMPEKGSHMTVIVDLLRPLSEGQVTLNNANALTQPNIN LNFFGNDLDILAMREGIRWTYDVLTKGAGFKDIVVAEYPWKMPLESDVDMRRVVLDRS QTGFHPCGTARLSKNIHQGVVDPKLRVHGIKNLRVADASIIPVIPDCRIQNSVYMIGE KAADIIKADHKDIFTAKNVPHFVSMSKL TSTA_019590 MSNPKFTTAEGHPIPDPSVSLTLPTIGGGGITTLGDTVLLETLA HFNRERIPERVVHAKASGAWGEFEVTNDISKYTSAKFLNGVGKKTPVLWRLSTTAGER GAADTVRDVRGFAVKFFTEEGNHDIVGNHIPVFFVRDPIRFPSLNRSHKRDPATNRPD WTMFWDFHVNQPESVHALMHLFGSRTVPDSIRRITGFGVHTFKLVAADGKIRYCKFHF KPTAGVTSWSSHDATRMAGVNPDFHGQDLWDAIARGEYPAWKLYLQVMEPEQAENYGR ALFDITKVWSHKDFPLIEVGQMTLNKNPENYFAEIEQAAFSPANMVPGIAMTPDPMLQ ARMFAYADAARYRLGVNYTQLPPNRAICPVFAPFERDGYGTITRNYGGEPNYVRSTLS TGRVSQQAVYNTPITERIERNAILAQNEIQVDDDDYIQPRKFWIRVMDDNEKRLWIAN VVETLETVPPQLRDAVAAMFSNVDARIGEALTAKARNNAHI TSTA_019600 MSTWMLFDSTKIDVFITSSSVAWYEQDGESRSTIEPKAQHLSCF AGGMVALGAKMFEHTEDISVARKLVDGCIWGYDSAPLGIMPEVMYIVACEENEDYPKQ SSQYSSYTESPVTQSAQKKAWTMFNNIIQHTVTDIAHAGLDDCTIPHPSEQDRMESFW LAETPKYFYLIFSEPDVGNLGEYVLNTERINYGDLTDRLKVIVVIHT TSTA_019610 MELTLASARADIDLKMTPTINMANPTPFTYENEVFANGLENVKP AISFNPHDWESLAKDILPADSYGYIWGSAGLRETDDNNRAAFRKWALIPSRLVKSDFP SLKTALFGQEYDYPIAIAPIGVQRIFHRDGELASATAARKQHVPYIFSSAAATSIEDV ARASGDGKRWYQLYWPSNENNEITASLLKRARDAGYSVLVVTLDTYILGWRPSDLNNG YNPFLRADKIGVELGFSDPVFRRKFRERHGVEIEEDMATAASEWAHTIFPGLSHGWED LKFLQDHWDGPIVLKGIQSVADARRAVEAGVQGIVVSNHGGRQQDGGIGSLDVLPEIV DAVGDQIEVLFDSGVRGGADIAKALALGAKMVLIGRPYAYGLAIAGEAGVTHVLRCIL ADLNLTLHLSGIQSVAPEHLNRKVLRRVRD TSTA_019620 MLPTMALPSQFHPHHHMDRRLLGYDMLLGCPPYNLHEHTNASSF QHRPFLQQDFDRVATSIPRSRNQYPHPVQRPVSLNPDTVDATKVTKAGEHTLRRKTPN GTLAAGYDGTPGDRAIQPPAAKHIIVSSLDSRQQHSSSQPVPSADSYTWQPIPVEHVS PLHYQNFPPAFQSDISQNHTNNNSLSVDKYQSSATGPSWVRSLTFQPGVDSVLHQTPP VPQLPYYLQNGPSIPTVLPSSLQSPLGPTAPVGTGPYGPYWPDGAYIPYRPAAQRDSR FIGPTFSADTTSNHLFDLQTPMLNQSPSYPVSNNFPDSGFSWNQLPSSKHDLLLQHSK FPPRHSHHPSLGNKDQHRNNPPYHVRAASGLNNAAARPLSHTTYSIPPPPPSLADPQS RVQTAEFKKKVLAWAHGVYVDLLACLHHARKNSVSNSSADGKPQRNLKPAIFPKPPRQ PGLDFSGHIGMPRHNSYPSSRFDMPPHGSGRQVNNRQFNNMPISGLMFDQHTHSNSQF DGFHTIRKSSATSLAQLTGSVPSENTTMASAASALEMLSHLCNESNWEWIDGMLLGGC LAYGLGDYQKATRWYSRIIARDSRHVEAISNLAATFLALDQKDEALQHWLRAVKLRPS YFEAVEHLINLLCTSHRGREAVNIIKFVENSLRVPRNGDYLRSDEHASETESDAESTN SYDRVAFDYDNDLDHALTMRINLNDSISAGFASSGFAIPGSDNGRILALVHAKGNMLY ALGDNAGAAAAFEEAILIASGRRCRGITGLIKQIVDAFSRNGYGRSTGDEDLSGNPLL LPPEKATQTATLVFPPYGYPPGLEYVAEGLARKAAISTTSNSLLSLAKIYQDGMSSIS TRGIPRGASSGVRDILALYYLSLSLQPSPSTANNVGILLASLQHNGPAKVRPRSITGK SLIPEIPGVAPDSGIALALAYYSYGLTLDPKHAHLYTNLGSLWKDIGQLHAAIRMYEL AVQYDGNFDIALANLANAVKDAGRINDAIVYYKRAVKVNPDFAEAVCGLANALNSVCN WTGRGGVLNGYGFTDQVHVDDKGMPRDVESVQVGFGWMKRVADIVDKQLKDGETWGRG MLTTAAIEQLCAQVSSMAQYNGNARKLVSLLQSWAGQKWEGSRIVRLVERIIRSITWQ WYQDFHVHGKEYPLSRYRRPQLPAGLSAPNAPTVLPFHTFTCPLSAKQIRHISQRNGL RISSATLRSPWLPQTVYPPPNPPQPYLKVGYVSSDFNNHPLAHLMQSVFGFHNPNRVK AYCYATTASDNSTHRQQIEREAPQFYDASRWPIDRLVDQIVRDGIHILVNLNGYTRGA RNEVFAARPAPIQMSFMGFAGTLGAEWCDYILADELSIPRDTLSPGRREYRIEDHIFE DDHAEEMENWMYGERIVYTRDTFFCCDHRQSAPDNDAPHIAWEREQERRWKMRKELFP SLPDDAIILGNFNQLYKIEPTTFRTWLRILSDIPKAVLWLLRFPELGEQNLKDCAVKW ANEEIASRIIFTDVAPKQAHIARAQVVDLFLDTPECNAHTTAADILWSGTPMLTYPRY KYKMCSRMASSILSSALPDTEAGHQARKELIAISDEDYRAKASRLCRDLHYPSSSSSS KTGRGEGRLVELRKMLFTHRWQSRLFDTRRWVNDLENAYERVWSAWVKGEEGDIWL TSTA_019630 MLVKLVNTELRLLITPRSKTLRSSSAQSPTPSPISGLFKHRISL FPHFEQYRSKTRQKIEIVKLKRSTDLKEMSTTKRRNGQLSSCEPCRKAKLRCDHATPI CGRCTQRGQQSTCIYHPAPMTQPRLAIKKSELKKKRKREPSLLTERGITSTNFLQRYR IPAVDSQHEQHQHQPQNQAIKETDQSEVVAGVPDETTIKLRKSVFSTGFLGPSSFWAA FDEPTESSSNRGASGTESSQTYSTPAHSSSRYTESPPGIETMAVVDSESESAIADADQ IECGARILVLLEDLLLYQKLIQHRFTIMEPWVFGQKLVNEALAGVYSLRQEWRHGSSK LSQTKLLIWSERLFENSAKPITTHASMTITEYFRSIAARWESIGLVFSWVGVAALMIP DDHEVLRAEDGSIIDVQKLIKLAVEVTEICLGFCDSVGTLSDPLVWFLLQQTILLGEV HGDSDYRTWRKLGDLSTIVFALGLHRPKPDPSVPLFLLEIRKRAMSAGFALDKQLATL LGRPPRIAWGYCDIQYPLDLSYETICQISEGKDDLSRHIGADGWNLDDTVTSGTRGRV NIMLAIIREKVLALSLSPHSDDLEQRVSATCAEHDHLRQSLPSKLEWRPNFHHSNQDT NCNFLEIINLEFLHNDFILYSVQIRRMPERKDKLIQAARKMLSTLLSLVSSRTPASSS SFRSSWDLCYIGLPCAGVLAQELLQRSQRKQHRLQPIAQPNTTPFPRSEIIQNLSVFA SLLETALNIRYGNYGIARRGLDAIRNILDRVLSSDEYDEQQQQESVPGYGNGEKRAIQ DGKEEKQTVQFAYPSHSTGQMMLPPSIPPHQQEQAIIIQEPSITSYTPTPEGPGHHPT LPTPAAVSITGPGVDAYQPDDNILPLDFMQWLDSFDWAQESLLNYS TSTA_019640 MSPSRPQKRPKTLRKWTVRLSALFTIIATLLLLLVEQPYSSIRD LKQHHLPSVPDYSLIRSPVSLFSAESADICTPGYIFSIPDGRSPTIVDQNGELIWRER RNSLTQNLRVQMFRGQDYLTYWIKEPYSHGSYHMLDSSYTTRYIVTPVGRMIDSLHDF TITRRDTALIAANYKRRADLSVIGGPRDGWILDAVFQEIDIVTGNLLYEWRAADHVFI ANTLQALEDGEGTEDHPFDYFHITGVDQGPSGAYLVSAGNMRAVMSVDATSGQVQWNL GGHANDFRAGGRPVVDFVWPHNARWGENATVAVMDKADSGTNDRGMVIQINPHTAQAV VQQTFNSPKEPKGFSAGDIQVSEGTGNVLISWAGGRGFSEYTADGELLCQKHISDKLS GFRLLRSAASSRVSKHTWTGKPLTKPIIKTSVRRLHVQWNGATEVAEWQLQARKQLLP SKHNDLQDHEIGRFKKTGFETSFTVPPLKGSSGYFLRIAALDQQGQIIGYSDDVEWQE AWTGSDLSQSQFRFLAGVCLFGGLLTLLSTLHIHIRLRNRERRILLPLHKL TSTA_019650 MAQVLSKMTSLITNLGLAANNYTSAFILWNWAYAYLLTSPRTLT IRAGLDHNENPRFDLAKYGERAVTEGKLTRKRLQQIQRAQSAHQNSIESFALFASTMV LMNVAKLDAETINLIGALYSIFRVSYVKAYYYIEDRHLSILRSIVWHCGNFSCFYGIW TSTA_019660 MVYRTHEGKLGIVTGGSRGIGEAVVRRLAAKGCNILIVYTSNLS KALTDKITSELSTTHKIHISSVQADLTDPVNAIPKIVDAAKVFYKSYSPSPAASNGKE LQVDILINNAGVGSNQFLNDATKGPIDITEYNRVYNVNVLAPLLLTQALAPFLPTDRS GRIVNVSSVSSSIGYEGQSVYAGTKAALEAMTRCWSRELYDRATVNAVNPGPAWGDMY AQAGEKFWKINQPYVDAAPLAAYNGEEAVLKAAGDDAERFDKTVREGMGGRRPGFTSE IAGTIVMLCTEESGWTTGSVVCANGGMKMSIA TSTA_019670 MAQPASVLGDRGDPRLHEKERDIADAFEARAVRGGVHPQHRPLH DSSVTFEEYNYYAQLSRAEEDTYTDHDRERGWISLIFPSKSDAGEAVKDAAAVNTSDK NVTAGITDDEWTNASRALRTATRGAIFYLITTDILGPFGLPYAFGTMGWGPGIALYTV FAGLAIYSGYLLWDVFMGLDSFHYPIRHFGDLGFRLYGPWMRYLINVLQSIQLILNVG LIVISNGEALSQVAKFKLCFIVCCLIWAIVGFLVGQIRTLQKFGWIANAAVWLNLICM FISMGGAANGPPNYASVSSSAGQIINGGISVQKLPDNSFPPVQTSGGLPHSDSFAGTI SGAMNAVFAYGGAMVFPEFMAEMRRPKDFLTGMWAAQAFIYFWYMFYGLFMYGYQGQY VVNPSYLGVSGYAVQTAGNVFAFLSAAIAAALYGNIGVKVLYNSIFVELFRFPPLTTK GGKIAWVVLIPIYWSVAFILAASIPNFSGLTSVVASFCILHFTYSFPPLFAIAFWAKK FGMQEGEGFQPESGEVVRRDSGFRRFIRGFFFPGFATSKYFYMNVFNIIYLLGALALA GLGSYSSIETLKDAFASSVTTSFTCKSPVDN TSTA_019680 MEKPLLDDRSYRVIQLPNQLEILLIHDPDTDKAAVAMDVNVGSF SDPDDLPGTAHAVEHFCFMGTKKYPGENEYSTYLTKYGGDSNAYTTSTSTNYYFELSA SSTSNHPGSSANIKQPNVPIAKDKAPLYGALDRFSQFFIQPLFLADTLDRELRAVDSE YKKNLQSDTWRLIQLSGSTSSDKHPIHKFAAGNYQCLREEPVSRGVDIRKRFIEFHEA HYSANRMKLVVLGKESLQELESWVRELFSDVPNKNLHRLRWDGIPALDEPQLMTQIFV KPVMEQRLLHMAFTYPDEEELFASHPSRYLGHLIGHEGPGSALAYLKELGLADFLIAE ASTQCPGTATFRVETRLTEKGVQQYREVLKIIFQYIAMLKESPPLAWISDEMSRLAEV EFKFRQKSPPLQTVNSLAQLMQKAGIPREHLLSPSLIRKFDPENIERGLSHLRPDNFR FFVVDQQFPGDWDANEKWYETEYKLEKIPEDLMQDLWAAAQAPATERPSKLHLPAVNE FVPQRLEVERKDVTEPARYPTLIRHDDNVRVWFKKDDQFWVPRANIKLLLRSPVASLT PMNAVMTRLYVDLVKDSLDEYVYDADIAGLSYYLFESAQGLNIEIDGFNDKMSLLLEK VLLGVRDLEIKQELFDVVKERVTKGYKNFDYRDPYRQINAFSRMLISERSWAPFQMLE ELPAVTAEDMRSYFPELLRQMHIEILVHGNLYKQDALNITKLVESTLSPRRLPESQWP SRRAIALPSGANYLYKRVLKNPDNVNHCLEYIISVGSVSDRSQRAKLLLFGQIANEPC FNTLRTKEQLGYIVNSDSGIYVTVGTWRILLQSERDCQYLEERCDAFLVKLERDLRAM TDETFEEHKIGLINKRLEKLKNLGQETLRFWTHITSEVFDFEQVFRDVENIEPLTKND ILEFFNQYIHPCSSTRAKLSIHLIAQASTGASAAAAEENAAPEENSNALALRVEQNIV AVNRHEPSVSSAKIPVKVEDVKTWKTSLHLSTAATPVKGLSEFKEFGSNF TSTA_019690 MSSIDEALIHSVPILSSVEPQKLSGKEPITVVESNNEKFAEQPL ENPIGDSNPDVVLVDYEENDPENPLNWPPMQKWLIVFAVSWMGFVSVFATMTITPTAP QILQEFHSHSTFDQTLLVTIWELGEGIGPFFIAPLSERFGRLPVFHIGNLLALCCLIA CALSVNIPMVIAFRFLTGCFLSILTLGPAIVGDLFQMEQTGLTMALATGTQMIADFIS PLAGAYIAQDLGWRWSIWLAAIVLGFFSLLLLVVLRETYAVVILRRKAERLQKESAGG KKYRSKHQARVDASTIFESVMKPMHILSKSPILMLTTSYMATKYGLVSLIIATITETM ESTYPTVFNSGSIGLTFLSLAIGNTIALIFYSLTSDRYVIYEREKKGDAFKPESRLLH LLVAVIILPLGFLIYGWTLAFHVHYIVPLIGTCLAGFSMTLSTLPVETYVVDVYKIYG ASAIAAGVIFRATAGAFLPLIGPPLYQSIGLGWGNSILALMAAVFIPPLALLMRYGDW FRSKERFGSSER TSTA_019700 MATIDSDDSVKAKIQYLARDDQHQLVKPYHLYLDYDYDLAPTNT TADDHFVQIRNARSLGIPSRDMFFEWGFAQLRLDCPLTPEEYWYRNKVEEILYPKYKS IAQFLFPNAARVEVLEHAIRKRDPRWMSENLERHHLKTNQPSDYVHIDMTASSATKCG IKQFNIHPKDYSRFVVVNLWKPIRGPLYDFPLTLCDRRTVDYASQTTAMDVVSRNFAN ENTRIYFDEKHEWYYWHGLQVDEVIAFVQADSEAENRAGVPHTAFRDPRNSDNKQLRE SIEARVFVYFD TSTA_019700 MATIDSDDSVKAKIQYLARDDQHQLVKPYHLYLDYDYDLAPTNT TADDHFVQIRNARSLGIPSRDMFFEWGFAQLRLDCPLTPEEYWYRNKVEEILYPKYKS IAQFLFPNAARVEVLEHAIRKRDPRWMSENLERHHLKTNQPSDYVHIDMTASSATKCG IKQFNIHPKDYSRFVVVKYIYISVPDSQKTMSRSLLSISPAYGSQ TSTA_019710 MSQPTGTTTTQERSQPWQAMQEVQTLFKPAMSAANAESGADASS QRDMLGEKPLKLNLFRENLNSATTNIADVVEEKH TSTA_019720 MLAVVIGSALLVSWIIASIHGNNNSGLKDIPNAHFSAPYSRRWW LSIRWRKMENRSLIRLHRRLGPVVRIGPRDVSVNCIDDGVRTIYSAKFDKDASFYHAL ADQTGFMVTMIGNDEHKRRRRMLAHPYSNSYILNSQTLDRTLSRVSCRLREGMAAWAS TGTSVDVYQQAKCCLLDVISGFLFGSENATDTLRDPSFQDDLTALAKAAGKNLHVKTS LAWPMNYFASLVGGNVPPDPDSRSRWEAWLTRVITDSYRKHPTKHSSTASLYDHFYDS FKIADPDMPLNDMASFIAVECDDHVSASHMGLGSLLSYTMYELSRAPDWQRALRKELL TLGEPSNQSLAHRLADLPVLDAVVTETMRTRAPCPGPFPRVVPESGCQLVGKFDIPGG TIVSSSAWALHFNPIPFPSPEEWRPGRWLEADKNTLAEMRKWIWTFGSGARVCIGTHF SMRVMKELLITIYTDYETFLDEEFTGNVEQEDIFSSSPLAGCIKLKFRRLT TSTA_019730 MARTYSPWAFPDFKPSARAVLPVFRITPIPVVYRESRFSPPDIE LDRIALLATVRLRRLDLYYPLRRRAEQITSNGRQTSRFARCILALPNSEQINPLQYAP WHPRESRENAQTRIGAPMGRTKEQAAANFMAFQRTIPSSDIVIFLDGSRLADGRAGGS YIGLQAHYQFLRSSLSYGHRKEVFDVEAEAALAGSFQEIFKSFRTLAAAWPLRKRLPH TKSGSIQIRWVPGHAKIPENEVADLAAKEGAASTPPAPHKSSYASLKRHAKTQSLSAA QSQWQKKAEESVSILRNFDETGFIVGQGKDGAVVTAYPKTSKRVFSLSSRESITVIEG INAEGKIIPPLLIPKGKVHLEELYRHIKDDDWLVAPASNGFITDEIAFEWLQHFDHFS RPEAFPDWRLLLMDNHTTHLTIHFVQYCEIWHIRPFRFPPHSTHFLQPLDGPDEAFEA LVAEGDALKIYGEADDIIPSSPTTKSISPPSTAVKLRRYVNEIEKSIDSIKDIPDEVS PGLSRRIKVVNQGSLTLAELGDLHRESFAKVRDTATRKNQKTTKRQVKVSGALYVKDA NRLMKRRHDGDLLKIYKSHAVGVPQPTEEAASTEPQNSGFFFATQENK TSTA_019740 MTEEDLDALAEKLTSAIQGAYRGSAKRTLTQGIGQPWWNEDCKK ALHNYRSGLCSKADFRRITRWSQRQFWREKLSTVTQMKDVFDMSKWHKSTGTLRSPPL KDPLRPNSFPAVATHEKRDVLVRNLLQNSAEAGDIPLDSPAVPTTSLYFPDISMIQVE ESVLQAGNTAPGADEIPTCILKVAWPLIKDKTDWSSPRSYRPIALLSVLGKGLERLVA RNMAWTSIHHKVLARQQFRALPLRSATDLTTCLTHDVEQALNQGMTASLLTLDVKGAF DAVLPGRLIRRLREQGWPTNLVLWIASFATGRSVQIRLDGEIGPSTDIACGLPQGSPV SGILFMLYIAPLFRLGNPRNRFGYADDVANLAISTSLATNCVALSDSLQEALNWGAAE GITFAPDKYELLHFSRHKADQDPTRTPSVKAGSITISENTKWLYLQWLGILFDKKLTF KWHIGEAASKALTIANALRSLGNTV TSTA_019750 ADHESSPMFERRRAFGPPSCAPSQLTPKRPRISYSYRFFPPTGK SALIVNIYNAPAGSIRAGEVAKALTTLPEAYFPQATILAGDLNLLHNRWQPSLHRSPT PSAEPFINWLDLQGLVLISDIDCPTHERGNVLDLSFASSPLALAGAKTSIASHLDSTS DHQPLITTVPWDQRYKETAQKLRFDTLDHTCFLSLLASNL TSTA_019760 MSVLVEMAVPLFIFAATLCRFVEDPAWSDPTGQLKKLLEYRQMK GDSEMDKLDATYSPILNQLIHGCPEKAQKSLVERFRRIVGTIVHPAEPVSRSSLASLL NIDSQQIEGQLSSLHSVLSVPSSAESPIRMLHFSFRNFLIAPEKRHTDPFWVERRKLT RWSWPNALKECPNRGFFKKIYATCRAKGHYELRSMAEFLDDAMRFIRKNISVIDQASL QLYASALIFTPKEVQLGINISIKSLVGFRDYQLWDPATGILRQTLDGHSDSVWGVAFS PDGKLVASGSYDETVKLWDPATGTLRQTLVGHSGWVGAVAFSPDGKFLETD TSTA_019770 MPLLDIVGCTGTNKTFWVGFGFMKNKKEKSYSFILKSLEQVIFR MGLGHPKIIITNKDQALMGAIEAIFPYTRNILCIWHIQKNLMVKCRPALRQEVIRIDY EGKGMKSTLVDEFKEKVEAHWVAFWQDFIKLVNAYTEEEKDAEWNNFRAKYSHNMWDT VFEYIKKEWLQEDTAKHFLKCYTNEYLHLNKQASLQVEGAHWIIKRDLGTSTMDLLGA TLSIEMTIEKQHQKIWQEIEDERVRIKIDFKNLWLFKHVLKKVSSHALKIIHSIFERY LPESAPDKKPIKPCTGVTRRTLGIPCIHIIKEYYEADTSIELFEFCPHWRLHTDENLS PVDPRELVLEPEVIRPRGRPPGVINWPTTSEQSHRGRGSGHVRGSRGGGQARSGRGAR QRGCGSYGGGQAGRGRGGRQQGGECGSGSAGTSEVSTQSHENDDNEISENRDDKTNEN QIRRSKRRGRGQPAPWLGDENE TSTA_019780 MPSYKNIDKYLDQIHKDKTLVLGLVVGSHQDVKPGVRIPKADAQ SSPELHLPKSYLSSSTTNDTYLMIAIDIDAPFPSWRGLGPILHWVQPNLKPDPVTGRL SPPGPESYIVNYIGPAPPPPSSPHRYCFFLYRQPEGLDVDKYIAKRGGKKVGNVARMW FDLEKHERELGLKGGIVAGNYFVSN TSTA_019790 MSTSTSESPSLDKNNATVSPDAPVPVESPEKRILQFDELSAADR ALAEQFGYQPVFKREFGYLSTFSFAFSISGLFATIATTFSYPLYAGGSASVVWCWLIS GAGCMCIAASVAELVSAYPTSGGLYFTVSRLVPPRWVPSISWYTGWINLLGQIAGIAS SEYGSAQMLLAAVSMGRDFEWLPTTGQTVGVMAALTVLCGVLNSLPTGWMEKMTRSYV IFHLLVLYVFTHVEPDSGWSPVGFSFMFGFLSVSWTMTDYDATAHIAEEIRNPEIKAP WAIFLAMGAVYVLGFLFNIVLCFCMGDVASILSSPIEQPVAQIFYNSLGKQGGLVYAS CAFILLQFICFTATQALARTVFAFSRDRLLPFSGVWRKVNSVTGTPLYAVWFSVFWCI AINLIALGNYAAILGVFNITAIALDWSYIIPVVCKLLFNQFEPGPWHMGKFSTAVNLW AVIWTVFASIIFFFPTSRPVTGETMNYAVVFMAFILLCAMVYWYVRGKKFYVGPIKET IIQGQANGGMMESVPVMNLEKSS TSTA_019800 MDLGYQDPIIMEEVAMKDASSSKQSHLFLFATNLDTFGRRPIYL ITYSITPFHSAPLILRAVQSLGASSVPAVAYGGVADICIHAERGIHVRTDDGSGKSGY MYWTRISMRRSSGYHQIGNMGRVQASANAIFLIRWVALPFSSRKIRRWFCGWPLRHMP SITASKHPTPPYSRTFMASDELTIGLVYLPGGVGVVLGGYLNGRRLMDYNHAITEKKI SQPIDPVSGNNDLDNFPIEKARARGCWTFNALLVDLFPSNPGTAAEASNITRCVLSAV AVAIMQPLVDAMGIGWFFSLLALISGGGGLAANFAITRFGTIWRGQRLAKTRR TSTA_019810 MATRERVWDKPIPVPEKLAKSWALLDVDRATGRALRILIEQVDG SYPTFFLKVNKAVLILNLHKQPGEHLQRTKDTHFDLCEFVEMNEEIPEPQERGKGLAQ LHKNALSPNAKYGFAVPTVQGTIPQYTE TSTA_019820 MPSEPAVVSQNSSPDVPTPQPTTSFQHGNDDRCDEPVFDTLLRE DVGDQVASSDPPVSAPAGAHASEDALLRRLNGTDDDVAIVSSPSSVPRGVETENSLED VFRSSPGSHQSKVYVLRPKPRQTLAELPNEVLTHILSHLTPAALTAIAFVSRRFHTLV TTPHAWRTAFGRYFPGPYSIGEDVTSEGLDSSRRSFTRLTALASWRSEYILRTRLLRS LGRGKPAVIPSSGKHNARAGGIQSGSALATYTSQLLYPITHIDALFTPTNAKKSPLFI HGAAEEGVASMSDPSAGKTGPWGLYGHPLFNHFTDLYSGEAEYGLGAGDMVGVPNSMD VSQPYGMIYGEGCPRGRSYFVSSDEKRGRFLGGSELHSEPALGIPDIQSFTTGVSSVW IARSPNILKITNNIFGILCGSTSGVLTAYSLGSSLLHERRFDPGQITARWVLSPGVPI ISISVDENFSEKRYSTRRIWATVLNALGEVYYLTELPTPPESNQPALPEVLERRAWKA GRSVRWELIESTRRVARPDPFNRDAVDGSYSPRSSTDSMGLSESQIAAETREIEKYLA FKPKHFRKVCEGWDMQRRLKVDFAGDDLNGAGEQVFIISCGFDQDQSASVRRHVRQKV SISTSSEHSPKLSSFKPVVPSLFGGPTTPQSSQPTSQPRSRAASHASHSVSTIANTEW KATDFVFGGPKFLRLTSAAMDMSSLAQLTVAEDPLLGMSSASNVSSPASSPLPCMQAS ASESGVPGQRARFLAVGTDSGSVYVWNMRVPPSRTPGLINTVSPVRIIQTESPQVSCL GLTSLYLVHGGNDGLVQAWDPLASTLRPIRTLHSRFSSRARRRLIQAEASILGVGNNY FAAGAICLDPDPTVLRGIVSLGTHVRFWSYSSSAADEYKTSKRRRRRSHRGSNSSSEG QRFTSTGRGALKDFIEDEHHEMKRQIVEDEKQREHLSYRFGTDLLGPDATEEELIAYA QLLSEESLNDAFNQKQSGSNTRASSVSSDTVAAFDSSYPPREFSSSSSPALETVEEEL APDIAEAIRLSLLDETPHSPNARQQTSSPPSFNTSSIPIKYAKGAKSKFKRRQQSSSP PETAAAESSNQQEMDDLEFAIQLSLAEEQSRENSQRQLEQEFPSLYGSSAAGKGKGRA Q TSTA_019820 MPSEPAVVSQNSSPDVPTPQPTTSFQHGNDDRCDEPVFDTLLRE DVGDQVASSDPPVSAPAGAHASEDALLRRLNGTDDDVAIVSSPSSVPRGVETENSLED VFRSSPGSHQSKVYVLRPKPRQTLAELPNEVLTHILSHLTPAALTAIAFVSRRFHTLV TTPHAWRTAFGRYFPGPYSIGEDVTSEGLDSSRRSFTRLTALASWRSEYILRTRLLRS LGRGKPAVIPSSGKHNARAGGIQSGSALATYTSQLLYPITHIDALFTPTNAKKSPLFI HGAAEEGVASMSDPSAGKTGPWGLYGHPLFNHFTDLYSGEAEYGLGAGDMVGVPNSMD VSQPYGMIYGEGCPRGRSYFVSSDEKRGRFLGGSELHSEPALGIPDIQSFTTGVSSVW IARSPNILKITNNIFGILCGSTSGVLTAYSLGSSLLHERRFDPGQITARWVLSPGVPI ISISVDENFSEKRYSTRRIWATVLNALGEVYYLTELPTPPESNQPALPEVLERRAWKA GRSVRWELIESTRRVARPDPFNRDAVDGSYSPRSSTDSMGLSESQIAAETREIEKYLA FKPKHFRKVCEGWDMQRRLKVDFAGDDLNGAGEQVFIISCGFDQDQSASVRRHVRQKV SISTSSEHSPKLSSFKPVVPSLFGGPTTPQSSQPTSQPRSRAASHASHSVSTIANTEW KATDFVFGGPKFLRLTSAAMDMSSLAQLTVAEDPLLGMSSASNVSSPASSPLPCMQAS ASESGVPGQRARFLAVGTDSGSVYVWNMRVPPSRTPGLINTVSPVRIIQTESPQVSCL GLTSLYLVHGGNDGLVQAWDPLASTLRPIRTLHSRFSSRARRRLIQAEASILGVGNNY FAAGAICLDPDPTVLRGIVSLGTHVRFWSYSSSAADEYKTSKRRRRRSHRGSNSSSEG QRFTSTGRGALKDFIEDEHHEMKRQIVEDEKQREHLSYRFGTDLLGPDATEEELIAYA QLLSEESLNDAFNQKQSGSNTRASSVSSDTVAAFDSSYPPREFSSSSSPALETVEEEL APDIAEAIRLSLLDETPHSPNARQQTSSPPSFNTSSIPIKYAKGAKSKFKRRQQSSSP PETAAAESSNQQEMDDLEFAIQLSLAEEQSRENSQRQLEQEFPSLYGSSAAGKGKGRA Q TSTA_019830 MPPLGDADTIRILVSTDNHVGYNERDPIRGDDSWRSFHEIMCLA KERDVDMVLLAGDLFHENKPSRKSMYQVMRSLRANCLGDKPCELELLSDASENFQGAF NHVNYEDLDINVAIPVFSIHGNHDDPSGEGHLAALDILQVSGLLNYYGRTPESDNIQV KPVLLQKGRTKLALYGLSNVRDERLFRTFRDGKVKFFQPSVQKEDWFNLICVHQNHYA HTETGYLPENFLPEFLDLVIWGHEHECLIDPKLNPETNFHVMQPGSSVATSLVPGEAV TKQVSILSITGREFKSEPIPLKTVRPFVMKEIVLSEDKTAKKLARKENNRTEITRLLM TYVDDLIEQAKTEWLETHQDDIGDDEEPQIPLPLVRLRVETSTPEGGSFDCENPQRFS NRFVGKVANVNDVVQFHRKKKASTTRKAGDLDSEAAVTHLSSLDTVKVEQLVRELLTA QSLTILPQNLFGDAVSQFVDKDDKHAMEMFVNESLDSQIKHLLTLERDADLDDEDEAH QSLRNAMEKYRGQMEEMFAKGAVKRTRGQKKFKPKPDGWDSEFDGAWEDQPGALIHSD NEGGDPIEEDVAEDGTERAAPSGRGRGRGRGGRGAASTTRKTATTTTTTTAAKTGTTS RGSRGKKTVISDDDEDEDVIVVEDSMEEPEGIISDDDDDNSQALFVQQSRGKKTTSSA RKPAASTTTTAASRSRAKKAASPVPSSVTAGASSVRRGGAKSTQQTTLNFASQASSTG AVRRNAARTARAGFTEMSDIEDDDDDDAFEPATITRKRR TSTA_019840 MVASYAKIFAAVCGLASTAAAVNPVTVQGKDFVDTVTGDRFQIV GVDYQPGGSSAFKGTADPLSDKDSCLRDAAILQYLGINTIRVYNLAPSANHDECASIF NAAGIYMILDVNSPLDNGSLNRGAPWESYNPIYMAQVFGVIEAFKNYPNTAGFFSGNE VINEDSVELVPNYIRAVQRDMHDYISKNSNRSIPVGYSAADVRPLLVDTAYYLSCNLS NSTNSRSDFFGLNSYSWCGDATYHSSGYDVLTQDFANISMPVFFSETGCNNVKPRVFS EIQAIYGPDMSQALSGALVYEYSQEANDYGLVSINSNGSVTLLIDFENLKSQYNKLDF GSITAHNSSQTSIKAPTCDPKQITSNITKSFDVPDRVAGIDKMIQNGVTTNVSVGALV SVSSTKISQTVYDYKGNVVSGIQLNVLDKGAVNTPSNSSTVTSSSPSGTSTGTSASAS STGKGAASSVRVNALTGLGAMAAVAFFAL TSTA_019850 MASNLGLIYTLLQTATATATATDQTTDQTSDRKLLPLALPNRPD ATLKNSTDVTFDPLGVAAVLHNPRASLSAARLYTQFDRNYFTWPHTMMMGSMEHLTAN FQSIHPAITLCTRDTQLLSVVSNMVFKELPLDWGNMWLGDVIAFKASSRPANDFMVVC MDDDDGDSASIKDGPSKWTRWLGCSALNLISLVNGLIIVAGIVLGVLSADIWALTLFF FYGTHWLAGLAISTTSLVTPQRISIKEDATTRYAIYQRPAGGTVVFKGRQDTMEAWAR STWEFNRTLKSNCLHWFWTLTGTMSAICSVACMVNMIGYMQLAFLALLVYASLAEIGA TRITRLLQGEAKALNRTCIVANNEFRTKGVIRATVEVGRKYRLKGLDWVDLKRMPPDR IFRDMQRLLARLNAFQEGVEMGKEKVATDGHDDVSGLRDHGVVQGAFREFREGRTEDP VLVERIQTEAMDALEQWWVKLNRDTVNVTTKVVSP TSTA_019860 MSLSTEITLPNGIKYEQPQGLFINNEFVKGVDGKTFETINPHDE KPITFVHEATEKDVDIAVKAARDALSGPWKHIATTERGRLLTKLADLFEENSDILAGI ESLDNGKATQFAKGDVQASAYCLRYYGGWADKIVGQTIDTDSQSFNYTIHEPVGVCGQ IIPWNFPLLMWAWKIGPAVATGNTVVLKTAEQTPLSALYAATLIKKAGFPPGVVNIIS GFGRVAGAAISSHMDIDKVAFTGSTLVGRQVLQAAAKSNLKKVTLELGGKSPNIVFPD ADLENAISWINFGIYFNHGQCCCAGSRVLVHEAIYDKFLEAFKARAQQNKVGNPFEQG TYQGPQISQLQFDRIMGYIDEGKKAGAKVVTGGERQGDQGYYIKPTIFADVTNDMKIV QEEIFGPVCTVQKFKDEEEAIKLANDTSYGLAAGIHTNDLNTSIRVSNALKAGTVWVN QYNTIHHQTPFGGYKESGLGRELGSYALDNYTQIKTVRIHLGDALFG TSTA_019870 MTATATHQIYSGPAQKTTKVAELQDQANTISKSLPIYTSWNDIL QSSPGHESGHESGQVHPLTTTNKSSSKASTQSHIHKQKPHFLLNAAFHTKHCIIGQGS KTEALLVVLRRPWCVLEICVSNNNNLYSTKVLELVLAFARTAQRWDYKGYETAVFLLG FEIEARHRLLFLTPKRVDSDYLRTYRRGMYDIPARKVYEICNTPIFLPSLRSFNSFWI RLICVCAVGLGVSFSEMIYMLCHHHHHHHHHHRRGQSIEHDEQRANWHKSDTGFSKTG IEFIRYDSRRHSASELRSSCPTTDNAGDEEDIVAKMLYLYKHILGRTEDSI TSTA_019880 MTDKTIPAPGPAKLKRNAGPDEWLEAAKNCKYLSEHHMKQLCDI VKEFMMEESNIQPVSSPVTICGDIHGQFYDLLELFRVAGGMPNETNVEPPANTPPIIT SDDIEPPSTITDPKLRKKIRNAGSLQDSDDASSASSRGRSGSGSSKEATGPPPGNFVF LGDYVDRGYFSLETLTLLLCLKAKYPDRITLVRGNHESRQITQVYGFYEECFQKYGNA SVWKACCQVFDFMTLGAIIDGKVLCVHGGLSPEIRTLDQVRVVARAQEIPHEGAFCDL VWSDPEDAADVETWAVSPRGAGWLFGNKVAEEFCHVNDLTLIARAHQLVNEGYKYHFA KQNVVTVWSAPNYCYRCGNLASVCEIREDLKPTFKLFSAVSDDQRHVPSSRPGRSEYF L TSTA_019890 MAQSSVAKEAGIIQLPLSATQKGASTKRTPKVPAPRLKLIIRRL PPGLTQAEFETGLGDDWKVGRGKIDWFQFKSGKISKDPAKPSRPARAYVHVLSEEIIA SLSEKVRATSFHDARNTSNDPVLLGPPSVEFAPYARVPGSRSRKDGRQGTIDQDPEFV AFLESLTNPITKPGPVEGETEKKEEEEITITPLVQYIKEKKASKAKDSASGKSSKRGA KEKDTKVEKAESKKLLKRPDREATDSTPASPEKKPTTTKIEKATKEAVKTATKQASAT KTKQASAKEGTQPADGPVSTSERKRERGDVRAAAMILQRDLGLAPSGGRRRGKAAATN TEGDPGKGEEAKSESVPSTPTIPTGPKGSRASSKAAKNTTPKAIATKANTTTTTPATP IEPSAAPAVTDNGTPPATSTPRVPKATKQQKPKQPAVSPAATQAFLKHANPSQGVTEE LLDTAFSAFGKVTKVEIDKKKGFGYVDFAQAESLQKAIAASPVTVAQSQVVVLERKNP PTQQQAKAAAVVKPVGTPSQASSAAPSQQSSGGTPKRSRGPRSRGGRGKKAAGGEGGN SGKPETVEGTSET TSTA_019890 MAQSSVAKEAGIIQLPLSATQKGASTKRTPKVPAPRLKLIIRRL PPGLTQAEFETGLGDDWKVGRGKIDWFQFKSGKISKDPAKPSRPARAYVHVLSEEIIA SLSEKVRATSFHDARNTSNDPVLLGPPSVEFAPYARVPGSRSRKDGRQGTIDQDPEFV AFLESLTNPITKPGPVEGETEKKEEEEITITPLVQYIKEKKASKAKDSASGKSSKRGA KEKDTKVEKAESKKLLKRPDREATDSTPASPEKKPTTTKIEKATKEAVKTATKQASAT KTKQASAKEGTQPADGPVSTSERKRERGDVRAAAMILQRDLGLAPSGGRRRGKAAATN TEGDPGKGEEAKSESVPSTPTIPTGPKGSRASSKAAKNTTPKAIATKANTTTTTPATP IEPSAAPAVTDNGTPPATSTPRVPKATKQQKPKQPAVSPAATQAFLKHANPSQGVTEE LLDTAFSAFGKVTKVEIDKKKGFGYVDFAQAESLQKAIAASPVTVAQSQVVVLERKNP PTQQQAKAAAVVKPVGTPSQASSAAPSQQSSGGTPKRSRGPRSRGGRGKKAAGGEGGN SGKPETVEGTSET TSTA_019900 MRRVMTMATLESLKRALWQKADDMATAGEQRLSDAQYSAGFDIL IHGPGWTTYDNSIILQLQEQLSPLFDSRRHISVLEIGPGPESILGSLPLEWRDRVETY TAFEPNDLFATKLLDSLDNRRPWTRLRYLKSPPDIRRTPFVLDNNILSGASDCCGKYD TIFLCHSMYGMKPKNKFIEQVIKLLAEGGIAVVFHRHGALDLDGLKYHRMNSFPTGVV SVLDEDDALDRFTASIVGCTMQDADLDKAMRIEWRKACRSLARREDSEPGQLLFSATN VMMTFTALTLSLLELNALVPFVNEDCFKTIKSHEARFHHPASIVKPTEVQQIQECVHW ALNHGLGLTVVGGGHSGHCLWPDVVAIDMSAFRQVHILKSQDEDPLVVAEAGCNTGEI IRETMKTGLTVPLGARPSVGAGSWLQGGIGHLARLHGLACDSIVGAVIVSVKSGHVLY AGNVPSEYRPAGAIRPENEDDLLWAIKGAGTNFGIIVSVTFKAYTAPRFSVRNWVLPM SDDIDTRLKLKEFYDRIAKQLPKNTSTDAYLFWDLDKLHLGVTMFEASSPGSSLATMV STAVNEILGLEIDSKAVNCVELFETDMYMSKLHGGHGGGKTSSFKRCVFLKNIGEDSV ANLMISAVKNRPTPLCYLHLLQGGGAVADVAADATAFGSRDWDFACIITGVWPRDEDD TADSERVKLWVYKTASDLLSLGTAAYNTDLGPDPRDAVLTAKIFRGSLSRLKDLKRSL DPHIVLAYACPILEARLGPKLIFLVTGKSGVGKDHCAKVWVEMFDGYDNEFKMRAVSI SDVLKRKYAAARGADLNMLLNDRAYKEQHRAALTEFFQEQVRNRPKLPEENFLNLVSE HSDADVLLITGMRDEAPLAAFSHLVPDSRLLDVRVQASEEMRRIRRGCSSREDDGDRF GDNRGSDSTTLEYRPSFVFDNNTNGVSEACRFFRELLLLFLNEDLQKLADMVPRVIDF PSPGIEFRNVLGIAKQKGGLGICISRLKAHFPGDWSDVDAIVSCEVGGFVFASPLAVE VDVPLVLIREAGKLPSPTVSVKKTSSYISSLEPNKPKEKVIEIEQNAISRDASVVVVD DVLSTGETLCAVLELLEKAGIGAENVSVMVVAEFTAHRGRQLLRERGFGKVYVQSLLV FGGP TSTA_019910 MEAMAHSTLTRNSGNAFTLPYNNLSHYIFENLKTQFPKCNVMVR DGQVVGILDWEYAAYLSVWYEYISASLAFTEADIDWKKVLGSFWYPNLDEKGKKLLRG YLRYSISDYEDSWYLPR TSTA_019920 MAPEGEQKIAVEVKSAGQDSGTSAPTALDDLEAHTNDDNVLDKA IGTIEDVYTIDSDNSPFPEVRANVPNTDDQSTPVNTLRMWLVGIIFTIVGTGINQFFS MRYPSVTISSLVAQLIAFPVGTGLAWILPVKTVKLFGRWDVTINPDHHFNIKEHCVVT IMSNLAFGPSWATDIIQAQVSPTFFNLKQPVSYQFLLGLTMQLFGLGMAGLSYRFIVE PPQMIWPSTLANAALFQTLHSRVNPSADGWRISRYRFFTIVFLGAFCWYWLPGFLFTA LSTFAFICWAAPNNTVVNNLFGMTTGLAYLPITFDWSQIAYNGSPLVVPFWAQANVFA GWIVIFALTTPILYYTNTWYTAYLPFSGSSTYDNTGELYNATRIVDKHGNFLEEEYKA YSPIFMPVTFALSYGCSFAVMTCVPTFIFLNYWRDILGAFKPDRKKDIHVRLIERYKD VPWWWYGVLTVIVLSLTIMVQEVYHTEMPVWGVFLAFGMALLYLIPTGSVFAVANLNS NVLTVLGEIISGYLIPGKPIVLLIFKFYAYTGLSQAMLFASDMKLGLYLKIPRRTLFI AQLTACIVGSLTQNAVLLWMLHHVRDICSPEQSNGYTCPQGTVNFSSSVIWGAIGPAR LYSVGKMYSGLLHLFWIGALLPIVTFFAKRRWPDNKLLRNMHWPIFFAGTGNVPPATG INYTSAFAISFIFNKWIKNKYAHWWAKYNYVLSAALDSGLAVSAVIIFFAVIFPGVSV SWWGNNVQSTTIDGKGTPWKPIPANGTFGPSTWS TSTA_019930 MALSKSSSILIIGAGTFGISTAYHLAKRGYTNVLCIDRHPWPSL DSAGYDLNKIIRTEYEEELYTELALEALRAWRQPMWNGIFHETGKLTTTSGHPDAAQN LKESYENLVKAGAAESVDFIESRDQIVKHCPQLAGAVNIEEWKGLWNSEAGWTHAKKA LEKMAAESEKMGVRFVSGPGGTMTGLQLDNKQILVGIKVASGEVIKADRYILSTGAAS PGLLPDILSTQVWSKCWTLAHIALTDEEIEQWKGIPVVDNMELGFTFEPDPETKLMKI CNAFPGYQFREGRYTDPETGKTTTFSIPRYASDHPEDGIPAEAADGIKRFIAAVMPQF SDRPLLEARVCWCTDSPDSHYLIDNHPQHSNMLLATGDSGHAFKMLPIIGDYIADALE GSERGLKREWKYGGRKEIRNVTRPGNEVKDLRDVRPLNAKL TSTA_019940 MPRRRPPGQADNCRIKQRVRSGCKTCRVRRVKCDETKPRCRNCL RKGLACDNSVQLKWKQEFEDRGLAFGRQGRWGKSQPLQLESSPSTFGIERCTFPIIKS HHFINSGYWDFNDDHPETGTECGDIVKIEGISEDSTAVYLAPSVMTCSISPTPCAYPS LTKVDSHLVDYFLMRLCPLTTSSRLAPSPFAQLVFPLFSIPGQDDILKAVLALSARHR SISEPQWSRSAMTLKGDVIHSLRKRLESWGGTNSPLDPQILVMVMFLCLYEIVDNCDH RWVLHLRASKEIIQRSWNVKEQPYPSESLGGLTAFTERFFAFQDVISRTACGNSALFG VDYWADTDRHSSFIDSWMGCSPALVSILCRIVEVSRLKQRDDISPDFLERESAELEQQ LEAMHQKTDQTLHEDDALLLAAELKRKSVLLYHHCVLYDAGPSTPFVCQLKRSILEGI CELVESGLAAGLAFSIFVAAVELHPTDDQLFYDKRTGQFVCGRRLVLETLEVMAKSSL SNISRTKAVIQKVWRMRDMALEEDEQMLIHPGRHVNDWTTFVVRDFTTNINWLPTNWI TLDASQLPAHPVAAVAH TSTA_019950 MADSSFVQALLETWHNHRIPIIFFLGVLYVSIRWPILYRRAKRQ SSSTLPPSPRLESAIPREKVDAPILDHLSVTTVDKPTSKLGPKRVVGNKDRRASRHPQ KAGAASGPSFIKPVIFFASLTASTEAHARWLSEQLRAAAQEKSSGSNQEWGLLPPEIY DLSEIDFEDHFISAPKPPPNSPNTRYVYCFLIPTYNIDTIIDTFLAHLDETHNDFRID TAPLSNLAGYSVFGFGDKEGWPTEDEGFCSQAKELDRWMAKLTGKKRAYPLGLGDSKS DGVNALKDWTSGLTEILLEIAETGGLGEGVAGSGDPLESDEESAAEDEGETSRKKKQT IVDLEDINFAGGAKKRKGDPLPVDFTTVSKAGNSQPVLKEMVPSTSPTYASLTKQGYT IVGSHSGVKICRWTKSALRGRGSCYKYSFYGIKSHLCMETTPSLSCSNKCVFCWRHGT NPVGTTWRWKVDPPEMIFNGVKEGHYKKIKMLKGVPGVRAERFAEAMRIRHCALSLVG EPIFYPHINEFLGMLHNEQISSFLVCNAQHPDQLATLNRVTQLYVSIDASNRDSLRRI DRPLHRDFWERFQRCLDILREKRHVQRTVFRLTLVKGFNVEDEVKGYADLVEKGLPCF IEVKGVTYCGTSSSAGAGLTMRNVPYYEEVIAFVVALKDELERRGLGYGIGAEHAHSC CILLASERFHVDGKWHTRIDYDRFFELLEKEKTEGISFRPEDYMRETEEWALWGNGGF DPNDQRVRTKGKNKDRSIEQVTPV TSTA_019960 MDSKDEKHGLLAYEDQPSTGFVENPDSQPTINKPRPFRLRIWSL GFTAFLAVTTFALWSSSSPKHCHKVVPFKGIEDRVHKILSETPLIDGHNDLPILVRAV YGNKIYSSDFIKRFAFGNLTGHVDAPRLADGQVGGTFWSVFVPCPADGLDFSKQNYAE SVRTTYEQVDVMTRIQEEFKGILSASPNGTTALDEFRRGKVISPLGIEGLHSIGNSLT HLRAFYKLGVRYATLTHNCHNIYADAALVEIPGGGIKKADPVWDGVSEQGKILVSEMN RLGMIVDLAHVSEATMRDVLGAGKDDWIGSQAPVIFSHSSTHALCPHPRNPTDEILKL VKKTDSVVMVNFYPQFISCTASDREDGLPDFYPPNATLSHVANHIMHIGNLIGFDYVG LGSDFDGIESTPKGLEDVSKYPDLIAELLRRGVSDADAAKVAGGNLLRVWKKVDEVAL KLQTEGALPAEDDIPPFGPDGIIV TSTA_019970 MATNMAGSPLYSYTVAPHLAAEFDVPFADFALAHPQYKFFVVAG FVFTKRSHSQPLPASASEYVKIKHLSSSATTSKSERGQNEPLMLIIQRALDDSYGGYW DFPGGSLEPHDQTILDGVAREVLEETGLHVSKIRDIVRIDTWIGSKSQMLVAGKFSFV VDVHEAADTVGWEEKIKLAPEEHVRWLWVSEEEIEKSAVRPGEDRIVPYAFVGVQGET AWEAFKTCPA TSTA_019980 MAVNGGSDGILANMFAPLTIKPGGSRPQSSPVMTTVLRVNENKP EPARRSNSHLIQPLDPFEQASPAPDSELGRIFSLSPLPTVVLDAKLRVAQISDSHLSF SGYTRSEVIGHSIYDLPAHKVPAASTSSLHRVISNAIKTGEVQIIDDIEIDDGTSFAV YSVHIAPIQDQGNLLYVVLTAHDVTKESSVRQSNKELAYMNETYKILVDTVKDYAIFM LDTSGCVATWNSGAAIMKGYSSDDIIGRHFSTFYGEDDRAADKPGKELEVCLREGKVE DEGWRYRKDGSRFWANVMITPVYTAGRHVGFVKVTRDLTERKAAESRLIAAFEESSKL KSDFLANMSHEIRTPMHGMLMSIQMLAATNVDEKQKEYINIIEDTGSVLLQIINDVLD YSKLSSGTFTITPGVVDVKEVVGAVLRNCQSSVKPGVDLRVHYSDQLPKHLKGDPLRY RQIIQNLISNAVKFTDEGSVEVSVKVTEDPQDSSTYEVTTMVVDTGIGVPVDAANSLF TPFTRFADTSRKRYQGTGLGLSICKSLAELMDGSVGFASNSNCRGSTFWLAVKMSVVD NTRPERRRSENRCVDLNAQIQQLAPRKQLLLVEDNMMNQTIMLKMLNMIGFEKVDTAW NGAEAVRKVKQKPLSYNAILMDINMPVMDGVDATIAIRGMSRDVPIIAMTGNALKGDS DIYLASGMNDYIAKPVHRQHLVSVLLKWIGP TSTA_019990 MFQKRALYIPFTILLITATIQLALVSRMVAFLHIQKTEVDSYHV LSNNATDHTANREDFYLHVLPEKLSLNQGHVTNGAAGYGLVLSIASLVANVFLRRDAY KKRHKSILLTITISLSLLVLFTLSALVYVVSVTYLTINNKIDMDVARAVSSKSQPYNI DSWTPETWYRALLLLPLEQDTIESLNSAHHEMVAWRWWVLFYHIVDSLVFWWTGMVLV RVWRKKRDSPSSEELVEYGVGYAGERRESRK TSTA_020000 MKTSTLIALSTGTVITGFLAYAVWFDYKRQSDPEFRKALKRDNR RMARAAKEEAEAQGAAQREQIKKAVNAAKDEGFPTDLEEKEAYFMGQVAKGESLCAEG SDNVEAALAFYKALKVYPQPKDLISIYDKTVPKDVLEILAEMVALDTGLKLGSFTTEG AGADNHGVE TSTA_020010 MASQLDKLMDVRGLTLRNKSRRRPQISAPQPITGPTPSERGPSA APPGGGGGGGGGGGGTTSDLVKRRYSARFNPVPDSSLDAPPIPSLPSDLQVFKNRDGP SAIPSGLQAGPGVTLEVDLKALKDPSLPVEKYVAGLLANASEEEIRDYQQSLRRVKNR TSTDLQQNVYQNRTQFIKISEEAEKLKGEMRTLRTLMSELTTTLGQTHEATGSNQLLD VDDRTMKRSNRSSVANLENMWNLQLHALWKTVEGSQKFLPAIPGRHIVLESGHWVELD SATWKPRRPVHIVLLNDHLLVAAKKRKRIDPNSPNQQRGPAPTKLVAEECWQLQDIDM IDLGANLGSGAARAEAEHKGVPHAINIRVGSQSYTYRYDQRNNTAKNELLATFRKTVE DLRKTLRSEIENSSRAGDAMRSLNQLEGRRKSSLFDIPESFKDKPEVRIDVDGKQQNL RWVEGQIDELDIDIALQHFEEAVSSVERLRKLARGLKGNTFAQDAINQKVDERATNLA SILSRALVDKHSFSVATKTHVGWLARLGFEDRAREAYLRARSEVIHKRARQCIFEGDL PLYIFQISFVYFTLVKNTIGIYQQCFPPMMTSACIKWAKEHLDQFNAILVRQLSSVQR GTMIWQKCMDTLDEQAAMLGEVGVDFSDLIKRGLTEDANGVDNGQGGELGEPGDAEAQ TSTA_020020 MSFLSLPPELLALVASFLERDSDINSLSQVNRRLNFLLVPKLYR HNVRNGSCSALLWAAKHGIKGTAEKNSLGRTAISFAAEHGHEAVVRCLLETGPVDPEL GDNLNEGPLSYAAENGHVGVVRLLLAMDMKDLDSKDISGTTPLSRACENGHLTVAKML IKTERVDVNSRNVSGQTPLSLAAENGHGTVVRLLLKDARVDVNSMNSRRRTPLSLASE NGHVTVVRALLQDGRLGDSTDGHGRTPLSWAAENGHGPVVKLLLKVKDIDVNSRDVVF QTPLSLAAENGHNTVVKILLKDSRVDVDARDATGSTPLSLASRNGHCAVVQLLLDTKR VDVDAKNHNGLTPIFLAVVNNHEKVKDLLLNSQ TSTA_020030 MLVLPALRSRKFPISIPRQFLRHATTTPKIKKYQQKTNLHKLYQ LKWRPLISIKEGTFYRDYPVSSDASGQENHPLLNKIDFTLPSRPPQWPELPPPTCGKR AKERYERELRQEQSIQEEEGPFHWAVIGSDSTEFFNVLQGRYIAVPPRSRQYPHLISR KFTPKDPRKRSPSNAIAYVGFSGEGSQATGGTRGAYLSARYESLREDTDWTVRQYLTG QTELNPLEDENAGENLDQAQLEQVIKDFRLQELLALPMSSLSNGQTRRTRIAKALLST PELLLLDEPFMGLDPATTESISSLLRNLAYRCSPRVMISLRPQDAIPDWITHVLILDN RRIAFSGPKKDFYKQATKACGISTSEASKARTPLRWLFAPGGLFELQRYKFADDKKTK VIQYSKSNVGVADPTALTQISQHGKPVIEMEGVHVQYGEKVVLGNWMQIVRGRKRNKM GLWWRVRQGQRWAILGANGSGKTTLLSVITSDHPQAYAQPVRLFGRSRLPEQGVPGIS IFDLQARMGHSSPEIHAFFPRQLSVRASIESAWADTFLSKPVLTYERDTLVDAVLEYF KPELDPSAEDVKGAKSATHSEEGIDMSFIPKTFRENLTDATAVDNRSTSTPVYHPKHN IDYADNVTFGQLSVAQQRLVLFIRAIIKKQELIILDEAFSGMPANMREKCFYLLNNSG LPTAEQDPELASLPLPYLGPEQALIVVSHLPEEIPDTVRFWMRLPSEVGDGQKLEFAQ GVLPDDSTLSSDRKAWDTIWSENSLSKAMFLYGKDNEGELTDGKRYRYVIPRKSRSKR KSTAMNNDSNESEELEEEEEEDEEVYEDEDEIEDAEEEAESDKMRT TSTA_020040 MSLATTANNLTPATNFPAFFNWKHSTPLLIAVAAVVMTAPVIAA TVLRGRDTSDVPEITSIPSLPLFVAAKNHATTDSKKVAVIDRTKGESFTYRQLLSDVS EKKKWLLEHLSLADLDQRRIAFLIPNGYDYVVMQWAVWAAGGVCVPLCTSHPIKELFY TIGDSQPSLVVIHPFFDKVHIALREQVKDVPFTEFNPLSSTKNINIVLLPPFHESLPL NRRALMIYTSGTTSNPKGCVTTHKNITFQADCLVKAWKYTSSDHLIHVLPLHHVHGII NGLTASFLSGATVEMYPKFDPRIIWERWQDKGSSTMFMAVPTIYSRLVDYFDAHIRST DAETSSREGAKALRLVVSGSAALPTPIKVKFAEITGQVLLERYGMTEIGMGISCGLDV SRRLDGSVGWPLPGVQVRLTDKETKKIIEQDEEDGMIEIKGDNVFLEYWNKPEATAKE FTPDGWFKTGDVAKRSKENGAYYIQGRASVDLIKTGGYKVSALEVERKILSLENIQEV AVVGVTDEEWGQRVAAVIKLRDGAGPLDLSTLRTQLKQEMAPYKIPTILKIVQGIERN AMGKVNKKDLLKKYWPDA TSTA_020050 MGLNTTFTKHQHRQSDDLLALGCVSQCHDQPSPKATKGNDYTLR LDQIKTNNSDDNIDGDGPWSNNTIDVANSLSSSSSVYSASEEPNNSTECTVKIATDSE TSARSSSIVSRNQESVRSIASSVGVTSVSSHYSQKCNQEEEQQKQKRKSDELKHTLSF SSLRKIKSLEKIPFKMESALMAFRWLCRKSDELIQRGDIETDDHQIQKLQEITPDLGA IIVQRMERLEEKIDILFSTTSKSTRSTIDESSSTYQSILDPDADTPVEQPLYKTYNQT PLQAQKKEHTETIQQTEFKILTAEINHLIKSNNNLSSCIDALGSSGLIDLLEMLSCSL ERLHSAINSLRERQQQQQNLDSINNEEGDNQLRLQIDIIQSLGFLLGQREEQLRHERK LNQSYRENIEGLEGMISALEVEWKKVSPFISNSECMTDCIGRLKRGTIVRT TSTA_020060 MPQHEAASPRTARVSDNAAPSSPSGGGVASYQSATSLQPLPTMP AAGHGDLIAPATSPILGVSEKLMALELEDGTVYQGYSFGAEKSVAGELVFQTGMVGYP ESITDPSYRGQILVITFPLVGNYGVPPRDTMDEILKELPKHFESSQIHIAALVVASYS GEDYSHFLARSSLGQWLKEEGVPAIYGVDTRSLTKRIREEGSMLGRLLLESSKVPNGA ESNGTTTPGRWKQHYEQIEWVDPNKKNLVAEVSIREPRLFTPPEAVALKHPSGRPVRV LCLDVGMKYNQLRCLLSRGVEVLVIPWDYDFHTLAGREYDGLFVSNGPGDPATIATAV QNISKTVQEGRIPIFGICLGHQLLARAVGANTIKMKFGNRGHNIPCTSMVTGKCHITS QNHGYAVDAATLPEGWQELFVNANDGSNEGIRHVSRPYFSVQFHPESTPGPRDTEYLF DVFINTIKTVLASPEALNKPVDFPGGTIEENIQKAPRVSVKKVLVLGSGGLSIGQAGE FDYSGSQAIKALKQEGIYTILINPNIATIQTSKGLADKVYFLPVNADFVRKVIKHERP DAIYVTFGGQTALQVGIQLKDEFEALGVKVLGTPIETIITTEDRELFARSMESIGEKC AKSASASNIEEAMRVVEDIGFPVIVRAAYALGGLGSGFAEDPKQLRELCTKAFAASPQ VLIERSMKGWKEIEYEVVRDAQDNCITVCNMENFDPLGIHTGDSIVVAPSQTLSDEDY NMLRTTAVNVIRHLGVVGECNIQYALNPFSKEYCIIEVNARLSRSSALASKATGYPLA FIAAKLGLGIPLNEISNSVTKVTCACFEPSLDYVVVKIPRWDLKKFTRVSNQLGSSMK SVGEVMSIGRTFEEAIQKAIRSVDFHNLGFNETSALMSIDTELQTPSDQRLFAIANAM HNGYSVDDIWKLTQIDKWFLRKLKGLSDFGKTLSAKYNATNVPVNLIRQAKQLGFADR QLAKFLSSNELAIRRMRVEAGIIPVVKQIDTVAAEFPAFTNYLYLTYNGSEHDISFND HGVMVLGSGVYRIGSSVEFDWCSVRTIRTLREQGHKTVMVNYNPETVSTDYDEADRLY FENINLETVLDIYQLESSSGVIMSMGGQTPNNIALPLHRLNVKILGTSPEMIDSAENR YKFSRMLDRIGVDQPAWKELTSIEEATAFCDKVNYPVLVRPSYVLSGAAMNTVYSKDD LANYLKQAADVSREHPVVITKYIENAKEIEMDAVARNGVMVGHFISEHVENAGVHSGD ATLILPPQDLDPETVRRIEDATRKIGNALNVTGPYNIQFIAKDNDIKVIECNVRASRS FPFVSKVMGVDLIEMATKAMLGIPFQEYPPVTIPKDYVGVKVPQFSFSRLSGADPVLG VEMASTGEVACFGRNRAEAYLKALISTGFRLPKKNILLSIGSFKEKLEMLPSIQKLHK MGYNLFATAGTADYIKEHGIPVKYLELLNGEEEDLKSEYSLTQHLANNLIDLYINLPS SNRYRRPANYMSKGYRTRRMAVDYQTPLVTNVKNAKILIEALAQQFELEILTIDHQTS HRTMVLPGLINVASFVPGLVTSGSKDFEQVTKACIAAGFTMIRVMPVGVDASVTDAVA LKAAQQNSKTGVYCDFNLSVAATATNAEQIGHITGEVGALFIPFNHLSGNINKVAAVT SHFGVWPANKPMITDARATDLASILLLASLHNRNVHVLNVSSKEDINLIALSKEKGLK VTCDVSIYSLFLTQKDFPDCTGLPTVEDQNALWEHLSTIDVFSIGTIPYQLAGKEASP TVGVADALPLLLTAASQNKLTIDDITTRLYDNPKRIFELHDQLDTYVEVEIDRPYVFN SGNVWSPFNGKPMKGSVHRVVFQNKTSCLDGDIQAEAVQGSDMSGHRIVPQSPSVKAF SPRVQPKFDAIERRFSTSTPVRPFRSKAADTVPNVPELGPPLFTPSSQTSTSLLELLS RSTFKQKHVISVNQFTRADLHLLFTVAQEMRLGVERQGVLDILKGRVLCTLFYEPSTR TSASFDAAMQRLGGRTIAISTEHSSTKKGETLQDTIRTLGCYGDAVVLRHPDEKSAHT AAKFSPVPIINGGNGSLEHPTQAFLDLFTIREELGTVGGLTVTFLGDLRYGRTVHSLI KLLQFYDVRVQLVAPKALSLPEDVRKLVVSSGQLVLESEELTPEIIARSDVLYCTRVQ KERFADLNEYERLKDSFVVDNSVLKHAKSHMVVMHPLPRNAEIGEEVDFDQRAAYFRQ MRYGLYCRMALLALILAP TSTA_020070 MLASLLRPRKPRQHVLRSASPHETTPFFRRFLRDDGSLAPTEPD DYLNEHGQPAYEGEETEPRDDDSDEGHLLPIFSSPHLDLIPVYTITHSIRYLIISRCE TSLTWEQLRSPQISQFLLKPIQQQVREFHFNRSTLYALMANCLQFNKEADLNPGNSGT SRTRAHVSELLAIKILKEYTTRELIDALSYDFYPLQGQTPISAENLRASTWNQGQNAT GATRISCLEIAIRAQAKRFIAHPLVVQHLEAIWAGTIVFHSAADNLHRLNVAAASSYG VSRYGPTNESSTQYGKRRQAPQSEEHPRRAVTLYDPRDASLFKLSRLRVPRYRHFLST LSFAVLLSLYLAVLIERSLHITTVEVVFWLWAAGFMLDEIVGFNEQGFSLYLMSFWNL FDLGILLLLFCYSILRLYVMGLPDVRQRAAANQAYDILSAGAVLLFPRLFSVLDHYKY FSQLLIAFRIMAADLMAVFLLIVVACSGFLVAFSFSSASSQSPQEVIYALFQILMGFT PTAWSLWEEYDALGRFILTIFLFICHFVVVTILITVLTNSFMSIVKNAHEEHQFLFAI NTISMVKSDALFSYVAPSNILGWMLTPLRYLIPLREFVKLNRTMIKFTHFPILWSICL YEKMILSSSIIDPTELIEGNSRLSGRSTAVLRARNDRQSRFETFHRLTREPSIATYQQ DRVLEELFRRPFRQQSMQDVNESPDGRRKSAMVANWMQGMSTIEEQTPRPPSTLRRMV SPQHRNYTETSRSFVASDPEEFTNYGGLHPVPEHPTVNNTQPRLGRHASHTTDVEGDD EKSSDENHDDESDEDRGDSISDSQNASQTARPSSKSTPKFFSSRPSTAVYNSRKNSPT RHRPASSHLHTRNISSVTILHNHPGDADDSTDDAMPGPSSAASPLKGLETERPALPQR TSFMPVPNFNRIGSDMGNVGALPSSLATQIATDNDVLGRLVLARMQNIEAGFREVLKE VKDLRGKLVRESRHSGRKSGGDDLN TSTA_020080 MAIASEAVPKSARRTIVTPRNLAIATTIGVVGGTLLFFDFLKGG QENPRPQERLEYNGGSGTQTDQGTVRPGRIRLRNYNER TSTA_020090 MQSSNQQAILGSHYTSPLNSTNTVALGDPDSIDGNLRMPLLLFT DDEADTLVYIEPGPQPNAEPTNHQSRRWVFGSQEKVQAIPHRIHSRKLLDTGSEVLKD LFRPRTQARVRKRYGLTDNMSPGVKYAIDLTPPSEGDEAVIFMTELSCPMGVRRWSQM QSRWSLPTSCVSGQDEIEWILTEIEATRDPIIVESEDATTLKQKRKARRKEAKQQAKQ EEKQAKANDKPEAKAVPRRVMNFQGNLPTLRADLDPMTPYSESEESTPNQPKELQEPE IPKKTTKRLPGLPLDYSPIRHRTGLERLLHAIEGLDPKLDTAPKLWTYFALAKILKVA THPAVGDHILVWLYTQSNTMFIEVNPELAYQIACGLQNRALCQTSFAILVGEESLMVL DSLIDSQVPKRRARTIHGRFRESLDDTEVQRVEYASKVFLDRILNEFVKLAGTRMEWV EDILRSHFTRVWWIDLAPHKQAIDELAHLCKLFPSTDTMDYPGIDYYAAHSVLPRFCR VLTRGFWKSLAMILPTPTEAHTWACPSLRDLAPALSILEDEGNAIIRHVSTEELYATL DKFYLAAEQLSFDFSTFTLAVGNYLDRLASRIAGPPQYEQEPHSNPFEVPFEIVDTLV CLQDEEFKFLPLWAGGNDDGTGGVFDDHSVPILEEGGFSTAGPSVRLGNNAQYPDDVA SMDSFESIRPDEAASTVQRASHEATASHATTTTATVVSATMSTISEFELVGAVQDLDI RSTNGSVMADDDTEMKENVDEDEFDFFDDDDDNDTIGNSPDLE TSTA_020100 MNPGAYVYSIDPNVYNDFTTGNTGHGTIAINGNYNDETKLNEDW NGPINGMSSTANAGTNQQKSAGPSPLACLACRQKHLKCDGRQPICGRCKTGELTCEYT PSRRGYKGPSKKRRANPQPVEQQVNVDPQIFNLDGRPPEISPEWHIPSIVGSVPSSTT PGGPVFTPPDISGAITASATTTGTGGTSSTTPQAGTLQSPMTPESPPMALGRDGYLVD VFYSNFHPAHPILPPPGLLYNYSPPAYLELVIKFIGAHFTPAASPETYRQAVVSATVD QEPCPEKVQALLLLTIVLHSRNERQEAGVLLAQAVDLAFELGIHRKSYAETVTGDPIR RESLRRTWWELFVIEGMLTALGMRSTFTAGEVPLEVPLPCEERIYQDGLAPPYPPTIK QFDDRIFAEEDITFSSFSYRIEAVRILGRVVSLSTASGQQQQEEVESVDARIASWFHH IPDSKMELMQPDGTMDEMMFQARMVINGASIYLNFPRSDLLSSPAVAAEVICGHSGVC LMPAFSHHAHAMRALNAASEISTLASLRMPVTKHTPFFICALVLSSIVQLAGYSVKAG QMPDPRRDRLTLTIGVFRSLGRTWAISKSVMSQIKAVARDVLEIGVRPQADQNNLDFA AFLEGSQYWIPSIPNA TSTA_020110 MISDDDLFRLAIFLGSVAMLLIVLYHFLEVNAKDDSQSPLSTSS TTATDKKIPVGADPVGFSPAAAISARGSFMAVGLI TSTA_020120 MDYQVLGGSLHSGAEDKKSGSAAAAQVNVNSMLNQQHQQYPAPV ANQPVAQTQQSASNSGPSKSKAKASPAQEAARPAATQQKLAKAVSEYLSKDTANKGKQ CSSDSLMLLLRNNPTYPDVCAQWETRGFALNRQDMARFLLAAVPALLSNNNGQEQKKA EASKNTPPSYATPSLSSPDYGATSTTFTICTICTNCTSSIITTPRHPRHNRLNQFNNL HHPKLQHQHYLKASRPRASTGTAGSSEQKKEKKSLQRPRKSDGAWISNTPVGPKAEVA KKRLFSEIVDMFQMSSDDEDGFSRSTTNMNLNLAQRPSFPDPGPDVMDLGTDSPHPAE QNVTDSRAEMAEPEIEFDPDDEFVLREIKLYYNPQSIARDVMLATGRHPSERPLNFHL MHLIQTFTGFTLRSDLETVKWDLVDPGGPSMPVVELEDIFLEPPQLTRKRRRRRRRDA SRDDPDAKGPTSDSRPQPSQTTAALGQQLLPSVSTPDGARDSMAGTPTTNQRNGRRGR PPGAKNKKPPKAALTDLAETATGPSTRNVQTSVSAPASSVPEPSYPMFTCEWASCPAQ LHDVHTLERHVVRNHVSGQTTCQWQNCPNYTTECSGEGLMKHLLKVHIRPLAWKYGDR PSVNGTDYNIDRYLITNGLHVTPDATTASESDALIFPVGPTPIRAFNRLYGNQKPTDR ARQVLRAVQKRRKRVGIGLEQGGCEFSTPVRNKLFVNDEEFYEVVTDDEEGTDNWFSQ DVSS TSTA_020130 MNYNQQTQQASSATSRTWATPYTYQNTSVNNNDALRQCEPTHMT SPKVNQSTQQSRKVAAYKSPPITYSTTNATLNAPSYPQTSHGYSSYTATRLTAPETSS AINQDYPQVTEAATTTQTSPIKGPKERARAAEAEMEARRKEVEVQAQAEAVARAAEEA LAAAGAQATPTSATKGKSTNSKPAKKKHF TSTA_020140 MGFLSTTAVASSRRKSRKAHFSAPSSERRVIMSAPLSKELREKH NVRSIPIRKDDEVTIVRGSNKGREGKVTSVYRLKYAIHIERVVREKSNGQSVPLGIHP SKVVITKLKLDKDRENLLERVGKGREARKAALSA TSTA_020150 MASSSRPSSSLLYSCIAHGTTILAEHSSPGASSTSASSLASIIL PKISHDKPQKLTYTHDRLFVHYIADSPSSQDGGSGSKNGSTEPDSHSSLSYLVVATAE QGRRIPFAFLLEAKRKFLSAYPPSTTDFSTLPAYGCAAYNSELRALLQQFNTAPPSDS LASARREIDSVRDIMTENIERVLERGERIDLLVDKTDRLGGSAHDFRMRSRGLRRRMW WKNVKVMFLLGVVLVFLIYLFVGMGCGLPSWGRCIGK TSTA_020160 MADPTTSTTQLQPRRSSSQTSSSSHKSQKANSNGNGKTTTRPKQ TTKRHSAVSVVSSNTAASGTPADLTSFPSLSPDSSPKELRSQSDMDGVFGQATATLTE QQRHQNEEESRRAVIRGRQAALERLTSSLSQGSGRPALFDDSFSTSEVPGALHLADTI HIEHLVEKNGAVKLVRQFARDLAVRDAEISKLRRRADARERELKRMLRDVGVSSQDIE RRLYDLENPSPKIESPAEPDGIHKLVTKSSINGLMGQAMRDPVGEFDSDDTDLQATLR PHRNDSDAKSGTSSIESGTQRRQGTVRIWQDYIFGTVSDSRRTSRGSSVATDADDIDE VAGAPRITSNNTSGRRKGLDERLFQPPTSRASSPAGVKLSNAGDTSSLHSRKSSKSVS SWTVKLFAGGSQNKDAQGASSADRSRASSLGEDKLKNISKASSNLTGKNGLSAVAALK RINSYSGANGLPNASTTSAPSRLGLQRRVTGSNVPQIPNADRSNGAVATNLGPVEMDA ILPMESRPPALSEYNFNYQSDGLLTDRFGFIYDQRRKKRQKEAALLRKTANRKSLTET INSHRSGDLTEEGEEEEQTGLGLTIPDQLETPISVDEVDNESSVTKRWQDYLRIATRP TELLSHTPSTAPIIGVSASDEPPKRVASTVALDKAGAPSVSPNSQPTSSTSTIVADSP EFANVAARSQTTTISVPNEQEPVKLLLEQLTELHDVLQRERTARWNEFLRKVRAERRR EGEAAAAQDPERASMSLNMPEATLADGEVVGIAGLGNKGKVGRNKWREFRSLVLSGIP VAHRPKIWAECSGASAMRIPGYYDDLVNMVNVVDVDPTIVAQIDMDIHRTLTDNVFFR NGPGVAKLRDVLLAYSRRNPEVGYCQGMNLIAGSLLLTTPTAEDAFWIMTSMIENILP QHYYDHGLLASRADQQVLRQYITEVLPRLSAHLDELGVELEALTFQWFLSVFTDCLSA EALYRVWDVVLCLNVTSTTRERATPTATTTGPKKVSEDDAAAASGGGSTFLFQVALAL LKLNEQQLLTTCQTPAAVYTYVNHQMTNHAISIDGLIQASEALRNVIRREDVVSRRAA ALRQMKNDGYSYQSSNNSDE TSTA_020170 MTSSAKRRLQALSQQLVEGIPDAGTFEDIPRIRSVAGDSVGQRV KDKVVIVTGANSPIGIGRASAHQFARNGAKAVYLCDYDDTYLATHKREIESLYPGVEV QIRQFDAGDAPSVKSVVDNAIEKYGRLDIMFANAGVVGSTKLFTEIEPEEFMRTLRTN TLGVFLAAKYAAPAMQITSPSKPFPSGSIICTASVAGLRSNAGGTDYSASKAAVINVA QTTCYQLAGTGVRVNAICPGVIETGMTKIMYDAARARGTEKKIGQLNPLGRGAVADEV ARVALFLGSDESSYVNGQAVTVCGGLSAGHPFVPGKLG TSTA_020180 MAPSLRDHSSFVRPSTRDRPVTRDQADNSLVIPSRTSSLHSRIT QPIPSTLNLKPAQRTPKTLTHAYMVCGVGREPSQWVKAPAPIQGKIQHMKGAVGQFWL PEILGSSPRLEQDNEIARSLHAAMRACFPHDVEICTGKSQPHCVHHSFVLQQDSSHTL YGIALRVWSRADEKRAETIRDLRKKTESDYYDNPDETYWIPYCLSFLSRYPLYDLLGD YLRGMWIHWNKATNLFHAEEVSRILSFPAPRLNDLVRIDMKDYALCYQFPSSPTGFQN FSMWPLFNCLSIPNIVGVIEAAVSPTRRIIFVSHYPAMLTIAAETIRFCVRVYEWSGL YVPVVHARHAKELVEEPGPYILGISPECRSLFTAPSDALVVDLDRNFVLTSSPPNVLT PGQRTKFINRLTQALNGEVTPSGVPQHLRSAYGGGKLIPAGQIIVMKGEVESVQDPAW WNQDAVMSVTDHVCEKLGRNTGMKAIFGGSVKKPLMTKVSMRHLNEIVRERNQYSRDT VEAWQDFINLKGRMDTEISKVTKRNNFLVEELETWKQQFLKFQAFAEQLTKETSELKV KIEGHKRENRRLTGLIDTQKDDITRLTLRLSGTEKQRDDALEALVLQQEIAEELERER KRNQKEIASMEHRSSALARQRDEAQRIVVHLRSLIDGQTHHMEHIIRNLASSTDLGEY IDQALENSTQESSSTASPTRSMTESPKPIKRLSRPGSRVRLSSAFNSRSSSAADNVSP EMESVMDKAQRRLSQMSMPDVADRYLKDKTDAIAAIIRNISEQCAAAVEGLHLAQDAE NEEAEAAKAEARLAPANSATREEGSDAGESESGYLNAENRVSSIPPTPDLVHNRSSTS MSMVSTSTVAERTSQQYNPGEIPTRIVEDEDEHLHEMEGFTDPNETPTVSKKPSQGVI NAPASRVIS TSTA_020190 MGQGYSLTTLSAGSAGIDVPELSDMVYEKSLGSARFMKSIRARQ RNGLAFVKVIMKPYPSMQLDPYVKAIARERELLASVPNALAYQRIIETGTSGYLVRQY IHSSLYDRLSTRPFLEDIEKRWIAFQLLCALRDCHTQEVFHGDIKTENVLVTSWNWLY LSDFSSSFKPTFLPEDNPADFSFYFDTSGRRTCYLAPERFLEAGEEPGDRKVNWAMDI FSVGCVIAELFLEAPIFTLSQLYKYRKGEYSPEHSQLSKIEDSNVKDLILHMIQIDPE SRYSAEEYLNFWRHKVFPDYFYSFLHQYMSLITEPASGRTHVDAEAGNFGESDDRIDR LYLDFDKISYFLGSPPVQAKDGSKLMSANLIYQALPVQLDLPNSQHETSNMNPQEGAL IFLTVVVSNLRNTSKASAKVKACDLLLAFAERLPDESKLDRILPYVMTLLNDRSDVVK VAAIRTLSQLLSIVQVVSPVNAYIFPEYIFPRLQPFVAESSFNPSPMVRAAYASCISS LAQSSLRFLDMIQALRTDTRLRSVIPAGSEANWTEEATFHNLYDVARADLLEYFEVHT KALLTDSDSSVRRAFLGSVSSLCVFFGSTKINDVILSHLNTYLNDKDWILKCAFFEAV VGVATHIGSTSLEEFILPLMVPSLTDTEDFVVERVIRSMAAMADLGLFQRSTTWELLN IVVRFFAHPSIWIRESSVYFVITCSKYLSFADKHSILTPLIRPFLKTNIITVTEGEIL DALKRPLSKSIYDMAIIWAQKSEKGNFWKAANRESTFSLTGSDAIIGRGFPRNVSLAA ISKNEEDAHWISRLKNLGMTPEDEFKVLVLREYIWRVAMKSRKTSDATEPSLLNDILS LSQYGITPQTVFFDKNQDVRQRKVSTDNVYSPTNEEPRSHTIADALLDASTNVDTQSA ARRKHQRSATQPPKDSNPARRSLAIAEGIRADSDGPSTSSSPTTQPISQDSSAQNSDI ERVTTKTSLDADRASDRSSVVTETGSLSQINSQTRIERKSSAINLLNRKETTKAYAET SMNSMNAFGKVDVHSQQKKSSGSPLAIARESNTGQQATLQRYRAGHSYKGRDPRILRL LDDVFAENYPTDFFDLGPYVKEIDSRRPIRRASGEESDKPWRPEGVLVALFGEHTGPI NRVVVAPDHGFFVTASDDSTVKVWDTTRLEKNLTPRSRQTHRHAPGTKVKCLTFVENT YTFVSAATDGSIHAVKVDYQNINETVRYGKLQIVRQYQLPEGDDGSIEYATWIEHYRS EGQSVLLIATNTCRILALDMKTMLPLYTVQNPVHHGTPRVFCFDRKHSWLIIGTSHGI LDLWDLRFRVRLKAWGLPGGTAIHRLQIHPTKGRGRWVCVAGGSVHGSEITVWDVEKV QCREVYRAASASTMGSNPSTPSTPNSHRTSVVNNLAAMHTVTKSYEAWRVDEDRPEGM LSRFANPSAAGIEPNINPASPNSSSLGDPNGICALHVGYDMPADGKESTKCGFAITAG SDRKVRFWDLVRPELSSIVSGLDISVVEAGAAAKPRYETVQPTTSLTVTTEFSPSLAT VTGGGSGGRSGSSSGKKGHSASASRPPRSTVISLQQQQLLKSHLDSILDVAVLRAPYG MTVSVDRAGMVYVFQ TSTA_020200 MSPDSVQVKAEAQDYAHQSTFLYDMAHGLPLTPSVSAHGWQDHS IAGTTACYSTALSAPDYGGLSFYQQQQYTDPTMVSTASVQYPLFTSSPQVQGLYYHAG YSFNQTSHPEFAQITRGHHPHSPTKIQEGMKERILNPSSDHPLKKPSPYLVPSRAKAG HIMDRFLLGFMSQRWDQVAGRNQVLERRARLNLIPIYVAQYVIRFLRDGRIARSI TSTA_020210 MSATTTVTEAVAITADNVATLFPDVDISLARSILPSSNDSSVRA GGDLDGYDEEQVRLMEEVCIVLDNDDRPIGSASKKACHLMTNISRGLLHRAFSVFLFD SQNRLLLQQRAAEKITFPDLWTNTCCSHPLGIAGETGAELSAAVEGVKRAAVRKLDQE LGIKAEQVPLDKFKFLTRIHYLAPSDGKWGEHEIDYILFIQADVDINPNPNEVQDTKY VTPEDLKSMFANPALKFTPWFKLICNTMLFEWWSHFGTETFDKYLLEKEIRRM TSTA_020210 MSATTTVTEAVAITADNVATLFPDVDISLARSILPSSNDSSVRA GGDLDGYDEEQVRLMEEVCIVLDNDDRPIGSASKKACHLMTNISRGLLHRAFSVFLFD SQNRLLLQQRAAEKITFPDLWTNTCCSHPLGIAGETGAELSAAVEGVKRAAVRKLDQE LGIKAEQVPLDKFKFLTRIHYLAPSDGKWGEHESERPFPLCFP TSTA_020220 MPHRAASPALSENELDISSSLFKTNANSDSEDEWDSKPNKKQKK KQTSIPSASLNLLDEDNDDVDGEDDEAFIAATQAAANRKASNLKGRTVKKGGGFQAMG LNAQLLKAIARKGFSVPTPIQRKTIPVILDDQDVVGMARTGSGKTAAFVIPMIEKLKS HSGKVGVRGLILSPSRELALQTLKVVKELGRGTDLKAVLLVGGDSLEEQFSLMVGNPD IVIATPGRFLHLKVEMNLDLSSIKYVVFDEADRLFEMGFAAQLTEILHGLPATRQTLL FSATLPKSLVEFARAGLQDPSLIRLDTEGKISPDLQNAFFSVKTADKEGALLYILHEV IKIPTGPTGVSLRSNEEDDDKGKRNKKRKRSDSNKPGKNTESPTKHSTIIFAATKHHV DYIVSILREAGFAVSYAYGSLDQTARNIQVQRFRNGATHILVVTDVAARGIDIPILAN VINYDFPSQPKVFVHRVGRTARAGQKGWSYSLVRDADAPYLLDLQLFLGRKLVLGRPE TEVNFAEEVVVGGIPRDTLSSHCEWITKTLDNSPDIQAQRGVANRGEKLYMRTRNAAS LESAKRAKEVVTSDKWTSLHALFNGEDNQMEVEREKMLARLGGYRPQETIFEIGGRRG GKKGTDEAFETIKRVRSALDKKKKTSATATETADADGDEGEDVNMDHGFSDEDDNEAP QDNLDNMSMASESELEVTFSQYSQSNKNKSKKGSGTDANAFQNPDYFMSYTPADASLA EDRAYGVHSGSSSNFALASRDATMDLTADEKAGFGEAQSSMRWDKRHKKYVSRRNDED GSRGGSALIRGESGTKIAASFRSGRFDAWKKGKRMGRMPRVGEMENTTLSADLNSSIS GGRKYKHNKQQAPKQLDKLRGDYEKKKKKWDAAKEAAAAAAGGNEGGRKAKNEIRNNE DIRKARNLKEKRREKNARPSKKGKGGFRGRR TSTA_020230 MSPKTDIWKNQHLRLFIQHHCQRTRIESLDLEMDGGYGNYSTTS YGGQGGMGGGGFMPGEMNSPAGGRQGENPTLRPVTIKQIIDATQTHPEAPFQIDGADI GSVFCIGQVRNISTQSTNITYKLDDGTGEIEAKQWVDSTTARIEDDMDVDGKGVKHST RKKVELNGFVKVFGKLKVFGNKRFLGAHNVRPVSDVNEIHVHFLEATAVHLFFKHGPP PSQGGNSGGAGAAGAYGADAGGMKGGDDYSGGRPLPPMSASARRVYNLLRNEPQSNEG LHMQNISAKLGLPSTEVQKAGDELLSAGLIFSTMDEFTWAILEF TSTA_020240 MALNNSSPLAIARTDQDSQSLTSGASTAQTVIARDIASYNSDNR VNQGNDDDDDNDNDFNGLVRSATNVLGTTPHSLAGSYRRPSYFTAGSRGTVVPHHSQE QYLTIEELERAYTEEIDLLSDNRVIEPDSSKRNALSARLPSPGQNQQDPHAPITAPTE TSALLGDQAPLVNNEIDRKWEEAVTAGLIQSTWRREARVIGQYSLPLIVTFLLQYSLT VASIFTVGHLGTVELGAVSLASMTVSITGSAVYQGLATSLDTLCAQAYGSGRKKLVGL QMQRMVFFLWVVTIPIAIIWLLADKILVAIIPETEVALLAGQYLKVVILGAPGYACFE SGKRFVQAQGIFTASLYVLLICAPLNAFMNWFFVWKLEMGFIGAPIAVAITENLLPLF LFLYVYFVGGRECWNGFTWRAFQNWGPMIRLALPGLIMVEAECLAFEVLTLVSSYLGT EALAAQSILSTISSITFQIPFPVAIAGSTRVANLIGATLVDAARTAARVALTGAVIVG LLNMLILSLLRYSLAGLFTSDEEVIEMVAAILPICAAFQLFDALAANCNGILRGLGRQ EIGGYVQVFCYYVVAMPISMGTAFGLGWGISGLWTGVAIALGLVFFIEIIFLRKTSWE RSVEEARKRNDVA TSTA_020250 MDTIRPRKFNPLINPVNARPVIVENEASSTNHIFLARPLLGPLL FENTASDARDHCANERTFLSWIRLAIYLAIVSSAILISFHFSSQPTHIERVIALPMGI VFWCMSLACLASGFSLYVITVTKYSRRAALVQSGWKTQAVITLMATVIVGCCILFLVT QSSKV TSTA_020260 MQSLLLPAPWFSKTHFGPHIFDFDPGRFSAHLRPPSSSTTAPKA SLRASYSAIPMSGIVPTGTQAPQSADTITATTQREQQEAVEEAENLGSADPTTLPTLP PRLPTLIPVEGPGGGTTQQQPSRFLSTEELLVNTTAQLPSIGSTVSLSQVISGSPQLG LHGVPTSTAIRSLPPKSTRRAKAHVASACVNCKKKHLGCDSARPCRRCVLSGKAATCV DVTHKKRGRPPLKAEETPLRGVPSLGSSVTPREQYQIAGSVRVPSHTKTSSREIRPMT DLYYSRPLEPGSAGLDSNVSLLTAPSGRWQPFTSPQMLSTGHSQRPVSSSGPLPMSSV NYAHNNPFTSYHMAAFPPPTRVSEMPTGMSYNDRPPTTTTPTVSPQQYQQHFQPGLQS RISPQTPSRGLDVAPSGPSPLGFREPYTEPGVRLPPILPSTFATHHISTHSHRRSDSY PNVFAYQTLSSPQQQQQQQQQQQQQQQQQQQQHEPHSSSSHQFVRPQESPPSMFELRS PYPGATAGEHERSPPHQPYPSQRPHSTITPTTTTTTTTTTTTSPVYTERRRKSTANDN EENPQPSKRRRMAVDDIVND TSTA_020270 MTESLKHKMNTDIEKRPRGYETGPDDGAHMHNNGADDYTPPIIV ANKLSRKLSARQVQMIAIGGTIGTGLFLGTGNSLAKGGPASMLISYAIVGGIVFVTML ALGEMSAFIPVAGSFCTFAGRFVDDAFGFALTWNYWFNDAVSTASDLIALQLLLQYWT DNFPGWAISLIFLFVVIGLNVISVRLYGEAEYILSILKVVTIVIFIILGIVVNCGGNT SHHYIGGHNWHIGDAPFVNGIGGFASVFVTASFAYGGTESIAVTAGETKDPAKTLPRV VRNVFWRILLFYILAVLIIGLNVPYNYPGLSSKNSHTSPFTIVFEQAGSSVAGSFINA VVMTSVISASNHALFAGSRLLYTLATDGYAPAFFGILNRQRVPWVAVLATSVISGLCF GASYIGAGQLWTWLQNIVGVSNQLSWIAICFASLRFRAAVKRQGLEHLLPFKNWTYPY GPIIAMILNIVLVLVQGWSSFSPKFAVVDFVSYYIEIPVMIVMFLFWKLVKRTRFVRL DEMDLVSDRFDGGLSAEERTQVLEVARRSPFARGQPWKEKLGAIATYLFF TSTA_020280 MAPAKDTRHANKPKKSIENPRILKRKRNETELSTIIQRVEELDP KIRLESFSELPLSDPTSSGLTASHFKSLTDIQSRSIPYALKGRDILGAAKTGSGKTLA FLVPVLENLYRKKWTEYDGLGAIVLSPTRELAIQIFEVLRKVGRYHTFSAGLVIGGKS LKEEQERLGRMNILVCTPGRMLQHLDQTAELDVYNLQMLVLDEADRIMDMGFQQTVDA IIEHLPKTRQTMLFSATQTKKVSDLARLSLQDPEYVAVHETAASATPSTLQQHYIITP LPEKLDTLYSFIRSNLKSKTIVFMSSGKQVRFVYESLRHLQPGIPLLHLHGRQKQGGR LDITTRFAQSKHAVLFSTDVAARGLDFPAVDWVIQLDCPEDADTYIHRVGRTARYERN GRAVLFLDPSEEEGMLKRLEQKKVPIERINVKAKKQQSIKDQLQNMCFKDPELKYLGQ KAFISYVKSIHVQKDKEVFKVKDLALDAFASSLGLPGAPRIKFIKGEDSKLQKNQPRA LRYMSSDDSDQEEGEKKTKKKDGVRTKYDRMFERRNQDVLADHYSKLINDDGTMVDQG NGINGDADEDEEFLSVKRRFAAGDDALGDSDSDDSSSSHDKQKSFNDGDKAKLGKKVK VVKISDAAEPLIIDSKRREKLLQSKKKLLKYKGHSTKLIYDDDGVPHEAYELQDEGKF RADGDAQTQRAKFLETEAERNRVADIEDKELEKQKKREKKEKRKAKERALAEGENGVA VLAGEEEFVDPFQSDRSDGDEEVSASRGKKHVPEEEEDAPRQSKRSKKSHKVESSAKE PLEVNTLEDLEALATGLLA TSTA_020290 MADDELAALNALEKEASEFNKDAEIDRIRKAFPLDAYAILDLQP GVPDSDIKLQYRKKSLLIHPDKTQNPLAPDAFDRLKKAQTTLLDEKARERLDECIADA RRLLIREHKYTLDSPELKTEEFKVEWRKKTVEVLLEDEARRRRQLKAKMQEEGREKQK EEEEMEARKRKREADQAWEATRDERIGSWRDWQKGKQKDKEGGKKKKKMKVLG TSTA_020310 MKSEINMTPVVAPPEIKKEPIYDPGKYAPPAGKLNVPIRTRPKG AEGANGSLHHPNSDFASLARDTMYNAPIMVGGMNPNGHFSQRQVTPPGPSMAGMPVPP TVYYPGFVGGAPITPVNFPSMPWGAQGSGLNHTPAGSWSFEEKNHLNEYSGVSNGYHS YGSMMDQRTPIAPYPMLQGLQLQQPCLQYQMMKTPNGYIVQDLEMLTQQDPPIPRAIP AMWTNQSDLTLAKCLENREGITNVYIRGFPPETTDEMLHAYASRFGEIDRCKAIVDLD TGLCKGFAFVQFFNFESCENCIRGFYYLGYQASFAQKSRNSRLKDLEDRSSTNIYCTN VPIEWSEADLRRHFEPYRVVSEKISRDEKTGVSKEVGFARFETRDIAERVIEEFHNVA GKDGHKLLLRFADTKAQKLLKQQSNERRAYRAGEYNYSVEVVQGSTPSPATSRTLGNE HASPLSFQSPVGVGPAWTPATTISPSIPVKNAGIRRALNGIHPFRALTNGENGSANSR GRETSATPSALPEKKDIISPTKPAATITVSVAVPQTPTKKAPALRKSNSVSPAASRKN VEKLSPKSAAA TSTA_020320 MAQIDTLDLIVLIGLLLGTFAYFTKGTYWAVQKDPYAAASSALN GAKAGKSRNIIEKLEETGKNCVIFYGSQTGTAEDYASRLAKEGHQRFGLKTMVADLEE YDLDLLDTFPEDKIAFFMLATYGEGEPTDNAVEFYQFITGEDVTFASGATADDKPLSK LKYVTFGLGNNTYEHYNAMVRQVDAALTKLGAKRIGSAGEGDDGAGTMEEDFIAWKEP MWTELAAEFGLEEREAEYEPVFSITEQPDLTPEDPAVYLGEPNLNHLEHAGKGPYSAH NPYIAPIAESRELFTVKDRNCLHMEIDISGSGLHYQTGDHIAVWPTNAGLEVDRFLKV FGLDSKRDTVIKIKGLDVTAKVPFPTPTTYDAAVRYYMEICAPVSRQFVSTLAAFAPD EKAKAEMVRLGNDKDYFHDKISSQCFNIAQALESVSSTPFSAVPFSCLIEGINRIQSR YYSISSSSLVQKDKISITAVVESVRIPGATHLVKGVTTNYLLALKQKQHGEPNPDPHG LTYAITGPRNKYDGIHVPVHVRHSNFKLPSDPSKPIIMIGPGTGVAPFRGFVQERAAM AARGDAVGPTVLFFGCRKSTEDFLYKDEWKAYEEQLGDSFKLFTAFSREGPEKVYVQH RLRENADYINKLLEQKAYFYVCGDAAHMAREVNTVLGQIIAEKRGLTPEKGEEIVKHM RSSGTYQEDVWS TSTA_020330 MSSPLRASLITLRTGFINSTKPRIHISAATMGQRLFSQLQTQSP QTQTQTQTTPSPVSSNYKVEPLPKNLIPSLGQSKQQILWLGCSDSGYEETTTLNNLLQ DEMIVVRNWGNMALSTDLAWASAVQHAVDVLEVKHIIVCGHYGCGIVKSDPVTNASYP WQKKISNLLSTHQHELESLADNDRNRHLVELNVIKQMESVRDLLDVVSPGKNRRVNVH GFIYDRKGHSTDRIVLN TSTA_020340 MKLTYFALLHALVGAACAYQATTTASSLFRDPNEISTDKGNGVY TAAGSQALYDTAGATWCGAGCGKCYNLTSTGSSPCTGCGLGGAAGESIIVMVTNLCPY NGNQQWCPEVGSTNQYGYSYHFDIMAQSEIFGDNVVVDFEPVDCPGQATSDWETCVCY GQTATDVTPVGLTGGGGSSGSSSTSPTNPTTSSSTRTSTSSSAGATQTVYGQCGGGGW TGATVCASGSTCQVVNQWYSQCLPS TSTA_020350 MQQPNAPQSGWTTAPLQTTIPLGSDESPITSHHTPTPPLDRLAP RNASSQTVGDLHSRESSVSDLRGRPVDTSGRGLTTLQLQSRSRGQSHSKSPEANIGRP EGVIERKPSLSSYGHHRKTSIVHGIQHSRSPSYTSTSASPLSPEIIASAGSGSMASVS LGSDYMFPNRNEDTEPLLKLPNGSNGSVHSPSSGLSTIQDDDEHLTVGQTVTRSSSTV HKKMPSSTKLRREQSHSRGHSKHHQELKTVGEYALHHLFNSFVAHADNKINQSIVKVG EVDAPVEEVCGEGADPVFDQLISALGHVARAKPKPLIDTIMLWRRAKGEAANAAKNPQ KYQNAENGPLLRRNTEPVQPTSSEPDLGSENAQPASIPKQDGIQLLERRATVSVYLVC RVLIEIFNQSTVEAIGNDMTERLEDIVFGQLKAVDPEQIAASPLRMANWRIYGQLLGK MSGINFTSVTTRFLNELERYQKEAAMRGQSQELDAKAELLILGMRHFSIKTYPEEAWI KSCEYMRSLARLFVNAHGQRVKQAYCYIIEKVLISIAANPACDVGHPLWKDFLEIITP RLAQMIAKPRHWMSVFPLQTILLCVSSKDAFASQWLSIALNLPARLRDRPTRGLALQA LCRLLWTYLFRYPDPPNITLKRVDEVIKVALPPGRRTYLTTESSVADPLIQLIRMIGF KLPDLCFRNIIFPLINSDLFLSGRELRIEQMEPEKMVVGIRSFLSIMSDLENGDQLYP PFPAGPISTHFVDIPNFILPPRPQLLADPKPRTTITDPAALSRPVNVSKLNDVAKDYY VQFCEILGKITILCDNTFGGQAALDEKFSGSTPKTPISEAFSFGRRDDHASLVDQRQS FYDLLHVAVQVLPRCLSDHIPFNSLINLLCTGTAHVQPNIARSSAESLKSIARQMHAQ QVTIGFARFIFNFDARYSTMSDEGMLGPGHIESTLTLYVELIRIWIEEIQQKTKEIAT DQAENSAGSGSRALQLDLSSVLAYVEEIEAHGIFFLCSQSRRVRRFAISVLRLVTEFD SALGKENTRIIRILEADSHHIMDLNDEHLTIAERSRLQKGKRRSTSQNTLIELCSSDV SYDSSLWVKVFPNIIRVSFDTCPVAVTLAREIVCARLVQMHRNITALAESQRAQQYAT FDMAQGRSLGRGRSPAEIMIEQWKLYLLMACTTLSNVGAQSQSQLANAEHARKTSRGM QTAQDKIGSARALFAFVIPLLCASLDSIRDAIVASLGSINKALYRTLLESLQYAVTTC NEEAKLRIGTHNRSPSSPRRSRMTDRLRTEVTHVYKLTSTFLREPEIFNDDWIVNNLV TYAKDLRLFLSDAEVQNDWEFQRLRYHYCGLMEELFEGINRTKEPSRWMPFESRKSAF SLMEGWCGYSPSQAQIAARENEMRRFATPQHNDPGDLRNPAALIEIEKKNLQSAALSA MSSLCAGPISTTTEGGSILQFDVSRMLVWIGSIFETVSDKLHTIGRRALKNLIVHNKE YPFLLERMIDLCYGVDRDRRLTEKALESYFEVVNQVLIEETNYAVAFWRILAVVMYML GNEKRDIRMKAVKLIRILEERQQKNSRLQDFDISLSDKTTAVYKLAQFEISKRLASQY SDLGFLIFSEFSRHFTRTMSTDDQRNVVTAILPWIQAMELQVDPNQLPTPRSYMLLVN MLEITIHRSKLLPNEVQALWQSLATGPHGGNVQVILDFIISLCLERKEQNFVEYAKQI VVFLSSTPAGSKVIEFFLLHVAPKYMIQERKDEVESPPDSRGLPYVASLNDILPIGNK QAGLSMGQLSMIFLVDLIIGPVTLAVQDVIKLLHVVLILWDHYTFTVQEQAREMLVHL IHELVSSKLQDEVSTEARHAIEHFVDSIRKSDASVIWEYEDNHGKNEDDGTRVPPAMT FVTRQVVQFLNLVHEDISDLWSKEALNWATTCPVRHLACRSFQLFRCISTSLEPRMLA DMLARLSNTIADEETDYQTFSLEILTTLKVIIKSLSPADLIQYPQLFWTTCACLNTIH EAEFKESIGMLEKLLDKIDMGDPSVVATLSGAQPPRWEGAFTGIQDLVYKGLKSSESF NETLSLLHRLTPLPDTVLVGESNRLLFAILANIPHFLHQFDAETNDPKIMDRANLLGQ VADRHGFERLAACLVGFANAQWKKEQDFLQHIISEICSYYFPAQEVQSLVFVMGFLTN ATQWFRVKTLNILCVLIPEMDMRRPEITSHGPDLISPLLRLLQTELCPQALEVMDHIM TVSGNPMEKHHLRMSMASSSSSRAIRKQYERIQSLYGIPEPTGWSIPVPAAQSATTRH NVHAVFYTCAEADRMEAQRVETPDVEFSTEDYTDSYYPSSLRTDTMKSIDTQTDGNMG EIVQRLDSLDDFFDEDDFGFQTPSMSSFSEMRGYPGSFADTSASLYDQQTAPILRKSL ARTPSTSSFHNGLAETSRPPTRLDGVFPMTSPALGPPPTSAPGGGLRPVMHSRSVTSP TNHLASHTPTLSATSMAAYPIMETTIFSDSEVEESLSDMDERGGGLHPSSSRNTPATS LSRKGSEGTSSLESMIRSGMRRLTGGTASSREKERQRDLIRAHQRAIAQTANSPRVPK VPAEYLTGSVSSPTSPGQGGTPTGGGA TSTA_020360 MIPARALPLGKSIKSGSHSQRLFSTSLRRDATWGFIGLGRMGYP MAKNLRAKIPASDTLIVHDVNEQAMRQFVDEAKTASTGPVEFTDSARTVAEKSLNIIT SLPQPVHVRHVFHSILKPGPLPQLEQERLFIDTSTIDPVTSKEVAYAVQAPNQGRFVD APMSGGVVAAEAGTLSFMFGASSKTGALVDRVREILALMGKKAWHLGEQGTGVSGKLA NNYILAINNIAVAEAMNLGIRWGLDPKTLADMINASTGRCWPSEVNNPVPGVIEKSPA SRDYEGGFGIGLMRKDLKLAIDAAQESGAPLELAATARGLYEAVEDIYPGKDFSIVYK YLSDISRR TSTA_020370 MAYVVPIHRASSVRYALKLNFLEPEEDCLVVAKGARLEFYSITP DGLTLTTSRALYAKISMLARLPTPTNSTTDHLLVGTDQNTYFTLKWDSEKQRVRTERS YVDLADKASRPCQNGDRCLIDPSGRFMTLEMFEGIITVIPIIQPHKKRGKPPVLKTSH YSNPDEPVPQIGELGEPMPTRIDELMVRSSAFLHVESKAAPRLALLHEDNQRKVRLKI RELHFEASTEVVFQETEDFTEELDLGASHLIPVPAPLGGLLVLGETCIKYIDDANNET ISRPLDEATIFVAWVQVDGQRWLLADDYGRLFFLMLVLDSRNEVEGWKIDYLGSASRA SVLIYLGAGMTFIGSHQGDSQVIRISEGSFEIIQTISNIAPILDFTIMDLGTREGDNY THEFSSGQARIVTGSGAFNDGTLRSVRSGVGMEELGVLGEMEHITDMWALQVSSTDEF SDTLVVTFVNETRIFQFSSDGEVEELDEFLGLNLAENTLLSNNLPGGRIIHVTERGVS IADTDSGMVISKWSPDEQTITSAACNDERLVVVTGGQVIVVLDITGDLKVLSQKDFDK DNQVSGVTIPPASTQAFIAAFPQKAQVAVLSFQDFKELHSQSLGIASEAFPRAVLLAE ILEDSPSTLFVSMADGSVVTFFYDSDNHSLTSKNKLILGSEQPTFKKLPRGNGLYNVF ATCEHPSLIYGSEGRIIYSAVNSEGASRVCHFNAEAYPDAIAVATSKDLKIALVDKER TTQIQTLPIEATVRRVAYSPTEKAFGIGTIKRRLQDGAEIVESQFVLADEIMFRKLDS FDLKPDELVESVIRAQMVVGSDAYNKPIYKDRFIVGTAYLDDETAESIRGRILLFEVD SNRKLSLFLEHPVKGACRALAMMGNKIVAALVKTVVIFDVERKSQLGKHALKKVAAYR TSTAPVDIAVTDSTIVVADLMKSISIVESHKTDALTVEAKEVARHFATVWTTAVADIG SNQWLVSDAEGNLIVLRRNVDGVTEEDRRRLEVTSELLLGEMVNRIRPVNILQTSTVA VNPKAFLGTVEGSIYLFALINPEHQDFLMRLQTAITAYVDSPGYMPFSKFRAFRSSVR EGDEPFRFVDGELIERFLDCDRPVQEEILGVVGSGYDLESVQKMIEALRRLH TSTA_020380 MDLDTDVLILGAGMSGLNFAIRLQQTYPSASYTIIESTSDLGGT WSVNTYPGCGCDVASHLYSYSFALKPDWSQKFALQREILDYFRDVAKRHDVVHHIEFE SSVKKAVWDETYGVWVSDVLDRKTGTIRKVRSRVVVSAVGALSIPRECEIEGAETFRG AMFHSARWDHEFDWGGKEVVVIGNGCSATQFVPILSNGSTTKNYITKRSPVKKVTQFA RQPHWLAERPNPVYSPLFKWTMCYIPLVMRMYRLWLYADMEKDFFGFNLSKGVKIRQN LTNERLEYMKRTAPEKYHSALTPTTEIGCKRKVNDTDYFSCLHNDNMELVWDDPVVAV TETGVRTKSGREVRADAIILANGFQTQKVLYPLNTEIRGEGGVSLDDHWQQVSNGHPQ AYYGTCISSFPNFFVMMGPNTTTGHLSVIYSTECQVNFSLRVLKPILRRPSVLSLRAP SAKPTSVAVTLAAEEKDNTWIQTLSKNLVWSSGCTSWYIDAKTGRNTMLYPDWQFNFW LRSIFVPVKRDFVYKQSPKDVASAQKGGKKIQRLGLQLLWNSAVLASVVGVVGLGAGI ARGDIKVDEVDELWKRVSSEFRGVSEEVFRILTAKV TSTA_020390 MRSRTGCLTCRSRKLKCDEQYQAVSGGGDDGDGKQKLQCAQCRK ASRECRPSEGIVFRHQQNASMNNNITDEKSGRGSLGGFYNYKNTFGADSVWVDIPKNG TGLFLLGLRILLTVDGAVIFVDSTDPQAAEELEASILANDSSKHDALTHEWYHQTSTS TPNDTALGLNALSTAAAAQNSYIFPSAASPGTPGLRLTSINDLGVPLTSTTPHSPNKA PNTLTPTSPPISITSLSNNNNNNINFLLNPSNSGSPSVDSSLSTTLGRRRESSSYQSV TARHRSALSNVRLDAKVETDHEVAFLLRHFAENPGYWMDLFDLDFYFSSLVPVKALSN PLLKYAACAYAAKQLNRVRGAKAIMGGNCSKQASMELWPDAANVDWGWYGAKYYDKAI QLLMRELQHDAGSPAPFSTPEAFGQWQAAELCDNAGPSRKRRRRFSNSRFSSSHSDEV LAATAILSVYEFLDATGPAWNGHLSGVKSLLDIAEIGMMPLEQESSSTEGILPRKRPG LSRARKATFWNFARQDYISAFINEGQTRLNPEDFLLWTDAGLHLDSNGFVMPSNTDAE STMKEDMISNALIWLLSKIVNFIDAGDQIQLSPAGGTNEQGPIGISQNTLLERWYRLH SELDAWYRGLPDTFQPCARLEPARRPHMRAGIDDDCPFAEVWYSMPMCASTMQHYHMA RIILLINKPHESTARRTTVTNRLKSYRSIESEIRQHSYEICNISMSRMDASSRIHSLQ PLFVTGQCLTEPRERRTILSLLRGIEADTGWATEYRVKQLLKEWEWDEAATMS TSTA_020400 MRASSLSRPATAVVVSALLSSWQLPTANAFTATPVSQPNLDLSL LGRVALTEQTEAAPSTNDSQALLTTLPNGDLVPLLSADADILAMCSWTRKDGSFEGIV VGGNFTSLGGTKAEGIALYDPASNNVRNMSGLSGVVQALLCDQESDSVYVGGDYSVGN STNAMIWNGTGGWKALPFNGFNGPISSIVKNSEGHIIFGGKFESLGNVSTSTATNSSQ VVNLSAANITSDAQTSLAGFVDPRNIICKSDAAEGPGNTWLLDDYSPGFWQAQMGFTF YPSKVRLYNTHFQGRGTQSFRFQALPDTGIMNLTYTDPSTGQKAFCDFACPLSNDTSE PFRDFELVNPVGMSGFMIQILNWYGQGAGLDGIEIFQSQVFTYALNDFNEPACTGIEF PATSSTTGDWTVTSAGAPSDYLIANASQSDVSITFKPDIKQSGNYSVLLYTPGCSDAG TCQSRGIVNITATFTSTEPEANLDTSIIYQTNNFEKYDTIYTGFIEASSDKFRSQITV TPVAGQGDIEIVASRVGFHLLNSTGGGLNGLYDYDPSNTTDTDFSSDSIDAIGINLDT GATIYSLVQSGDVTYAAGNFSDSSLRNILSFKSSGNATSLSGGGLNSYVTSLLLLNDT LYAAGNFTNTGQGDVQGLNHVAAYSPSSNTWSPLGAGVNGPVTSVLSFPINSTNGTTE ITVAISGSFDQILAFGSNSSIRASGFAVWVPSQNNWLQNVDNNTMAFFGQLMATADAG NNTTILAGSLVSDGITSRGAVSLTNSDGLAIQPLPVNIQEAQTSGAASKRDSVAQNVS EVLVGHFDTSNGRNLTILGGQFTAKASDGSIIQNLLFLNGSNGNTVTGLPSGVDSNST FTTLAVYKDTLFAGGSVTGRAGSSTLNGFVTYNLSTPGFATAQPPALTGKNAVANSIA VRPSSTEIYFGGVFDAAGALPCPAVCVWDTSDGQWSRPGVGMQGSVTSLQWASDNQLI AAGNLTLGNNQTRVATYDTTSQTWSSVNGASPSEIPGEITAFGPAATDMSAYWIAGQD SNGSTFLMEYDGSKFNSAGQLFGASTTILGLQVVGLSTNHESTKLLNNDQILLITGQL VIPNFGNASAALYNGTALTPFLLSSTADGQAGTIREMFTEKQNTFSGKKSPRLSAGLV VLVSFCIALGCVFLIVALGIILNKVQRYRQGYVQAPTAYQTDRPTSMRRVPPEYLFDS IRQRQSGVPTL TSTA_020410 MESFDNIYLDLSKQSGKCKLAESGMGWRPSGGGDTFTLDSSNIG AAQWSRAAKGFELKILSRTSGVIQLDGFDQEDFERLSRAFKVWYGVAIEQREHAIRGW NWGKAEFTKAELAFNVQNRPAFEIPYSEISNTNLAGKNEVAVEFALPADEKANGHIEG STKNRGRKAAAGPDELVEMRFYIPGTALKKEKAEGDGAKKEGSEEEGEEEAEEQNAAN LFYETLMDKAEIGDVAGDTFATFLDVLHLTPRGRFDIDMYEASFRLRGKTYDYKIQYS SIKKFFLLPKNDEMHTLIVLGLDPPLRQGQTRYPFLVMQLKLDEEVSIELNMTEELLR ERYKDKLEPRYEEPIHQVITKIFRGLSGKKVIMPSKDFVSHHGHSGVKCSIKANEGLL YFLDKSLMFVPKPATYVQIENISVVTMSRVGGAISASRTFDITVSLKGGLGEHQFSNI NREEQQPLEEFFKAKNIRIKNEMVDDSAALIKAALENDDLSTDEDVRPDRGSADEDEE SVDEDFHADSDSDVAEEYDSAHESSGSGSGSDAEMDDPDEDDAVDDEMDEEEEERPKK KSKVGK TSTA_020410 MQDFERLSRAFKVWYGVAIEQREHAIRGWNWGKAEFTKAELAFN VQNRPAFEIPYSEISNTNLAGKNEVAVEFALPADEKANGHIEGSTKNRGRKAAAGPDE LVEMRFYIPGTALKKEKAEGDGAKKEGSEEEGEEEAEEQNAANLFYETLMDKAEIGDV AGDTFATFLDVLHLTPRGRFDIDMYEASFRLRGKTYDYKIQYSSIKKFFLLPKNDEMH TLIVLGLDPPLRQGQTRYPFLVMQLKLDEEVSIELNMTEELLRERYKDKLEPRYEEPI HQVITKIFRGLSGKKVIMPSKDFVSHHGHSGVKCSIKANEGLLYFLDKSLMFVPKPAT YVQIENISVVTMSRVGGAISASRTFDITVSLKGGLGEHQFSNINREEQQPLEEFFKAK NIRIKNEMVDDSAALIKAALENDDLSTDEDVRPDRGSADEDEESVDEDFHADSDSDVA EEYDSAHESSGSGSGSDAEMDDPDEDDAVDDEMDEEEEERPKKKSKVGK TSTA_020420 MGSPRLALEFRISSHNVFIQNRSHSGREIARIKTNRRCCYFGYT SSRSHLPTSATLPSENRMGPRPTALEEDQPKTRPMSKPSRSFSSDDVVRPSGLPRLTG VSTSRRRPSAPPTPTTRLGLSQLSSNNAKAKTRDPVVPAMDGSRSINDRPRNVLRRKA NFKREEPDDGTTIARPTVNTNVKDVSTTKAPPQAVVRPEHEPNPPVRDVARYQNTSTI ATKLPRTNVVKSTENGQVQQIPKEFIGLRTVINTSNLPPPTPIFPSASSPSTRYSGSP GMWSSRGSTPTSLSSYSPGITQPTNYRFKQQSPVQLRQTAGLRMTTTPPVSQIDSEEP TMLGPIATDDYARSALAEIASAERTNEALAPTPIASFEQSQPSQTTQSNSVDEQQHDI PNPPASKNTLLASPNLGSNAPIRPRRPSRDGTDKLEQAVSPVIRSNLPGLRTNPGHTR RASADKVSSPELLRVIPVRSAATSVESLQSNASSRIPSRSDTTPQIPRKAPRTLVKVP KESKGSANATSPNKFSLFSKKSKAELTSSKPSTAEKTSRKGPTAGTGHEGYGKYAHRG RKPSISGNSNDVRARSTSSSDVTSISANKGYNRQEPGIDDFLRERLEPVIINGGGMDR DELFRTQSGQSLSTMSVTSASASSTVNGGPIAGGYSSESLISSIDQNKPAVRMFSSDT SLPSQLGNMKQMKPKITRNESAPAQIVSNKKRLPSTQEVSRSSEDSNFSALPQISKVV SKSESIGSTKISTTKPSRRWNFFHRSQRNEPKQKTPVSDKNTVVETQLPAQVATLPKT RPVAHYALLDADSDSLEEILHRVEESPPSDDEAFLKLHDETNSELSLTARHGTSVLLP SPPAIWKEFNAERASPPKNRPASPKVFFQKEDEGPEKEGKRRSRLAPVGRIPRVVSRR DRDHKPPAQSYSRPFSRDEAPSLTITAGAQANVPNVFGRSKLNIETDPLLQGPFYTVP NESGPFSAPAISESAKFMSGAYATNEFLQFSPQKQSEVSSSTSEGDSILATVAAMGKP NTGVDEEDIWAEYDDLLDTMLTPNKNTVSEPKKLGSFELAKKASKTLQAEISASDATP RVSTASDTPSLAIADATSPDRSSDASVRLRRSKIAAALHSSISPSPQVSYSELIAGYS ERNKSLSDFIPPDSPAKPTEEDNQPQPEELRSPTPTNTPDFETTRRRNTMLFDMAERM REGAAAQTNLRSASLMTSKWLSFGRVLFSPAHNRVQKSESESILILDGLGNDDWSYYC ALTYPNTNIYNMSIRSGSSSSSPHPDAWKPPANHHLVHHTGDRTAFPFSKGFFSACVL RFPAASSEDRQRHILSECKRVLRPGGYLEMSILDLDLVNVGSKTRKAVRKLKEKIYIA DPDISLKPASDNIQRLLGICGYDNLNRCMVSIPVAGMIGGSTASSNSNNTLSDLPSAG TGLSSQPSLTTSSSQPHARTPSDETEPSLGDLLSDPSPSATNDESITKVVARVGRWWY TRCYEIPVLSDPTTDQSIWSERRLLRECQKRGTGFRLLIAYAQKPSEKRRTVSL TSTA_020430 MASEYEKKTVAQLQEILKSRGLTANGKKAELIARLQEADKSSSE TKPTETKPDATAEDIIDWDDEAPATEAPLKESTEASTEAGAATIAAGGQGQTLGEDPA KTSDLHVESAPQEQPAAEEKPAVDYSIGLQPTEVEEELRKRKARAEKFGIVAETGSET TEAEKILERAKRFGTGASNDSDVGINRLDQALPNERARKRGRGDDQGGRGGKRRNNGG RNRHQNNNRKGNNPTGRSNAPKPVFSEKDRAAAEARKNRFATAA TSTA_020440 MAPSTPPHFQLTRDERLQILTLRSVNMKYANIAKHLGVSICQVQ LVCQAGHPTPSKRSGRPLVMKDEEIDNPIRFFTSSRYARYLTYRELALHLDLGYSEWA IRSALLSKGSQRYIARRKPPLFPENKWKRLPWAQGHLSWSPSQWEAILWSDEIWVYPG RHTLFLEKQWGTINAQGYIQHIVLLITEYTHHYPYLQLVQDGAPGHGAQSTIPELQKG GVHIVRWPPFSPDLNLIDMVNFPEKMTLSELRIALGEAGMLFQVIFCKIYWNLCLKGA VQLLRQVVVILNFDINVRGIII TSTA_020450 MDTESQPLPADNGQNSIAKRTASEEEDATHEAKRIKSLHNVSTE PAVKLSPVIRRVPFLEKPAVLEERNGEIEFRVVNNNSSRESTIILTGLKCLFQKQLPK MPKDYIARLVYDRAHLSMAIVKKPLEVIGGIAYHEFRDRKFAEIVFCAVSSDQQVKGY GSHLMNHLKDYVKATSPVMHFLTYADNYATVDLMGYIKDYEGGTLMQCTMLSRIRYLE AGRMLLKQKEAVQAKMRLLSKSHTVHPPPQQRANGPVTPIDPLSIPAIRATGWSPEMD ALAREPRHGPHFNELRRFLNHIQNHKQAWPFLRPVNKDEVPDYYNVIESPMDLSTIEE RLERDQYAAPKGLIDDLKLIFSNCRRYNDATTVYAKCAARLEKYVWSLIKEIPEWFNL LEE TSTA_020460 MESPTERPLQSRPLSQRRSKRQQRKACDLCRYRKVRCDIVDRSG GPCSVCERSEIECRSTTQWAKPNRQAPQARRGRPRQVDGHSSACTETTMLLKSTQLDD RGDDIPDCDSSKSLRRSERTPLILGVAASSPIHPSSLVNCATVQELGGERENFARSGL ARFFKHGINAGAWGVFESLNSFRIAYVDGVNIGNDLDGNDNVVHYPYPPIRPKNGWKP GPDAWSGMLAHDLATEVSSFPSLEIRDALVDAYFQHVHPFHPIVSMPEFLAAYRSKDK PPPLLLFQAVLTAGAHACSHPLVASSRHAVKRTLFRRASMLFHMRHETDRAYLMQAAI LFTRHMGDGDTVTGGPWYWSGIAVRIGCGLGMHRYSSTLPPLEASQYRRCWWSAFVCE VFSSIETGRPCAVRAEDIDQLPLSVEDMTDTASASDPGLYPNFIIRMVDLAHIGLDIL ALNEPVQRRTVDVHGINARLCQWALESGTFSSSIEEGSWDYQLQMHYNLMLLHLHRNF STELNSQDVCSVAAKTITRSLEKLADLDCLCQCHFTAVSAVTAAGIQLANEIRLAVTV GSFLVAINGLENLNRLLRSTNLLAGYWPNAEAVHSMFKEIYHEYKSYVTQGLQGEPVM VSENQPDWYRLLAEAQPVMSNFVPPDWMSIVNESYPI TSTA_020470 MTRIKTVDLATTSGAYYAPATLAPANTEIMHVSGQPGSTSGGIV PADYESQIQLALTNLRKVMIIGGATVKDILKLTILIVNYDKTQRKHTRHIQKFLEGHR PAITLVPVTQLAAPNWLFEIDAVIALPSTSPSVPLQLTIDSAEKTLDVIIVGAGLAGL TAATELQRAGHSFIVLEARDRVGGKTWSQVLPNGEQGVIDVGAAWINSTNQSRMYALA KRFGAEILEQNTTGKCVLQDADGNCSTFEYGELPKFDIAIARDVARIRDMVEADCQTL DPARPQNAEWDSMTFEAYLRARGASAEAIATATVWTRAMLGQDPKDISALYFLTYCRS GGGLLQMRSDRLGGGQHLRIRQGTQLMAIGLSKELPNGTVGLSTPVSSITQSGINSDV EVVTTNQKKYRARKVITTVPSPVLKTILFTPDLPPSKKLWSESSTYGYYTKAMMTFRE PFWVEKGYCGLTQSFTGPASVIRDTSSLPDQKYVLTCFMSSDLGRAWAALSTPEREQA LIKQIGQLFQSGLEASRDFISMVTYEWTSDPYSGWGCPCPSLASGVMDSLGGNGLREP FGNLHFAGTETAINWRGYMEGAVESGERAASEVIKELTTVLARL TSTA_020480 MPRKSSSIPKSHFLGHQIDPSLETTRISGPVGFLNPTRVHLKPT RKSKEEEEQEESAQPAISEKEPKKRERVSRRQPRIEYLWRSRDNRKGRHAVHVQYSPK HHGPGHNLPASTNSFREILSNIYRMVTYFPYWDVSWLVATIFTLGSVVWVINAFFVWL PLEDPSTEFSGESLTGGGITAFIGATIFEVGSVLLLLEAVNEKHTACFGWAVETVMKR LESHEDPIKTTVVRRFESNECEHHHAKRHSFLRDGLKETSPEEERSFQWLPSWTELRT HYFHELGFLASLVQLIGATIFWIAGFTGLPGIIDHMSWGLTDGVYWVPQIVGGFCFVL SGFLFTIETQPKWYIPAPSILGWHIGAWNFIGGIGFTLCGALGPASSSSGVEYQTCLA TFWGSWAFLIGSVIQWYESVDKFPVEVKSPSLSEVPSDETDV TSTA_020490 MATPSSNRTGDTMPNHHPISTQGGASAIPTAANNTGGGSGWGDE GFIKGGGGEKREESDGSCVSGDHSFAKHTPGCPQGMTGKITDFIHTIHGQGR TSTA_020490 MATPSSNRTGDTMPNHHPISTQGGASAIPTAANNTGGGSGWGDE GFIKGGGGEKREESDGSCVSGDHSFAKHTPGCPQGMTGKITDFIHTIHGQGR TSTA_020500 MTMVIENQNRQYGGMGGFDHAYQNNMHHTHSPQFTDPWTHTSSH SNPPVYPTTSIGASQMSMSPVKHEDVARPTAISLPYSSIPVSAPSLVAGSTYSSAGYG GSELLNMHHDIPRSTFDAAPSYTAATPINNFTPANYTSMGYAPGLQQDARRMSEARIP QPSASYSDAIDASRGMVALSQDLTPRGIYAPRSSRGSADSYGFPSTHSSVSSMSSASN YPYYSASVASVDSSVTDYSSTSDQYDGLPSRTLPRPNGLLGAAAPSGPQSMMGQFSSK MPSNAQKKHKCKVCDKRFTRPSSLQTHMYSHTGEKPYACDVEGCGRHFSVVSNLRRHK KVHKGEKETGSGEDED TSTA_020510 MSDSDFEQVRRLQAERNAVAAAKKGSKTFDPSSQRTDFSTKASL TESFDTELYERNGVDKYSGYNTSIPVDGDEDMEDADTGHRLVGQYTASRDLINEMASG NGVEEEDILLGREKSARIADRESDYQKRRFNRGPLTPTRADPFAANTHANVEPEGQTY REVMALRELEKEEERVQKLISEKRAAGESVEYQATLNDEADKENIDAGSTVAVAAGRK RKQRWDVTSDESAAAATEEENKVKAKKSRWDQTPAVPTPDEQAPKRHSRWDQAPAAPA VGATPVGQPGLATPAHPSMGAVAAPLVPLSGRNVVWTDEELDMMLPTEGYQVLTPPPG YEPVRNPARKLTATPAAIPSASGYGGFMMQEPESARTLGKQLPTDIPGVGDLQFFKAE DMAYFGKLVDGADENSLSVEELKERKIMRLLLKVKNGTPPMRKTALRQLTDNARQFGA GALFNQILPLLMEKSLEDQERHLLVKVIDRVLYKLDDLVRPYTHKILVVIEPLLIDQD YYARVEGREIISNLAKAAGLAHMISTMRPDIDHVDEYVRNTTARAFAVVASALGIPAL LPFLRAVCRSKKSWQARHTGVKIVQQIPILMGCAILPHLKGLVDCIADNLSDEQAKVR TVTSLAIAALAEAANPYGIESFDDILNPLWTGARKQRGKGLAGFLKAVGYIIPLMDEE YANYYTSQIMEILLREFSSPDEEMKKVVLKVVSQCAQTDGVTAQYLKENVLQDFFKSF WVRRMALDKRNYRQVVETTVDLGQKVGVGEILERIVNNLKDESEAYRKMTVETVEKLI ASLGAADISERLEERLIDGVLLAFQEQSVEDIVILNGFGTVVNALGTRCKPYLPQIVS TILWRLNHKSATVRQQAADLVSRITIVMKQCGEDALMGKLGVLLYEYLGEEYPEVLGS ILGALRSIVTVVGINQMQPPIRDLLPRLTPILRNRHEKVQENTIDLVGRIADRGPESV NAREWMRICFELLDMLKAHKKGIRRAANNTFGFIAKAIGPQDVLATLLNNLRVQERQS RVCTAVAIAIVAETCAPFTVLPALMNEYRVPELNVQNGVLKAMSFLFEYIGEMAKDYV YAVTPLLEDALIDRDQVHRQTAATVVKHIALGVVGLGCEDAMIHLLNLLYPNIFETSP HVIDRIIEAIDAIRMAVGTGVVMNYVWAGLFHPARKVRTPYWRLYNDAYVQSADAMVP YYPNLEHDGVSRAELSIVI TSTA_020520 MYAARSAKPKLSLSISAATNTTRPALSLRSPGPMPRTPVSPSPL SPTAPMYSYTNSSSAKSILKKGSTSSTSSRSSGKAIHFQNTPTVYCVTPIENKDEYYG GHVKMSRDERRWGTRS TSTA_020530 MEYNSVTDLYYGVSLKNGPITLTPNLPEPRVAKTQQTITPTTLP SLRHRDSTALMAPINFRNGHHCQACNNIHIHSSRQVALDEDIIALELAQEELKFVSQS VEQLLDVMRNGDGQQLETLFAAARSGVSQDEILAMARQFTRGKEREDPK TSTA_020540 MAPMLQFLFQRRDFNTSAPADAFDREWSQPSNYAFTILLLLGGD LINRALAQLAGGWVTPVAFSFGWVSYATASVCAALGEYKLMPDADTGCCIINGKNGYV RGNNSWVLGRMMRDYEYWMGRPVADKTEALIEARWKFEKEKEAREYSASKVEVPRPSQ AGLVVSIWKPNTKVKHGTPGHDILYWSGIIVTIIQLGVSCIPLGLTGDWGVLLITGGA TILCYFTGALKQWRIEKWACRRLDHRSKKNFVMTRGNGAQHAIAIISDGYGLDLEDLA TGFANVDSPTISLFSQISVIVLGILWVALLITASGLTDDSWYLIAVGGIGMLQNIFVA GWKRTPAAYGIPLEFVDVIGEAKVMSTLMELEKRYEKLGKSMLGTFFPGDLRDSEVKE WAAIAEEWKEKKAQAVKPVDA TSTA_020550 MEHLPLLEGIKPLIIVPYEAPEKDWYDGGGFLDYPQRSGWAEEQ LRGGDDTTKEDYLDANVFYTKGNDIKLFFGLAIGFFKIGGVHVKTEDFLVDANVDGVD PDMPKMINTSKLSQLLTEWKANVETKDRFCVPGYENESLLKQEKSRPWPVRDDVSTAM IGLTFSLWKAAMCEVPHLHGRSELLWKRLQQKWCIDDVTTTFKELDIDGHYYLAASPG LANAIGRIIEKDAIPIALWVKGLRTLWSVDYHLTGKRKPDYVAISHIWTDGKGNPSTS PILAIISRLYQSNWIKRLWTHQEGFLSDLNYPSEDSNSDWHSKVYILFSDKSVELDDL YQQFSAYQKRQERLEMLYGPITDAVSQRKTSQLADEIVCLATIIDIDVKPFLDLPDKT NKDLAQERMALFLKTLHTFEMHFVFNNYATGEKGYKWAPRSSLSFRTAELYYGEDERT ADLQIVNGKGGLLVQYPGFLIQFDSGRPPFGTNERGCVISYR TSTA_020560 MAVTQGNNILCTMKAQIIHAFDTPYRFEDIPAPNPPQKFELLIQ VGAASYCHTDTIFASGRFGGNLPMVSSHEFAGTIVALGPDVSQFNNTNKGNMANLEIG TRVGVAPRPFNPCGKCWECHNAPEDQKSAGDGTKFSLRCPQASTLGINVHGGFAEYAI VDCRQVVTLPDSISFVDAAPLMCAGYTIFSAFKKGDFKPGSRIGIVGCGGGLGHVGLQ FGVSLGYQMVGIEVQDGPLQLAKDLGTGAKIFDARISQPDDVIDAIDGGVVKDPHERG VDAVMILPETQKALDYGMKILRYRGTCMMISTPAEGFHVSSHDVVLRDIKIIGVLPGR RAWLQEMFEVVAEKGIRPVSKKYALSQVNELVKDCEKGQGVEVGIISMLNLSLSRIPI PICVDALGLRRPLITSSNPKCVVQPSTKARRRGLLTLAIESSCDDTSVAIVEKDSFHK SFETPRHTGHAAAEVHFLENITADTRKYRGIHPIEALQSHQENLAKLVQKAVRSLPPV AEDYSPEDGAVISHIIPKNKNGKSTRHRLPNFISVTRGPGMRSNLSVGLDTAKGLAVA WQIPLVGVHHMQAHLLTPRLVSALNRSVLTDDLQPNFPFLSILVSGGHSMLVHSKSLL EHEILATTADIAIGETLDKSARLILPESVLESANTTMYGKLLEKFAFPGGPADYADYQ ALKTRGEEVVKRDNDTWGWSFTTPYANTRDLKFSFSSVSSTVSRIMANKEKADVRVTR DERVALARESMRVCFEHLASRTLIALELLRKQLRKQYNTSGSGQEIDTLVVSGGVAAN QFLMTVLRAFLDVRGFSHIKVIAPPPYLCTDNAAMIGWAGIEMFEAGYSTDLSCRAIR KWTLDPSAGDGGILGPEGWIKRNKPGP TSTA_020570 MRRFSPSSQEGSPSLTLSGQDCDLAEITNGFIRGGPLDISPPSS PDLFRSLLREPFIVEMDSPHQNGSPFNATKRAKLGKQRPLNESGANHSSSWSYHDDTV LISARAGGQGWSQIQREHFPNKTANACRKRHERLVAKRRGTEWNQEMLEKLSTEYSRR REQIWQPLANAIGEKWQDVEKACFEKGLKSLITQPRTSRRRDDVLHTQVQPGIVSKSS SDEYPRRTSLLPLKDILAPD TSTA_020580 MAPLSRRRTFSARSMAPLRNSRNGNTTPADGPPQKKRKYIPGGP GGGGRFIEIDAVVERKAPPAPVAEPVVPAQTQPSPRPRREIAPAIASTPLPMTTPPST RPRRERTQSRGRYSSATAAALALQQGDGYKPREERGWEEFHPDLDIEAKFAVFSSEEV DGADKSQQPIPSTQSTNGIPTNSEGHDSNTVAPAVLPQTPPKRRPGRPPRRPDAMLSA IITPEQPKVVPPPGPNPRERLTLPKPNFHLRDPFVRYEQKGVNQQNYVDRTMASVGYQ ESDLFVRHDRRLIRMAEGAIEEDLDLDPPVTDGDTNAAIGSLGVGRVEYDMDEQDEKW LEDYNNRRKADQLEPIKPAVFEITMTKIEKEWYALEKKIPKPNPKPPQTQRPRSSSAA AVNGEPVGGGEEQDSKCAVCDDGDCENSNAIVFCDGCDLAVHQECYGVPYIPEGQWLC RKCQLIGRGSPNCIFCPNTEGAFKQTNTSKWSHLLCALWIPEVGIGNQSLMEPVTDVE KVPKSRWKLQCYICKQRMGASIQCSNKNCFVAFHVTCARRSQLYLRMKSSHNSAIMDS HLLKAFCHKHVPPDWRKEHGTDAAYAEAVEYYKNTMNGKRWGDSQAAALALGPSLPDG SEAIESHTHHGPNIHLTFNAGGNKRKRTTPSKPTWKLASGAPVIPQTVLNSVVASLQR FSVRQRKQYAEDACKYWTLKREARRGAALLKRLQLQLETFSSMEMTRRNFAGMGPIGL SRLQRRIDFAERLSSDVERVRTLCTEVKKRESAKLEDVEMLSNIIDTVYFPIPPLLWP IFEKIQTLDPRGVFRSSLQAVRSRLESRYYTNVSVFSADLAHIFTTEIGTEPVEDTAE LQLQISGRAPELTFDQREKRKLAKRIIKSIQPFLEDAIRKETELSGLPFEKELKELDN IFESGVLSRRISVVEGLDASADHNVMTNGVAVESDKLTKMEHPETAEHTHTLAADLDV NVDLQDANDNYGKATNNIITAPSSDRAADESMEASEIITDDMGGDKVKKDNESVISQQ SGHVARATRGPLTPPLSSQGDQQQGPLAQGGIQWYMQPFDPIGTTIHEERWTGRDVLR GLSEELSELDEDELEGLGGDEIIDGINREPDKVNGAAPEPVKVRRTRRRYRGFR TSTA_020590 MSAMEYENENGRYDDDRRYDRDRSASPRGDSRADRDRSLSPSRN DDRAPAGDSRKSAPGNDDEDEGAVNPGSNLFVTGIHPRLTEADISRLFEKYGDVESCS IMLDPHTKESRGFGFVKMVTAEQADAAKEGLQGEVIDGLTLSIEKARRSRPRTPTPGK YFGPPKRDPRRGPSRGGRDRYDDRRGYGGGSRRYDDYRYGRYDSYGRRGGEYRDDHGY RGGGGREYGRDYGRDYGRRDDYRDDYGSYRGGAAGGSGGDRYGSREERYPRGGEERRG GDDRRSGYYDRDANPPSYDQAPPRENREPYNGGGSAAGGRSYEGRGEERYGSR TSTA_020600 MSSSTTPIGNPAETHNSTTPATTEGNAAAGLDNVLPKVAGGAPA RIYLNEKITPYLLEGMKEIAREQPSNPLKVLGEFLIKKSNEVEQGQNNAQ TSTA_020610 MPSLLDFFETRRPSAKRQKVSHGVNFEELSARVNGQNVKESSET LSELLTLSRKDTESTDVADLSVGNDVLDNLQCSQTALEISLPPIKTDDDAIKEYENSR AAEIEESESLSLEQRLGERKWHKGKSSIYVDAFNLALETVLNEEAHLFDQTELDVFYR WKDLSYEAQYLYVRLFLRKTAAWHRINRLGYYQDIADMDQAVADLREVHPLSCSKPSV AYEVPVSSEQPDNSILLGDSFRFAEGIEEIATLEEASSLLLLEELKEMAKEAKVQGKN KKELITAFLQASQTQAGLRWDAGKGNNRDRHFHNKILELTGDCIRLSPAPLKLFERVH LVFYRSSEWTEKSLTTIILAKISQKNFPEYIVSRSTAIFPSRAALLEFEVALRTQYDI DNILEFSGTPAPQRLQTIKDLSEKVYPRWKVLLEQEQQKENSIYFSGEGAYLRRFSPA WVYTRIIHKGLYPLGRFKEHKREHELLIDLLDQKLFHAARRGAWYQRKALLEEHYLWA LTPNEGRTEEAQKKYWKRIALTTCEAGLQDPDCHVIYHYDLQKRIAKLEKSLKVVKRE QHDFGHVLLVKPRERTVEGIRVEKEVPLTQSDSKERGDSSGTSTRKGHATVWIHEREN GEECRVENMCLSWYRDNGWKGYHSEGGIVRTLFGYLFFDILFTYVPNVFQTPFQTCPL DLHTDAFYPSRASEINHRLVQLANGEAESIIRRVHESEFPKRTCIVGVDWTYALDDLV EIVQCFSGASLATVCKVMAQEYQQRGGGIPDLFLWNPDKKEVMFAEVKSENDRLSDTQ RLWIHVLTGAGVKVELCNAVAREVRYI TSTA_020620 MGRLHSKGKGIAASAIPYSRTPPAWLKTTPDQVTDQICKLAKKG ATPSQIGVVLRDSHGIAQVKNVTGNKILRILKSNGLAPEIPEDLYFLIKKAVSVRKHL ERNRKDKDGKFRLILIESRIHRLSRYYKTVGVLPPTWRYESATASTLVA TSTA_020630 MPFSEAWNLLTPHSNTSYLTERTKSPVLILSLEQGFTVSGSMAE RLGDNPENSKSPSPARRDSQTVEKVSRACDNCSKSKSKCLLRPGTKTCENCRFLEIAC TFNRTVKKRGPPKGYVNTLEQRLASLEGLVAELRDGQAEAGHVSINNTTLASSAINSN YNNKPNVQEYAIPDNINPHQKHISLPENPYNRKTDSTSERSTVLGYLSVDENMTMRYH GPTSGLHLMTASRVFVSPFWHFSNPGFWPRSKRTTFRTEDEIVSAADAILGGILPTIP LQNKLLELVSPFNLCISTSNLECLRRAYWTFVHPCFPVVHRETFLFQLSKDRRGMPRQ GKSAFDQIERRIPQVLLLSMFALSARFIDNCEPREDSDPGDDYAIKAETLLAQHKQSS INCCLALIMMAYREIGTGGSISWMYVGKAVRMAQDLGLHRDPSVWKKTQCFRNMSNVE MQIRRLIWWGAFVLDRYISAWQGRPCAIHQDDFDTQFPDDTLYENRDNSLSCFVQVIK LCKSPSITMPILQGRIHKAFYSVGIKDPNWATLHDLENELNEWEADVPEFLAIKQGVK LPVAIVVMHAQFWNCKVLLHRPFIVTSRNEAQSNNPSLLAATSAAVAISNLLEHFTSS YNPTFAPPFFNYYCFTAIIMHLFNRATYPVLFSPSALMHCIDCCRKMKSIWSSAARTL HIIEGVDHKVENTMPDYYSIHSGASAPTAAATAMVDAQHQGAAEGDESAVLGFSLSSW PSPIAEPDWLGLETWRG TSTA_020640 MSQHVLPRPEDPARTRVIIVGAGLGGLACAMAMHYAGFEVIVFE KVREFLRLGDSLGLGENALKLLKRWGLYEELIAIGNKNPVMNIRRWDNGGIMATQPLM DMAGYIGHRGDYHVAFINRVKQLGIPINMGHEVVSFDEDAPSVTLRDGRTFYADLIAG ADGIKSRARELVLGFSDAPKSSGYACYRSYFKGEILKDVPECQQYLKEDCVNIWIGED KHLVQNTLRDGEEFNWIITHKDTEDIKESWFQPGNMDDVRQLVQGWDESIASAVRATP SCLDWKICYRAPLPTWVSKSGKIALLGDSCHPHLPTSAQGASQATESSAVLALCMKLA GKGNVALATRVYEKLRFDRVRQSQLSGEDLRNRWHNALKHMQDGEEFDPDDIKIKNRW LYPYDAEEDTRARWNEVSSKVHKELDSGIRTPLFGEDGPPRILTVRDAYGKVKDVAQS QSSLLTSLTV TSTA_020650 MTSLSGICPPPFIQESLFANSTGYIGGRFCEPATGGQSCCLPCP MADWRYPDGVDSTNTAIGWLGVALLPLTVFLLVTWAVLPVKFTNRNYINVCFTLSVVC LQIPFIIPLGTKPQKCSDAITPNDMHTDWSCAFTGAILLFGGIACVTWSLLRTIALHL QVCWEVIIGPVFMWAAFAVGLGLPVLILILMLVFTGVSYRFGNVCHINSKDSLGDYWI PLLVFSGLALIMQFVTMGYCIHVYVKALFDPADPTSTSNSGLPSYSSSSRTVTARQAY RRVRRVLKLQWRSMALVMVILANVIYLAVTFLRLDSDMSVNAANAAKAKPWLTCLAVF KDPHKCTKEASALGITEAALDAVLVLLCIASFWNFIFTVRMTMFRGWLEFWQGLFVKN VEFVSVDARSRFADTRNYEMLNPSKAMKSPEPTPFEVRSPTPAYMQSRAADDADGKDI ELHTHSRQTSYTRPTMSFSTPRPPTAQRDPSSYTWDATSTFARSNSQMSHHSPRYR TSTA_020660 MNGDAYSSRDSGRSRDHYRDERRDRGERDRGERRRSRSPHHGRG SRREAEADSYSSSRDYRAREREDRYSSRRDEREWDRDRGDRRRREHDERPPRRERGDR GDLFEDRPRRREREGRDRERRRSATPPKKREPTPDLTNVVSVLERKRRLTQWDIKPPG YDNVTAEQAKLSGMFPLPGAPRQQAVDPSRLQALVNQPAAGTTENSALRPANSRQAKR LFAHNLPPNVTEAALVSFFNLQLNGLNVIEGIDPCVSAQISKDHSFALLEFKGANETT VALALDGITMEEHESAATANGGARGLELRRPKDYIVPSVPEDQQPHQESVISNHVPDS PNKLCITNIPLYIPEEPVTMLLKSIGELKAFVLVKDSGTDESRGIAFCEYVDAASTAI AVESLNGMELGDKHLKITHASIGATQAAGLDMGVNAMSMFAKTTSADLETSRILQLLN MVTADELINNDDYEEILEDVQDECSKYGQVLDVKIPRPAGGSRQSAGVGKIYVKFDSV ESATNALKALAGRKFSDRTVVTTYFPEESFEVDAW TSTA_020670 MSAHFTEWRKLPINLSELCINTTLRCGQSFRWQKIPDSDEWRCV LRGRLISLRQDPSYLYYRSYVPRIPLAATFKSTPSVPTTNGTASTSDEAREIITHYLN LTSNLTDLYTQWSDSDPNFKKKAPNFTGIRILRQDAWEALVSFICSSNNNIARISQMV EKLCTNYGNLVATIDDQSYHDFPAPEALTGKDVEARLRELGFGYRAKYIYQTAVMVSE KEKGWLDSLRNPESPAFGMAPRPGGEMRPGGREGYRDAHEKLLELQGVGPKVADCVCL MGLGWGEAVPVDTHVWQIAQRDYKFGKGGNKSLTKATYDAVGAHFRKLWGKEAGWAHS VLFTADLRSFSDRVASKVEVKVKQEDDVPVKTTITSTVAVKRPLEDEETKPVKTEGVV IQAAETVVTRRRSKRSRR TSTA_020680 MPWERDQTENTATPYTSESESMEMNYPRDKTVGAVTCPSHPGTE GTARAKASPLPLSHLNRNAEEEELAVSQQIKTNFVQHARKDESSGELFMTEEEFINAI APKNEDYHKIRRDQYGILFRVADRRRRGRISLNDWAAFENLLAKPDAEYEIAFRLFDV EGTGAIKFETFKKLYDQNKGSETIPFDWNSEWASLYIGRKKTRHDMTYPQFAQMLRGL QGERIRQAFHLFDKDGDGYISPEDFQRIILETSKHKLSDYLLDHLPTLCNISTGSKIS YANVRAFQNIIREMDIIDVIIREATKKSADGKITRAEFLNEAARITRFSQFTPMEADI LFHFAGLEAPSGRLSLKDFAKVIDASWQTADRGLDSLSGGIAKVEETAKSFLTSVLES AHHFGLGSIAGAFGAFMVYPIDLVKTRMQNQRSVRPGERLYNNSIDCARKVIRNEGIA GLYSGVIPQLIGVAPEKAIKLTVNDLVRGYATDKETGKIKLPWEILAGASAGGCQVVF TNPLEIVKIRLQVQGELAKSVEGTPKRSAMWIVRNLGLVGLYKGASACLLRDVPFSAI YFPTYAHLKSDFFGESPTHKLGVLQLLTAGAIAGMPAAYLTTPCDVIKTRLQVEARKG ETKYTSLRHCASSIMKEEGFKAFFKGGPARILRSSPQFGFTLAAYEVLQKLLPMPGSE DHSSPISTGETSSVPSTTAPLPYLRSRNALKLILDLDDNFGRIKVPNSASLPKIFGGS KQ TSTA_020690 MQMRSIRKLKIGAGPTAGAADLCVWTNGRSILLRRVIIILYVAF MLSLLTSAQLQSQAIYLHAVQMTLFKDLNVPEAFDFLKNQIYRRHERFLVALPSGFVS DITSCSAFQLLHDDPEACLVIHMHAAAGNVASGHRIPNFCVLYSASGRPDKIHILAFD YRGFGASTGTPSEHGLILDEISIVK TSTA_020700 MPPIRNKNEKNLAEQEGRILLAISDLKNGKISSVYQAAIIYNIP RTTLYDRLNGIQQRSIIRANGHKLSQFEEESLVKWVLDLDKRGLPPRHSLVREMANYL LSQRGNQQVGEKWVYNLIQRRPEIESKFSRKYNYERAKCEDPKLIQEYFDRVREVISK YGILPEDIYNFDETGFAMGLCATAKVITGSDRYARPKLLQPGNREWVTAIEATNSTGW ALPSYIIFKAKKYTRLGWFEDLPDDWRINISDNGWTTDKIGLEWLKTHFIPLTNGRAM GNYRMLILDGHGSHLTAEFDRTCTENNIIPVCMPPHSSHLLQPLDVGCFAVLKRHYGQ LVEQQMRLGFNHIDKLDFLTAFPKARTMAYKAQTVRNSFTRISRHTRSSSEAIGEVFT RASKAYEMSINELTITQKELHDLRAAYEKEKQKRQKSKKQISHDQGITREEAQALLQG QVEASQAVTTAPAEPELPVSHPPKTSMP TSTA_020710 MTSEPHINISIDRGGTFCDVLVQARGREDLVFKLLSEDPQNYPD APAEAIRRALEILESREIPIGEKIDGSSIEICRIGTTIATNALLEGKGRGFAFIATKG FRDICVIGDQTRPHLFALNVRKPVALHQKVVEIDERVTIEDYDLNPFPIDKTAEITDP NLIRTASGEIVRIIKPLNVEEARKVLEDLKNEGYTSVAISFMHSFLYPDHENQVAQIA RDLGFQYVTTSNETSPTIKYEQRSASVCSETYLFPIVKDYIASFQSSFSILPKRVEFM SSDGGMRQANKFRGNEALLSGPAGGVVGVAQTCFDTEEGMPLLGFDMGGTSTDVCRYD GKYDYLTETVVAGRKIINPMLNIATVAAGGGSMLFARHGMFVVGPESAGAHPGPACYR KGGPLTVTDANLFLGRLVLSSFPAIFGPNANMPLDYEITAQKFQEITREINKQASQNL TPEEVALGFLNVGNETMSRPMRNATEVRGFAPSVHALVSFGGAGGQHACAIADKLGIK RILIHKYSSILSAVGIAQAELQTEATSPFTGNFSMKIISDIEQHIHKLKSKVRDDLVA QGADSNTVVFEESLSMRYGGTDTNLIISKPADNDYGRAFIEEHLREYAFNLSREISVN SIKVRGVGRSNAPTASTSPYKDLSLLKGQRDFITSSTKQPVFIGSQWQDVPIFNLATT AKKSKIHGPALIIDTTQTIFVEPQYDAYILPNHVILERTNERNAQSQDLDSNTINPID LSIFSNRFMAIAEQMGHTLQRTSISTSIKERLDFSCAIFTAEGKLVANAPHIPIHLGS MQYAIQYQHRLWKEKLKPGDVLLSNHPECGGTHLPDITVITPAFIDGQIAFYVAARGH HTDIGGVGITSMMPGSTQLWQEGFNVLSLQIVDNGRFLEEDVRKAFLAAGDFPGCSPT RRLDDNISDIKAQISSNQRGIILLQKLCQEFSLPVVHRYMHGIQSSSEMAIKEYLRGV AQTRQLPLTASDHFDDGTILKVSIDIDDSGSAVFDWEGTGPQMWGNYNCPISITYSAV IYTLRCLIDKEIPLNDGCLSPITIKIPKGSVLRPSSAVAICGSTLASQRVIDTILKAF GEVAAFSGCANSFGWGMGGKNPITGAIEPGWNYGETVGGGCGAGPGWHGEHATQAHST NTKITDPEVVEKRTPVIVRRHEINYGSGGKGKFNGGNGATREIEARVPLKFSILSDRR VYAPYGLNGGEPGSVGKNFVFKWNEDRSGHEKLAVGGKTALSLKAGEIMQINSPGGGG WGAPDS TSTA_020720 MKFQTNRFRVNIGSSPEDTVDLREEPSSRRPRTYPPPACNRCRK YKKKCSKTLPACKTCTDAGRRCSYFNFDSNRAQSTEVLQARIQWLTEYIEHNIHQRPE EGANSSASAGKEGLNVEQDGISDDSPRFWEKPSISLLPMQSLLNGPSDRNGDRRNDEK SPKTTTIHPLHNSLSPATRRTSVEDGQELPLSCINAYFDHVHRGYPFLDKQRVVQARD RYIGQNLVPEDADSMMLYLVVAIGRTTLERSGKLPRTDINEIEMPYQTFISHCIKKED LDSVRILLLLCLYSLFDPLGIKTWTIVGILTRQALILGLPQLRIVTEKTSEALDEHSN RLFWSIFVIDRMVSISVGQAPGLAVRDLRVPLPAITVDEFASPQRVELSSMLLVSRHV IQLRRIEGEILERIHLRAPTDTASLSLPDKAAIISMLRYEVDKWYSEGCLIAQPEAGN IRIHDSLTWLNARYNQLLMMLYYPGHFNQPYQSSSNELLLDFVQKSIGYNHFLFEQHQ LPLNYITLSRMVQISLSLCHCFAYTALTSFPAKGGIENCIEILSAFDSSWRQAHILAE AMHNFLLLITTFEDRFANSTEIVQVPWTLRPTVEPTLKSWLLSLREDLITISRNTLGK DNCFQFIERWDIGIANSYPPLEILN TSTA_020730 MPSTVISNAADGAQNAGTIYAKDAGITIKDSRDSDIAISNISNE PAQHKHPWLHWHEPGTSKADKKLIFKLDFFLLSFSCLYYFIKQLDQNNISNAYVSGMK EELKFGPGNELSWMNTYFNIGVIIGGPISNLTLTKVRPRFWLPGCLLAWSLFVLGFSK STTASEFYALRFCVGLFESAAWPGVQYVLGCWYKRSEMARRSGLFVISGVLGQMFSGY LQSALYRGMEGKGGMSAWRWLFIFDFILAVPVALYGLFFYPDTPQSTRAFYLSDSERE RARARIEEDGRAPVGKLDLSVVSRVLKSWQFYTFTLAYCFWTLTAGSYVMQYFQIWLK SLGTYSIPQVNNISTGLGAVNFVFMVSTGIVIDRLGKFSPVCFAVGCLLTFCYAVFTA WPASKSLKMAAFLLSAGWVNSSCGGDQQLRALTLAFMVSVGQAVVIPFQQYQLPSGQA PKFTHTHGWVSALCFVIALTLWTGFGIDFVSRVFGKRSETRNAKANEGELEVSA TSTA_020740 MCADVVENGDDDFKIDRIAIIGAGAAGLAAATYISGEQQFSHIE VFEQRSSAGGTWNYSSAKRVPGLAVPKTTPDHNNETPSQPTSVDDDGFVSPLYRDLDT NIPHNLMNFSNKPFPEGSSLFPSRDVVTEYLHQYAESLKHMIHYNTQVRKITKINMGE KEFWNLDTENLKSHETGTSFFDAVVIANGHYSDVHIPDITGIKEFHQRYPEIISHSKY YEKPENFEDKKVIVVGFSASGLDISMQIAQSCRHPVLVSEKTPSLLDPSETGPNLRMM PTIEEFLVDKRAVRFSNGHVETDVDSVIFCTGYLYSFPFLESLRQSLTPDGSYVRHLY QHLFFIDDPTLAFVALPKRIVPFPVSESQSAYIARVWANRAKLPANPVMREWEASLVA SCPEPSSLHDMKYPKDADYINKLHEICKATANGVNLIPPRWDEEACYYREHMAQIKSI SRKLGHSRRLVKSLSELGFEYPVNQSKA TSTA_020750 MAPKGICREFAKSGTCKFKKCKFRHKKDDQMAPHTKENPSLLSN TLSNTEREFRAWKHSIPVNRKAAPTDGRLTSIFQKALQLIDSDVGVRQSVIQALSDES GLRCIQSMTEQNFERMPGSLEEQTFRTQILPLLKTISHADVLQSLILEQSVGTIYNFL FGVGGTRASKLLRFCSEVLMHCNKNESTMEWLEASLVVFSRIVDLNSAALIQESLKDC ASQFQLIFTAWVTDHPDNPLHQSRLHLERLFHRFDIGISLPNMTQKKMSKGPRARGAF VFEHDPPGGRHDNDHRDICQIRIMPTFDEISSRRVEYLPTYDPAQWHVNGPHGLLDRN FRLLREDTVGLLRDAIQLEIQPPRTLSNHNPKQRTNVYQGGRIAKLGFHKMDGFQFKF DFP TSTA_020760 MSATKREDWWKVSKRLQTGALVCLIIHRNFVVFCTVITPEAPAS KRQERRDAKRTYKESDYLWKDSKQASVFLQLTSMSDGGVETVLKHYTQKNFHITLVVF PGVLLPAFESTLKALHAGSPLDVPPPLYATEPGFQFNLRCLMSDNSDFFVRAGHPTDL QNLRQKSSLDDAQASALVDALQRKIGLIQGPPGTGKSYTGIALIKVLLANKEHRRKRI GSIICLLESLVQKNVTKQIIRIRGQSKSEILKPYNLRHLAKNSSKTKLEKASLWSGHN SLEACEEDFGQLGLRGGISLTHVLVHLRKNHPEQYDQLFGIDDDGFQLADTYTPIKVL DKWRMTGAKASNHNIRNVKQLESTPLFQLSQSERQRLYTHWQREVQEDMQEKLINICL SHNQTKCDFDNIRSEQYLRCLGQADVIGVTTTGLARNLNVLRRLQSKVVLCEEAGEVL ESHLLTALLPSVEHAILIGDHCQLRPQVQNYDLSRENKNGGERYSLDVSLFERLVEKD SAMGCSLPYSTLQTQRRMHPSIAQLVRETLYPQLQDAPSVSEYPAVIGIRKRLFWLDH DKPEGNPSNAEGTATSRWNDYEIEMTTALVNHLVSQGNYSSSEIAVLTPYLGQLQKLT QKLCSSFAITLGDRDQDDLDKASLQEDEDQASITTAVKGTLLQSLRVATIDNFQGEEA SVVVISLVRSNPQNNCGFLRTSNRINVLLSRAKHGMYVIGNSRTSMHIPMWADVIDIF KRSENVGTSLELQCPRHPDTVIRVSEPDDFPRVSPEGGCNLRCVNRLLCGHACIQKCH SEIKHNAVKCLEPCQKPRKGCSHPCPKKCGEECPSNCTETVFQADRQLSCGHLMQTLP CWQSQDLSTVHCPTQVEKIVPNCEHKVRVACYVNVSSPQYKCPVQCENVLPCGHSCKK QCFKCITRSESGEVQTEHGSCQQKCGRNQTTCAHRCETLCHGEQPCPPCQSPCEVGCG HSKCALKCSDPCTPCAEGKCLSSCPHSACSMPCAAPCDHVPCSVRCEKLLKCGHRCPS VCGEVCPSEKYCQTCGSEEIKNYPVDFICGETYKEIDLDDSPCIFPRCGHFLTIENMD GQMDMGKYYVLDERGKPSRIAATCRGPLRDIARYGRLVRRAILDESTKKLILYLNREY VPLAQELPERIQQLQNTKSKRSTSWPVTIKIKGKRNTLVSDMRTIISATNNGRWKDII NLRQRIHTYQKRVAPEEQPYIRAHQLAMNARRRQKALKTPTEIGSEVLQTKGWLQEMA LSLRLDIALLADFLELRRSAPKAGEIQVDMDLSNMRTDCQTLIKNASDSNRVLHQTEG YIFLAQLHAFERSHTASADTEEQHLILGTGAINQAKTLVNKYTGQTQGLSEEIEGAEK MLRGMTFYTIVTNEERMAVIAAMARKFTGTGHWYYYRNGYPFTFGECGGPIQRSVCPE CAEPVGGQHHVAAEGLTRARDLEDSFAQIDLH TSTA_020770 MPCLAGKEITQNGLGLSPISQSFHCRWRNRLEWRHFYGTPDNNS LHLMNRYFTTHPEDTEKVMLCIKTGVKEIDILGCARTDTAVPVEESIKALAEMEVEGK NWGFQLSEVRAETIRRAVALAKINMVEAELKWVTAICGELTIVLAAHTPLGAGMLTGQ VRSLDDVPANGYHRYFPRWQPGNFDKDLLLVRELDAYAKEKGCTTSQLVEWDQNSESK TGYAGPCSCRWIKICEKNIRECKNIDLTDGDLTKLNSILKRYPVAGDRYPRAGQALAE Y TSTA_020780 MSATLNMSSFAASANRRIQINGLHSPSWRALRISKIGLTMNNSF QARLRSTAEAMPKIAQASTWQSIIPKFLRSGGSSTSPRTKSKEWNPATFYVVIWTLIG SQAIQMLVLRKDFENYTRKADAKIRLLREVIQKVNNGENVDVERLLGTGDEVKEREWE EVLQEIENEDSVWRQKSHDHQRNDESSSSTPGDIMDKPRDSVVKSEKFPQASTVPANE VSKTARFY TSTA_020790 MAATMEVMDSRLKSVKTAVKSTTTCTTSTLASLQSLLQSTPPAS EKTKANRRTPSSTSTKTVTRTKSTRSRNPPASSKSSKPATVIQDEEALSLQQRLVLAT EVFNTASKALSDALQRNATLKAPLQPTSPNRSLSSPKDLSRKPTKPMTTVKGSSEDGL TSTAECAVLALMTLRNLKLENSSKSNNSNLQLEQGACILAGRLIALGAHELAYRELRA LKKRLEEDNLRSSTKNSRDHSASDMKAGSGKETTAELLKFSNAEFPGPVLALIVSFQS HVLKLITAERKVLAIEKTCNALSTSSPSSPANIILRSFDAGNFTKEKAAIQLLSTSNT ILSLSTMLLKSSVSPSDSTQTRSTRLHSTLRLQLVSMEYRIMSWELSGHSGDSKREFL DPLSRFLDGFASSDFNIDSSEFAELSATVQRLQSMIAKSGMKGDIHSWKIAFNLGKIA QEASCLKEALTYFSSATEKLTEEDPMAQSLIRCRIAIVYLHLFKNNKTYHLVIESLTA AALGMKSANRGSASDLEALLVESARLKKIAMAKIGELLSSDADFPKAMMMATIDFLHA FLRFLRRYINRPQSNLAEGDTGIQMQPLSNAKNIVIAAVESAIVLGKISIVNQFPIWG DLQPIFSDSARLLNTFEVSGLEHTEDGLDWRATSVKLSNLFWSRYLKRKESGDTHEQL IPLLEQSVNILQDCPVPQKSTGFSALKLERLAHLYLDAKMGAKSLVYFEKSIQEYIDA GVLEQQIAYLSGRPPHACLQDSNSPGFNLTRVLSAYLKMQLRRPANDNNSKFEGKSLD VEARAFLLEWQLGVLADFHSHDATCEKFKILFQELLSEMLSLYSSDEYLIFRLRVVRL VLRFVLDHPDSIETSVSESLIQDAQLHLSNLSSNTQHGPYAPFIANSLKLILLFHDGH VNEEDFRDTISFWASIIQECTNWESLESIIDDIDILLAQAQAASDFAEARGYWTLQFA ASKVLLTIYEMQEIRDGSSLVLASSRCALQYCRHGDHRAARDLLERVKQRVKLADASR YAAITYQLAIAEINTEVNNTEMAEKALQAAQKLYRSKEAKVEVQSSRGQSKIAWERLI VDGTLLYSRLADQRKCLGVALYYAKLSVRLSTRLWTKLEHLAGRKKDSEKSGDMSDVD LVIDGVAKIDLSTTATSTTGSYAQGALFWKHVVSHNACFLNLMRLSSYNGLFQDAIYY GEQALKVNETLGTSFRLLTCQAELGLEWVRGNHLMEARTVLDAATKVSEGLINTVETV RLKISLAALSCSQGKHKEVLCLLREAEAMLNRIFEGRLDSSLDVKTSLAALEEKMASM KIRQASVRKEAEKVVTLQTRRTRATSKSKVEESSTRRVQVIPRSYVVLRNEIIKQQIH ALLAIKDLDGASRLLETARDYSTSTATQFSIQIEETQHLLADAMKSIAAHAVYCVLPE STLSMPSIEAMISATTTPVGTSKPPVRRKGKSTTKELRELAAKAAKKEVDVAGIMSRA RDAISNAVRDAVTTGSTVESHIASSLMGRVSMLSHATTPGLVDEDILAPVNANELGRI TAFDRDRLSISIDKSLSGLSDPMSWPANVLSDFGEKSDIIANFTQDYVDILPSGWNVL SISLNTDRTEFIISRISHGRSPFLLRLPLKRSEEGNFDEDDFTFDWGKNEMKEIIRLA NKSAHDAKSRTDKNSKKQWWATRESLDRRLETLLDNMENIWLGGFRGIFDAVPRDSTV LTQFAGSFEKVLNKHLPSRRRSKDKKGQIKIHENVLSLFIGLRDLDKQENPEDSVLDL LYFVVDILQFKGERNAYDEIDFDMMVIDTLDILNSFHCNSSSEKGTLDHMILILDKSL HSFPWESLPCLHGRPVSRMPSLACLRERIVRFRSLEDSYENVFEVNPHNGHFILNPSG DLKTTQSTFEEELAHREGWSSVIGRNPSEEEFKAGLENKDIFLYFGHGSGAQYIRGRT IKRLDKCAVAFLMGCSSGCLTEAGELEPYGTPMNYMQAGSPALVATLWDVTDKDIDRF AKSTFEQWGLLPGGEDGTDFKGKAVQGKETSKGREAMNAEMNYGLSNGLDEAVSRSRN SCLLKYLNGAAPVIYGIPVYLSK TSTA_020800 MSVTTKATIASFGGKLLKLSHTAKTTNCEMNFNLYLPPQAFANK KVPLLIYLSGLTCTADNCSEKGFFQHGASKKGIAVLYPDTSPRGLNIAGEDDAYDFGT GAGFYVDATKPPYDKGYNMYSYITEELPKTVFESFKDQIDASRVSITGHSMGGHGALT LFLRNPGKYKSVSAFAPISNPINCPWGQKAFKGYFGEDNQEKWKEHDATELVKKWKGP LNVLIDVGTGDNFYQQGQLLPENFAKAASEAGVTGVNIRYQADYDHSYYTMATFSDDH VEHAAKYLFE TSTA_020800 MSVTTKATIASFGGKLLKLSHTAKTTNCEMNFNLYLPPQAFANK KVPLLIYLSGLTCTADNCSEKGFFQHGASKKGIAVLYPDTSPRGLNIAGEDDAYDFGT GAGFYVDATKPPYDKGYNMYSYITEELPKTVFESFKDQIDASRVSITGHSMGGHGALT LFLRNPGKYKSVSAFAPISNPINCPWGQKAFKGYFGEDNQEKWKEHDATELVKKWKGP LNVLIDVGTGDNFYQQGQLLPENFAKAASEAGVTGVNIRYQADYDHSYYTMATFSDDH VEHAAKYLFE TSTA_020810 MEDQVVSAIEIASNPSADQTVKKQAFEYLNQLRADPSGWQVCLA IFTRTPRHSELARHVALEIVNSAAQADLIEFQGLQYIKDGLMGYLRQVYGSEGAVPDG AAIQNKIAQTVTYLFSALYGAGWETFFDDLLSLTTTDPSTNLRNNPLGVIFYLRVVYS VHDEIGDVLVPRSRDEQEKANTLKDLIRQRDIQKIAGSWQEILSQWQEGNDQIVEMSL KAIGTWVSWIDIGLVVNQTMLDLLFRQLSRAQKSELRDGEEKVRDAAIDVFTEIVAKK MKPEDKIDMIVFLNLDSIVTQLSNSPPLNENRFTSKYDTDLAETVAKLVNITVIDIVR ALDNDAVAVATKEKANNLLQSFLTHVLRFFSDDYDEICSTIIPCVTELLSYSRKVSKS NPDIQSQHAPMILPILKAIIAKMRYDETSSWGDEDDQTEEAEFQELRKRLNNLQQIVA AANEPLYIDALSEVVGTTFQNLRQSGGQMDWRDLDLALHEMFLFGELAVKSGGLYTKN KANNVASERLAEMMNRMVESDIRSFTHPAIQLQFMEICVRYSSFFERHTQVIPGVLEN FLQFAHHPMERVRTRSWYLFYRLVKALKIHIGNVVQTVIEAIADLLVIRAEPPSEADD GDDMSSDESSADAIFTSQLYLFEAVGILCSIPLVPVDKQVVYVQSVMNPVFIDMENNL ALAKSNDERALLQIHHDIMALGTLARGFSDWTPGSQMTNSAAKVSPEVSDVFGQVAEA TLIALESLKGSFEVRTAARFTFSRVIGIVGLRLLPQLPRWIEGLLTETSSKDEMALFL RLLDQIIYGFKSEIYGILDTLLTPFLQRVFAAISETTAGTDDEIQMAELKREYLSFLL ALLNNDLGSVLISQANQPMFESVITTIEHFVKDVEDPTTAKMAFSVLISMANAWGVSE SANASQNAIPGFNQFMFTRFSPLSWALPSSSGFNPKDGQTRNVLQEAGGLQQSIYSKA GTEYVDYLRGQELPGMGMGPDLVGEYLNALTSLDVKGFRNFFLSFIQRLSS TSTA_020830 MAPGPLYIGFDLSTQQLKGLVVSSDLKVEYEAKFDFDAHSHGFD IKKGVMTNEAEHEVFAPVAMWLQALDSVLKTLKDQGLDFGRIRGISGAGQQHGSVYWS KDAEKLLQSLRSEKSLEEQLADAFSHPYSPNWQDASTQKECDEFDAYLGGPEELAHVT GSKAHHRFTGPQILRFHRKYPEQYKKTSRISLVSSFLASLFLGRIAPFDISDVCGMNL WNITAGSWDDRLLKLCAGQFGVDDLKQKLGDVPEDGGLHLGKIHEYFVERYSFNPDCI IMPSTGDNPSTILALPLNPSDAMVSLGTSTTFLMSTPMYKPDSATHFFNHPTTPGLHM FMLCYKNGGLAREQVRDAINKQVGGNTAGKNPWANFDKAALETPAMGQKSASDTMKMG LFFPRPEIIPNLPSGQWRFNYNPQDKSLEETTSGWDIPLDEARAIVESQFLSLRLRSR GLTTAPAEGLPPQPKRVYLVGGGSKNTAIAKIAGEILGGHDGVYKLDVGENACALGAA YKAVWAIERQPGQTFEDLIGKRWREEEFVEKIADGYQPDVFKKYGVAVGGFERMEQQI LQQEGRK TSTA_020840 MAQPTMNFSLPSVRTFPRLSHIHVVACLPGNCFSLYLTSQMLEG QVGTPTWQHIKAVDKETGQIAAWASWNTPTDDEIRQRDKEQAQLEAEKKTLQSSGFDF SL TSTA_020850 MDVEICLKFSDNREVSLNVQDSLTGAQLVERIKSETGGQKIILT CMGARLPFDKTLRDLDVRPGYVFKVDMDSAHQVIEIRQKAATIPKTAKFNRGYIIEQI CRLAESQIATPLDLAKFLLGDSNKVYRVAGEAGKDEILSIFNLEEAAIPLRLTDDTLH HSTPDDILKNFRALEDAGYDRNNEQYCRLRAEFVMIPALVQARRNANVPLRSSTRGAP KKKHAISMTPTTQMRRDTDAEAPRRDLKMFHEYDLRAEINHPVSGIPHVFTGRADWAA GHSGRGFSDSVLVCVEAKKKETFGNAELQLTAYLAICYHERKKANKSVPSVQGFSTDG QRYTFQLLTSDGTLYSSKTYDTVDEKDLEIVYNFIVHQVQTAINLSPTSTPVKGSRTE KEEAAKTYAQDTFWGIFEPPPYCSDGEEEERPELDLDTFALRERGMLK TSTA_020870 MTHWVLSDPDEALLMVQSWLFFGVLEAALWDSYGGSAHFKETPE GKVIDTKLFRALKTSWELRLSGLGMPIAQWQALWNDTRLKAEAIVFRLGGLARGALFD KASLPFAVIPGISDSAKVLLKYGAVSEYREGMKFVAISHVWSDGLMGTSETGLPGRQI QHPASLAAVSAENYTDPVSDQTPWFLWIDALCIPADSRVRKQAISKMKRVYSEASMTI VLDEGLYHTPDHLTGRLFSKNIYILFSAGLAQLSSLFQDVLAGTDRPIHDHRLHDLAE LVGLSVDEVRRLDESCCTRPFTNIEALMLREFRSLPFLTNRELRIRQIAQMLSLRDSS RREDELLAIAPLLGGDVSKLIHMSGEERVKNFWLQLGAILKDVVLLEYPKLSTPGFRC FPRTMLGQNLQMPMHWEYDVRITEKGVRGRYWIYQWSTDCNPTRVNCNGTIVDREARQ VIEFCLHQHEPRRNTVPIDAILLLDQPADYSVVRNRPFRALGVSSPGSFHDGCEISCP GRILSCSAAAPLTDWDVHQGGILAAGRIAEIIMGTFQADSVI TSTA_020880 MTVQTRGHAGHHGHHHHHHDNVYLTSSNKKDAGVRITRIGLFVN LAMAIGKFVGGYIFHSQALIADAYHALTDLVSDFMTLGTVAWSLKPPSERFPNGYGKV ESLGALGVSSLLLCGGVFMGLDATQALLSQFVPEAFEALGHAGLLFHGHSHSHDHGAE VLGPNINAIWLAAGSIVAKEWLYRATMKIAQERKSSVLASNAVHHRIDSLTSLVALAT IGGAHIFTNAAWLDPVGGLIISLMVINAGWTNTKSALLELADTTVDEEIKESVQAAAL KTLAQSSTSTQGIEIRDVQGLKSGQNYLVDVEVGVKGSMTVQQSREIEEIVRTGIGAK VRGVRRVKVRFVPAEIEGVDFAEEFIPGDVSPRMSPEPEKHDHDHDHTHEHQHNGSGQ SDVHKRR TSTA_020890 MSPLRIPFTKPFPPAINFPKTASSVAGAIDAIGDFFTAPPSPFL QGIDVGKNAQTVILTGAGISVASGLSDYRGENGTYVRNKSYRPTYYHEFITRHEARKR YWARSYVGWPGLLKSKPNSTHRAVADLGEKGYVSSVITQNVDSFHLVAHPELSTIELH GFLRGVVCINCHNLVPREDFQQSLTRLNPAWADFLNEMLESGALDTNNPEEQRKRGLK INPDGDVDLPHAPYLTFRYPACPHCLEKPPLLPDGGQTKAIVETEPDGAWSPSSTAGI LKPAVIMFGESTSSAVRSAAEEAIDDAGRLLIMGTSLATYSAYRLVERAHKRGMAIGI INVGGVRNESQIFGNLLNERYLQNHVRCDHKSEAVLPEVASRLPTLAETEEG TSTA_020900 MDKPQQPSSFQQLEKLGEGTYATVFKGRNRQTGEMVALKEIHLD SEEGTPSTAIREISLMKELKHESIVSLYDVIHTENKLMLVFEYMDRDLKRYMDTKGDH GSLDYVTIKSFMHQLLRGIAFCHENRVLHRDLKPQNLLINKKGQLKLADFGLARAFGI PVNTFSNEVVTLWYRAPDVLLGSRTYNTSIDIWSAGCIMAEMYTGRPLFPGTTNEDQL QKIFRLMGTPSERSWPGISQFPEYKPNFHVYATQDLRLILPQIDQLGLDLLTRMLQLR PEMRISAADALRHPWFNDLPQLQAQLQQHHQQQHHQQQSQLPGYGGMVTAQQGY TSTA_020910 MSLSDQQLSAITAAERVTSILSVVGTTIVISTFLSSRAFRKPIN RVVFFASWANILTNVGTFISRTAIAQREHGVALCWFQGFLIQWLMPADALWTLAMAFN VYLTFFHKYDAVKLRRLEWKYLVLCYGLPFIPPFIYFFIHSSSKGPVYGSATLWCWVS IEWDILRIAVFYGPVWFVILLTFAIYTRVGIDISRKRRMLRSFNKEASMMMDERLNNL FEIHASKVVHVTSEVVRDTSHSNDSNGSNSSSSNYNIDPNGNTNDNSHITAYPSYSVT IGRGDEFPLPPSAPSTVGSTSVKIAATPSRRTPRIDISSAAFAYCKYAMLYFVALIVT WVPSTINRVYSLFYPDQVVFGLQFVSALVLPLQGFWNSIIYIAVSWATVMDLIDRFSA RFQRRSSLSSSNDATAGMKMNHSFPRKSSHLSPGAARNTGGQANRRVSDDAESTTYFA KDVEGRQGI TSTA_020920 MSQQPQKTQGESGASPSPPPPAPIPLAPGPRASRLQDIYSKALR ATLKANSYENFASCFPTPARYVPASLESVHRQLNAKLEEGATAEFNEIIKEREVIKGL NELDRLVGDARRRKSQGESESDVPPHMLNADGLYQAHLTPYLQEVQNVLNAKIETTQS QNVALAEKVLAQRKEIESLLSGLEAVMADLEGSAKTSTEYSKEHNLRQESLQIDEEMK MQAES TSTA_020930 MPKGKQLIKDINNAKSKSKKKGRHQADPETADEFLAAGVEQEEG GEKWRAGDAVKAMRFFMRAIEMYDNGLAKFPKSFDLAYNKARVQYEITQHPKLATQLP APQIKILHIALQSHRDALVLNQDNADVLFNTAQVLTSLAEALTESKRPNESRIQEAMK YLHEALELFQRCLVLQELRYTESQEQMRMMESGVDETAPQAAAEGPASEEMDPQQKSE EHAQEEEWAAVVEPVTKDTLVDTAVAQLETLATLCGLLALDGGNGLAWVEEYSYDLLK TRIAAYVEGTGREQEVALARAIFVAALTEVLYRSGRADVETYQRELSGAFPPELDLSD NPEGLCRKAEALTSFNSAVADNPPAGTVEEVQKSLVSRWQALSAALEALTAASRLASA DNIPKIHIARGDAEMARWQLGRPPWSYPPANENSATLLKNAQTYYRGAANLARRDGDS EQEREGSCKESLAAALAGDKTKLDQLRSADSEKVMGIAQDMIEDGLIGLADAESLLI TSTA_020940 MTPPREVPRVVGPNWANRFFEHLGTEYARIVQKPMDPRRFNAQD LGVLQTWFDRLKIEIDTYKITPSNIFNFDETGFRLGHGEKEAIITAYECNEIDSAGNR ESITIIECINVLGKIIPPFIILAGKAHLEEWYRDLEDDYVLAGDKGYRLLLMDNCGAH LTWQFLDYCQRHQIICYSFPPHTTHMLQPLDSVPFQQYKHFHGKAVNRQAQLGANLTI KMISYISFIKYGNRPLHGVRSRQDSGIQAPFPTIRRGAEHSKFTNNRISLTPEGHPIF EESVNRLTEVNWRRSRKKTKRQVQVGGVLTVKDANRYIENRKIDEMAKNKKRIERERV GHANDYDYDYFTYSHNHNH TSTA_020950 MTVPQRLLNKVAIVTGSSSGLGRAIALAYSHEGARVVCGDLRPE SRPHVVEETAMNTDELIRKNGGSAIFVPTDVGDTEKMECLVNSAVSEFGRLDILVNNA GISVEADDPRPLHTTPNETWDLTMRVNARSVFLGAKFAVTQMLKQEPHRPSGDRGWII NISSIMGSIATEGNPFYCASKGAVSSLTRQIAVEYAKYRIHCNSISPGYTQTAIFAET TGSGMTSLDDLRRKHPFGGPGKPEDIAKFAVVLASDDAAWVSGANMFVDGGYTAR TSTA_020960 MDGLTIDLDKLRKANSDYYGENIWRLLIVSGSSGESESDSDEEN FWRQGQSQSDLSERNNSKNDDEWDKGTEHCVEVLSLLLENCNHDISVNAKDKQGLPAL HIGSIARDSAIVEKLLNDVRDIEFNAKNSYVWTPLHKAAFYRYRIETVKALRENGRNI DWSLKDGKQRTAVELANGHGLLKNNLVEHEKFAE TSTA_020970 MRQNIIQLALCGLAALSTAAPANRRSTNNNVSDEFQSIDGFPAP NPQQLLETERRAHGTLSNSTPPDSVDPDTIISLQVIAAEEQFEAAFFQQLLANVTNNE AGYEIVGGNARTMGIDALTAIVAQEELHALNAINALRHFNQTPVAPCLYNFPVSTLDE AIALAQTFTSVVLGTLQNVVLNMGSHGDAGLTQGVASVIGQEGEQQGFFRLLRHNIPS ELPFLTNSVRDFAFNALNQNFIVPGSCPNINQIAALKKLHVMQPLTVTQSFDPKKSVN ETVQLSFSLPNGANPQDYEVAYINQQNIPFVLPYTVLHQDGQTLSVTAPFPFGEHLLN GLTILAIVPTSAVGGFKSNQDVADATLAGPGLVVVN TSTA_021010 MARTSLESERPIMAHSNRNSKRFSTYSGVPSLAPSDQTTSTLPS GDQLAEIKEYTDGVERLENKPLSQQRFVPSAEKTDNLNKLALGAKVERALGRRMTGQD AVMRKPVTALDEKKALEEN TSTA_021020 MADTTQAPVNGTYAVPPNAYQPDIYLSQGAMNSNAPSYHPGPAS TPSNAPAPESKSDITKDEVGWYFVEQYYTTMSRSPEKLHLFYSRRSQFVSGNEAESVP VVVGQKAINDKIKELNFQDCKVRVLNVDSQASFDNILVAVIGEISNRSEPSRKFTQTF VLAQQPNGYYVLNDIFRYLADGDEEIVPADETAALEPEVSAAPQEIKPVAAEPEAVGP LADNEQAVAEIDAKLETAAAGGETEKVEEPAAPVQVNGDAAHEKPAVPVTPAVPAVPA EPETLKPEEPQTPEPTPAVSTPKEITPAVKETAPPAKAAPKTWATIASNNRAAAAAAA AAAAATTTPVAAPQPKSAATATSSQQPAKPQQEQPTAASTTEAVATSSQAASNDGAGW QTAGHEHNKKQSRVEEKYPAYIKNVTDKVDASLLRTVLSRFGKLTHFDVNRARNCAFV DFADQAAYNAAVAANPHQIGSEQVTVEERRIRTGNVGGGFVSGRGGSGANRGRADGRA GSQGRGGSGFQRDQGRGGFARGRGGSNVNGNKRSQAQAA TSTA_021030 MSSETFEFQAEISQLLSLIINTVYSNKEIFLRELISNCSDALDK IRYESLSDPSKLDSGKDLRIDIIPDKENKTITIRDTGIGMTKADLINNLGTIARSGTK QFMEALTAGADISMIGQFGVGFYSAYLVADKVTVISKHNDDEQYIWESSAGGTFTLTQ DTEGEPLGRGTKMILHLKDEQTEYLQESKIKEIVKKHSEFISYPIYLHVLKETEKEVP DEDAEEKEEEDAEKKPKVEEVDDEEEEKKKKTKKIKETKIEEEELNKTKPIWTRNPAD ITQEEYASFYKSLSNDWEDHLAVKHFSVEGQLEFRAILFVPKRAPFDLFETKKTKNNI KLYVRRVFITDDATDLIPEWLSFIKGVVDSEDLPLNLSRETLQQNKIMKVIKKNIVKK TLELFNEIAEDREQFDKFYSAFSKNIKLGIHEDTQNRQALAKLLRYNSTKSGDETTSL TDYVTRMPEHQKQIYYITGESLKAVQKSPFLDTLKQKNFEVLFLVDPIDEYAFTQLKE FDGKKLVDITKDFELEETEEEKAEREKEEKEYEELAKALKNILGDKVEKVVVSHKLVG APCAIRTGQFGWSANMERIMKAQALRDTSMSSYMASKKTFEISPKSPIIKELKKKVDA DGENDRTVKSITQLLFETSLLVSGFTIEEPASFAERIHKLVSLGLNVDEDAETAEEKQ AEEAPAAEATGESAMEEVD TSTA_021040 MRPVVPEFSPSPPRKLSSADPPAPSWSDGSSVPTSFFLAREPND DDMAASRDSTYGVQSLEDTIHTADISCSFKDESYRRDVNDEHADPTLKRRTTLKPSDL LHHIDRLDTPLSKAISRASPVPSRPLTPFNLNDDPSSMPSSPKSISSRSFKPLDDISI TDEINSQALVSGGEEEDAPEMSEHTHHGVSDSSSQLIMPSIRMPSRRPFTERGKNIGR FKILVAGSKGSGKSSLIKSIVQACEDIVHVDPGSSNSTESGRPRSRTNSKKSNAAMIS EIHASTKPYPPWWSDLEDSRILKRRKNNGEVVLERNLCFVDTSASHNSKTNSDQTTEA IIQYMRQQLSRAIASVSHPSSDLQNMLGGNGGSQVDLILYLISEDTLPCDIECIRKLS DFSNVIPLISKADLLSASQIASLKSSFHIQIAQHGVRLFSFDDVTVTDGGQPQLPFAV SSAQSSDDDNMDASVLMSPDYVPPLVASEIGHLIEKVFDSDNMSWLRHSAAKKLTRST NILAPNISDMALSRRQSRSRGGPTTCSNPASNNAAVPPISNFGGTPNYALARVNDYTQ HEEKLAQVRLAKWAADLQQSLQNERERYAALARGERAAWLTERIGECLIDGTLVPIHQ TPGFPQWDVNTDKDSGAIVVRTSNGQTARYRLTKLDPEDPLGLVRWNDDLRRRGWMIV QVVGSLGVVGGLALWLAKFWGLPAQSLSEWHFHWIDPQD TSTA_021050 MAMDHDTAMTWSEQLPEETLMATGNEEDFSNFLEFGIDFADLDN HNAAAAVQHQQAMQHANQQIATTLPDDVRMASSTTQPPSYPSMMGEHIGIGMANNRPV EDPSFHYSQDQQQQQYHQQLPAQHHQHPEKLVHQQGQQLTHPHPHPPMASHQYQEGQH IIPPTPNSVELHGGAARYMQRVDQNNNDMYERYGQMNDEQAAAFYTPLVSPAMTPLES QFRLPEYTIPGEYFTPLTSPALEAQNHSSSNYPFQTTQPHQNGGYVSAPHDPSGLTMT SAPPSPAVFKKQRQGRRPSTATRAGGRAAKASPSIQAKSWKKQSRNPHLLSDDVVSAS NHTNLQEQNTNRYTNSGLSALRYSSNESSQDSVSPEPISEPLMPPPALPHKSPAILPQ SSDPGSSAPATPATLMKITNRPQHLQDSSGQFSGQASLIPSSEPHDEPMEDMVLPEAA ADTRRRPSRINTAIITKEQPSNKSATNTPLMEPLSRQPSGSLTPGPRSSVMPSPSGPV PKKSEPKASTTRKRQSISSSHASPALRPRISPSIQPLVRGDGMTSESSALYLASKSNY QHILDGTVLPGVSYPETLAENLSSKRTNHKLAEQGRRNRINTALKEIEALLPPDFAHE RAMKESKDGNGAGKNSDGKEAKEAKEKSTPPTISKASTVEMAIDYIKALKKELEETKA QLQAAETKLAGGQTVKPDDSANTTDNPTKAESSPTN TSTA_021060 MNGVQAREKGNALYKRGQLTEAIKAYQEAARRAEPDDYLPWSNL SAAYYEVGNFHESIQCAQQALAICQGHSDDNGTKSRQTTELKLIPRILRAYLHTHQFD AARQWLHRLADIQSPSSADELRQYQGALDHAEIVWRAFPDEETYTLQLLAELPRYQSS SMQRNGDLPSSKDISLDQVTDLVDFTVHSNIDRNLAVFLGGISDARHFYAQLAILGVT ERMVMHSTTPLKRKYQFTLNDSNSAVIARNLVLLVLLDELACQTDIPQAERTEIYTTV YYIFSGVIIPRYALGRLLHTVDSVVGRLKTSQRVLPWLQIYDLDRAHLIGALESWLDF ESDDRNSTSNAIDRTVRSLHDYSMPYNSRAPPGCQKELETYFELPLLQAPRSVTREHE PELLQLIETNASIENLRSYVNGKWFVNPTMSEMDVSNPFDICRALYNIVSIQERGGPK KTKLFDYASSFFQMIVVALRKIRGRLSVEYVVGDLAVAIDGIRYGLVEGRHSTTPTVY DLVHLSRPCDIPTVISASKILNESQAARLMISTSGTDSGLGERCNSGCFTANDSTTLY KVTQMQTVSKKSQDASSTSSDECLLELRRDKLAPFSYEHLLSRGELSRFLFSQFFSVS LPLGNAMSTSANLTYFFDLLIHLHSIGYPAHWLADVLAKILGDGVNSSPSPPRTPDET NPRNPLSPSTTMRGVYGSVASFIPEASTLASIFQRLLPFNVITSLPPLSTIHQYILHF KTGDGGLSRTPMALMIYNNHDIPATTLPFPEEEYIIILTFTYTPTDDGHARVKFWMRE DIITQLQSSSPNETWHCTLLHISKSSSNHNSTNEDEAWKPFTEQSVSINSAIKGEQWL SPTQYACSDTDGVMELDS TSTA_021070 MSDRADKIRDLYLKGKELFPRQTMEVMGKILKGYKTGKCKNADF IIPDYVDGNESPHPNEVTLDLGYHVHVEGAKLKAMGYVPIQMFRLGLGHQITVLESDF DKAYAQNLKAPKAHDIQELENLRDEAYKNWIMNPARDSVQATLKGIKIGKLKKVTKII AFGNGSLWYGWKREMGLIKNFVAQHAVIQEIRDFIQKTCNQEVTCYAQEPKYTPADEK VLLAHFGIQPLEEIEALLQVDEKTLVFAMNQDMPLLQILADISKPPAMILCGEVNITE KLEDYDSKKMSACPTSPRVQSLIHSYLEPLKIDDTDSLFESGNKTRLYVLK TSTA_021080 MLDSLKLERLHNPDGYLKIDEHTLVFVISPGEVCIIQVIADIAE PAMIFHDKIEKQGWEDYKTIVANEQQENPRARLRKEELLTKYDEHDIPDSDDFLRQEG LSRCPYVLK TSTA_021090 MSGIRRALSKIRTKHDGVVGDGDSGGSGSQSPRRSLVGFLRDRD DISSSDDLSDDSSSPMSKNQQKRLARQQARQAKSRLSEEQRSSSQQRRHEKEEEIARE ETPEMKERYGDLPLVQSQTRSGEPKLRLADISTDLIGKEVTFVARLHIIRNMSAKLVF LVFRQQLTTFQGVLHAEEGKKSLGMVNWAERLRTGTIVRVRGIMQKPAVPVLGCTYHD VEISIEQLHVVVRREDSVPFSVYEAEIRTEEEDRVEGRFSHIPDRTRLSNRILDLRTG TAQAIFRIQSAVGNLWRAALDERGFIEIHTPKLQGSATESGASVFELNYFGRPAFLAQ SPQLAKQMAIASDFERVYEIGAVFRAENSNTHRHLTEYTGLDIEMAIDEHYHEMLETI DAVLRHIITGIYSKYRREVEAVKHQFPSNDVVLAEKTPVIPFQEAVKLLNESGWRTED GKEVPDDEDLATRDEIRLGEVVKEKYGTDYYIIDKFPVSARPFYTMPDANNPGYTNSF DIFVRGQEIVSGGQRIHDPSMLEDNMKKVGIKPSDMEDYLEGFRWGAPPHAGAGVGLE RFVMLLLKLGNIRLASLFHRDPKSFPPKPPVLQLRHPEASTLEPTWQKEERGRKANDE KEYQPLVELIANYGDATSTSWTDDRYQIWRDPFTGAAVSYIPSYNYAIIPGDPLCDPS QYNRVISGFLHWLKRETKLKPIWILISPAVEELLGEKYGWRSLSCIAEERVDPSRNLM ASDTEVARKIRHAESEGIKVIDVPHGELPSEEIRTKIDKRIKDWLANRKGTQIHLSEI APWRDYEHRWYYYAIDKEGTVVAFVALAMLAPRQGMQVKYSLDFPDSPNGTIEYIVTH AIQTASKQGVKSLTFGAGATATLTPGHHMKGAKVRMLQHTYEAVAKQFHLTRKSEFRM KLGAHEEPLYIAYPPHGLGSRGIRAIMNFFED TSTA_021100 MQKTPLTTELDILMGETTEDENESISKNHPNNANNSTSDLHLSH LPTTTPDSIHRVGTVIPTTKPHNRSWLHVQATVWRFLMSIGMFLHTVGWSRPPRPSFV RRIPFSTRGRTVDLYFYCPASYWQHKKNNRRLSSQVSQHRPYPVVVNFHGGGFTLGRA TDDSFWAKCILKETDAVFVSVEYRLAPEHPFPAAVDDGVEALLYLAAHAGELGIDASR IVLTGFSSGANLAITVPLRLQQRIKNEAGSDIINIEPFESTQHLVGNQGNLQIVATFA WYPLLDFVASRAHRRAMSVIPGKTMPSFFTTLFDESYLPNHAERFSPFASPERAPDEM LSEGLPHDIFLYTCEWDMLLQEGQRFVRRLEDMGKKVRAMMVEKVPHAWDKSPNPFRD QEQINVFYKAAWAFAFV TSTA_021110 MAVFTSSHSRTSNNMPVSSPPPSILKTSKTPSAMAGQKRKIIEI DASSQPSSSALSDAGSRDGRNPVKRARVQFDDTANTARASRSSDDNDQPQEKSVALVR EEIRRAIQRHVSGADSEGYDQIKEIFTTDPKEIQDEESTEIPSPTSMKRHMMALLSNV AALNRDCSGLVHAVLGSEWLGRDESYVKLFVRFLGNLAATHTGYMRSVLKMLVNYFGE IPKGTGRIPGYSSVTHEEIYDRVHMALRYIMQLLPAGSSALSPILAQQFPFDTDSARA NVFYTRNLIRLIEYAPELRADILSLVTERLVKIDVQIQVDMEEFEDEVGEDILEELSR PLEIEYMDEEDDSDGESVLDDEADIEARRLQTVKDNISKVDSMIDQLFEFYSSPFNTG TDDTKSQILDLLLSHFVNYVLPTYRSRHTQFLVFHFSQFSPDLVEKFVSTCMHIIDSK TQPAIMRQSAAAYLGSFVARGKHVTSRTVRDIFEMLGENLSHLRTTYEATCRGPDLRR YGPFYASTQAILYIFCFRWRDLTTAAEENDGVEDDDDDFDLEDVTFPPSVKEILHQAI YSRFNPLKVCSPAIVTEFARIAHRLRFMYVYSLLESNKRIRMSSFRSITTLSDPRFSI VEREIRAGNDGGDQLDAYFPFDPYQLPRSRRWLEGDYIEWRGIPGVDDREDDSDSGVE REDDVEDDPTATDEE TSTA_021120 MAATISRAFTKRNKRPEISTPVPYREGLVRYSPGTIHRGKISGP VELLSATNPLVYNAPDLASAVSSSSSASSFRSSDESDVAPISPMTPVSAEPDVAMEPN HLSGYFPKRSATITSTPRSSTSSAGADAPSVPKRALSHTKKSHQDLARKRSISRMSPP PSSMATTTTTIRRSQEQTFAPVPEYAEHPFSRELDKVNEVAEDFGALKLLEEEEQILI NKGLKKFSLNDYLSEIEELYGGVFDDNVGPLATHAWV TSTA_021130 MVEYITINGARLAYEISGPETAPLMITLHGGRGMGDHRSDYKIY SQLNDRLQVLSFDYRGHGQSSRTKPYTFEQLVDDIEGIRQQFLGAEEQVIICGGSFGG FLALHYAIKYASRVSRLILRGAAASHHRISAFSFQQHALLANLTTDEEDSIKSLEKRL HKAPNFSKEMLRDKVFGAYEDDEEFKLVYFAMMPLYKEVFDANAALKACRDTVCFAES HNELYSEKEKYFDYTKKLASITAKTLVIVGENDWICPPENSRIIAERIPGAELLVVSD ANHSVHIEKPETVLGRIKEFL TSTA_021140 MNSMITTTTWVRRGVAAQFPTKYEIDEAELNRISQIARLQLEDA KGDLKAAEEDQDVDAMDEDTVEKDSKPTKNPFKDEDHDDEDDDLKEYNLDHYDSEEVD EDGEEVTMFGNVKSLAYHKPGEKDPYLEFPEGEDDEDREELQILGTDNLLIAGKLEDE VAHLEVYVYEDSADNLYVHHDIMLPAIPLCVEWLDFPVGKNEKGRTSGNFVAVGTMEP DIEIWDLDIVDCMYPNAILGAGDGDDDDKGLSKKKKKKFKKANDEYHVDSVLALAANR QHRNLLASASADVTVKLWDLNTQKCAKSYNYHKDKICALDWNPTESTILLSGSYDRTV VAADMRAPDAKAPRWQVASDVENLRWDPHDPNFFYVTTDTGLVYKYDVRNAPATPSES KPVWTLQAHDDSVSSFDINPRVPGFLVTGSTDKQVKIWDTANDRPNMVVSRRLEVGKV FSTSFAPDAEVSFRLAVAGSKGVVQVWDTSTNAAVRRAFAGRTPDITPGEEGEIQERI LGVNQNDDSDSSDGEEGDGGQNKDGWESMEED TSTA_021150 MHSHLHTPENINCEEIMNALDECHARGFIWKAMGQCNDIKRDVN RCLGAERAKRAKRNRDEALARRARVEKLWAEERLREQGEIVQKQ TSTA_021160 MRAKRSKKYRKLMHQYELTFGFHEPYQVLVDSNFLRAVHQFKMD LIPALERTLQGKAKPLLSKCSLAAIMAAQPINPKTNKPYRPYHLPPPTELPLRHCSHN DDDTPIDEVECLLSLICPNTDTMRNKEHYILATAEPVPLPQDDVNSDNPKQRRQAEAL MKERSEKAVALRSGARAVPGVPIIYVKRSVMVLEPFSIPSEKVRLGVEKSKFKVGMEA ALDVVNNLKRKREDEEDGPSTSARDRTAKKAKAPNPLSMKKPKRREKQKPEEQGKKKD KKPEPDSRDEGTQDNAADAEGEQSGKKKRKRKHKSSKSGNAVESADGAVSNEVHSAGD D TSTA_021170 MERQPKMRGDIILVPQPSDDSADPLNWSQRKKYMTLGIVSLAAF TSHCAALANQQGISPQAKLYHKSLNDMADTVATAIAGMVAGPLVLVPLTHIFGCCSIL LWSMVASTCGSIWSALMTKPNQYVAFTISRLSVGLFSATPTVLGPQMLVDIFFLHERG TVFNTFMVWSTFGVIIGPTLGGFIVAHAPWPWEFWWTVVLQGAVVLLAFVFLEETGFT RENGKVYPRRPSAFIHNRVATYFPGTQVAHVGGLQEALHSAWAQVLIGITPVTILVGI FLFGLSGWFVSFNTLLSVFLQNPVAAGGYGFSTQQNAAFTFTMWIGAAVAQFWGDFFN DRIPLYFVARNSGTWKPEYRLHSLWIPSFLVTPIALGIVGTTLKYHLHYIVLAGGAFL ITFASVCTNPVAINYLVECFMNYPTEVNCILAVYRLSLGLGIPFFVRVWVANVGVGWV FGTMAILALILFIPIIILMLYGPTLRQMGFAKLRGDEEGAQVMRKDETA TSTA_021180 MGLSQLIKVAALLASACLTNASLQIVPGATWTSPNTHAHIQAHG AGVIEVDGTYYLIGEDKTNGSNFQHVNCYSSTDLVQWDYVGPLLSLQSSGDLGPNRVV ERPKVIYNSKTKKYVLYMHIDSSSYGEAKVGVATGDSVCETYQYHGSWQPLGYQSRDI GLFQDDDGSAYLLTEDRANGLRIDSLTDDYLNVSASVYLFSDMEAPAMLKLNGYYFMF ASHLTGWSANDNQYSYATSLSGPWSSWRTFATSGSNTYQSQTNYILPFPGNRTVMYMG DRWIASDLVASTYVWLPLTISGTTVSMQDYTSWAPNVRADSWSTAPSANNYYGVNAAL SNGAVVVSCSGCYNNKAAGYIGGPSQGTATFSGITSSLSTLSTVQIVYRNGDSTARYA NVTVNGVTQLIEFLPASSPGRSVINANLKAGSNNEIVIKTSDGTYGPDIGTLIVPQQ TSTA_021190 MVKSYLKFEHSKTFGLVTSSSSNAVWTKNEDVLSTERRTGAGRA IVGANEEVLCWDVKKGELLGRWRDADCRAQVTAITQSKSDEDVFAVGYDDGSIRLWDS RINTVIISFNGHRNAITQLAFDQSGVRLASGSKDTDIIVWDLISEVGLYKLRGHTDQI TSLNFLSPTEEANTGLTDPSETGGFLLSTGKDSLIKIWDLSSRHCIETHVAQSNGECW SLGLSPDQTGCITGGNDGELKVWSIDEQAMLEVAREKEGYENKKILIERGSFYRQNKD RTIGITFHPRADYIGIHGAEKAVEIWRIRSATEVQKSIARKRRRKKDKENANGDNVED KHDETDDNTSPPITEVFVPHVTVRTTGKLKSFAWIPTKPSGNLQILTATTNNEIESYR VVAAGKKKAKDAEEIEYSRNFAIDLPGHRTDIRSLALSSDDRMLASASNGSLKIWNIR TQSCLRTLDCGYSLCSSFLPGDKIVVTGNKNGELEVFDIASSTLLDSIKAHEGPVWTL QVHPDGKSMVTGSADKTVKFWNFQVVQEEIPGTKRTTPKLKLVHNRTLKVSDDVLSVR FSPDSKLLAVALLDNTVKVFFVDTLKLFLNLYGHKLPVLSMDISYDSKMIVTCSADKN VRLWGLDFGDCHKAFFAHQDSIMAVAFMPNNNEGNGHHFFSASKDRLIKYWDGDKFEQ IQKLEGHHGEIWALAMSHSGEFLVSASHDKSIRIWDQTDEQIFLEEEREKELEELYEN TLTTSLEDEENGEEKAEAVDANKQTVVTLMAGERIIEALDMGMEDLEVMRQWRDTKAR NPNAAPPQRNPVYMALGNVTAERHLLNIVQKIPAASLQDALLVLPFSKLEALFTFLEI WASRQWEIPLTCRILFFMLKTHHRQIVSSRFMRPMLDRIRSTLREVLAKQKDEMGFNL AALHFIGGQIREQGNKSYIDVDKWEDKQISTTSTGIKRGYVSIA TSTA_021200 MLLLDYQNVLIQSLLTERFSGAAPVSIDQVVSDFDGVTFHVSTP ESKTKILISIALKCFRELVQYGAQEVLEREYGPYIVNPEPGYDFSVQVDLENLPADAE GKEELIGRLSLLKRNVMAAPFERAFDEFARLSEEASKYTSESAPQGVKEGGEVMAIHY REEEAIYIKSSHDRVTVIFSTIFREETDRIFGKVFLQEFVDARRRVQSLQNAPQVLFR NDPPLELQGIPGLKTSGDDKYSYITFVLFPRHLTPQRRYDSISHIQTFRDYFHYHIKA SKAYIHTRMRKRTADFLQVLNRARPENEERERKTASGRTFRVQG TSTA_021210 MSDDFSKLPAEIIFMILSHLPVAALVSFGTTSKSNYTFHTHCMR KLHLAIFHKRIHGSVAFLSTGMQDNIKDAGTARRRMVIEDHKIPVVLPRDTRYMSPDV CKSTLRTSNPYSTTKPYHLSKHISKKRCRVIYPDDGGFVHSISPERTINAQNKLFAEI VSRYGHSFDELEFLTYDINEEGAMAIGTHCGSRLRHLALRFEHPYVNDSSLRHRYWQK SAPGSPAWNSLIGIGAVKRGLDIVNLESLILERAGITPWQLRMLVMRNKRLKVLKLKN CAAAQPEFVDWLGGISITEGKEPQDDDKEVPGASLKVLWMENCNGICTGKTSDRERGR TIDVGLEWIRNLKALESLSLRDCRNVDAQVVSDANTLIWRIREVHLPRALDLDPESPP PIEVDPLYA TSTA_021220 MTMDSMPTTPSSSPSRYSFGQHWSDTTQDTCYSLESDCSEGNPW SLDLDQLAVLKPPHRLFSTPEDARVPYTINSKEWYQEYFELADRRQSGMLKEPKKHDY QCDSVYGAAGVVYGVAKVFIAMCREFRIDLYSPVGSISTYVEKAQLELENGEVIDFIF AIEELYHCLYARLETEIALLHFCSSFVNSGVKRWSKSLSQLPEPEHVYAILTTCKSVL EDKSVCSELDDRMIRYHQGHYVEDMKRRYQEEGKLPLDYTGYRAHIYQTIGNSGSQAF RHWCQIYPVLQYLPIDVLSFLSEKYIPMYPRTDHNYEYHTFNTPGQYDPAQDVAAWAE NMKCAHRMCSLARIEGKAVGQLRREQEDFNIFRYAAEEKCICLDICSCSWLCTRSGNT RCPCSSRWIRGIHLYEPYYEAGFREKTTVIGELTYESLVALKRQLPDYLVRRELLAGI NITREEMIKQRTVTQQARHGIFGVF TSTA_021230 MYWSMQVLVYVARRTVSLGNASYYLPGKPEITIQTSYYPLGVPE EDHAVALLPIIHVVQNVNITLRQILDRHRTEDDVVWTSVFETIALPSRLYYTALTEEK PLPGVPVGDKDLYNLKGLRTGPGNRPTQDIMNLTGVVSMSTYMDTPVFVARLAADFAS WRKAWYNAGNDFLKLYRNFPDKLIYPIDTLGINTIAYPSPGFFPTTNTAAQALYDDFT AKLETLLGTNKTIFDLYTEYKNHIRLYPLDQLGAVWIPMTNYEQFHNVVSSFIDDYIE EYGGDHPHPDPRVAMNMAWGQNTEAAFAEALTNKTIFKDWIENYRDDHPTESPEEAGP SYVWNQYSINQLASVPEVVLILGQIHYTSRVTQTMKQLPVTVSINAAAGCDLILYHSV EVLAQQGIIPDKVLTGQMRPWCTTVWCRRYHVARSAYGSRTPTPSDGQKSHLSYKSPF YFETGYAIQPKRPSRPFPPPFVSIPSSSFSDPLTTHFQSQDRRPKVKNELIRGLTNGD DAILASEFLLGVNDGVGAWQTKPEGHAALWSRLILHFWALECERQVTCNSQPDTITFL QTAYEETIAATNSWLGTTTSATALLHCNRQQNGTTPLLYVTNIGDCQIIVIRPKDRKT LFKSREQWHWFDCPYQLGTNSTDQPRNDAVLSTVELEEGDIVLAVSDGVTDNLWGHEI MDNVLESIEKWESGDVGNLVVEQETGPAECMIFTARRLLNAALAIALDPFADSPYMEK AIDEGLTIEGGKMDDISVVIGRCTKRSE TSTA_021240 MNLDDTRFICPRPRAVRACASCKVHKTRCLPSARSDQCRRCEKI GTICLYNDIQQSKNKPQVRLPEKEKSALNSILAQVLPAALDINYEDQSEKVFQIHGNL FGCPETAKSTTPQSQANQPDDKPREKESSTKTFKRPHVTMKEVDEMLQSYHQRNVCFP FVAIPKNTTAEKLCQKWQFLVLSILVISSGENPILQKCLDERFRKVLATRVIMQGEKS LDYVHGLLVYLAWHPTHLRPINNQIFQYLQLAISMIADLDLERKMYSTTDPIADKIVA RNTVLGCYFLSANIAMGFRRPNNFRFAPPDELLSSMLLSGNDSVEQVMASTVLLCRFI EKLYGLKIQADMEKLASWTECPLQNLTRVLRAELTQLETELPAHVYTNRQIQIMRRFA RIKIHSLALEQKKHISYIEINPLTYLEVFPTCASEINGLLEYIVNTPRTEYRNFSITD WEPIIHTVIIFPKLCRFTIPDLSTATTWQDMLLTEIPTYLTHIDQLCERLQEASITRH QTDNDMSSSHKLPDLFFLFYTVLKLFKDNFARESAHYSISASPASLSGNKPARSRCPV VNGDIQDTEYWTMWMNTNNLMSDVEMFGLEGDPFSGSDINDLAVFDLNSWVDFSA TSTA_021250 MKKKHPKLIRFGIPLFEYQALDVIGPLDVIAGTSIALLKQYDAL GAIPKGLSEHGLELEFYHISEVANKTVPKNLELENLDVVATTTTAECPQIDYLLLGGP MPGFKLSEEMNAFIRDRVAKNEIKTIFTTCTGASVLAQTGLLDGKRATVNNGMIEMAR QMYPAVNWVDKSEKVNWVIDGSIWTANGACTGMDMFAHWLIQQCGEKLAKFQFEALGY HPRGADGKLLDL TSTA_021260 MAQMQGALYPIPPATQSVSAFLLQRLFLVLDYLHTECQIIHTDE YRTLIICYFTYKTLLDIKADNIMFGIADDSVFSDFENEELQKHSEDVQPGIYRAPEVI LEAPWTYSIDVWNVGCMIWNVSEGETLFTGQDPEYQTYRSRYHFSEMISLLGNLLPRI PLKSAASLEERESTLGGEDKASLLRLLRRMLQ TSTA_021270 MLEQLPQEVLILICEYLERENATSILDLACVSKHLYSYLVPRLL RTLKFSINEFSKLAEDVQQYCRILQRVDGYKHVHRLIIKNDIKMPTINDRALVPEQSQ KRRPWHRPRMSAVEFSGHFDDILENCMRDLYHLETDALPLEAVYDMNNSWKPLAELIK QISSLECLFYDGLSQLPPCLLECLHQYQPQCRLLTAHGTDDYEFSLASSPCLYGLTVQ RVRQRGLFRRRGYEDEALECMVAGLATNLKRLHIVRTRGAAGPNDPGPRRPWAGFSQQ TATDSRGALECFWPLYWPWLEKDTLEKWEKITSFSALKGLKMDGNCFEMTSPQHLLAR CFFPSLTRLEIDLGPFNNNTRYQPFRVAAINAFMLNLPSLSDLKLTGWHPRILIDSII ANHGSRLRYLSLLSQRGHTLSLEDLHLMAETCLSLERLTIEVKRSRGSYDEFRRYQVL GLLPRLQYLDLKLDASAYSLWNQGRPNDGDGEAEIRNSRDLRAEARSDLTFNEFDDQY SPIHISPYHYVRNGHIRDALINGALDRNLAYDIYQAICSTRQSGEGNGFLPLVSMSVL PSQAYYWK TSTA_021280 MEGRDDIPLRELSRETSVHEPQEHEHGLFHPDQHEVPPYERDTE EELPPYEQRNTAPIRSTTLHRSFFILIPVALYATIAIYSWVTLCILSRMGDYTTNVHE TKTRSYRAALTLQAVADLATIPTISFVCAWAACIFVQNQRDAYSLRLRQVVTLADRAW MNPAMYFRLLFYPSSFKRYGSSMLYLAIFIHIFGAITYPIQSLFLSTRSVSVQSTDRW GKIDLDNNYLRVPSISQLMRGYDFTDWSSIFTVRHLAQSAGVNGYQDNLWGNTSQLSG YGSNSSWYAPLSHNFSTGLRSDQYIPRINSSAEVLEMAAEEFPSNCSSPSSFYASYIY PNSSSPWQSTSSRQNFSEVIYVNTTANFTDPSDPNRVKPFKIIMNTTAGLFELPSVQN NLIAGPLNTNSSLCSSVTSCNGWKVRRQPLDSSALPGPLAMIALALFGPGSFADTQQT APSSESFYSNESESQRYHDMFAADDILPLTPLGFTTSVLGEIPIDGWMSAFSSNKEDA SVAFTRASFLAVKAMFDNTVRFGGDITVAFASSDLQQITLPSLPFSAMIACSVLFGLY MVPLLCLALYAAFSSSWTNTLDAFTMLRMGAAFGQQDLPLLIGKSKRKIRVLDTLPGI VRDISGPDDKVRQLALGIEGGGPLQPSKRYLAYPGNDDWMGPR TSTA_021290 MVGNSRDHRHTGRRRYRIGPSVIVARTSTSHATDLRYPSSEIFC APTQHQWGQIDGNTDMPDPDVMFTHLGQGEKRIQIALNESIKSIQPFTLKIKNVSWWY LHRPCQAFTNTFIANDRILTHTICLGRGLEWLRADAARKYFRPTTIPKEYKRASTHGR KLFEETSQFNLGLETQYGENIINKRPSIDMIPAGSRASDVLLYQAGSHIPNRPFQLSM NAQRCNLATIGYAYYDSGGRAYLTYRISPETGA TSTA_021300 MYSPDPIIALGSSSVGDGFSLTLLVACIWGQESIVQALIQKGVN VNVQTDFANIPLRVAVRKGNENITRMLVESKADTLKKYDKIQTMRFLLQRKVDMQAAD DDSIRPIDMARSHPDPAVRTLFEELCNFESAEENIEGALEQIHSSQSKQ TSTA_021310 MPTGHQIERLVGNQAHANYRGDIVENRHKVHAAIVDANGQFLYR VGDPSRVTLIRSAAKPAQALAILEAGVFDRFPFDDADLALMCASHSSEDMHVSRAQSM LAKVQILETDLRCGGHPAISETVNRAWIKADYTPTGICNNCSGKHVGMIAGAKCLGAD IADYHLPENPMQLLVRRVVEELTDLHGDEVKWGIDGCNLPAPAVPLYSLAKIYGTLAA ADRTVDKDNNAPTRSQNLACVFRAMAQHPELVGGEGRFCTRLMTGFEGQLVGKIGAEG CYGIGIRPTEYTKNLGTNTAIGIAVKIEDGNIPILYSAVMGILEQLSIGTSEAREKLA DFHRPRLLNTAGVEIGHVVCAFKVQAMREFDPLVLQYQHDKHRPREDEALHMLKKIAS LVKPIMRQRNWKVGTLAEFYPSARTLLGVNTNRGEKICLRLRYASDEYQFLALDHVVD TMLHELCHIVHGPHNTDFHALWNQLRDEYTELAMKGYTGEGFLSQGNRLGGSKIPLEE ARRVARTAAQRRALAAGSVQKLGGAPLMKGSDIRKIIADAAQKRIDVTKGCASGSSEG EKLADEASRNGFRTKAKEDDANERAIMEAYIEMIQEEEKEKYGTSYVPPSQENPAGPR GRVYPPGKRPRPSPTETKPIKRFASSGTLSGAFGASDENIPDIIKEDQRPWNCRICTL ENPPAYLCCDACGIERPTTSLTAQSRLVAGPDTKWSNRGMSKPRNRLGSEVDSSRVLS FKSKTAERISSLEQDRAMKPLGWLCDKCGAFMETEWWTCSACGMMKTTS TSTA_021320 MSAEGISLQVTIWISPENVPKFLEAMRPVFEKVTAEPECTFFEI YQDPEEPGRISWVENWTKSAEWLLQNQIPKEYYKEYFAITEPMFIKPREFKILKRFGP PFTMWKRENGGLRE TSTA_021330 MVRRSWKEVAQIAQEVRDKSIAEVQPPVPDLPSDLPLNVISLPR QLLTPREVDITETAPDVLVQKLASGDWTCVEVTNAFLRRAGLAQKLVNCVTELMPAAA LERAKFLDDYLAKHGKPIGPLHGLPVSIKEHLPMKGRTINCGYVAWWGRIAESTYSGT EVLYNAGAVLYVRTTQPQSLMHLATSSNLYGETVCPYNRQLTSGGSSGGEGALIGMNG SVVGIGTDIGGSIRSPAANNGIYGLRPTSSRFPYDKFAAAHGPMGIPAVAGPLSTSRG GLRLIMKTAIDSKPWLTEPMLVPMPWRDNVTHLGQGTTGKKLKIGVLWDDGVVKPHPP VTRALKELVNKLRDVPGVEIVDWKPYKHDYAWERIASLYYADGAADNFELFAKSGEPM MPLTHFIITENEYRKRLQIEEIWDLMEKNTEYKKDYAKVWHDTATGVDEHGIPVGMVD VILSPTAPGAAPPLNQARYWGYTAQWNLLDYPAAVFPVTQVQPEIDKKEEDYKPRNTK DEFNYQLYEPELFRDAPVSLQLIGRPFEDEKVLEALDFIHEHVPLPSFKRI TSTA_021340 MADALSEEQISRFREAFAVFDKDGNGEITAEELRDVMRSLGQNP TESELQDIVNELDVDHTGTIDFDEFLTMMVHKGKATDEEAELRAAFDVFDQDGSGTIS ADEMRRVMKSIGENLTDAEIDEMIREADTDGNGTIDCEFFWIML TSTA_021340 MEMVRRVNPPTLGEITAEELRDVMRSLGQNPTESELQDIVNELD VDHTGTIDFDEFLTMMVHKGKATDEEAELRAAFDVFDQDGSGTISADEMRRVMKSIGE NLTDAEIDEMIREADTDGNGTIDYEEFVRLMNQN TSTA_021340 MRSLGQNPTESELQDIVNELDVDHTGTIDFDEFLTMMVHKGKAT DEEAELRAAFDVFDQDGSGTISADEMRRVMKSIGENLTDAEIDEMIREADTDGNGTID YEEFVRLMNQN TSTA_021340 MRSLGQNPTESELQDIVNELDVDHTGTIDFDEFLTMMVHKGKAT DEEAELRAAFDVFDQDGSGTISADEMRRVMKSIGENLTDAEIDEMIREADTDGNGTID YEEFVRLMNQN TSTA_021350 MTSDDQFFLDFLSSIPHDVKRYSANVADSIDRHVDHAASVIKET LTVYFPNILPVGARNVPALRQPPPKSLTDKAYDWVMRNRAWTAAVVAFFGTSAVLYLG SRTFDNRKRRARRAGNGARKEIVVIAGSPHEPMTRAIAEDLERRGFIVYVVVQSAEEE HTIQMQNRSDIRALHLDLTITPSTPSEIHPALHGLYSLISQPQYPAPGIQPHTCQLSG LIILPSLNYPTGPIPTITASSWADTINTRVLAPILITQLFIPLLTHRNHSSSIVFLYP SISSSLSAPYAGPEVAATRALSGFATSLRQELRLLQFGNGTQCNVDVVEMKLGNVDLG PHYRVPQVAGTEVLAWSQHHRSLYGPSYLASVEQRPVASAGPNAIRGSPARALHNAIF DSIAPPRKSILGRKTRRKAVVYVGRGSRSYGIIGAWIPTNLVGWMLGQGNLPHSAPDS GNSSETSWERV TSTA_021350 MRNRAWTAAVVAFFGTSAVLYLGSRTFDNRKRRARRAGNGARKE IVVIAGSPHEPMTRAIAEDLERRGFIVYVVVQSAEEEHTIQMQNRSDIRALHLDLTIT PSTPSEIHPALHGLYSLISQPQYPAPGIQPHTCQLSGLIILPSLNYPTGPIPTITASS WADTINTRVLAPILITQLFIPLLTHRNHSSSIVFLYPSISSSLSAPYAGPEVAATRAL SGFATSLRQELRLLQFGNGTQCNVDVVEMKLGNVDLGPHYRVPQVAGTEVLAWSQHHR SLYGPSYLASVEQRPVASAGPNAIRGSPARALHNAIFDSIAPPRKSILGRKTRRKAVV YVGRGSRSYGIIGAWIPTNLVGWMLGQGNLPHSAPDSGNSSETSWERV TSTA_021360 MASIKSITASILLFSALSTASVGQILAPSDDIVFAASASAKDPL AWAGANSPYRAGPNVYGISNEVPDGCSVKQAAYIVRHGSRFPDSGAYAGWVDLRNRIQ AAKTAGNLTARGSLSFIPNWKTVLTNPTLQISQESMTGYNEGHNLGYQLRARYPNFYE DGNQFYVWANQYASPINESRVVQTAKAFMQGYLAEFADAYGTIVSVNSTGSVNAIGNS LGPSDACPAFAAGPSGSDFNNATDYEAIWTPKVLKRINSLVDGIEFTQSDILSMPYLC GFESQITGRLSPWCGVFTDEELEFYEYSQDLHYYYGIGPGSTTPTNKLFLPFLDSLIT LLKAGPDQQGKGVNGTKFDIPKLLMAFLNDNQIAELTAGMGIFDAQENLPIDRILKDR IYNVANFITMRGTVTFEVLNCTSSSPIFEYDSELCASETYSDYIRILLNDAAYILPHC HDGPGGSCLLSEYASFISKRSAAAGNFNEYCNVTKAGHPVTVGGASFFSDLTLDFLEF VAPH TSTA_021370 MTAASGDVEAFTLLGLALSLIILRTYVRFDLVGLRKFQLDDYLM ILTGVLFTAELVLAYMVVAKFDGLTNSYMTPEERAALDPNSYEYYQRVSGSKIQVAGW SIYVMTLWSGKFCLAIFYSRLTMGLSQFEMRVHIAYILLGTTYIATALSILLSCRPMN KFWQIDPDPGNTCQPTVSKVYILVVMILNVLTDAFLLFIPLPLLWKVDIGLKRKISLM VLFSGGLFIIAASIIRAAVVLTSGPDGAVQGSKWACRETFVSIAVANIPIIFPLIRSC ANKIGLSALFSSSGRPSNSHPLTSKRGTGHELRSRSNRRHNPMSIPGGTAWDSDEQIL ANNNHVHVSINGNDNGDNESDDHSKTKGGIGVTKEVTIIRETAGSP TSTA_021380 MSSRLDLLDLRSGGSDSARGSPTPRMPSPRIQHFDGEIPPTLSP LDAFAMQGRLLARQLDESMRRDRRMSRLPPQSVARSLSQPRPDRAEQLEPDPSAHHAF EEDKIRLIAAPRIAVSMLHSESVI TSTA_021390 MPHIRTPSNSRESSYDSIPPPQVSTTLAPASPIPPSLYYSPQPE SSDDDYTSSNGGSTWSKSRKLSTGSGISMPHSPMSPFVRSHPRSPSVSSEASNYLPRP SFNFSRPLSRSSTSMSLSAPSPVMPSETPSQPNSNISTPVLATHSTNGIRRENKPAPI VLGAEPMSAVGEEPPSSAVESYVYAKYSLPRGRMVSRNSLVFAGLQTPHFEWKEPLFQ SSPPQERRTPSPEAHFKEAPTNATFNTRPPDTPLEVPTRVDPLDTVPTTVRQSHEIVR ETGQHPVLQRSYSERLDDATSVSTESNSTIRPPTSKTTATSPAMEQTAEEHVEKGIEC HEKGALQESTYHLRIAAKQNHPTGMLLYALACRHGWGMRPNQREGVQWLRKAVDSAGL DIGEDDEAPAGGRDAAARKARRAQFALGIYELGVSHLNGWGIEQDKVLALRCFEIAAQ WGDADALAEAGFCYAEGIGCKKNLKKAAHYYRLAEAKGMSMVGNSWIYKDKYMSDDED SKRSRQPRRRDTGASDKNPRSKSRTRSIFARKK TSTA_021400 MSDKDEVQYSTAQPVVERPSFWSRVGAHFKKWWWAHFIVFAIVV LVITLPLVYVGYPKIAQHDVNKSTLNITNLAFSDPTPTSIHINQTQVLGNKAIYHPTI YAFNATIALVGATAPLAVVPVPRTETNDGAVIVVDSNLDLNNTEAVTNFSMAVLGLEE FQLNIYGRPVLKEGPLPKHTVTYNKTVTMKGLNGLKGFELQDIKISLTPGADGTNMNG TVFIPNPSAITVSMGNVTLDVSVNNTQIGQSYLNDLTLRPGPNTIPLRSTINQTQVIG LVSGKNAPFPSGIIPLSILGNSSVYNGVEIPYYSRALAMNPLETTMNVTQVLINSGLG ALAGVL TSTA_021410 MYSFMTPSISAPNNAWTVPALNDPSSTTPSSALASSSSSSAAFD IPIYANAAYYPNWRIYKKQPPSSLRLGFVSHVFYAFAWVKEDGQVYLSDEWADSQMPV DGTEGCLRAFTQLKQQYPQLKVILSIGGSGKGSENFAKVAKSQTAVANFAYSARALVD QFGLDGIDVDWEHPSDLQQGESFVYLLSQLRIALPSPRYIVTCALPAGEWALKYINLT VAQKYVDLFMIMCYDFSGPWLDRTGHQSQLYTPPNPCNEAAAISCHSAVTYILSQRVQ SNKILTGIPVYGRSFVGADNVNQPYTGTDGDDGVFDYSDLPRPGAKEVYDESAGAAYC VGGTDGGFVSYDTPQTVEQKAKFVTRSRLGGLFYWHITADKRGANSLLETGYNTLHDF TSTA_021420 MKVYHPLNATLLGALILQVDAGQSHESYTEPYRPQYHYSPAKNW MNDPNGLVYDNCTYHLYYQYNPGGDTWGAMSWGHATSSDLTHWKEQPVALLARGFPDD ITEMFFSGSVVIDERNTSGFGSDGKIPWISMYTSSYPVEQVLPSGKQVRANQQGQSIA YSLDQGETWTTYDEANPIILEPPAQYQDQWENFRDPNVFWHEQTQQWVVIMSLANIHK LLIYTSPNLKEWTLVSVFGPLNAVGGQWECPSLFPLPVNNDESNIKWVLVVGLNPGGP PGTVGSGTQYFLGDFNGTTFTADVGSISAPSNDTANWFDRGPDFYAAIGYNGLPEYER TLIGWMNNWQYGQSIPTSPWRSAMSIPRYLSLRTIGQKTALVQTPQENWASIAEEAIV SAFPSVADVHELGDIGKALEIQLTFSSRETAPGAVQGSSESGISIRASEDFSQQTRVG YDFATKQAFVDRTQSGNVSFDSTFASVYFAPLSPAPDNTVSLRIFVDWSSVEVFGGQG ESTITAQIFPPDNATYARLFSTGGSTDNVQVRISKVRSTWA TSTA_021430 MGFLIKKPADSPGKAWPAILISGFVAFGGILYGYDTGTISGIIA MPYWQETFSTGYRDSTGHLNITSSQTSAIVSILSAGTFFGALCAAPMGDIIGRRWGLV ASNGVFALGVALQAAATAIPIFLAGRFFAGFGVGLISALVPLYQSETAPKWIRGFIVG SYQFAITIGLLLASIVNNSTHNRDDSGSYRIPISLQFAWSIILVVGVLILPETPRYLI KKDNYNGALKSLARLRRLPQDDPALRDELEEIEANHRYEVSLGNSGYRECIRGNLAKR LLTGCLIQALQQLSGINFIIYYGTQFFKNSGFKNEFIINLIINCVNVGSTIPGLYAID KWGRRPVLLLGAVGMTVSQLIVAVVGTTTNSQDANGNIIVLNYAAQKAAIAFICIYIF FFAASWGPIAWVVTGEIFPLKTRAKSLSMTTATNWLINWALSYSTPYLVNYGPGNANL QSKIFFIWFGCCFLCIAFVYFMIYETKGLTLEQVDELYNEVKDARKSPGWQPTLTFRA IQSAKTQEVEDKEAVEERHDSKLTDIQQLDLVITHQTPSRINMATDVQSVVDRLLTAD ASHPPPNYNFSFTPYLRKTFGFGLATDVPVCKAFKEGHCPLGPTCPDRHPTPSRVTTS STNASGLAPSVTHGSLVCKHFLKGLCKKGFKCEYLHEYNLRRMPECASFSRSGYCPNG EDCLYHHVRENARLPRCEHYDRGFCPLGPICAKQHVRQSLCPFYLAGFCPDGKNCQHG AHPRWSDSLPKPQIRVEKTEEDLERERALIREEQEREEERQREWRNERGRGGFMRYRG RGRGRGM TSTA_021440 MAKIAIVFYSMYGHIAKLAEAEKKGVEAAGGQADIYQIAETLPE EVLAKMGAAPKTDYPIAKAETLLEYDAILFGIPTRFGNFPAQWKTFWDRTGGIWANGG YWGKSAGVFISTGTLGGGQESTAISAMSTLVHHGFSFVPLGYKHSFGQLSNLSEIHGG SPWGAGTFAGADGSRQPTALELEIAEIQGKTFYERVSK TSTA_021450 MPPSRPILLRFESRNGQFRLTVNPSELFTELAAKIAEHLPENTD PNSIILSNKPIGVGGQERLLSSLDGVSIERVGLSHGDKLFLGYQEKSALSNGASHVQP PSNLTTESRLLNGAAVPQQPTVPLPPRPRSPSAVIQNPWEVVEQLPLDDALDKKDGKI PRPRDAKMCRHGPKGMCDYCMPLEPYAPEYLAEKKIKHLSFHSYLRKINSSTNKPELK SSYMPPLSEPYYRVRRDCPSGHPQWPEGICTKCQPSAITLKPQEFRMVDHVEFSSPSL INGLLDFWRKSGAQRLGYLYGTYEEYTEVPLGIKAVVQAIYEPPQVDEVDGITLNEWT NEAEIDEVARLCGLQRVGVIFTDLLDAGKGDGTVVCKRHVDSYYLSSLEIVFASRQQA QHPKATKWSETGRFGSNFVTCVLSGDENGAITVSSYQASNSAVEMVRADIIEPSADPS VMLVQSEDDDDPGSKLRYIPEVFYRRINEYGANVQENAKPSFPVEYLLVTLTHGFPTE ESPMFIDSTFPIENREVIGESQELRTLAKKLVAHGDPNKAISAVSDFHLLAFLRSLGT FNAEEERLLYRVASTHDPAEGMQLVNTSGWATLVAILQESV TSTA_021460 MVSIWGSSGRNDQRTTEDGHTHPDYQHQEPDERTRLISRSAPNE GYLSPDDPAVSPYNLWSVRALRFFTCLFLAISFVWWVFLLVSIFVSPPLMYNRGSGFT DFSYTTLTVGNLIVSLLFFTIPSKPMIMWNSVLALFLLVGMIIIVAVPRIRLEEGWVG IASIVWAILISIYNIAQVYFVEKGKEEEEERLTGRVETRRSLFEWVSVLVETIVLGVI ALVTILLTATLILRARDSSLEVPGKRYLVNNEKYEVHLACVGSITTGDNKTPTILLEA GETPAEHALQPFIHNTYRNGTIDRYCYWDRPGFGWSDNAPSPHSAGMAADALSEALAL AGEEGPWILVSAGVGSIYSRIFASRHIHDIKGLFMIDPTHEDQLQQIGKAGRGFVLWG RGIISPLGLDRLAGAIFKGRTREDRVYGKVSYQNGKYIKAKLQENLVAESMTSSEVAS AKNIQTPETPLVVVSSGVEVRKNEKWAKQQEEMTKVTDNLVSWDVVKGAGHEVWRSWE GKKVLERRLGELVKQA TSTA_021470 MDNMSDLQKAFVKAKLAKYPPDIPIFDETEEDATTSTGINRGND ATIEEGEEFDSSSTSSASSVGTVVPSPSRNLFAKPGSLPRQRNGSAESLTWRDFFSRE LYIERNTDDLHIIYHTYITPPTGSGPLFVMHHGAGSSGLSFAACAAEIRKILPEAGIL SLDARYHGSTTVESRDGNPVELDYRLETLSQDLLAVIRGAQTQMVWETLPDLVLVGHS LGGAVITDVARGGELGAKVLAYAVLDVVEGSAMDALQGMDTYLSARPTRFPSLSSAIE WHFRSRTIRNTTSARVSVPSLLTEEENPSDASLPWTWRTNLADTKPFWEDWFKGLSKK FLEAKGGKLLLLAGTDRLDKELMIAQMQGKFSLQVLPLAGHFIQEDQPAKTARFLVDF FKRNDRTPLVLPPKVGDIMASKAMEKGVGINKI TSTA_021480 MSAPGGAPSPVPRSGSIGPNASMSVPQQQPIAANPPVVHTPATP GPSGPSPAPVSQQNLNQIVIDYLAKKGYTRTEAMLRMESASQDIDGRSLPQLNENQRP KYRNGFDLIRNWIEDNLDLYKPELRRVLWPLFVYSFLDLATALYPREAVEFFTTNQNL FLPEHSEDIRSLQKITLPEHVQDNATAKLYRGNKYRIILSNPAFANLMQFLESKSKEG GAVMSAILSSYCNIVTKDRATDERFSFAAMLGRSDQTSTFPAEDEGIPGHHPGSAYTG DNPAMAGTLPKLRLGKLPPEPELESDVRAELEELDSKNPPAPGRNTLVQEYDQMIKKE EDEDAPSHAEIAFPPSTARDVAMEVQRVKENRDRFRIEGRTGGVGVACSVCLFTFHNT YDRMICIDFSDDNMLVAAGFEDSYIRVWSLDGKAIKATHEGIEERTSAPSNSKRLFGH SGPVYAVKFAPSAQRSEGELAPTNARWLLSSSADKTIRLWSLDLWQCMVVYKGHDQPV WDLSWGPFGYYFVSGGHDKTARLWATDRIRQQRIFAGHDQDVDCVCFHPNSAYVFTGS SDRTVRMWAVTTGNAVRMFTGHTGNITALACSRNGKLLASADDHGSIFLWDLAPGRLL KRMRGHGKGGIWSLDWSVESTVLVSSGADNTVRIWDIAPPALELSTNTSRLATEVGAG AKVDASATSSASQSSTTVGPGGSKKKGKENVVTPDQISAFPTKKSPVYKVKFTNMNLI VAGGAYLP TSTA_021490 MDESATSNSQTFSSADRIRQLNEIDKDIAKLVNSAGLAIQALTN AKSTEIMTGNSVNDRKAAFKASTSQYFALLSSIDVRLRRQVYALEEAGILESESATAN NVSFKSDSGIGSTTTGGAGAGTAAGTFNPLEVSWLNSRKDTVGKDKEAESWAAAREFL NRGQDTAPKTETMDTDS TSTA_021500 MADTQFDSALDLLRRLSPRDTGKNLQSITNLVPDLTEDLLASVD QPLEVRRCSKSNRDYLLCDYNRDGDSYRSPWSNEFDPPLEDGTVPSERVRKLEIAANE AFDVYRELYYEGGVGSVYFWDLDDGFAGVVLLKKGVTPGGKSSGAWDSIHVFEATDRA RMTHYKLTSTVILHLSNESDALGEMNLSGNMTRQVEADLPFESDASHVANVGRLVEDM ELKMRNLLQEVYFGKAKDVVGDLRSIAPLSETNQEKAAHREMINSMSKR TSTA_021510 MPSEFGDSDLDDFIVTSQDSVFDPPDKDADSARSPKRRRLNNGA TARKSTEAGNKIQSSEYGSDDWDDDLPTPCRSRGDSGFEESEEQRSKYKIYVPKKTIS IQENTFVSQTQPHSSPSHIRGPRWRKPEIPVYAGQQAITPQTSVNNTTGEEDDDLRAA IEASMHSFEEERGSRPELDDDVADVCAQTGSSSKQAATSLQQDGGFDLDDIPEDAFDS SPCLSPPGELTTSTSTRPIQSQRTNLRQTTLFPLATRDSTQGIRNVSPPPRDEPPTHH KLDQHELNTWGLFHNLLVALPTGLGKTFIAATVMLNWFRWTKDAQIVFTAPTKPLVSQ QVTACLGIAGIPRSHTTLLTGNIPPGTRAEEWQTKRVFFMTPQTLINDLKTGIADPKR IVLLVVDEAHRATGGYAYVEVVKFLRRFNQSFRVLALTATPGSTVEAVQAVIDGLDIS RVEIRTEQSLDIREYVHARNVDVQTFTNSEEMTLCMDLMTEALQPCVDQLRNMNAYWG RDPMMLTAYGLTKARQQWMASDAGRNANFGVKGKVNALFTVLASLAHSIDLLKYHGIV PFYNHLVSFKSNTDGQKGGKHQRAIVQDDNFKKLMDRLQPWCRDPEFIGHPKLGYLRE IVLNHFMDAGEGTNGTSNTRLMIFAHFRDSAEEITRVLRRHEPIIRPHVFVGQASAKG SEGMDQKTQLSVIEKFKNGTYNTIVATSIGEEGLDIGEVDLIVCYDSSASPIRMLQRM GRTGRKRAGNIILLLMQGKEEESYIRAKDNYEKMQELIASGSRFEFHDDKSARILPKD LKPTVDKRVVEIPPENSQEDLPEPKKKGRAPKRPPKRFHMPDGVETGFTKASRISEGV DIRSMLQGEKGNKSKPKKQRTPCPVEVVKVPSSGEVTLTPDEEHELELRYRNVGGTTP QFICRPRNDAFPRLQRISRPTKLVQHGSFTRRMVGVLQKMNATSLDCDEKYESIIEDI RHKASTMPRRDTTTATKRSGKSTTSSTLPMNSKRKNSNTVRRAKSPSPARQTNTISPD SPLMCSQYDATEDENNYKPFFVSQISGMDDSGSDLPDIETLVRGVDSRKSKSRPTVID SDDDDDDDFDFFN TSTA_021520 MKLSIISLTGLAVLVASQDATSTTTPLTPQQSCATKCDPTDICC NAACYQVPCPNNAMANDTTACAAACPQGSGTADDTAAYASCQQSCFSSLFFTGSATLP KVTGSGTQFVADVSATATDSSRIILSGTVDTHAGNAQRTGSSQSSDSSATTTASSSTE SGAASQIGVQLGVAGVLGAAMAAFFL TSTA_021530 MEPSQDECLITSMTNYMTTCMSGHDPSHNPTHVHRVVSLAHRIL ASELTLHPDTAPIKYNKTVITLAAILHDIGDHKYLPTDGSAADPKQLVYNALLSHGAD EQLASRVQTIVNNVSYTNETRNPDQDADRLDAIGAVGIARTFTYLGSQGLKRAATADS GPWELEDSITHFGEKLERLEGMMKTDTGMQIARERTRRLKLFKEWWVDETAPFDS TSTA_021540 MPPAESEGSFRPDNQLFNDSPPTNNPIPVPEPTGKKKKKGKDKN GSKGKDTSAKPIPPMESNDIQFSPPSQSDPSSYPGNVFTPAATNPALSSVSPVLDALG AINHVESDTATRTGAWAKSVPFGKSPPTDLPDPGFSSGSPLSIPTPFERPGFSSHFSS SASPPPTRARPTSTSYGQFGITPSPHRSADLQKRNSMGSHLQTNPPLPHLPQAHFYSA PEIDIPTPNRLAADSQYSFCAWDTISEQSLKSVKMGGRVLVVGTDGGVDVLAVEDQRV RTVGSLTGLNGRVLDAKILSCTSRNDPYASSRPHVAVVLHGPIAHKEDSAETSSAGSE QNEILPGLSVKPSPSDQRPQGKDETVYQTSVDVYSIKTGEHITTLFQSKPVSCFDNYP NLSLLAPAPVGNLRLYATHNYVVVACGVSGEVFIYGVTQSASGYQCLGKTWTSIQTRE SRRYSTSSSSTDPDGSQIDGQHGATAVECPIVSVYGRWLAVVPPASAPRAPPSGRVLA HLIHKRISSLDIHTPPPKPAVNCATDLGEGESLFDKVARGVTQELVKGARWMGDQGLQ AWNNYWNKDQQSAQNGSSRRSPQFEAQQGAHGLFPPTHGQDTAAVLSSEPDLISIIDL KRMEEGHDLKGSTFATFQPPNGCSFLSFAPNGHILLSASRKGDVQYVWDLMQARNCRS AVFLSDELASNSAATAHVRLVARYSRLTTSNVVDVVWTTPTGERFAMVTRKGTVHVFD VPRPAFQWPPLRRIQSKSKRSQAAEKPSTTPDHEESGSSSPFAAAMKLVGGSTQPIIA AVRGRTPSVGASFSGTSSFGISSAAGIGGKAVAAGLSKSVGAATGTVNTLRHVGENRL HLAGFSRDPVPSRVVWIPSRNESLLGIVDYGLFKLYKIKQHPDKQKGRRHQPVIGAKV LELKLPSYLRNPCGPLQIGLPSDGRIRGSWSLPSHVPHSSSATKMKSQPLSQAEIETN TPYQPFHTDRRVNLSVFIDSTTVSGNPQESWVFGNDIPTQRLYVRPLSQSDDEEDEVM DRLSGAPEMENLIRLGNSTDQVEEVVITTRRKKRNADVPSIASPGDDDGGFFENDCDI LDFARDRV TSTA_021550 MTALTAYLTGYGYYGMARTRDRVLSPDASPYLTMAQTTEIEDSL DLSSQTEMTDIQGSGMVAMTSLASRRFVMCWAFRYVH TSTA_021560 MLGPLVGSAMLLVATAIFLYYTAWTLLMPFVDQGHPLHDLFPSR VWAIRIPVILTLLGSTVVGSFLGIMMIRSSRKKAAKAKAAASKKKT TSTA_021570 MTDPVVLQSAVRVPTPPPGVLYSPQPSSARKRSPPSRSPSPNRR KSPPWSHSKDATDMPHVDPERAMERERQLTERLQQRDARDAARKPMTEEEKQAAAKAE YDKLLNMRSGGTYIPPARLRALQAQITDKSSKEYQRMAWEALKKSINGLINKVNVSNI KHIVPELFGENLIRGRGLFCRSIMKAQAASLPFTPIYAAMAAIVNTKLPQVGELLLGR LIVQFRKAFKRNDKAVCISSTTFIAHLCNQQVVHEMLAAQILLLLLHKPTDDSVEIAV GLTREVGQHLEEMSGPIALAVFDQFRNILHEADIDKRVQYMIEVLFQVRKDRFKDNPA IREELDLVEEEDQITHRIGLDDEIDTQDGLNVFKFDPEWQEHEDAYKKLRAEILGEGS DYESGDESDESSEDEEAEEENQMEIQDQSNADLVNLRRTIYLTIMSSVDFEECCHKLM KITLPPGKEPELPSMIIECCSQERTYSKFYGLIGERFAKLNRLWSDLFEESFAKYYET IHRYETNRLRNIARFFGHILSNDAIGWHVLSLIHLNEEETTSSSRIFIKILFQDLAEH MGMAKLKERLTDPILRPSFDGLFPLDNPRNTRFSINYFTSIGMGALTEEMRENLKNLP KPTMPALPAATRNDESDRESDHLGHTHGPLHRDHDVTAQSAEDVHIQGLGLDLDLSLG HLSEMTERVTDVDPIHLRFRGLGLYRILDHHHLLRVHAVGDRDRIRARYLAPHLVAAV VELLLDDGHIVVPRPDLGLVLVLALILPLLHHELAGVNFIDTVGYCIYTLGSFDSWVF TSTA_021580 MTMFPPPPSLEQQIEYPYYFPYSHPTTQIAMCGQPLYAESRYRD DQHTSPTAFLSQYAPSPNQYYHHTQQGYHVYGYGSRKRSLCSTGTSDMYRNTTTTTAT YNGGEGIFSFQAGVHQMSPVSKGISHIIIDNIRPGIDIKTLQDHFRDAGHVLYCQINR YNNNNGGNGKEAQCNESSYPGQFYATATFATAEEAERAVGMYNGSELGGSRVVVRLDT EWDPFAVEKSNMNGEGGEGDWSRSQSYSLSFTTSNAPLASSVSSSTETFSSYSALVSD IIIKIAIGEILEKNYSKELITLSFLREMLHQIV TSTA_021590 MFFTYKGWQELKDFIRQTALHIRQAVVYDDQHGYPTGLGQIIVK NEDEAWRTYRESYLLSRQYYGANILIGKLSATGWDGQPLTVTLARASSPTRPIAGPAK SSSPSSYTVSYRENFARHSVAGTPTPESPVTAE TSTA_021600 MDDCYDSLPWTFQQHETNSVNGDSKAAPTPPPPRLVDVDNSMPE GDLMWENAISNGSFSKVFGPGMRCGWVEATAEFTKRLNEVTKTIEEYLGPLSVKVKIG RLHALIDNNTEQSLAGQVMGGFFIYILFREGIAADDVATVSLKEYNLRGNEG TSTA_021610 MLYGPNIGDPALRKGVAEWHSRLYCLRSPPSTNPDAPEPKPISS DLEPTYFLAYRFFEDAGFQGRLVGVPEDDDGVDVEFLRAQMNEVDQEDTKSSADAEKC TFKNSPQYPKLYRHVIYLVPTFSNTECKGVHARPTRGSC TSTA_021620 MPQVKTTLDAEREAFDKEVAEIEAWWESTERIAALRGTVKQKYS SSEMALKLWDQMIEHEKNGTRDLTFGCTDPLQAGVMAKHQQGKFLFQATMMLH TSTA_021630 MDHADYPRDTVPGVVNKIFRSQKWQGQRQRQYCFLYPKEEREEL DNWELLTLIVADGDMGLGGLTHTMKITRAFVEAGVAIFHLDDFAIGAKKFITGEGRTV IPTSEHLSRLTAARTQIDVMNAETILLCRCDTNHSSYITSIVDSRDNTWVLGATKPVD PLTTIFNRALANGEDITAARKAWINSAELKTFDDAVASVASTSSIEELKSYQTAVAEA TTSTSCPFLSERRALAKKHLPEPLFSQLFFDCDLPRTPEQAKNFPWDSAKEIRCGMAC SAHLGIARAETRYGRVQGPELGVTYTDLHIRGYGVRDVDVEDSGYARVKFSGAYLADA LLETATMNIYGAERCLRMGEGVVKGPK TSTA_021640 MISYTRYLIVVQFHSAEVEPEPIDDTSRIEIQMRRRAFWVAYGL DRLACGVLRIPLSIADDNITVPTIATEASLVESANSDAGRIITRITKDAADDIRSKLA NVKSMVLNREIIRMVREMITEDLPEDADKTPTEAFQISVKRSIWNLHFQATATVHYHI SS TSTA_021650 MASASSSLTTGIPSISNTIRQDHVQLRNEYNKILGTFDPEERVR WQNQFTWDLARHSVGEELVVYPALEKIVADGKRVADRDREDHQIVKEYLYKFQALKPT DTEFEPTLRNLWGDLSAHIRKEEEEDLIQLENGLSEQDSLKLAANFARTKMFVPTRSH PMAPDKPPFETVAGLLAAPMDKLVDIFRRFPE TSTA_021660 MTIGLHQWRECSPFAEPKEVTLERRFVPQEIYGANIDEKIVQVP VHKWVFLACENASRCWSHNLPAHTDCLVIAVNGACQRNAAGKVETPLGCMLARIAHTT SGINIDVEDAGRAGGHVAELHAALYGLQQAAATAWEREMSEERDSGRPSMIAIKSDSP YLILAWEKNGYRTTKGTPVVHTELCEKLEAAYWSLCGAHESRRVYIYF TSTA_021670 MALTDATAFQLCMANAAMFMAQRKQPDTFQYEKCSEALEYYGQC LRQVTKRLECRDDCTSEGVIVTVLGLICHDIYVGSWTRWETHMKGLHRIIQVRGGYKN IDSNLALWASWYDVLGSATHDTYPHFPEYMNNLPSRLPGRTPLLEHIICKLMHENNAA MCPVINALDRIKVIADIVNARHHEPEFWRREDDLSPLHTIGPVAHILLSTPRLDLQTD GTIDSAKLFCEMTRLCMLILLAALKRLYSFALDEIELTTLTAKFSLVLSIYWRSPSLY QPDDALQSLQLWSILIVAALQPFVDRTLYVAEIRRCMHHMGIQSATDALQRSRDIAWV DVVCGMGAENETLLTAIDNAAQP TSTA_021680 MDTLSLTGKVAIITGSGRETGIGAGIAAALARNGAWVVINHVSD TTAPRAAKVAESLNQNTSGKAVVVQADVSSAEGAKMLVNETLRLFGVDHVDILVNNAA TGSLEPFLNVSSSSMESTFRSIVFAPVYMIQSVIPHMPRGGRIINIGSVASKICPSPI AIYAGAKAAADAMTFAMSMELGRGYGVTINTVMPGGVATDAFPKEIMEKMHEPLIAMT RAEERIGTTEDIGDAVLLLCNEKSRWITGQVISVSGGVTGG TSTA_021690 MAGSPSLYSFADIDSLATQLRKYILNNQNAALKKHDVFRVAVSG GSLPNTLAKALLAPGNGSPEDTAQFSKWEIFFADERAVPLNHPDSNYRLIKEELLDHI PANLGAPKVIPIDEKHVDDEDPTELADLYTEELKRSFAAKDSVKIPIFDLILLGVGPD GHTCSLFPGHEQLREEHAWVVGVSDSPKPPPKRITLTLPVVTHAVSIAFVATGGGKKD ILKRIFDTDESHTLPSGLVNTLAGDKVSWFSDNAATEIGIDAAAACQPSFRLHFINPT GPATRHPRSLNVNKHSDANHHNAQWPRKPSWKPQTASKTAISHDRLFDSRTVHLDSHR QCIQQKESTSTAHGGPESTTQPTRNTEQNEQLPVKTQTTTTSEPSVDAQPIPRTPTEP EDVNIPQAQPVATSTNPPRSRAKTESRSERISTRPKSPIKPQQQNDTKSAPKKPSMPP PARPTRSASLRQLSAPKISSVGEARGHARHRSQVLNTGATPGLSAPSRSQEKSGTGTT TTVKQARPQFTTYQQHFSPKKEKEVPRSVGDRRNTGKSVAGNEVVNASPETAALQTEF LQLYLLHSSSIRENAEWKLRAERQLRDKYYEVAASYRIILEEERRAQEQLNLEALYDW SLESASLAEDNNGATFQAEIQSFSRVTQEVADMTAAGSGRYALVVQVFEEWLDRAEHI KRSREDQNDSKEWEPEYIDTLGPTWRNEVDELTVKAELCLRELLKTSLFVLDETKFFE KHSDSALVRLARGHRDIWTSMIDELKLMRAVESETVRLEQAWIACAADQVRIDPGMGS GAGIWKRL TSTA_021700 MKSSLYDRVFQRELGYRPHDVSLKGLYGASEWIDELDIVNELGG HTGCVNALSWSNSGQLLASGSDDTYLNIYSYQPDSSASPFALTTSIDTGHTANIFSVK FMPHSNDQILLTCAGDSEVRIFDVEYSFKNGSANASTETFSTRSRRMAHFFTGTRHLS HHNTNSRVYRSHSDRVKRIVTESSPYLFLTCSEDGEVRQWDLRQPSSAYPAPRGGQGF MAYRPGVHHDDSNIPAPLISYKRYHLDLNTISCSASQPHYIALGGAHLHCFLHDRRMV GRDLLAEKGRIAGSTPSAGTFEDEMMSQATRCVRRFAPKGQSKMKPQDNGHITACKIS DARPNEIIVSWSGDQIYSFDLIRSPDARERSGDGVKTANSTRKRKREKVASATSLVSG NRRHLSYLRSDDTPGDGLEMSFQVRYGNGESEHIPIPMAEEDTPEDIIERARVSVLTE AQKLSLEIAKGLVKMRKALFSLETSVREMSQAQRHYDLTPYQSSFTTTLSHASVCLEQ MDEVNGSWRYPMNPSPDVVIMQQTLRRNREAARRFVQASGTLAFTLGGRGIDESQTAR FAQIVPAPSEDGIIDSESQFGYDFLKAILLWLDGGRQSLLEGFKLGQNSPRNRARFPI PEFEGEQGIERYLVPYLLELADSSPIVNVDASRFEHDESRIIFSTQHAAVTAFKKAVR LPLEELDDVAVVEDSDDSSNRVIRALNRRAAVKFWGVRVGRGLLMSVGEGINFEFVNR AFGGLRTFLKEDNEDDDECERLQEDIDPEDNSDEVEEVRLVTNRHTATSDGVGATADS RGVNGPSDGLQDESDDFDMFDERASFEFGYTDEDDDDDDDGEEDNDMNDDENGDSDGD EHDVDDPVFGFLSHGGLANHRGREDVDGDVPCGTHEIVYSGHCNIKTVKDVNYYGLDD EYVVSGCDSGHVFIWDRKTAKLVNLLEGDGETVNIVQGHPYEPTLAVSGLDNTIKIFS PDRRAQYDARHGVNVLNPDHPANMFGARPRNTAGSSQVFGLRSCKRIKNSYQIMSQND IERQGGLSDAFITRSMLARLAASIGQRHTLGTENPAGVEVGEGATLVLDENCTQTSSL PPQRFGPATFGSRDILGGYISFMTTNTDIQSQLSNLSTKIYNNHDPHNPQIYLDRAKL YAELGFHDLTAADAYRSLTLLECVVEPESAEYVAQRRVHPPNDGEGEEVFVEITYPEY ESMIGETYLLLVSSLVKCGCLRDAFEFRDRAVEHLSSLIESNESFKGIISRLKTVDER LDLSSEKMITLPGQGNARRVLYPWNQHEPDRKAPETLDLLNRRLETVAPDLEVKAVAL PALHGDAKQSAESGEGEVSIQLGLFAKRDLRPGEIILRESSLLTATNRLHDDLCDACN GPLPDLSSTTTDTNEGGGGAVACQECDDTIFCSQKCHDEAQSTYHGATCGQEGLESIG KDVADPKDKADYLYFLLLGRAIAMAATQDVHPLDLPEVKYIWGDFHEYHPDTDEADSE VQDEYSLPFSFQLNILQPTRLLEEMGLDPFTILARYDTWILNTLYAKFRGTASGRLST WDGGPEVCAVHPLWCLANHSCDPNVRWEWGGEICFMVRKADEKAVWRRKNGEEKSRIE KGDIFIRKGEEILNHYCDIGLDVKERRAWAKGALGGLCQCARCQWEAADDRS TSTA_021710 MSSNSKVSLANAKLQAFTAPPASPQSPRRLRKYQSHQSLTSTAF SSFGQPLPTTATAGHNGSNNRQRDTSMTQDVQSDTNVRPRTRGRSNSDSGSSNPTPLT TGTVRPKRPARKTDSSGFLIKRSSLDALLRDGPADGRLLDTLQELRLMVLSNRVDADS DGMSTYRIYLWLALLGVPPLPTDEYLALVHRGRSPAYAKIRNDTFRTLATDPLFKRRV TEASLIRLLNAVAWKLHDAQQVTKPSSRPSSRRKELLELAIDSPPTIEEEPEGGDSVQ PLDNPTSKPAIYVQGMNVLCAPFLYAARSEVEAFALFHYFVTKECPGYVRGAMDGVHK GLRLVDRCLEIVDPKLAAYLFSKGMYAELYAFPSVLTLCACTPPLPEVLHLWDFLFAY GPHLNILCIVAQLNRMRDAILQSESPNKLLRSFPPLEADKVISLTVSFVRKIPDDLYG DLVDHAK TSTA_021720 MRLHQYDYIFAIGTLFAMLDAYNNGANDVANSWATSVSSRSVSY RQAMVLGTVFEMLGAITVGARTADTIKNGIIPNSAFKGDAGVQMLAFTCALAAASSWV MWCTRHSAHVSSTYSLISAVAGVGVATVGASKVQWGWNDGKGLGAIFAGLGMAPAISA GFASAIFMLIKLVVLIHKNPVPWAVWTSPFFFLVAATICTLSIVYKGSPNLHLNKKPG WYIAAVTVGTGAGVCLLSAIFFLPFLHAKVIKKDPSVKWWMFIYGPLLFKRPAPEGEF EAKVPNYAVVQDDDDKTVVGSPDIVAQEAEKFDKADASNTSASERDERHLVTSEVKQL SYKDLVAQSQDKFHAKLMQKRGPLGWAMRTLRDNPMGPGQIYELHNIKILAKRIPAMI TCGLLYGLHYDIHAAQTGVAGTPDGQRMQRVYDHAKKYPNEVEHTYSFIQVLTACTAS FAHGANDIGNSVGPWAVIYAAWNTGSAAASKAPVPVWQLAVLSGCISIGLITYGYNIM KVMGNKITYHSPSRGCSMEMGAAITVLVFSQYSLPVSTSMCITGATVGVGLCNGTIKA VNWQRVSLLLIAWFATIPIAGTLGGVLMGLFINAPHFTK TSTA_021730 MNSNLPYKPSPLSFNSPRASPFRRPSSPGSPTTAIRSSTPPANS PGRGHTPVTSPSKLNQSYTVGDNDEALTEDDQPVSPAKSPRWREPPVSPTKGAAAAAR NSFSSQISARSLRLNIVGNDTLSKLPASQVRDMREAFQVLDRDNDGSVNRDDVADVLS NLGQDPSSTATAQYFPAGAAQTMNLPTFLNTLSGLLAPLSSQKELLNAFAAFDDDDSG QVDVAELRDALLHTNPDIGESPLTEREVDEVLSGFTGRRAFGGRAKQAFSGQKRGDVF YYEDFVGSIMGSSDNGNGSNSAAKPPPAT TSTA_021740 MSNPFPSRIPTGDSQTEKFPLAPSFPSSTQLSASGKSKLSPAAS FLRRSPSNAHHARRQSVQHPDVLRSTRRYKSQYPLDSSERHVEYILVASFHIDRGPIM EHQYPSAISGDEGMLAELMLPDQTHVRSQDWTIFFLHKDTESDDEDAERESPKRRRRR RRKQQTEIEQESDADSNNDEDDQSDAAESTDDEEDSGEGPPLMYVLNLVNTKQDNTVR RGAVVKAMAICTRHSFLHIYKPLLLLALEDYFKSPYPETLASLYNAVNAMDLSLLPRF NLLERSILQASDSKDMFIEKFERMIEQRVADEEADRGPDASPESPTARSRYALPRDTH EFESKVVYNDIPIPVKVPTVIWPETVGDFSLIKLIQIFATPHSTTPQPFALHPHLTTN GAYTHPIIVLVNAMLTQKRVIFLGHNRPSGEVAEAVLAACALVSGGILRGFTRHAFPY TDLTKIDDLLKVPGFVAGVTNPTFANHPEWWDLLCDLPTGRMKISSRIEQAPLTEGLK FFQHPTGGNASNQGADPTGDVAFMDDILRSINQRYGEGVIRAKWRAFIYKFTRVAAAF EETVYGASALYIMGPGEDATSSPSGSKGDSADPASLRGHGYVWPDEASKQRELAACVY RIEGWRNTRSYYAFIQDVATSYNATGPVKQMDLQHHHDRLRSLKLPAEEAAAIYLAFS HAIRDYAGVCQLLTVTPESQAGLFYVSLGLFHPDVAVRDATVDLLDRIASHEAGRHFW NQVGRFTKLAYMRIKRERDALISPALYTASQGDPFGGPLRQQRSLVGIALGEATNRRS TSTA_021750 MSISTSSHRFIGVLSYLTLILFLITNSYGSPLPNESEDAYDTAS AAPYEGSNDTVQSGKSTEVESVFLTERATSSSSNASFPTAFDTIGNNFTASSCPQFFE YFLTDETYQSCHAVSLLLQNSNTFFKDLASAVTLDQVLDTSCSANTTACSTFMNNLAA NLTSDNNCGADYKLGNPIVTQAYNGMVSYEPLARAICLEDPKTHEYCFTEAATNNTNI SGYALYLLPLGNSLPGGSRPECNQCTQATMAVFEDSALVKGNPLVQTYIPAAQTINLG CGPNFVNATVNVGTQKSGSSSKSSASRLSTASPSLQSQAMDQYPLLGIRGPRHLVILH IKNIANSLFKKIMTRAETKTCQPGGRASNLACRTVNRPREQRQRILISDQYEKKIEII EERLARIEGLLENLTSLSAAFQHLAPGLTPTTAATRSVQETLKTEKAPIQSPPSLSAQ APTPSTHGDHGLKTAGSNAAFEGASSLSAHSVHASRIIENAMSNDYTAFTRDPEMQEA LFALRHLIDRQHSPPVNQDYRFPNQQVDTSSGIDFSSVKMPPLESVVSLLRLCKESQN FLQHPFIDFGQFNELCKRVYFATEDYSLGTFALVNGGLFYLFQHIAYLEGKNVPEAFE NAAICRANLEYAISRFSVFMAPNSENLQAILIGASYAIDISQPSLCWALVSTAARLCQ TLGYHRSVGSPGDNQLDTNLRKRMFWFTYILDKCLSLRMGHSSIMQDFDITLPLSDLS ADSQMTMWDIMYHQWIKIGYFQGRIYEELYSPRALSGPATERTQRAKQLTADMQLWYQ ESQTQLDPSRAYNPLYYAAAASSSEIMYYGLSTLAYRAVPPGPLDSSTIFSNECIAMA REALRAHQRNTERYKHTNSYIWHGYISWVLINCPFTPFMVLFCHAIATANLSDLKCLG DFVSSLQTSGETVEAAEKLRRLCHVFHRVAELYIHSKIKQQQQQHYQYDSGGLDQQQT QNHVDNIQYHQHQLTTSSTPLNNIPTPQSNHTDQDNAFKYNNNPNPATGQSFNPIDDF EPYLSALGFPNAAGFLNIGQQQQQQTGLASQMATPMTSAAPGPAEYNSTENLEASFDA NSLENWFTGNVNLMSLLEMDLSSIIK TSTA_021760 MPDTLSPAGSSTYASDTMYIGDGTWDSTRNTFLLPNLMGLNFDT MRYNGMGNRFRDMDGYHSMIVAHGVIATIVFLGFVPAAVMIVRYYSIYDRYWAYKYHV WLQILTLLLSTVVFVLGWFAVGPKRSLTNPHHGIGLALYVMVIFQAVWGWFSRKIERG RRHYRSPLILVLHRWIGWATVLLGIAQIPMGLTLYGSPKSLFILFAVGAFFWLALFLI CSYIYDEDGVYYGPDTDSRGSYVSGPPPEDERRHSGFGRMAGAAAAGAGLAALFSRRS RHHDESDVHSSQYEDKYSDESHRPSWGKRVLEIGAIGGGIALARSLFKRRRERESDDE SGRYRPTHARSDSMTEETVSRVEEGRAPSGTQGPYMHPARRQSYSSMDYSYYTQTDEG HDDVQRPSQGHGVRNAILGAGAFAAMRSLFRRRKDDDEQRRVEEIKRRDMEEERLARA NSKKKYTGDGLFPRRKRPSQSVLSSDMTSDITPRPPRTPGHGEETVMTGGIVEGTESV VLPTAPPHRVVSGSATGTDIETAAAAGAVAGAAVGSSRHRRRSGSGSRRSDRRDDVSS PPVSVKVRMHNDGRHVTLRKLTEEEAAASRESKRRSRERRGSRRRGSASSLSGGEGAG SDRWRRVEEMERRQAEYVQREQAAALAAESGAAAANMPHPPPPPAPPSSQPATSFYSG PLQLPTASYAATSQHSVPPPPPGPPPPQHFTSPASHAATSQLSMPQRPPSNMQQYPPT ASQQYPPTASITSPTWTGTEASADYASNRRRRRAERAQARARQQAQHSVEFT TSTA_021770 MASHTVEVFVKALSRLLGWIYTVCWSLSFYPQPINNIRRRSTYG LAIDFPTINTLGFICYAVYCLGFLYSPLIRKQYAARNPASPTPSVQFNDLAFAAHAVV LSAIVYSQFYPKIWGFKVAPYQRVSKPIAGLFWGSLLTIALLIFIILGNSPDSGSDPF SWAWIDLLYAMSYIKVVITVVKYVPQAWLNFKRKSTVGWDIRQILLDLTGGVLSLVQL VLDSSFEADWSGVTGNPIKFLLGNVTVIFDVLFVYQHYVLYRSREDPDEDDEHKFPGV RSPLLSEEDTPASIGTT TSTA_021780 MASFARYKQRIPPAILSIGKYSSVAGLFIAGAWQPWSRQCYFEP FGPENDPLFQTRFLRMYNPNNNPSVNDSCVRKVPLDKIRPELVEDALAGGSMLLERFC AGVWGGYGYALQRRIMTWSCRDESNKHMLWDKKQLLDSAYKEVLKKSSKSIIMRGGMS PSINPDKPREMDNLSEITVNIDLKQGQVEFRLKNIFFNGSENGSGALFPKPVLWLHFQ YCKLLVEAGVSHCVR TSTA_021790 MASPGGGARIMKESKKLDEEELAQYYSNMTYPMGSSPMMSQYPT AMPGSTGYAPTGSLTSYGYQTAQYQNPYTMSPDSAAPMQDYQQLMTSPPPSYPGYMSE TTYQSSLDYPRAQGDVLNHPDNYRLMNYTLNRPTSPTETNDLQEYGIQDPSSGTWRCR HPGCTSKAVFTRACDLRKHFNRHMKYLFCRYEGCPQATEGGFSSKKDRDRHEAKHNPQ IPCEREDCDRVFSRMDNMKDHVRRIHGKRSR TSTA_021800 MLSDVYDSDDALNRSPGLKPSVPKYGPDDSIPPFLQEKQRRPSA NSKRDSSSSPTSSEGRSPKHRRLHRKPKGSLADVVLLSSLAPNRPDLARQIGEEPPPV LSESEDDSRTRAALSSKSKQNQSAAKNALNNMTEEPSRANTSLPSFQHLVSLEPSFSV RQTSPPQETLRRPRQDSLATSNVGKFTIHPPNILDFPALQAPTPSAAVSPENSQILPS IASALASLDSDPSPGAPFAVPTPHLSRGPSTLSTISTGPTPDSSFAPSQMPTPYSHPS PMSLKDLSATSPLSQPQYAMQPPSLTPETSNMTSYSEASLRGAPLGNSPTHSYPTPTE LRAAGEEHLDGAIPGTTLYKCTYPGCTAGAFQTQYLLNSHANVHSQDRPHFCPVAGCP RGIGGKGFKRKNEMIRHGLVHDSPGYVCPFCTDQQHKYPRPDNLQRHVRVHHVDKDKD DPLLREVLAQRPKGSGRGRRRRGHS TSTA_021810 MAGPVRQPIDIQSLERYIDSNVLLIKTPLDVKQFGFGQSNPTYQ LTDTTGTKYVLRKKPPGKLLSKTAHQVEREYQILHALQQTDVPVPKVYILCEDSNVIG TAFYIMEFLDGRMITDPAVPGVSSQERTEIWRDAVRTLGKLHRVDPKSVGMEKFGKHT GFYDRQISTLGRVSQAQAQAVDVDTKEPVGELPHFQENVRFFSNKSLQPRDRTTFVHG DYKIDNLVFHKTEPRVIGILDWEMATIGHPLSDIANLTSPWFLDSAEHKAEGFQRTPG IPPRQQSLQWYAEVTGYDPRPDMDWGDAFFSFRASVIMQGIAARYALRQASSARAQEY TKNMKPFAEETHRRVKAVEAKTTKGRL TSTA_021820 MLRLAFKGWSIFPIFLFRSIGSQDSSHNLFAICSKHTMTRDTTP PPETASAAATTTHEPPSLPASPFPKRTKTASTTETSIASIMGSTGGNDTAVTSLAPPH PPLLVKKLVESAKAPTRGSAFAAGYDLYSAKETVIPAKGKGLVDTGLAIAVPEGTYGR VAPRSGLASKNFIDTGAGVIDADYRGEIKVLLFNFSDVDFTVKEGDRVAQLVLERIYT PDVVVVEQLEESVRGAGGFGSTGK TSTA_021830 MALTKENVPETKVLAIASHVCYGFVGNTMATFVMQSLGCEVAAI NTVHFSNHTGYCQVKGTKTSAEDIRNLYEGLTQSYLTDFDVLLSGYAPSAAAVEAVGD IAQDLQRRAEGKPGSFFWALDPVMGDLGRLYVAEDVVPAYKKAVRHADLILPNQFETE TLSGIKIANTTDLANAITSIHKTYGVPHIIVTSVQLSSLGSSTPSGLMTVIGSTVRSD GTPRLFRVDIPALECNFNGTGDMFAALTVARLREAIFAAGPSLRNTKSWVSPDDVAPT DLPLAKSTEKVLSSMHSVLLKTMESREAELATTANTIDATGLTEEQLQFREHLRRTKA AEVRVIRNVDFLRKPTVVFKAQQWAE TSTA_021840 MAKSKNSSQHNQSKKAHRNGIKKPKTYRYPSLKGTDPKFRRNHR HALHGTMKALKERKEGKREVA TSTA_021850 MSSLRFARAALRVRPTAIRVPAGHRTYADAVADKIKLSLAMPHQ SLFKSTDVVQVNIPAESGEMGILANHVPSIEQLKPGLVEVIEESGGTKQFFLSGGFAV VQPDSRLSINAVEGYPLEDFSADAIRAQITEAQKIANGSGSEQDIAEAKIELEVLETL QMHVK TSTA_021860 MSSRLDISSTDHTASESLLASILVARFLRSNNYHETLNTFINEA GLPPNVGAFRRAQNTGDDKLDGANIVNKWTLEGIIQEKKKFDQTLSFERLGDSHANNE GWTMPAPAVLKAIETPASSNLLSASVEPWQMDDGVQDKDQKATYLVATGADKRLNLFN LDADNTVAASISGLTDSPILSYASIRGGQYHLLATMSGQLLLVRGNEIIDRRKDHTKY VVKVVAYEDHDNHIWIVTAGWDMQVFIYSIKPNSSSGTELEIGNPVGSLKVPTNPESI LLVHHPETRDLTLLLSRRDSTYIYYYNIEPLRTRDSQQRSCKEIGKQNLAPNSNAWVS FSPSCMTQNPRDPGIIAVALSTLPHMKVMIVRLLFPTKRNTGDDERVNNEATPATHLS QSNASLALQNHEDAAIIIQANTFAPQTAYSTPQVVWRPDGSGVWVNGDDGVIRGIESK TGKLVTMLKNGHEAGIKVRSIWAGWVEGEEWLVSGGFDKKLIVWKPERSETRLSSNRG I TSTA_021870 MEVAAPQPTPSTDLVTNTMDIDMDIDLGPFPEGEAIEMDHVNHQ AFAPEFTAENGVVDPQTAEAHYEKVHIRGVDELTTDNIKQFALNYFSDEEPSRIEWID DMSANIVYSSDEVGLRALTALTQLGEEDISALPALRLRTAKTCLSHPESVLQVRSAVK TDRKKPRAHESSRFYLMHPEHDPRERLRRELAEKRRQGGRRDEGDGDYRRIRFDEREH RRRRNKTDDGFNASMYDDDGADPNRRRASSDDFSESEGRRRPRRERRQPQELFPERGG SGGSGRLRDRSASPGRDDLDAMRRSDDEQQQVRRRFRERSPRFSSESHAKNNKELFPE KDSQDTRELFPNRTAASYLKKELFLNKTNSNHRRTDAFDAADETADLFSRRISMPLID GGHDEIYIKGASNDQGMALRGLAGGRGNTQTVKELFPDKYNANAGKELFSNKLEGRGG RRRRAEDMFQ TSTA_021880 MAPTHRRGPWVQEEDNTLLQLVHEQGPNNWVRISQQMHFRSPKQ CRERFHQNLKPSLNHTPISAEEGLMIERMVNEMGKRWAEIARRLGNRSDNAVKNWWNG NMNRKRRGLVVQGTSQQHSSRACNGRIEAPYPRASDHRHQHNEIRRPSTSSITKATLS KSPVTVSQLILHERPVSPNHQDGISRHLVPILTSASNSNNRVIEPSMTSPAFSEVSTL EPPSMVSDHNSVSSASPRTLPSPQMLPVPNDSRLSWFAEQHRRGSVPTFSSYHLTSSS REEAYFSRNSEHESGYSRHWSVDHNYTKSLSETAPPSSSRRDSRMGLQSLLN TSTA_021890 MKIAITGARGSVGRTLVKLCSEAGHHTVQINRTHTEHDGTPNSE MRTADVAKDYEGALEAFRGCDALIHLAAIPDPVGKPNWHVHSNNVNSAFNGFHAAGEL VNAIGLVFSNKPLHFDYFPIDEDTPQRPTDAYALAKAEAEYQAKCFVDWFPQLSIVCL RIHEVAPLKEARKRHKEKWHDSGVRQLWGWVNPRAVARACLLAVESDNITGFEIINII SPTTTQETPSEELAKRYFPNAQIRGDMSKNQGFWTTDKAARLLGWMHDETE TSTA_021900 MLQYLPVIAAAILTGVEAQTQSVWGQCGGQGWTGATICAAGATC SAINSYYAQCTPAAAASTTLVTKTSSTSVGTTSPATTPTTKPSTTAAASGNPFSGYQL YANPYYSSEVHTLALPSLTGSLAAAATKAAEIPSFVWLDTAAKVPTMGTYLANIQAAN KAGASPPIAGIFVVYDLPDRDCAAAASNGEYTVANNGVANYKAYIDSIVKQLKAYPDV HTILIIEPDSLANMVTNLSTAKCSEAQAAYYECVNYALINLNLANVAMYIDAGHAGWL GWPANLSPAAQLFAQVYKNASSPASLRGLATNVANYNAWSLSSAPSYTSGDSNYDEQL YINALSPLLTQNGWPNAHFIMDTSRNGVQPTKQQAWGDWCNVIGTGFGVPPTTNTGDP LEDAFVWVKPGGESDGTSNSSATRYDYHCGYSDALQPAPEAGTWFQAYFVQLLTNANP SLT TSTA_021910 MAATTTAAAIPATEKKTTVTGSTAGLIQSLRYYGPKQLKVEYVP PLECKSDEVRLKIGYCGICGSDVHEYLGGPIFPPKAGEKHPYTGAQLPITLGHEFSGT VVEVGKNVKGFSVGQKVAVIPALDDRHYGEPPCFACRKDKRNLCQRGAIYGLNTLGGG LASEIVVHSASCISLPGTVSLKAGALVEPLSVAWHCIRLSAIEKGQKAVIFGAGPIGL ALLMLLKARGVSLVIISEVTDLRMQQARKFGADHVVNPLAQIEQTGGTPSKDPVTALV HKLTDGQGVDVSFDATGLQSTLDAAIASTRVGGTIFNVAIHEKPLQINLNDLSLTEKT LMGGICYTREDFDAVIALLSDKSIPAEEMITSIVPLSKAIEGGFLELINNKATHVKIL IQPDE TSTA_021920 MATDNERKFEFPAFDDLPSIDGAPKGCLWGFYDRDGVKDEVGSI NLLTPTVVQAAASSEIRTGRHIQLDWPLHSLSYPGFGRKEFEHKVISLHDGLDIYGFD DELHINTQSGSQWDGLKHHASQEHAVFYNGLKFDDALKTDANGIHNWCNRGGIVGRGI LVDIRAANWSSDIKPRYYEYKKKVLPSGFSRYEIPVQDIQDALAYQGTKPRQADILLV RTGFIREHNAASDEERLTATRKVGRTIGVKACEETVRWLYSQHFAGLVGDTVAFEAWP PEKDNQWVLHEWALTWWGTPIGEMWDLEALAVECERQNRWSFFVTSAPLRVQGGIASP PCAIAIF TSTA_021930 MAHSIENGPDDISVKRVWYRSSLFNACVIGAVGFLAPGLWNAMN SLGAGGEEKPFLVNAANSLVFGLMGIFCLFGAPIANRIGLKWTLLLGAIGYPIYSAGL YTNNRFGTVWLVLFGSATCGISAGLFWASEGAIAIGYPEPAKRARYLNIWVWWRTLGP LVGDSIVLALNINSSGIGSISHTTYLVFIVLQCLAAPVAFLLTSPAKVQRGDGSRVII VVEKSWKDEFKALWRTCKNPTVVLLLPIFWAVYFNQYTGNFKAYYFGVRARALMAFVT DVVTIIGSQTMSHWLDSKITNAKKRVVYGWYWVVFVHVVTWIYAWVIQGEFISHPPKL DYKSPGFVKGAFAVWLWAFAQQTAQNWLYYIVGSMTDNIAELTRLTGILRGQESFGQA VSYGLNSKKWYGGRVPMAVNTILLGLCVVPTWIVVHRHDPEDFTKKYTQRQDSEGDTA SGEEVIVSSELKAHSAELRKSA TSTA_021940 MTMTVAMSDNSTIPEEVDVVIAGGGTTGLVVATRLAKADSNMSI LVLEHGPNTRNDPLVVNPAFYLMNIAPNSPRASFYRSKASKDLAGRESIVPIGKCLGG GSSINFMVYSRPQAIDFDAWNVPGWSGEDMIPMFRKYENFQDTDPAIDSSVHGYDGEF GVSAGTNAQPTFQHDFFEACSNVGISTTADVQNFHTANAVGKWNMWIDPKTGLRQDVP HCLLFPLLDQINTGLQVATDVIVNRILFDDNKATGVEYTRNGNDVAIVKARRLVVLAS GALGSPQVLERSGVGDKDLLSKLGILVISDLPGVGTNYQDHNVVFYPYKSSAGIEETI DGVVSGRLTLQEALKQKQANPSRNVIGWNGLDCVGKLRPAEVASLHPILQKAWEEDFK LQPERPLMLIATIAGYVGDHSGIDIGQYFSCGPYTPYPYSRGLIHITGRAVTDEPHFD CGFLSNALDLEKLVWGYKLQREIVRRMSHYRGPLAVGHPTFPEGSNASYEAVDRVSKE QGRFIPIDYSAEDDEAIRTFIRERVHTTWHSLGTCAMKPREKGGVVDHKLNVYGVESL KIVDLSICPLNVSANTYATALAVGEKAAYIIAEDLNIPY TSTA_021950 MTSLKVLICGGGCAGPALAYWLSKCGHQVVVVERFPSLRASGAQ IDLRAQGIEIVKRMGLLDVIRTKLVDEVGFSFVDSQGRVKATIMANKSGKGAQSLTSE FEIMRGDLVRVLYDATKDNVKYVFGKKVEYHEQDEQQVMVYFSDGSSDYFDLLVGADG QGSRIRQAILTPPNTNPYKRLGVHIAYYFVPRTQDDTDTSHMYHCAGGRVIMRRSHNQ EQSQVYLFLRDGSEELQSLSKAPLEQQKEFWSQRFADAGWQAPRFLGDIKTTDNFYCQ EVVQVRINSWYKGRVVLLGDAAHCASPFSGMGTTGGFVGAYVLAGEINRHRDDLAQAF ANYEEKLRPFVNELQKMSPTGVRLFAPETQWAIRWLCILRIPDLLARISSDEKGGWKL PDYPELYSI TSTA_021960 MRSFITFSILLGQLLLTMPFATALTVNANDPNSLKSAASTAAAS AVNYYNNRESKLIPGKFDGTWWEGGAFFTFLINYWHWTGDDQYNNLVAEGLSWQGGED NDFFPSNYSSYLGNDDQEFWALAALTAIEQKFPDNPGHASWLSLAQGAFNDFVARWEV DKASCGGGLRWQLYPTLAGYDSKNAISNGAFFQIAARLARYTNNDTYATWAETVWDWS STVPLFDNSTWKIYDMTRISENCKDQDVMQWSYNYGSYVTGAAYMYNYTNGGTKWKEG VDGLLNTTWNTFFPTEYGGNILSEVACDPILTCNRDQVCFKGLMANWLSTIALIVPYT YSTILPKLQGSAVGAGAQCSGPNSACGMQWFSQKYDGTSAIEQEMSAMSIFSNALVGF SAPTANSGSSYTAPEAPAPVTADTGGNSTSNPSGGQSSTGYQPPKAMKITGGDRAGAA ILTLVFATAWIGMMVWLVIGGAN TSTA_021970 MYETKIINAEKAVEAKDEANWKAGHRAWLIVLTLGFVSLIVALD ATILVTALPTISANLNGSGSASFWVGTSYLLASSVLMPFMGSLSDILGRREILSAALF FFTVGSIVAGVSQSMDILLLGRVLQGIGGAGILPMTQIVLCDIVPLRFRPKYASVSQI AWAIGSITGPMIGGLLVQHVTWRWIFYLNLPFCGVGIVLVPFVIRLKTQKSSITAKLG RIDWLGGLLFIGSMTAFLMAVTWGGVNHTWDSAATLVPLLVGIAGTVLSIIWEKWGTR EPFIRLAIFSNRSALAGYYCAMTQGLVLFIQVYYLALFFSSVKALSPVHTGVVMIAMS SVLVPTGVVVSLFITRTGRIRWALWSGWAFEIFASGLLILMDRSIATWRWVLILMTVG LGHGLLLQALTFVPQAMAKDTDESYAATMYNFSRTFGMAFGVAIGGTMFQNRLKQYLA SAGLDITIANNADQYVGILETMTNQSLRSDILAAYESSFRNVFELGLALTVLGALVSL LIRKSVFDRKHVSKHVLEERYTSSPQDSVSSESV TSTA_021980 MAPEVRVGVGVFVLHTSQEQSTNPRFLMGRRLNSHGAGTYALPG GHLEFGETPEDCAIREVLEETGLRVTRVKFLTATNDYMPAEGKHYITLFMVLEPHKCE SWDWFNWEDLEFAVGKQNDLKDGDVLEKPLFLPLVNLLRQRPGALPIIA TSTA_021990 MARKGPGTDGPLQTALLESTSAATTRASEGQKIFSPIAAFLDKH RSQTTGLAPHLLRALTALSDDLASVAQRHFSAYISGLEQSTYATITQYAPVKSTPTTH SKAHVKKPMPLVKQPLPDNRLFVRLPADHAARKMEAYAIYSSLRSQLNSNSSALKEVQ ATKTGFALCPSSPEALLTLEAQKEIISAFFVNCQIERSSRWVSYRVTNVPRKVGQILD GQYSLIPVNPTLLSSEISETTRLKPISISETTTSAANPDTLSSSWFVNFPEGTKLPLP IQLRLFGTITNARHLSKRTTVIQCTRCWKWHNARSCARPSRCRLCGSSEHTEQGHVNR CTALEPHQCPPRCIHCHGPHPADFPECLLRPKGNSKHTKAQQAEIRKSCAINLAKART EGGCSTQLSIGTQETPMALDEMPTQPPTHEIISPFRSVTPPPRAPTEDPPITARAAPL KILQLNIGHAPDAHEIALTLAYTSDIDIILIQEPYIFKDLSRQITKKHPSYECFSPTD SWAISGRPRVLTYVRKKKGIRTSQLRPFTTDTKEASDLLFLQIFSPTGKSALIVNIYN APAGSIRAGEAAKALATLPEAYFPQATILAGDLNLLHNRWQPSLHRSPTPSAEPFINW LDLQGLVLISDIDCPTHERGNVLDLSFASSPLALAGAKTSIASHLDATSDHQPLITTV PWDQRYKETAQKLRFDTLDHTSFLSLLASNLAGTESSAATEEDLDALAEN TSTA_022000 MKDVFDMSKWHKSTGTFQSPPLKDPLRPNSFPAVTIHEKRDVLV RNLLQNSAEAGDIPLDSPAVPTTSLYFPDITMAQVEESVLQGGNTAPGSDEIPTCILK VAWPLIKDKFGALPLRSANDLTTCLTHDVEQALNQGMTASLLTLDVKGAFDAVLPGRL IRRLREQGWPTNLVLWIASFATGRSVQIRLDGEIGPSIDIACGLPQGSPVSGILFMLY IAPLFCLGNPRNRFGYADDAANLAISTSLATNCEALSDSLQEALNWGAAEGITFAPDK YELLHFSRHKADQDPTCTPSVKAGSIIISENTKRLYLRWLGILFDKKLTFKWHVGETA SKALTVANALRSLGNTIRGVKPHLLQQAVSACVLHKAYYGAETWWPGRTRPGSSQISN RVGEHLEKLTKVILAGARAVLPVFRTTPISVLYRESGFSPPEIELDQIALQTSVRLRR LDPYHPLRRRAEQIARDGRQTSRFARRVLALPNSEQINPLQHAPWYPRESRKSALARI GAPMGRTKEQAAADFTAFQRTIPSSDIVIFSDGSRLIDGRAGGGYIGFQAHHQFLRSS LSYGHGKEVFDTEAEAALAGAQAAITYPTAQFATNLWICLDNLEVATRLLSPSTGSSQ EVFESFRTLAAAWPLRERLPHTKSGSIQIRWVPGHAKIPENEAADLAAKEGAASTPPA PQKSSYASLKRHAKTQSLSAAQSQWQKVAPQSYQDLEITTSPKRPGELQLNRLDLGRI IAARTGHGDFADYHERFNHDDAYLLCRCRARKAPLHFFFCHIAKRRAPRPPGPPSEVI SFLLGTAKGTRKLASWLAETRFFEDICPRQPLLST TSTA_022010 MAGQSGNDTDQAMTEKKTLDATVKAKIKEYTNTAFKQDFDKWTL NNFNTMSVTELGKLVDLLQTNGVYVTNDEQDDEAEKLYTLLSTEKYRPWTKEEVIRHL RRGNTIESKVLNDQFETVINTYN TSTA_022020 MLKGQALDFYYDNKEIWEASDRDPVEGIRAYFEGPEYHRTVLDK WSGISLQNTVDENPEKTLKACLNMMLTELASLYDRLAPKLRNEEFYLARLLQATRTHL ACQLATSKQQDTVPGLTRDLQSGVSQYEDMIKATNRHCTANPSTNTYFTDRRGRKKCF VCQKPDCWSTRHTPKERADSKRRYLDHFNKRIDQYIADYEGTEDDDEELPEELLSAAD DLILTDDYKSGPTHDASSTLFTATFFTTHNDNDTNHGPSITMELANCSASHWIASLFL KPGLETDLYKTSKATLKVLTPESSHVYLNEGRYSSESFKGIVIDTGAAQLSTAGYGQY LAYKRIVRNIDIDTTTAGMVIVQFGPSDPYQSIRSIDVPTPIGTIQFHILTTTTPFLM SLYELDRLKLYFDNTCNLLVNKKTGKITPVIRQFGHPFLVWDYSYHTHLLASFDHNPC LLTDTKLRRLHRRFGHPSTDRLRRILTRAGHETNKEAIKHIRKFCHHYQMYDKSPGRF RFTLHEDVDFNHSIIIDIMYLDGDPVLHIVDEATHFNAAAWLLNISTKVVWDTLRKIW INTYLGPPDLVITDAGKTFISREFSQSASAVGTIIKTVPVEAHWSIRTVEQHHAMIRR SYEIIKKELPDLPKDAAL TSTA_022030 MVEYDPPAPTITQRAAALKKAMTEVRDALNTRNGPASTAVHLLP INSDVRIWREGNTGYAGEWKGPYKLLSVEGETCTIQFPDGPKQFRTTVVRLYYKAPDE NNQDTNSEHTNEEPEAPLGTNSTPPTPQDDEPDTSIPQARPAQRPQRNRQLPARYRDD LIQSVFAQFDQSQEKEINGLLENGVFKVVKVDDIPKGTRIFNSRFVNEIKNWGTDKAF EKSRLVVQAYNDKGKEILTTPLARNFYIRPPPELVHLFPPGTILKVVKPLYGIPEAGN HWFRTYHSQGFGIVGMQTDDTLILANNTFANREENEIKQANILCKPREKLTPSNPLKF NGGLITEDAQGTTLTQERTCKLIRPVQDRHADTTSSRGKVRKDVSPQEQYVAQRALGA YIASVSQPEASFDLSFAAQITNPTKDDIKSLNKRLQWQLDNAERGLHFVHLDLDSLRL VIFADSSFANNKDFSSQIGFVIVLADAANNANIVYWSSIKCKRVTRSVLASELYAMVH GFDSAASIKSTTTQLLHLTKPLPLVICTDSKSLYECLVKLGTTQEKRLMIDLMCLRQS YERQEIAEIKWIDGESNPADAMTKSKPCRALQALIDTNKLNINVDGWVERSTTPPTKA TPISLLCQSCTAHHPIPIS TSTA_022040 MVLRGILLLSYFLFGLHMVFGAAVQPCSGNTAADRSKWCTYNIH TDYYNVVPDTGVTREYWFDLRDRVLAPDGIPRYTQSINGSIPGPTIRANWGDEVVVHV QNNFQNSTNGTSLHFHGIRQNYTNQNDGVVSVTECPTAPGHRTTYKWRAAQYGTTFYH SHFSLQAWEGVFGAIVIDGPASANYDVDQGALILTDWGHQTPDEIFYHESRHPGPTYL ENGLINGMNIYNIGDKQVGQRFTIEFQPGTSYRLRLINAALDTHFTFSIDHHTMTVIA ADLVPLEPFTTNVITIGIGQRYDVIVKADQARIAHEFWMRAVPQNDCSRNNNTENIRG IVYYGETVQGQPSTSGYNTTTGCVDQTDIAPIVSKSVSEDFVYYANEALTIGKNTAGL FVWQVNDVSMQVEWENPTLLQIYNNVTDWSSTEGVIQLDEVDKWIYVLIQSSLPISHP MHLHGHDFFVLAQGTGAYEPSAAVSNFDNPPRRDTAMLLAGGYLLLAFQTDNPGAWLL HCHVGFHATGGLAVQFVERYDEIRDLIDYESLSQTCKAWDSWEKSKGLVEVDSGI TSTA_022050 MEHALLDGTSTNPLKSFLIEGVKEEQDFIARQKDGQNGVTAGPM EWLPVITDSVIDGRVSAIQRDFEARTPLYTFEGFTLSSVSEALFRNAKIAPKAGVQLA IQLASQRFFGYHPSSVETVSLTHYHHGRSAVTQTLWPAVVDFCSFYTDHNATEDQHRE LFIAAAATLTNRLARAFQSGAFFRYMLALQGLLEDGDEVPSLFSDDVYKRSQRPILTT DCLDTDVFESGIVLEPPGSIWIHYQVLKESVVFSIWGHDADLTEFRRQLDIAIMDIKT LLRE TSTA_022060 MPDLLWLWGGEIKSDGTTEEIFVELPKQPIPEMQEMLQSFLTAV ACISTPEELEKTKQHVQEFMDPDSPGRQLHDRFVKFASDPSTDNWFSDILVKKNYFGT HPVMDGVQPTAVERAATVSLAALKCKKSLEAGEITADVIAENTLDMNSYNGLFNVYRE PYQGQDRIRKFDGYDHIVAFKNGLAFKVPVKEVTWHSLKATFEEIIRTAEGEQSGIPA LTMDKRDNWAEMRAYLRCVHPANAKFLETIETAAFTLSLDDNEPITQSERA TSTA_022070 MPFLTYPAALELQKELSGTNAEVITLADDDYVESLDRWSATSEK EAGAIVRVTSVEDVSTVVEFAAKRYVPFAVLSGGYSTNGASSTYGGIVIDLGRMNKVD VQPSSSTISVEGGAKWADVNTAAAQHGLAVVGPTVSQLGVGGTTLGGGIGWLTGKYGL VVDNLIEAQIVLADGSITTASETENPDLFWAIRGAGQDFGVITRFTFKAHPQKNDVYA GMVYLEPDKLPQLVDYVNDLDSKLEEDQGLFFGFTNSNGRTNIVLILFYNGPQDQAEK IFSPLLSLDSGRKEIGMMPYYKANELLNRTADSAGRKRLSGTSVTFPLDMGFFQTVYQ HFSHVLDDYPGDGEALLFFEMLPYNKVVEVPNDATAYANRGPYYNVCSIFNWHDAKID SKVRTLQQGLMNLIREEHIKKSGHGVNMYANYTGFEANAKDLFGDNLSRLKELKKQYD PRNVFRKWHDLLLQTGSSV TSTA_022070 MYADNTMLIKGAIVRVTSVEDVSTVVEFAAKRYVPFAVLSGGYS TNGASSTYGGIVIDLGRMNKVDVQPSSSTISVEGGAKWADVNTAAAQHGLAVVGPTVS QLGVGGTTLGGGIGWLTGKYGLVVDNLIEAQIVLADGSITTASETENPDLFWAIRGAG QDFGVITRFTFKAHPQKNDVYAGMVYLEPDKLPQLVDYVNDLDSKLEEDQGLFFGFTN SNGRTNIVLILFYNGPQDQAEKIFSPLLSLDSGRKEIGMMPYYKANELLNRTADSAGR KRLSGTSVTFPLDMGFFQTVYQHFSHVLDDYPGDGEALLFFEMLPYNKVVEVPNDATA YANRGPYYNVCSIFNWHDAKIDSKVRTLQQGLMNLIREEHIKKSGHGVNMYANYTGFE ANAKDLFGDNLSRLKELKKQYDPRNVFRKWHDLLLQTGSSV TSTA_022080 MSEGREKLDGSSSTSKQASVFEESKTTEAVFDSVALGLVGPQVK RHLGPLSIIALGFNIANSWVAIATSIAIAIAAGGTVTVIYGAIVASFVYLMVALSLAE LASVYPTAGGQYHFASVLAPVGWSRGVSYACGIMATFSWVGQNASVVILGAEAILALA SFFNDGYVPKTWHYFLVFQAINAVFLIYNIFLMRKTPRIHDIAFMLTLTTFIISLITC LVRSEKQSSKAVWTVFENNTGWPDGIAFLTGLITPCFMFGGLDATLHLAEEVEQPERN VPRALMSTVSIGFITGFCFSVGMSYTITDLEDLLSNPMPIYPLWIQATKSIAAGTAFM VALVVIVLLVSNSIQQTTSRLIWSLARDDALLLSPWLSRLTLEVPGPALLLNFVATFI LGCIFLGSSAAFNAIVSSAIILQILSFAIPIFFLIWSKRSPGLLPKNRAFRLPEWFGW TANIISILWAVVELVFFNFPGVIPVSGSSMNYSSAVLVVMGLVGTVNWFAYARKNYRG PRITFEFSSPT TSTA_022090 MYLISVISYLAASIYATTLGMSVGIAVHLPAVQGHIHSLFFLSY TYSLSDIIMTASALPSAHLPRVEVFDAGDAQVQEVVDALIKAGGCVIKNALSVQDVNA IEANVRPHIEADSPWSGKFFPAETRRVMAMPGKSKLYMEKIVFNKLYQAVCDKLLSSE YGCWVGDEWKQSCSKPQLNNTAVLSIGPGARDQELHRDSMIHHCRTRKMDPSEYVVGQ ETGIGFFVAGKKTTVANGATRFIPGSHLWDHSTPPKEDQCVYAELDPGDGFILLASAF HGGSANKTKDEERLVYSVFMTKGYLRQEENQYVANKFEDLKDMYDDAALETIGYGLSR PFLGWIDALPPLDWLRGSRELKDLF TSTA_022100 MPLPVIRRPHPRSRFGCFVCRARHKKCDERHPLCSACDRNQLVC SWPAAASKISSGAELPPLPKALRGQGPASDLLRYYIDCTAPRLAGKVDPENPFVTYNL PIAWSNDLLLHVILATSASHLAYISRILRDYVKKLNKVLATPPDDTSGKLNLLSTILA LCFFEVRGPRVVQRHWELTSVQVVSCNRQGALFHHLRASRVIIAELQTKQIQLDQALF GFCLEQYCYLAIVSNITHGANSCNGERNTEFLEIPLRHLNFGSKIYGCMFGCSHQLFE VIPRIACLAKCHSFSNHRANESRTKDFEILERRIENWQPTLGLQQSWLNSPQRIEELQ IAGNAYQQACLIFLHTSFHGPHPPDTHLFARVDVCTEKFLNYFGQLSTESPAWTTMMW PMIIVGSCLRTARQRRIFTDIVNKSTFQMRIVDSTLRFIQWVWEAYDYDQSTYGPYSI ERIIRTKKFNICVA TSTA_022110 MAFESTDSKDADLSLEAANHHSSALLLYQAALNKPNSRAQILLL VCLSFIHRQMPVFSKTYLTQLSKYDYTDGWSILGLTGCPPSVVQVMYDLSLLAFEYER STRPSHKPFDLSTIHKLVQRITSMENDYRHIYSHNDMGNADDVSVSTSTYHLFEAWRK CVLLYANRVFLEHERSPKIMYFSRLILDHISCIREESDLQKQVLLPLFLAGAETQSED DRHFCRVFCAKMLSKTTYSLFTDTRLILEQIWAHTEDNTWWGSFISGRSHEIRGTLSP GELFTKGILVISGEAAVDHRTNSLELVPQVVGGPMEKVVMPKPILVWNLYFVASDQRT SSVPITPFMVRLRVMRSIDWGTSGRLSHFSFFRLVGWHSEDCDVCPKTRIDMVYFQTA EGGAAISLESMELYHWACELEL TSTA_022120 MAQEHKMDQSTSTDATKTKIDFLADKTDASPFTLRPFRAGDLGY MVHRQGVFYDEQYQWGIRFEGLVARIVGDFVDSYDPSKECCWIAENKSDGAFLGGVMV VKDKESVTNSKIAKLRLLYVEPKSQGMGLGTVLVRQCTRFAREAGYTKIGLWTQSYKL VRSEKHESFGVPLTGEYWELTL TSTA_022130 MAPPCQAKGVGDSFDQWRMKIYAVKFIPAYWYSTFYLSPSVLLP CPLDPMASTTRTSLVFQHDAKLNQLETTVILVRPFGDIEELNRQTFKIGGNEDYVVVT EKTIFHPQGGGQPCDVGSMIGSTGLIFTVTAVRMDAVYDGQVMHLGNFKSEDAASSVK TFNSGENIQQAIDVDKRLLYSRLHTAGHVLGASVRHLVKNEVKDFAELKASHFPGAAA CEFQGLIDGKWKDAIQQKVDDSIAAKLLVSVEWWDENEFRRRGLDYMIPDSSLVAPGE KFRVVNIAGLDVYPCGGTHVETTDLCGRTTVRKITRKQGQSKISYSVD TSTA_022140 MSEVEPGHQKPPVYESKDVNESPHSDVESAEGKIAPLHRNLHNR HMQMIAIGGAIGAGLFVNTGAALRAGGPGSLLICYLIVGFMLLQTMWALGELAVMYPV NGAYFDYSLRFLDPSWGFAMGWDYAINWLVILPFEITAAGLTIRYWRDDLNIGIWIAV FLTVLSVIQVFGVRGYGEVEFILSIIKVTALTGFIILGIVIDCGGAPVGGYIGGKYWH NPGAFTDFVGFCSVFTTAAFAFGGTEMSGLAAAESANPAKSIPKACKQVFWRIMLFYV IGTLIVGLIVPHDADYLLNASGAGNTSYSPFVVSIKNAGISGLPSVMNVVITLSVISV ANSATFGSTRTIQAMAEKGMAPKFFAYIDKHGRPIYCVLLQIAFGFLAFINEASSGSQ IFTWLLALSGISNFFVWGSVCLAHIRFRAAWKYNGRSTDELAYVAPWGVYGSYIGLGL NILCLIAEFYVSVQPLDVQAFFENYLAAPIVIALYAFWRIYSAWTKDPTVEKRGWKLW RSVDEIDVFTGIRDTALDVDLAPRVKYDTWGEWVKALPMRLLRSIA TSTA_022150 MKTTILSAACLVPFSAATDVLLPLYVYPLSGAWDAVYNAASAYP DVTFNVIVNPDSGPGAGQYPDSTTIPAINKLNSYPNVQTLGYVHTSYASQSVSKVESN VSVYAGWASYSESNIAVKGIFFDEAPNNDDPTSTDYMSNAASYARGLGLDCIIFNPGA LTTATAYYDAADLIVNEEIAYSSYSKSSTVDVIPSQYRNQSAIILHDTPSGADISSLV STIVGAGIAAFYATEDCCYNSITTSLLDSISSSLENA TSTA_022160 MAPKSSSLASAVLLLIAEATAQVSNAHVAFTTLQEWYNPSTGLW DTAGWWNGANSMTVIADLAAVDASIVQDAIQIFETTFSVAPSVNPSAGIEKNITAHGI MQTTYPAGWPDDTIVATADPTNSTSWLDGANDDAEWWGLAWIAAYDVTGNETYLNLAE GIFNQIASGWGTNCGNGGIYWETTNHYVNAIASELFISLAAHLANRVSDSASTYIAWA EEAWNWFAATGMINSEGTINDGLTSDCVNNGQPVWSYNQGVILGGLAELNRASPNQSY IHSANTIAQAAIAALADSDHVIHDTCEPNCAPDATQFKGIFIRNLLLLHRASPHDLYR EVIEACATSIWANDRDTSTNQLSIDWAGPYVSSANSSTHSSAMDALVAVISV TSTA_022170 MNEIKLISGTSHPDLSAKVADRLGISLTNTLCQPSSIGEISVSI GESVRGDDVYILQSTAPGTVNDGLMELLNLIHACRTASARSITAVIPNYPYARQDKKD KSRAPISARLVANMLQSAGTTHVITMDLHASQIQGFFNVPVDNLFAEPCVLDWVKRNV DASNCVIVSPDAGGAKRVASLADRLGVGFALIHKERPRPNVVGRMILVGDVSNRTAIL IDDMADTCGTLAKAASTLEEHGAREVYAIVTHGVLSGSAIETINNSCLSGLVVTNTVP LGDKIARCPKIKVIDVSGVLAEAVRRTHNGESVSYLFNNVSY TSTA_022170 MNEIKLISGTSHPDLSAKVADRLGISLTNTLCQPSSIGEISVSI GESVRGDDVYILQSTAPGTVNDGLMELLNLIHACRTASARSITAVIPNYPYARQDKKD KSRAPISARLVANMLQSAGTTHVITMDLHASQIQGFFNVPVDNLFAEPCVLDWVKRNV DASNCVIVSPDAGGAKRVASLADRLGVGFALIHKERPRPNVVGRMILVGDVSNRTAIL IDDMADTCGTVW TSTA_022170 MELLNLIHACRTASARSITAVIPNYPYARQDKKDKSRAPISARL VANMLQSAGTTHVITMDLHASQIQGFFNVPVDNLFAEPCVLDWVKRNVDASNCVIVSP DAGGAKRVASLADRLGVGFALIHKERPRPNVVGRMILVGDVSNRTAILIDDMADTCGT LAKAASTLEEHGAREVYAIVTHGVLSGSAIETINNSCLSGLVVTNTVPLGDKIARCPK IKVIDVSGVLAEAVRRTHNGESVSYLFNNVSY TSTA_022180 MAGRDSRLAGISPGLVYIPESRIDLRSDDDIAAQLKTFKEVTSS DKNVWAFWNTGFDSMHPWTQRNVINWVRRLGPSWTVRVLDKVHGSDVNISKFVPAKYL PEAFNVGSMTGPNIGPHSGDMVRLPLLYIYGGVWMDVGMMLFRHLDDICWNAIEDPLN PYEMAGMSIEINPGATNMLNGFIAAKRGNGFIKRWHDIYMEVWKNGRTEQTGLHRHPL LNHLPILYAPTNHLNLPGALKIGPEDFTDYLGHFQCFERLRKLVDHIDGFDGPKYHRE NIFMLPAMTETWKFQLLTAWNGKRQFELLSTKKDVEDDSEKTELYHEANDFVLDLLAN TSTMKLSHGPPGALDSFLADIWDHKDNHDKDIEPGTFAAFLRYGSIHFDQTRIVHPAP VPSSSEKTFNIAVLQPYREPEGVLT TSTA_022190 MDLENSLVSTFGALALTTVLLAGVIIWSLFFTGPKTFNYPIYGA EGEKPSTLMRKFQHQADILLVDAYKKFRGGIFQLYTPDGVRLFLPRKYAQELKGYNRE ELSGMKALADRHLGQYTTIDHESPYMLNAIKLDLNQKLGQFVTDVQNEVAQVINTTFP ACEDWTPVNLNDLLLRIISQASARIFVGPSLNRSKEWLDLSEKFATDVMIGGEKLKGW RPILRPIAQYLIPEVRRIQADHEEAYQMLRPVLEAREKEEAEKGDHYERPNDMLEWIR TRATKNNDKSVDYREQAKIQLLTATAAIHTTRLATLHVLFDLAARPEYIDPLREELQQ VLAETDGPLTKQTLTHLKKLDSFMKESQRHNPPSLATFQRKVLTPVKLSNGLELPRGV IVQCNTGVLDEVPESWGDPHAFDGFRFYKLRSKPEDAHKYQFASISLESMEFGLGKDA CPGRFFATNQIKIILAHLILYYDLALEKPDLGRPKNFMFEVNVLADPTARLLLKRRA TSTA_022200 MEHDIVSIERLRKELHDYINGVSREQFVRQKETLPTPAELFKMR CDDVGVIPSITQNEYAMDIELPQWIHEHEAMQEVIKEVTRLTILINDILSLQKEFRVG QLENMVILYMYHEGLTLEEALDVMLELIRKHYDLCTAAEQHIPKTGDAKLDADVQTYV VGCRDLAIGTAYWRQVIIYCL TSTA_022210 MDTMTKKQSAAQSLWQQAARTKRDSVNSLIPEAWRLPSIPSSND QKDVTGIYIWQFLSAKEIEITETDACGIVSRTSSGAWKAREVAEAFSHRAALAHQLTN CLHEIFFDAALDEAQAQDDYFAMHKRPIGPLHGLPVSLKDQFHVKGVETTMGYVGWIG TFEGRRGSGNELIYESEMVRELRSLGAILYCKTSVPHTLMAGETVNNIIGYTWNPKNR LLSAGGSSGGEGALIGLKGSPVGFGTDIGGSIRIPAAFNGLYGLRPSAGRLPYEGMAN SMDGQNSVLSVVGPLATSVASLKLLVKSLLSQEPWLHDPLVLELPWRDTSDLSQSQLT FGILKDDGVVRPHPPVIRAIDMVVDAIKRAGHQVIEWSPPSHTEGTRLVDEIYVLDGG HDVHSAFRLSGEIIADQVAAMYGKEPVAEKSASSIAAINVKKRNYQRKYLEYWNSTSG MTGTGRPVDAFIMPVAPFAAARPKLYNYYGYSTIINILDYATCTVPVTLVDKDIDKSD ANYEPRNKVDEIAWRSCEITNHLSLDWLPLTINNCL TSTA_022220 MDNGTSATDIITYVGIPLAVLGVLPILYSFIRAILVQRSIRRTL YRHGLLESSITRGSLMEGIVEVELPRCTITPLNRDEDPEYWKLNSHQVFLKGGSWSVF NWNTLVTGRQLVRCQYKDELRVPEADIDFEDLIAFLLDRGAVPDPKGWRLLKSIGLWT PAGTALLLPPRGIPGTVLKVSTPDNADGLLSLQVDWKAEWDSRGSFSLPPFWARLKQP SPGKFLSEEKETVEEPETMDSQDNPFETKEPNGTQAGDISRGSTLVNEATLEDEPSSS QSLIQLIEEGKGRVTTIPNDSFRFKPDGDRVQRILFEDEGVPTGEIREMNSAGETANL WFLCSASSLSRSLDCELWNFTIPNQIAGFVKRKSVPCGVMVLLGILTDDDVPPWSSPP PSLQESPEEVGNRMQRDMYERRLEDAMPPAQAAEARRIRTMRSAHDYHSQHVARQRAL REYEERRLIEAIQSSRLENSTVAQATLTYLIAEKVVPEGYTIEELALAVLYLMVVDNT TAKLIGNILERWALWSQFGGMQKVQLELLIKNKIAFCYASALVAVVYHAEAGHGNPST DMLECLRLWKRVRLAAFVSRPHVGFSKFQIHPMR TSTA_022230 MADAMVEESATTTRSGRVITPSTRAREASGSDNISAVRTSKKSM TQVELTAVKKAAGLIEEKQSGKDGNRDMLKKIGQYLESTYQEVKGLKEVLIKQEKMIK EQSKMIQEQSSTIQALQTQLYPVKIDNANQTVILDRDSNVLPGAIKTLGKENNVDIAK ISWLSKKDTNKAYGSMVVYVTKWTDAKHLIEGNYFDIGGESAYTRIFKP TSTA_022240 MNDDKIRDYGVVATIENAMVTSLMEHSYWTKMIPTERYDGRWLI RSMLWVRSDIEAEQIPVESSDLTAAILRLPDQVVLVVSVYVEGNNEEALISTIRLLRS LVVDYQGRGEIWIDVLIMGDFNRHDQLWGGDHISPIRQGEADALIDYMGEHSLHSLLP RGTKT TSTA_022250 MILADDTQSRLPSFHCRGPYVSQIRQFLNFLGCLFIIPVYYFIT GCTKFPLTLDLMISIFVAEYNRWANENRRSRLYETPPSTPTGDVEKALLSLPNTPPGE VTRCMAAIVGYREDPDLFYRALESYKATDGLEFTLVGVDGDQAADMDMVRVFQMVYPE DSAVIHLNEPLGEIAMRTYNKLVDPDMSSKEDIEYCNELTIAHCCQLAREILREHNIR FDKTQPGGGISKLCIYEPHMHKKAIMFTSFIFSIVIAEALDIEFMWSSDSDTIVLPDS LQKTIATVAGDENAGGASSGLIVHNEHDTLWTKLGSAVYWCELYLTRSTSASSGTSDC QSGPSTVFRISALPGILYCWYTQKVMGKRMIVNEDRHLTTNLLLRGWTVTYVSDTLTA TDTPTTLSRWLLQQVRWGRATHIETFQQPKVYLLNHPVFFWAAMKREVGPLIVFFSIL YYLITGHAFVYFCWWDLFFRAGYTILYNWLRNPDRGPTNGWAWIVPALFFYNLPLPAI QVWSMATVLEGGWGTTMRSNGEMSKQNQSQLWKRWHDLGFFVLWMGVLGGTSTRIAGS LLAWSDVMIFRFIWVGLLFPSAVTFYGLILK TSTA_022260 MLPRKFYKDNRKIPLGFMLLTTTLFFYYLSLHKETVSNFDIPTE QHDYKAEVPSATSESLQAQLQAQRNIQIEEYYAKSEHQPEASSDTPSDSSSAPLYTPP APDYASQGALKTSEITLLLKTGASLVWKRLPIHLLTSLAPERIAPENTIIYSDVPDVI GSHQLVDVLANTSSRTVLESPDFQPYLLQEDFDDKQGWIEGDIAGPIGGWKLDKYKFL PLINHAGHSQPDAKWYIYMEDDAFIFLPNLLQHLATFDWQDTWYVGSLAIKHGEIFAH GGAGFALSRGAWEKSFGADKNIIEKYENFTEAHGCGDHVLGHVLKDYGVTFGETHEAE QFTFGFSPESYWSTWFGEANWCKPIFSMHHMHAKDISRSYNMEKAWDLAQNGLMRHRD IYRTFIAPVIGRRIEWWDNRSSSHAITSSEAKAGENMYVPASVKSRDSWLKSWESVDN CEDACLAWPECVQWSFYEDLCKMSDRVVLGTGYTAKDPRRLTALKTTSGWVPKRIERW TCDN TSTA_022270 MDRTKWLDGLRGIAAAIVAIDHFFMGDIWHPFKSFWADPPEENR RLIQLPPIRILFSAHAMVTLFMVISGYAISINLIRAREQQNQTHQRGPSFFNRLSSAV MRRIFRIYLPVLVISIISQLLFFFNLYNWTFDEGVLRGIKPWTSPWAHFKWLIIYMMD SMNMIAFEYNGGFNGQLWTMPVEYRGSCVIYLFIIGLASWKRNFRLWTLPTLAVYFLW YGIWDIFGFLWGLWLAERTVGSNGFADHRTAEHEMHSLNEMNEVTDDYFEDSEKLLPL YRFPARNYWRRFATSSRMALASNILTAAAFMMGIHLLCLGDDGHLTPGYQWLEALNSH KWKDNWQVYHWCWKSVGASLLVYAVSNSRMLQKPLNTRFVQYLGKISFSLYLVHQAIY HLWRDPLRNWIYLTISGSPYPGTAGADPVSFHLTWWISGFILGPIVAIAAHYYTIYVD NRCVTFTKRVSSAL TSTA_022280 MSSIFPYRFYSRRVKLLLRLRPGRLFWLLLAILNVKCLPLVWHY RFFIPIIAAALRKPITQSMVQRQKDEVPLVFLPIITKTKVPILEGDFNLHKSNSTYFT DLDSSRSILLSFLVFHGMSKTHKELAAEGKNGVMTVMLGSTYTHFKREIPTGHPYEVW SRVLTWDHKWLYIVTHFVRKDKILPRASITEIINSRTTETANEKVLRKHCGMIKALSQ GELESSVFATSISKCVFKKGRMTVSPERVLSASELLSNTTSDASPIDLTAPHDSLRME ANELLQNRITAQSSNGELAAEIEEQRAKGMRFTYLLSELEDLQGCLLKDQEVSNGVIT LGTFSELGHSVFTEPVDTNARTVVEQEFYYFPNNFDLRPQLSVDTSNLWW TSTA_022290 MHIPSVILALSCFSVALGQLADTSAYPVGPLTSTAQKWATKVCD ITKYGAVADGKTDAGPAILAAFKACASGGVVNIPLGTFAMETWVTLNGGNAWAINLEG TIVRTGTAGGNMIYIEHSTDFEIYSSRGTGAIQGYGYQFHEQGQYGPRLLRLHDVTNF AIHDIALVDSPAFHLTLDTCNQGEVYNMIIRGGNRGGLDGIDLWGFDIWVHDVEVTNK DECVTVKNPSNHIQVENIYCNWSGGCGMGSLGADTNISKVLYNNIYTVNSNQMFMFKS NGGSGEVSQVTLQNFIGHQNAYSLYLNSYWSDQTSAGGDGILYNSITFNNWKGTCANG AQRPPLYILCPSTNPCTNINIENFNMWTESGNTEYYKCADAWGSGYCLHGGTQHTKYG TVTSTVTAAPPGYSAPTMPGQLNAGLGITTSIAIPTVPNSFFPGATPATRRVYGS TSTA_022300 MAEFGDVKNLAYGGTSTVASHHVYNEDVRFVDDHERKDLARGLK QRHVQMIAIAGAIGTGLFLGLGGSIATGGPLGALLGYLFVGAIVCAIQFALGEVTALF PVTGSFVRHSEILVDPAMAFAIGWNVVYGNFLSVPSEISAGVVLIQYWNDTINPAVWV TILIVLSILVAIIFIGVYGEVEFFFAILKILLVVGIVIMGLVIDLGGVPGKPRLGFHF WKTPGPFVEYIAQGSWGRFLGFWAVMSNAVYSFAGVESLAMAAAETRNPRHNIPKACK RVFARVTIFYILAILVVGMLVSSDDERLSNESGTAAQSPFVIAASDAGIKAIPSIVNA VVLTSAWSASNQAILAGTRTLYGLALKGHAPKFFLRTTRYGIPYMCVAVQMAFSFLAY MCVSNDALTVFFWLVDLTAAGVLISWITVALNHIRLIQALKKQGYPLHALPWHNSWTP YGSWFALVSCIIILLTGGFAVFTSGNWEPSSFVSSYLDIPLVLVVFAGYKLIRRTKYV SLSDIPIAQALDEAQNDPENVPILKEVWWKRWNFLW TSTA_022310 MSANRRPVLFQESTISKRPAHLNPSRVKEFHGRLPNYAPTRLID LPGLARELNVKNVLVKDESSRFGLPSFKILGASWGTFTAIRSVLNLPEDSSLEYVSKE AAKCNLKLFAATDGNHGRAVARMAQLLSLQACVYVPLNIENHAKQLICNEGARIIIYD GDYDGAVQAAAKAAKATTNGVLIQDTSFPGYENIPAAITQGYSTIFEEIDHDLQLLGL SGSVVVSPVGVGSLAHACVQHYKTSSTTDATKVVTVEPDTAACFYKSFQTKNAEKIST SNTIMTGMNCGTVSHAAWQDLIHLVDASLTVSDYEVHRAVQYLRFHGVSSGPCGATGV AALRRLNLEDRAKLGLNLESVIVLLNTEGERPYNFPLDVSTDDPVELTRLLTRIESTN PTLSSSKGTGETQIANFIEAWLQHRDIETRRFESTPGRPSVVGIASGRGGGSNLMLNG HMDTVGLSSYSLRPLSGDLVIRDGREVITGRGCLDMKSGLAAAMTALLKASRLTLKGS VILAAVADEEDRSKGTEEVLAAGLRVDGAIVMECTMLPLGALGTGHKGFLWLEIEVLG HAAHGSDTANGVDAILNTGLLLKALRGYSKTLPSDEFLGPASLHCGIIKGGLELSTYP ETCSLQIEFRTVPGQSVESITIDIDRLLAEIAEKEPQFRFNRPRVAFSRPAYKLDSGH PFERLAVKAAQTACEEIVTPTGLAFWCDAALLAANGIPTVVFGPIGQGAHGAEEWVDV ESIRKTEKMINSLVAEFCG TSTA_022320 MTFQVEFEVHQHTFAFACTQSWSPIFLPGAEIRKLYQFKIFRSR YIQMSVAIQKQFYGTNSPWELSIGHYRAVRHGQLIYVSGSTAADPDSSPNAPGVLFPG DARKQALYTFGKIIDAIKALGGRGAESIVRTRMFVRRQEDCSPVSEAFRDILGRDNGS GIGTAATMVIVAGFCDPNMLVEIECDAVADAE TSTA_022330 MSVGDISGFLDLESFDWTQDLSIYSAHPSGIQRDDINDWPPGDA GLENDMVFVNDMEFSSTTIPSTNEILPMDSLDVCPEALHSITHQNAPHNQEAIVEPNN EFLDSHTLDLRTNGYRPPVPCEYCKKHRLQCLVIRTTKANPNPIAACSSCVALFRSCS LAERIKRHPCDFETHHPVVGGLHGITEADLASARSLQMSSDLVNKPEDASQCQQSAKQ YSLLPKRTSSRMRGQTKALRQWFFAHSDHPYPTEEEKNELARESGLTRTQTQNWFINA RRRQRIADKSRKSTIHRSGSPMPQSVLSGLTPFQRWRSSPPEDDHAPLELIKQAAISQ PKISYISSSSPNTDRQKISNSYDSHSSSTFASSIAYSDSSESISSVDTFLSADSHEVI RPGSTPSKIPTRTKFSDRSASPRKSDWSRHEVSIHIQLDTWVCEPQNATVWKFGSINQ QCNYCGVDLPSEEHIASHDFESCFERPLGERMFARKDHLWQHLKKFHSCDSWAGQSLH LRRTRRTTLNSRCGFCAETFTTWNQRTDHLVRHFKEGSQMNQWMGDWGFDAATTQSLK RAILPSARSDYFADAQSTLEFWFKEYIVQ TSTA_022340 MARAIERLKQIQETISPQPIVQSGDIVKETPKELWKPRDRPFDS APSVKAIIVGAGIAGVSASILIPRKVANLSYKVFERQDAVGGTWAQNRYPGVRCDIPS HSYQLTFAPNTHWSEYYSSGAEIRQYYERLVKEFGVDDHLYLKHEVLSTIWSDDIKQW VVKVQNLTTGDIFTETSDFFISAAGRLNVPKYPKIPGLETVYKGIVAHTAEWTDDLTK KLKGKRVAVIGNGASGQQIFVDILDDTAHIDQYVRSRQWIVSAFNPNLIAPKIGLPGA HVFTDEEKTNFDKDPKAYQEYRRTIEGYFHGRYEGMISGSKENDQIRQKYEEELLARL GGDKSWYNRLVPDFAPGCKRPIPSAGYLDAIRHPKVDYIDSTTITHATETGLVTDDGK ERPVDIVIVATGFRNGFLPLFPTIGKNGIDLGKRWAEDGPIGYPETYFGIMAPNFPNY FAVVQANTNGLGGTFPLQTEISATYISKVIRKVQGQGYRAIYPSQEATDDFNEIITAF FDDKVIGDQCDGWWKSGFGKSRPLVSWPGTGHHRFDISRDPRWEDFVFERSEGGKRNR FEYFGNGYTEREKSGGKDSLTSYLKEVGKIDIATLHENWND TSTA_022350 MAEKRKKRIHLNGFDMFTVSHLSFGQWRNPKDRSKTKRRDLSYW TDLAKTLEKGEFTALFLADTYGIYDTYKGSAEPAIRYGAQVPMGDPSIPITAMASVTK NLSFAITTSTSYEAPYVVAKRFSTLDHLTQGRFGWNIVTSWKASASKAVSLNTFSLIW RDTELLQLGLALVEHDKRYQIADEYLRVLYKLWEGSWANDALKEDVETAEYADPDRIK YVHHHGEHFNLDGIHILDPSPQRTPFLFQAGTSPAGIAFGTTHAEAIFVAGISPEALA PKVKQIRAEAARRGRDPNSVKIFPMITPIIGRTEEEAKEKYEEALKYANYEAGLAFWS GGSGIDLSQFDLDQEIKSTDVHVDARVHTTLSHLQNTSPDIPAWTPRNIGKWIALGAN GPIPVGTPEKVADVFEEWVKIADVDGFNIGYVISPGSFEDVTELLVPELRRRGLYDPL PGSTLREKIYGEGQKSLRDDHAGSQYKYGVYGGN TSTA_022360 MSFSGHRRNEVYQLVISVHSRETSHSFFSTLADNSIAATPVITF DFSLFKGASFNLDDEMDEKTDIQRILSLPSVKSVHPVRAYSLPETSGYTAGSSFPLPQ TVDSKLIKTTPDTFSPHVMTGVDKLRAEGFLGDGIKIAIVDTGIDYNHPALGGCFGDG CKIAFGTDLVGDDYTGENTPVPNDDPMDCAGHGTHVAGIIAAESVNPEFTGVAPNATI GIYRVFGCTGSTSDDVLIQAFLMAYEAGADIITASVGGNSGWSEDPWAVVVSRIVDAG VPCTLAIGNDGGTGVFVASSAATGRGVTAVASVDNVVTPLLVKNATWSTNNSTAQTFG WIPYTPSNIPNGTYPLYDILNGSNDTTLPCNDNFTLPDITGKIALIPYDTYCTDGSGM VGKVTDAGGKYILWYSARAGEIYPINGTGYGIDSFGMVTIDVGTQWTGIMATGSPVSV NMITPVYEPYSVQLTVNNVTGGLMSYFSSWGPTFEAEVKPQIAAPGGSILSTYPLKQG GYKVDTGTSMATPFVAGSIALVIEARGKTDPATINNILSSSANPKAFNDGESTYPYLG PVVQQGGGLLNVYNAAHTVGVLNISSISFNDTANLVKSAWFQVMNTGSESVTYDISYT PSGTVYTLPSDGSVVPSTFSVGSAPEIVPSSAQLSVSPNTVTIASGQSASIEVTVSLP SDLTASRIPVYSGYITLNGTNDESLSLPYMGVASSLKDAVILDSADKLTYLSRYFNVS AVPDGFAFTLPPQNSTDEEKKQYDFPVPVSPDSFGTRILRVDLVPAHSNSTVKTTEVL GVNIAGSIVNFPAYEQGRGSWHVFWYGQLSDGSFAPPGEYYLLFRGLKIFGDENSGND YESVKSVTFSLTYASPKTTDVLA TSTA_022370 MGSEIDSEAAVQKTAISTWSPEASPVESTKSAGGDINTPHNIFS NPKILEYYTELYEKANYECRHLLDPSLEWTRKEERKLVRKLDWHVCLWACTMFFALQV DRGNLKQAISDNMLQDLKLSTNDYNYGNTIFLVSFLLAELPSQLVSKKLGPDRWIPMQ MTLWSIVAISQVALNSRSTFYATRALLGVLEGGFIPDVVLWLSYFYTSHELPIRLSFF WTSLSITGMLTSLLAFAIFHLDGIHGISGWRYLFLIEGLITLGVGIASFFLMPASAVQ TNTWFRPKGWFSDRELSIVVNRILRDDPSKGDMHNRMAITPKRLWLSLTDYDLWPIYA LGLIAYVPQGPVAYYLTLSLRHIGFNAFQTNLLTIPATVAGIITLLGITWLSERFRER TFISMMQSIWTLPCIIALRFWPGELQGPWGTFALITVLLSYPYCHAILVAWCSKNSGS VRTRSVSAAVYNMMVQLGGVISSNIYRADDAPLYHKGNTSLIIINALVIMLFVLTKVY YITRNKWKAKRLAAMSEEEKVNYVKATTDQGNKRLDFVFAH TSTA_022380 MAPSVAVILEKQETSSLVVPTKATSGNDETSPKIRRIVDEEDGK TPASYPHYLPTWDHGEKYPPLEPFVHVEHGKDADPTFKDLLPEGSSIRKLTPTTGSEV RGVQLSKLSAAGKDQLALLVAQRKVVAFRDQDLADLPIEEALEFGGYFGRHHIHPTSG APEGHPELHIVYRNGSDGEVEAYFANRNSSVQWHSDVSYEQQPPGTTFLYILDSPEVG GDTAFVNQVEAYNRLSPAIQERLHGLTAIHSGVEQAEFSRGRGGVVRREPVTNEHPIV RTHPATGEKALYVNGGFTRSIVGLKKEESESLLSFLLSHISRGIDYQARVRWEPKTVV VWDNRVTAHSAIFDWTTGERRHLARITPQAERPYETPYKS TSTA_022390 MPAVQLVNRNSGISGLVAEAWSGHPGSERKQIEAPILVYKDIIT CWIKLQDTLMAANNMISVFVSEQLDPDPILWSYVQTVIPLLENATAKDILRLNLSSNY DNLLRQTRELLLSAYPGTRYLVGLNQENS TSTA_022400 MAIDATTTRQPNHAEQGTSPSAESSLSLDSAAVALLQFNRHELS YCISDEQRISRPVSPKFDQNTTSKIRSSLAQSPSATQFFTSSEVLQLSSSVQKFFDKI CPLFPIICDLAAMTIVSSVISHGYGDNIETCLALLLVAIVKECDTSTLGSGRGDFQYA LSILARVHCDFSLEFVQTEILAGIYLYRQFKLMEAWKHIHAGCTTLYVLIQR TSTA_022410 MLDKIRVAIIGAGPAGLGAAIEFQKLPFVDLKIYDQARALREIG TGISIQKNTWRMLDVMGASKNINPNEIFRPADGHALQHRNGRTGELLLTIEQNDGPPE HFHARAFRSVLQKALLSNVDKSRLRLASRLERVVGTPTKTLQLHFQDGHVDEVDLLVG ADGVRSVVRSFAFPDHKIAYTGRTAYRGLVPTEKILSIPNFPDAVTFWHGPSDWVYTC NLNGGIYEITVNANESADVARVSWGEQATLEEFRRPWKEFAPIIQEVLNKVTDVQKFA LFAGPRLDSVVSRGSIALIGDASHPLSGAFGAGAGFALEDAFALAKSVAWARSRGYQL GDALDLYDQVRSPHYQSMVSWKLKAYHKVGNFSFDEGVAHTVRNKWSRQHKWLFDYDV QAVWKEAAALEDSKRRHKRSVELGAHL TSTA_022420 MTVLGFISGLVCNRIGVRWTLVIGTLGYAPYAAALYTNAAFGNT WFPILGAATCGISAVFLWTASGAINLVVPFVHHRGRAVATKFAFQNVGGFIGGAISLG LNINQNRAGRVSDATYFAFISIMCLGLPLAATIPRPSRVKRSDGSRVVEHRFKSWNEE LLSLKSVLSLKGFLLLVPFALYCQWDLSYMWGWNAVYHTVRARALLSTLFYLVGPTIL GPVQGWLMDRKRWSRRTRARYGMTIYTIVTALTWVYGLIVQYQYDKREAATAIDIVDP VFVKSCLLFILYGLIENSGMMVIYWLIGSLGLDPGKVASIVGLVTGIGSFGSTMAFVL GACNVSLKWQLWANVIAFLASLPGLLYVSWTMITEDDKLEEATTANYSITSLEDSVEQ NIPEVYTKRGETSSGI TSTA_022430 MMGRVSEFYAVSALALAFSGVAFAQYQTFTPPGQNGISYTVNIP QRTATSGSGPIFIQMKSTVGLQWFALGQGTQMQGSHIFVVYGSGNNVTVSPRLGGPHV EPLYYSQARFSVLNGSGISNGVITANIRCDSCITWPGGQADVTSSSSPWIWAVKSGDL LQSESVSATIQQHDIAGLATLNLKQATGSDSENPFLTLLNPSNSSSSTAVTTSGSQSV DRKRTAHAVIMIIAMVVLFPSFAIALHLVSSSWIVVLHAWSQVLTLALTTAGAGIGIS LATTLQLTTSYHSIIGIIVVSSLILFQPAMGLLQHRYFRSTGKKSIFAYLHRWFGRSM LTLGIINAGLGFRVTGIGSSIAPVGAVIAYGVVAGIVWLVVSSPGYKMLRDHRSGRPV AFVQKLFSTPSITLEEILPSKVDIKMYIPSIAAATIAALSLASPVLSNQSFVNTGTLS GWSTQNIEHNGSIEQVTNVVYNGTSALKFTQVYDSNYHQRYHSEKIETQVYKLGDQGF YGFAFRLQENWQASPAQSYNIAQFIADFTDTGCDDWMPSSMVWVIGDQLATRVKYGSI CNQQIQEWKGLKTVTPGEWHTIVIQANWQSDSTGFYKMWYDGEKVVNELNLATMIDDA RPFDFHIGIYANGWHDQKKNLGTQNTREIWIDSVGIGSTFADADPTKIEG TSTA_022440 MVQILRSISILCWVALVSAQYGNPGTTAKSATTTSVVPSSTSSS TAVQTIAVGKNGFTFDPDTLTVSPGGKVEFHFYPGPHSVARASFENPCHPTIQTGIFS GSVPGSNGGSSTVLTLTINDTNPIWYYCGEPGHCQAGMVGVINPPSNGSNTITAFKAS AANANGSTVPASVAGGVWGASTSGLPTSSQAASGISSSSPTPTGEARTLHAWTDMSTI LIFSVLVALCMM TSTA_022450 MVYLAECGLGGTGMVIVDRQRRNEFELLKRQAFSEPAYICDSAV DELTAREDLQEMAERMRQSFNDDQRAAFDRIVTSLENPGSNYSNFYIQGPGGIGKTFL YRALYLYFVGRGKTVFCIASSGIAALLLPNGCIAHSQFRIPLDTKEHSVCDIKVQSQL AQQLRQANLIVWDKVPMTSRYIFEAVDCTLRDVTKSEDSLFGGIAFV TSTA_022460 MAHKSLKSTCHCGAITVIVPHQPEEINECQCTICRRYAAAWAYY KVNEIKFNMKEGAKLSQYVWGDKEKSFNFCENCGCICYWWPFEKKKADGSAADFGVNT RNMDPMEILHVNRKIENAWVFQPINNKTMAHEQDQAKY TSTA_022470 MDSGNASQKQFTVAIVGGGIGGLTLAIGLLRRNVSVKIYEAASA FGEIGLGLSIGPAAHRAMPLIDPQIRDIYDSLITTHADSPGYEKFRQTWFEVIWATGE KSGQRLMTLNALPSGQTTVRRSDFLNALVNLIPTGVAQFGKRLVKLEENTARVTLSFE DGTTVVADVVVGCDGIRSKVKESLFPDDLERVQPRYSGMYGYRAVFDMETLVEAVGDE RARVSTWYIGKGAYAITYPIMRAKKVNVGLYTLNDTWNSDEWVRKASKEDMQRDFEHM GEYVNSIMKYMSDASQWAIFEHPHISTFAKSKVAILGDAAHASTPHQGAGAGQAIEDA HVLAELLADTRVTSPEHVAIAFKAYDAVRRERSQKVVTSSKENADLLCLCYEGIGDDG QKLRDTWQERFRWLWDIDIEEQAEKARSIMLDLMHHQHLLPDS TSTA_022480 MSSQVTTTDTNRASELLIPTILTTIVAFIITALRIYVRMQKIKL LGWDDFFNVLAMVTTCVVMGLVIGGTSNGIGRHIQFLEKDLSAATYSIMLMRIAESML IISTVFVKISISLFLKRLFPTDRRWNTFFWAFIVFNTVTSLVDAAVIFPQCTPVEFNW NKSIDGHCWSDTAINALGIAQGTIAAATDFNLSILPTVFLWNIKIIWRVKIGVCAIMA LGFAYVGLIVITLTLTVNNQVADVRTGVEVLPLRVPLEATFGVIAAAAPSIRPLLGHN SVTATYSKSDPRSRSVPLNTMSRSGQRSHHSWLPSQRGQMQELPDDDLGSDGGSQSRL WANKGHGIVKTTDVQVFHSARDGGADRSSDEMLVSDVVYQNKSR TSTA_022490 MSETASEEMKTTVAVTTTANADSPKVVRDKQGRDVVLIPQPSDD PEDPLNWPTSKKITIFACVCLAAFAAQMSPNSNMLTFMSQVPAYHKKPNDLLYSVSAG LAGWVAGPFLFIPLVGVIGRSAVIFWSLVCIFVGQIWGGEMTGVNDYIPFTISRLFTG LFGGIPAILGSGYIIDMFFLHQRGKAFAVFEIIIIFAVVGGGTLGGFIANNNPWDLVF WWTLGPVGAAMILVFVFVEETTYNRDAAVPRPPLPKNWLANRIATYLPGTRTQPAGKG KEFVRRAIIPFQITFAPITLLMGTYIFIALGLPIMQASTLATFMEPPVIAGGYGFSSL QMAFFTMTAWVGIICAQVYGFFFNDQTPLWVARRRGGTWHTEYRLANTILPSILLPIG LGLWGAGLQYHLHFMVLALGSFLIWFGALLALPVCYNYIIECFLHNPVEASVSLNAYR VTFGLISVFITTNWQAAVGIGWMWGMGAFFIVFVDLIMIGLILKGHVVRQWTAKLSKT LAFTEDGVAISTKHDVVA TSTA_022500 MSPSAVDIKVQGATNTTAGDNNALVAWRKSGAVPTGTAAFSIID MFKSPTCFTRPKAKGLDPHLSLEAKSRKPSSLKGAARFLSRPVPKAPKFSGEGTHASG TTITVGEHDIKEEKSLFVALNYAQSIGFAQMVHFIAEHTEIIHDPPYSDWHCYLTVGN ELAWGATLRIFCERGDYILTEEYAFASALETAAPLGIRIAAVKMDEQGLLPEDMYELL SNWDEKARSAAKPHLLYTVPSGQSPTGATESAQRRRVQSLPEA TSTA_022510 MQACLETWSDRRYKKEKTRLRPEPLALDSRGLEEYCMDRRDINL KLSYQLTQPSHLSSYSILASYLSHQITQTQMARTKVSARRIVKRGSFKTLPGGPRPVS KAFLF TSTA_022520 MVVSWPTRCPITLAMLDDRHTDLPKPQHDDNVYILGCIGMVGNI KSATVAAHMTSTFPSIKFGLMVGIGVAFGPKLDLAMSCALLAALTKLESKHDIEGSEI PRHLENLKQKWPRLVHQYLRTDSLNDILFKSSYHHIEKNASDDDWENEGEELNSCAYC DHTKVVKRKPAAHDMRIHYGLIASGNQVVKDAFRRNEINKMLGGNVLCFEMEAAGLMN DFSCIVIRGICDYADSHKNKDWQEHTAAVTAAFGKEFLSVVSPHGVEEMPIINDVYDS VTKMAGGVKTLTEKHHIQEQQAILEWLTQVDYTSQQNDFISKRQLGTGQWLLDSNEFQ GWIAQTNQILFCPGIPGAGKTIPTSTVVDYLHGRFPDNTTIGIAYIYCNFRQQEEQRP MNVLSSILKQFAQGHNIISECVKILLKKHKSKMSRPSFREISDVLCSIIEDFSHAFII IDALDECPIAGGMLSSLLLEIFNLQAKTRANLFAPSRFIPKIEEEFKRKGAVSLEIRA SDNDVQIYLDDKISRLSLFVGKNPELEDRVKKAVITAADGMFLLATPHLNSLEDKVNP RQVENALKKLPKETNAYSQAYQQAMERIQSQLPSFCDLAFKTLAWISHTKRPLAPKEL QHALAVVNNIHYTTLEYFQRTWSTWFPSAETDIAKTCINYLSFNDFRSGFCLTDHEFE ERVQLHPLYSYASKNWGYHANAVSGIETKHDTCTASVNMEQLVAFLGDKDKVCASTSD GRMPLSWAAQKGYEMMVRFLLDKDGVNPDSKDFLGQTPLSLAARNGHDMIVNFLLEKD GVDPDSNDTDGRTPLSWAAQKGHDIIVKLLLDKDRVDPDPKDSCG TSTA_022530 MHNTRLPMYIDKKLHHFISEPWWKNTNNEVVQFLHDELPFQWPW GYTIYRTVYTPESNQHWDALLEAISKSIYRSLDEDEPSRIFQEGYRPLAFDDSAQFNG ATLDKIRNHFKEVRESDNGHQGVRFRWCLVIDEAALQSIIRHPGWVTVVDPNYQEDSS CNTEYYLGYFRLYLKYL TSTA_022540 MTLPLRQKLILVITFGVAIFVIVVDLIRMAVLEHSAIIQLRLHH AASVGMVGDGRYPFTFMWSTAEVNMTLICACVPSLRPLAARFSPSLLRNPQGKSEIEQ GTLEESQQAPSRSGAVAGEMMDAITLRVEAEAQVEQASRRGTGDPFQKEEEPPIINIL NMRPASMLRLNKKESFPPNVLLTTLFFMWGFSYGLISVLNIRFGSLVQLNAWQLRGLH AAYYGGYMVGGILLGRIFLKKLGFAGTLIAGLYIYACGALLFWPSAVLGSLPTFIVSN VVAGSGLALLETTANLSMAICGPLEYSEIRLCVAQFFEGIGHVCGMQLAENGLFKNSK DATKVVNAQWTYLVIAFISVLLSVIFYYLPLPEAPNDDLRQLAAQRPENKAKIWSLQT CNITFGLGVWAMFLYFAGQEAHAVNLQDYVRFSDPNLSINPNNLGAIAYTVLTVGRLS TAFIVWRLLKPRWTLFVLYIGIIVFGTLCMHTTGLTAVVMAIMVYLFLGGIFPLVFAI SVRGMAQHAKTAASLLAASVGGGAWSPFPQHAAALSHGQPWSYSVMIALWSAGAIFVL YLNFVPQAKRQVDPVQDDYIKEE TSTA_022550 MPPIRNKNQKNSAEQEGRILLAISDLKNGRIRSVRKAAEIYNIS RSTLQNRINGMPYRAEKRANNHKLTQSEEDSLVKWVLDLDRRGLPPRHSLVREMANYM LLQRGNQQVGENWVTKLTKRRPEIDSKFSRRYNYERAKCEDPKIIREHFDRVRAAILE YGILPEDIYNFDETGFAMGLCSSAKVITGSDRYARPKLLQPGNREWVTAIEATNSTGW AVPSYIIFKAKKNVRLGWFDDLPSDWRINISENGLEWLTTHFIPYINDRTMGKYRMLI LDGHGSHLTAEFDRICTENNIIPICMPPHSSHILQPLDVGCFAVLKRHYGQLVEQRMR LGFNHIDKMDFLMAFPQARTVAYKAQTIRNSFTATGLVPFNPDRVIQQLNIQLKTPTP PPSRSSNTQSSCLQTPQNIRQFVRQSTTITKRINERTGSPNQVIDQAIMRMSKAYETT MNDLVLVQKENRDLRAAHGKEKQKRQKSKKQISIEHGITGEEAQALVQDQVEASQAVT TAPGEPELPASQAVVRRQFRCSGCGVEGHKINRCPNRTSS TSTA_022560 MSDPDRYIVGWICALKTEYVAARAFLEKKHGRPETLSPNDNNHY TLGEIGGHQVVIAILPDGEYGTSSAAGVARDMLHSFPNIRFGLMVGIGGGIPTKHDIR LGDIIVSSSRNGRGGLLQYDLGKELQGRAFHQTGFLNQPPPILRTAVAGLQAQYEEEG HQLKESIQAVLEDNKRLNRKYAQPPFENDKLFESHFAHSNSKASCEDFCDISKLIQRQ KRTDEEDDPAIHYGLIASGNRVIKDATFRDKLAVEQDALCFEMEAAGLMNHFPCLVIR GVCDYADSHKSKEWQGWAAMVAAAYARDLLYQIIPQRVNAERKASEVLNGIKSQLDDV SKEVHNLHSITSGAAREVDGLAQSIDLKGLPIAAGAEFGTYMDQHEAECLPGTRTELL DDIQKWAVSLEGKCMFWLNGLAGTGKSTISRTVAKSFQKQGLLGASFFFKSGEGDRGN AARFFPTIIQQLLTRIPELRTAILRVIRDNPRISAKPLKEQFNELIYKPLHSLNQNTL RGSYLVIVVDALDECDRDNDIQVILQLLPRVQESNSPCLRFFITSRPELPIRLGFRTV GHDDLILHEVSMPIMERDISLFLEDKLVAIRHERSLSSDWPGNVIVQTLVTMSVPLFI FAATICRLFQDYNLDPEQCLTEILKYQNQESKLDGTYLPVLDRLASKYSGTRQMQLIQ EVREVVGAIILLESPLSVTSLSKLMGISAITINARLNSLHSVLNIPNNETLPVRVFHL SFRDFLLDPNTREKTPFWVEEGVMNQKLTAYCLSVMRDQLKKNMCNFQSYGTERRSID TRTINLSLPPELQYSCRYWTHHLVRSRDPMSQIDNILAFLKEHFLHWVEVMSIIGNIS EVVEDIRDLQSSVQQDNKKSQMSQFLHDAKRFILKNTQIADITPLQLYASGLIFAPKM AVIRKNLKKELPHWIHRGPRVEENWNPELQTLEGHSNFIQSVAFSPDGQLLASGSWDK TIKLWDPVTGTLKYTLEGHSASVQAITFSPNGQLLVSGSGDQTIKFWDPATGALKHTL EGQSKGGSHYVQLVAFSPDGRLLAFSSLDQTIKLWDPATGTLKRTLERRSDPFSDFDP HSEGHTDYIQSVAFSPDGQLLASGSWDKTIKLWDPAIGSLKHTLVGHLSTVQSVTFSP DSQLLASGFNDKTIKLWDPATGALIYTLVGHSASVQSITFSADGQVLASGSEDQTIKL WDPATGTLKYTLVGHSHSVQSVAFSPDGWLLASGSDDQTIKLWDPAAEALSHALEEGH SRLVQSVAFSPDGKLLASGSSDKTIGLWDPTTGAPIHILTGHLHSVQSVAFSPDGQLL ASGSNDQTIKFWDPAIGTLKHTLKGHSRPVQSVAFSPDGWLLASGSNDKTIRLWDLTT GTSRHTLKGHLDWVRSVTFSPDGRLLASSSDDKTIKLWDLAIGALKHTISTDGVVTNV EFSEKLPHLITDLGSFNIQSRHERFSSISSKTETNVSLQEDRWVAIKGRRELWLPPDY QPISLAVKDATVALGCKNGRVCMIAFSI TSTA_022570 MRVTVTNEKKFKPSSTKLPEKAAFRRPERHVRRAPAFAPLDSLM LRFHQEAEMNLPEEVIYNVKESEAKEAPATSRSAKSQEVYGVNAQGGFSGNAIQAASE GGYSDVIQALLEKVADVNAQGGRYCNALCAAFEKGYQDIVQMLLKNDAYVGSRE TSTA_022580 MKFCLFLSALASIRAFAWNSDSSTGDDPSSISLAPNGLNYQDVE SALVLPFIQHEKRDIRPKCSPRTYAATQKRHFSADTQAANVTLYRRTMTLPDGTDAAA MDRFMLAEEESDLFDAIVWDKDVNGEPEDMATSKFEQFGDKAFSLGTGFLCGYTTLAI ISRKGVYTGHYWDSISFSPDQAWIDEYGSAEEAFRRTVILGLTQGVGRNRRIPEQVSL RNQAGKFEDNYIRAYLRIPDTDSDQNPEGYRPQWNAMRNLVNRFVPTLATGDR TSTA_022590 MFIKPLVSALLFSAVINAATAPLLGTAASFAVLGGQTVTNTGPS VLNGDLGVSPGTAITGFPPGTVNGATHAGDAVALQAQSDLTIAYNDAAGQGPVATVGT PTGDLVGLTLPPGVYNTASNGPIALSGTLTLDCQGNPNAVWIFQTGSTLITSTGSTVT TTGCARTPCNVFWQVGSSATLGVNSNFIGTIMALTSITANTGAQVSLDTNNINIPTCA TTTSTTTATTATSTAPVVTITLPGGGVITVPVTVPISVPISVPIGSGNNNGGGILSGN GNGNGNGNGNGNGNGSGNGSGNGGNGNNGNGNGNGNNNNGNGNGNSGNGNGNSKAVIS TSPTSPTSSPTSSTSSTIYITSPKPWKPSANSAENHNDDQNSNHYGGAYDNRDHHTTV SAASTTQYTTFKIQACKAPLRSTAPGRNPDRAGSNA TSTA_022600 MERIETSNVIIARSTSPVKDEFFSCETAHGLLVRAVDVQKVETK EPLHVTIVARSTGNAFMTSRWVSVGVYSPKKRLRS TSTA_022610 MVKSRTKNDPSYEGRLSLAIDALNNEKITKLRDAARTFDVSLTT LRRRLKGSVPAHNAGITRRKMTPTEEAVLRGWVFSLERRGVPPRQHMLHEMANILLAQ RDPTKIPEKVGKNWVTTFLKRQPDLKAKFARRLSYSRALCEDPVVIGGFFEEIKQLKE EYGIADEDIYNFDETGFAMGISSTAKVICSSDRSGKPSLIQPGNREWVTVVECVGSTG TVVPPLIIFKSGTNRAECVRIQTYTTQNIKSGFSHTGIVPYNLQKVLSQLQIAVREAT PASIRPSTSSSSTWSPKTPYNARTLEKQAKSVKRSLNMGDLDSNSPSCPAFNQLIKGS LVVMHQAAILARENHNLREANDILQKRRTRRTKALQADGILTVAEGRELAQELPEEAQ PPPPPNGSAPLQPAQRALPRCSNCWEIGHKRNRCPNIST TSTA_022620 MADLFLSQHGNKHVSERWVYRFVDRHPEVKLRFSRRYNYERAKC EDIKLIPLDGLCPHTLSSKQQPFINKAGLRLFHKIGDLILVKMAGQQMRLEYDGFKNT LFLLRQAPLDVNCFAVLKRQYGRLVEQRVRLGFNHIDKYDFLTAFPEARTMAYKAENI QNGFKATELVPFDPDRVYQKLTVELRTPTPPPSRSSNSQSSCQQTPQNPRQFNRQTAT IKKINEPTTGPFEVVDQAINRLSKAYEMSRNELLIIQKEVHDLRAANEKEKQKRKRSR AQISHQGSLTAQEAQELITSRECVFGGNWPARCPITLVHWLLEKGADVNTQGGEFGNA LQAAVEGGRLEIVERLLEKGADVNAQGGLYGNALQVAVEGGHLKIVQRLLENGADVNA QGGYYSNALQTAFAES TSTA_022630 MSASPNTHLNTPLASDINVIWQAAIDRYEQNSMTKVDFLSATSV DDIVNALQDKGTVFRNFRHSGSKFDKFRTLVTNIMNRINIASPPSAAIFVAIRYVMSA VVSVSADYDKIIEFFEDLDSYLSRLKVLEVDIDMSTLPELKSVLIEVLVSVLDLCGIS AKHIRMKRIGEDEELASAYARFHRAIDREEGVVRNATLVAVNRLQKLSVENNRTLVEK TERVEKLLLSLIVFAGREAASERDNVLEKLSTHSFHEKQRDTFEKHSEATGQWLLEST EFQQWFNGNQSSVLWCYGIPGAGKSVFTSIAVNYVNDATHAAKAAVVYLYIDHKNPTT HSEFQLLANICRQLAEQIYPIPSTVRDFLEKNAVRKRNPSEDEWVSLIVRLCSLFAKV YLFVDALDECPERNRHKFLHSMKKLEGSVRFFFTSRPHIDDLAHFTNLTRVEISASGS DIETYLELEIMSDTRLCKLLAKDWGLKDSIIQLVKSNAAGMFLLASLQMELLRGHSSL KNVRIAMNALTADVGETYHSTMERIKGQSPQDYELAQRALSYIFRAMRPLNVEELRHI LGVELQDTELDQDAFPDKDILLGVSAGLIRVDEKSGIIGLVHHTLHEFFSRHPEELVS DPDNEIANVCLTYLSFDAFESGPCSDGDTLSQRMQEYRLLDYAAHNWGYHVQKQLHKE IDLILAFLEQSRKLVSFVQILHVTRYRTRDWYDRFPRQFGPLHAAAYWGLEEVLGYLL EKDFDVDEKDSKESTPLLIAAMNGRTEAIRFLLDKGANINALNHRGESALYWAARNAY RETSELLLKRGADLIKDDEGWSALNWVILNGDVELARVLFDSSVDLDAGGDGRNEALF LAAEEGKDVLVQMLLDNGAYINARDWVGSTALDFASTIGHEATVRVLLRNNADINSRD EYCNSALHWALSYEGVVHILVEGGADVNAKNDDGHTPLCWSAQSGATSVAEILLNNNA DVNSQDISGLTALHKAALGGYESMIQLLLKHGAEPNLEDKDGWTPLHCAVVKGHDTLV QLLEDKVEHGRTIVESLTAERHDARKRAVLTKLADKKAQGSTALTGLRFAAQEGQIGR IQSMLEKGADINARDAGGCTALELAVFQQQMEAAVLLLEHGADPNKCGSDDMPPLFYA IKGRDERMVRLLIEHGANVTTRIDDSTLMHLAVDIGTFEIVQSLIDAGGDIHAKDISG QTALHFAAANGQEAITLMLVQAGANLDDTDYRGRTPLMLATESLEPAVVKLLLDNGAS IGKRNRDGYSAIDIANILESEPIMELLLECESHKNAGIQEAFNELRVSRPSVTK TSTA_022640 MSSASRPYVTFANDTKESFLRYLSESPNNRRVSCEERENIIQWL TNPHKRPSSQSEFSRRNYVRKTFTWEEATQLLVACPKTEGGEKRVVVTEDMIADIVEY VHENNGHAGWDYTWRDISRSYYGILRSDVIRLLKQCEICAHNPSKRPKDAKTAPTDIQ SVDTDFVDFSKNEPPFHGSE TSTA_022650 MAKRRQLPTIDQIESKLGYKLDYLDNVDDDIPTFYSDLTPVPNS AYERALREFDVARKQELKGADSSHGLPILGAPKTSSIFFRLPRELRDRIYMFSIPSCE WTLGDVDQFNSTTFAGALGDPTGFFFPLNKDLSVLLVNKQIRQEALPIAYRKTFFRLD DIDDFIKVIISIGQIGRANIESVEFSWESRSDLSYQMGKYLESDDNNSHLPALHVLRC VQLLKECRRLAFLRLYFEPDLISNMSIASFQADPGIRELSSVRGIRRVEIGTAVFEPL DHYDFIKWLKGKMESGSNT TSTA_022660 MSASPSKDESRSDYVANRTPVDANIDHTSSMRYWNKTPATVNAM LGDLGSFSWYSRIDLRGSANFLAKVRRLVPSTMTQKRFKLGVDCGAGIGRVTSGLLQQ VCEVVDAVEPVENFASLLRQAPLNEHGSVGDIYVTGLENWYPTKKYDLIWCQWCIGHI TDTQLTEYLVRCRAALTETGIMIIKENISSDPAGNDMYDDLDSSVTRSDRKFREKFKE SGMTLVTSEIQGGFPKKYKLLPVRSYALRPQTRA TSTA_022670 MASMELALAALRSADPGEKPNISLVARTYGVSQSGLYKRFHGVT GSKEEQYDKQRILTTTQSRALIKWINQLTERGLPPTNSMLANFAREISGKEPGKNWAS RWLKAHSDKVISRYSTGLDSDRKKADSAYKYALYFELIGRKIQQYNLGPEQIYNMDEK GFMLGVSTKRKRIFTRRKYEQGGYKQHLQDGNREWITTIGCICANGTALAPSLIYMAK SGFIQDSWLQDYDPQTQRCFFAASESGWTNNDIGYRWLVDVFDKETKSQASRGWRLLI LDGHGSHVTMKDSQGFTRLTKRDFFRLFWASWNEVFISKNINSAFRTTGLYPFDPEIV INKFNKKITSRPSSSESGASIIPPEDWRRLEKLVKTVVNNIYDEKAVQLRETVSHLST QLILLQNENQGLKRALINAKKPKNKKQPLLLGLPSEQDGGALFMSPTKVQQARDIISQ KNDEAAQKQAHKDDKKLQQQLKKQAKDAEKVKRAQIRQEKREQREQEAAEKQRLKDEQ ELAKLADLQLQNDVISTPKASKKPTEQISRQAKPRVQPEAHVEDNEVVVTTNRRGRAI RPPVRFRD TSTA_022680 MSLGEEHVAFIRWCESQGIKIHGVSPAGIPGRRLGMIATRRISA GETIVTVPLVAMLTIDSVPPSFVRMFSKATPLHAILAAFFTHGDPVLLEKWEYWRRVW PLRHDFEKSLPLFWSEMLPANESILPPSVSGSWSFRNKKPEDIEYGSRYTNILSHQKK RLQDAWSEVLLVFPHTDWNFFSYNWLILNTRSFFYVSPEKDEPEDWNDAIALVPFADY FNHDDKAPCEVNFNGEYYTFKASRRFEKGEELFISYGSHSNDFLLVEYGFLLDDNKSD AIFLDDIVLPELATANKKELLSRQLYGDYKVTSSGFCNRTKAAACMKYMTNDQWRDYI LGRSQGVENRTASVLHDWIGAYLKKSMTSIKILEDMQLRPRSESQYWEKDRIDILLRR WNQIRVLCVEASKAISS TSTA_022690 MAHSLNEQYIADTVGNERASADTTARDRLESVATTVQPPGRSPN PFDPSAPNCQDWLQDYVRRLVEEGFIGSSASSVVQTAPRVI TSTA_022700 MVNKDEHVLLTPSMIPKSHNFDVKISSFFQKWSELPSPEAVHAQ ARSQNLGVNPDNRKTSPMTTPCVRPPPVIFEDMGLFVKWGSDVKLSEALGLYAIFRLL KGRVPVPEVYGWRTHGEEKFIYMEYVRGQTLDQVWESMEAVDRAGICCELREIFDHLR RLEQHPLDKFVGNITRGPLYDRAFHINYMSEAGPFATVHEFHNWFTFLHRRPMPDPHS VPVEPFRYDLPDDCGIKFTHGDLHRSNIIVTPGRPYRALAVVDWEQSGWLPAYWEARK AQYTADRQEDWSTKYLPMILDQYTKTWDPWDYYTTAMGC TSTA_022710 MADPLSVAASVLAVVTAAAQSTRSLYDTIRRFQDRSKTLRRLQD ELEDLANILSSLAQVVTSETTMLELLQRPIDRCSQVCRDFEASMKTFSGKSKPGFRDW TKMEFMRGDINDFIDTIAEYKSTISVGLGTINLHTSKISHQALQEYNEMIQDTVYNLE LRLRRIDEKMAQFPLNDCDASSTSIDLKDERAVTQQCIRVCKDARSYIESLISRDSSL LPHLHVSHNGSEDVQGISEAQQRTRQVLDESQSQFEDVIGHLQRRLQSLLLEREPNDT ERLRLQEDIDISRQCLEVCKTASEVSRQKIYRVGEVVADGESDQVVVTTLADLFDVKK ASSKGNSAQLVGSMTPENLRHLTEERYKSRFGALAANSDRVKTSSTGSLPGPEGERGK YESRNTSEQDELSSEPQARHIRPSSNEIRKRATGGDRF TSTA_022720 MWWARLTDDKARYLRQLSKNIDLCSAFDALLSIPGLWGGMSLEH VANVMALRCDEEIVHYLTSHLREFWVSLVSSDPTNPDLEAMMKIDAHTIERLELMAPK ASYRDARKLQRLLRSGKVLSNFNLSERARMWKWLRDYDGIIPSLRTFFRDIEYLKECG NAMKLLVNFSKNGPTVRRALRCCYSPGDSLEEGCLIQTSEDTFERRFGSREVQQELSY RQLWLYAMRVYSRISRTLTTPNSLAKSQNKTLDAIVIYEMAMFAHKLGFQSPAIKKLI MHSPDVMIAQSVLLNARDQEGYEYNATVFPTLVHRIVECFSMATPREQPQFPSLVDST AGLKERCGLPSSQAQRHDRRLLFLDPLHTDGVDVSGTVSTWYVRRNVYFAFFGRLHGF SDSLRVPVSTSSTFPPDRHVTSRPSCDAHEGSEIRASRVKFMDPVPCSSNAERRTEIA NPATQQGESGEEVVAEIEVSDQPRSPSEEGSSVVEQEHFQRGPEESAVGGTELGDASL EENELESGEPQSLGLLEPDSRDGLQPGTGCISNSTSPSLQLQQDLSNFIARLHVSTSP LEDDLQQSKQSRSHIIDQANNARKRKNTDDDESGANKKLSSGNKRKRSRTRTISGTHS SPFSEAESIRLNLLRTLMKNAGQSFSIATLRQIYEMGDRIIDETNVVLNGWNEWLSRE CQAPGVVLPAYHNLSLAEICFAACRLLASTHDNLHHGPVHQRLAQVLLYIFVHEFDKE LQKREDKQEVVLQRNGRKMMTIVHDLIVEKVGEFEKNGKKWNRKDVVEDKNLGKRWWR LGSGIGFVVILTCASDLEKSHMKNRSFSNAKLDLLVNYVRNAYPSAVAHLQSLDPVIQ RFIAGVALPADDIVHPYPSFLRFEAAPENMQWTKTESAMGVATKKLLCSLGKTKE TSTA_022730 MASMISPVDLMPSGIEHGNGVMVAITTLFTFLATVAVILRFISR RISVTVKWDDWFSLIALIFAYGCFIVTLLDATIAHGGYDIEYYSAATLEKYLEITLAE NVLYVASISFSKAAVLLLYHRIFKIQKSFRVASWLVGFLIGGYFITSQCLLIFAYNPT EAQWKPWLPHSANFNIIASWIVMSSINMVVDFLILCLPQPVVWRLKMNLKKKVLLSGL FCFGIVICIADLMHIICISEVDINNPTATEASTAIWQNVELNLSVIVTCLPTFPSLIS HLRKGPRSSASRSYERSNDNEHKKPLNNGGFPGMGNSTAFSGGSEREQNSMNKACHGS SYNMDHMGPVHVQTDVRVKWDRESHQSSWSIV TSTA_022740 MDLSYDTARIKGKTILITGGASGFGAGYGARWAAAGANVILGDI NPAGEEVAAQIRQDTQNENVHFIQLDVTSWKSQVNFFRESVRLSPHGGIDTVVANAGI NNSEESRSFENPHVDYLNDPSPPAPSFKTLDVNLTGVMYTVHLALFFLPKNPGSMPCE QESVASESGRDRHILLMGSVASLHPLITQAPYTVSKHGVLGLFRSLRVTAPVSAGVRV NIICPYYTDSTFMQTPVRVLLAGVPLGKSEDVIDAASYLVADTACIGRSLVTGPKLKL DYSTGEFEALHDGNDKEGAVWECYLNDSDPADIFTQRMLTVVHAAVKARGWAGWVSGM AECELPVTALSTVSLRLGNMRLLATWRSFPYKEAEHEAIAR TSTA_022750 MFKDPKNVDQVKVKEAAQKINILGIRVLRDQLYPVKIDNTNRTA ILDADGNILLEAAEALGKENNVNIAKISWLSKKDSNKAYGSMVVYITKGTDAKRLIDS NYFDIAGESAYTRIFEP TSTA_022760 MSLISGGYKFGPGCATPNHTLIKEFLRWYIHIAKGKISKSGRVV TFMVLNFTERLFGGFKENMQVTIAPKDRSKIFHWIKRTLTEEEKAIENVKDLDYSFMK RDFLRVIASMWQADQRQFMPSLLKAIIILAL TSTA_022770 MELPNRPATENIALEAGQQTRQLIPRPLSNKSNALSGDKISQIG RYLRLGWKCEAIASACNVSRATIFRYQSNLLRYGSLRKPAYRSLGRARKLSQADEDAV FEYLLHESWRQQDEVRSWLYYERGVDVSVPTISRLFKRRKWSRKQLKRISLNRSEPLR RAYLDDIRQFAADDLVFLDESIFNEKTGWRRHAYKLGQDMEHLRSNDAGGLSSLTGDF ADWLETKLIPALSQIHRFPMVIVLDNVKIHTREHVSQIIESAGHLIRYLPPYSPDYNP IELTFSVLKSWMKRNWIFLRETCSNYGEFIHLSIQQSRCDRFARKHFKHAGNGVYIEE KELIEFHQFLAQYEADLSVNLFSE TSTA_022780 MKERQEEFGLPAPITSKTAIQKTRQDAAKKANSHRKRPAPSGRK STTRSPSKTPSAKRVRFDITSSRDAPDSSVETTPLRAQSNAPRDESIIASLEADTNEE TDDNEDSVSEDDDLPVVVPGRPPGWNMADYLNGEYDEEENRLLRDTPLSESTPFNSLD IKIAATNLYNAKEDFKKLSAKRRIKVAEHALREAREDISIINTSDIQDEFNHEIGQLI SQTLHDMTQRSFDLGVVEDQFHDQIVKYTDDEPFTFETCTAFVKSMSGRGRQLSHQFD DFSITETLKVLDLIDNKREAHPGTALCVLFEIKVACEALISKKKRTAISTAQQPQDPI SIPSSPPVLPTQIRSTRTTQLLEEAAIREARRDRILTAGDFQRQLMQKYQCNDRNCTN YNNFCFPDPMDNTQHYNILATQHGLWANRIASGGATIENPPDELKQYWMKKQGSINRD SRQPVKKNNAQIMEQFMEMQTKMYEQQMQYRLMEQMEAMQEKQEHRDEEKERHHLLRE QRDLLTQTPHQTAYLLPRRSTTSPSLLSFKTDKTPARFEAIPATPTPRPRSSSSIDSN EDEYDTLAKFFTWKINSIKNQDRKKKWEDAQSTIFANDWSIRELRQMEDDKTPAYQRA IKAGISDGLARGFKRELRQYRQFVRRVHDEHAAAMALGALGGGNSTI TSTA_022790 MIRGGLVTLVYDATLKLHSSKATDAAAVTHMSTDIDQITQGMTN FDVLWAAPIEVGLAIYILWREIGLACLAPVGIAVGCTLAAFLLGKLSRKAQRVWVDAV QLRTTATVSMLNNIKGIRMSGLSSRFSTNIQDLRVKELAASKSYRHLTVLKNTIGTLP QVMGPITAFVIYVLVRQNQPVSKCLRLLARISSKMSTHEISEHRDIEPCHLDAPPRMP LLSDNPAFKTRQKDRLFNLTGLKGTFRHISGHLDFSPIFTPPDSSQITPPKVSIKDPA LKT TSTA_022800 MAETGETEPLLQPENSLESARDSESFRQPESSSSKHALRVIVLI ATVTLISDLAGYAAVAPQLQLFEEIICRQYYTTIGALSAHDQLDRELCKIEPVQSELA LINGWTDTFQTIPGLLLALPHGALADRIGRKPILLLGMTGCILGECWTRIVCWFAPRI PLRAVWFSALSQLIGGGPQTATSMMWVMIADSCSVERRTTAFSQLSAAVLISEIVATP ASAMLMSFSPWLPYLISFGMYFVGYFIAFWVPETLQMDKVDDQSRPSSGNGDEPGYKY VLGKVLSEFKKFQESLRLVRQNSNVTLILVCFFSSYLGKQAIPLILQYGPKKFHWTIG QTSYLLSLRGSVNLFLLLVLLPAISEFLRLRLKLNPAVRDKHLTQASTALLFIGSTLM FFGWSPLIFTMGLVICALGFSFQITARSLVTSLVDKNLLGTVYTAIATVTSGGMVFAG PLLAYTFKWGMLLGNSWLGLPFLTAAGLYAIGFLSISFTKLTRPSH TSTA_022810 MVEKPEQFDLPPGSPFQGGHHRYGVSWGHQYHCVRMMRDEFFAQ LHNRSTLVGMEVDLNKEEYTTEEIRLIHLAHCYDYLRQVILCHMDMTIEYPTGNSVAK GTISGYEVPHQCVKR TSTA_022820 MVDDLRLSSDSDDERSEDRDDEKLLKSEIKSDFYPNDRSNRPRK LFLVLVAGFFINVVWTSALVWKWISLQSSVCIKESLLPGPLVMIYSPAREAVKYEIVE TNTTIDSSNVYMGKPRPEQTLAWEEITKWRNIAVSKDDLEKIGQDSIELADGTGYMAT LEVFHHIHCLDFIRMYIFKDYYDTHENENLRWKHVDHCIEILRTSAMCQADISLVTWQ WVDRQELPFANFDVKHECRNWDSILEWTKNHQAAASAIVRPPEKTWPPHPQGKQLDE TSTA_022830 MKASIVLSALFLGQLALALPAPNLNVDIAEKRGEEVEDWIYPDR KAKRGEEVEDWIYPDRKTKRGEEVEDWIYPDRK TSTA_022840 MAEIDGLIANGTFKIMHCDNLDLRDVRIFNSRLVNKIKGKNEKL YKKSRLIIQGYNNAGKTSILIQAPTIQCASQRLIMSLIATLILMGMVINLCDITQAHT QSKSKLQRLIVTNLPAKIRDKYPPDSLLLMEGALYGIPEASMKTSTYDPCLLMTTKGK KNFSLVDIQMDDTLLILTESFAGEE TSTA_022850 MIFTDSSFANNWDLTSQIGFLIAIASSKCKWITHSILASEIYGL TTGFDQGFTLASTVNMITKRLGQPEMPVVVCTDSYSLYECLTKLGTTKEKRLMINLMA LRQSYERHEIDEIRWIHGDDNPADTFTKLNPNKALRDFIGSNKVTIWVEGFVERTRID TSTA_022860 MANIASNLRSISKESFTSESDRQQAVAEARALITRLESPWETAF RQSWIEPSRMACLEIGNKIGLWDKWVADGSGPKTLEEISKLVTCDYQLLSRIVWQLAG TNLLKVVQPGVFGLTPFTVALGDGPQIASTVPLYFEVQGPALHTLPSYVEGINFQNPT DQVNSSFAKWAKAPLWEWLKEHPDAEKVIGTVMQTYAGNRPTLSQVYPTEKLLNGASG ESSVVLVDVGGSLGHDLLSFSKIFDFKPETLVLQDRAPVLENAGELIPAIKKLEYDFF TPQPVEGAAAYYLHFILHDWPDADCKKILSNQKAGMKKGYSKLLLHEVVLDTNEPKET GTSSDIAMMAMVSGMERTEAQWTSLLEESGFKIIQIYSHGLGAESVIEAEIA TSTA_022870 MVKSRTKNDPSYEGRLSLAIDALNNEKITKLRDAARTFDVSLTT LRRRLKGSVPAHNAGITRRKMTPTEEAVLRGWVFSLERRGVPPRQHMLHEMANILLAQ RDPTKIPEKRQPDLKAKFARRLSYSRALCEDPVVIGGFFEEIKQLKEEYGIADEDIYN FDETGFAMGISSTAKVICSSDRSGKPSLIQPGNREWVTVVECVGSTGTVVPPLIIFKS GTNRAEWYTSPKLPPDWSITHSPNG TSTA_022880 MLYSVRIQTYTTQNIKSGFSHTGIVPYNLQKVLSQLQIAVREAT PASIRPSTSSSSTWSPKTPYNARTLEKQAKSVKRSLNMGDLDSNSPSCPAFNQLIKGS LVVMHQAAILARENHNLREANDILQKRRTRRTKALQADGILTVAEGRELAQELPEEAQ PPPPPNGSAPLQPAQRALPRA TSTA_022890 MPLIIKGVEIKPVDSIKYLGFYLDTYLSGEVHVQEMREKVAKLV AGLSSITGLTWGTPLVHLRKIYMAVLQPQIMYTCSTWYIQGRKGFTGAQRVAEQAIQS IQDQALHRISDAFKRTSQQALEVCLHVPPAELTLAKLAEEACLRIMTSPLRSTLYYIL LYRRKWLDNGIGAAVYSSIGQAYKPVGSSDTHTVYAGELEGIDAALEILLQSQPRGDN PHEATIYTDNQAAIRATCQPGRSSGQYIIRRIVRHLGLLRDNRSRWRVRLQWVPGHEG VPGNKKADQLAKLAAVEATRRFAKWWKDQWEYAKHGRHLYRIIKEPTKTVLQLHEGLQ RAWSSVLIQLQTGKSALRSFLASVRIEDSPQCQCGLGDQDTAHVLVRCPIHINLRLKT LWKEARETDYRKLLSEPQWVQQSIDFMMWTGLLTQFRHAIPLIITRSQ TSTA_022900 MSITSPSSHCLGDENIAEEHRLRALMVQHPPQIPYYGVYVRQAS GQAGDPARPMRHWEPSGLIDPIYEGKRRQRAVSKKVKAIRAKVQKGLDSVSDQHTALN D TSTA_022910 MEIDALSRIAAGLIVRVPDIATTELEPPQPEDIAIQKALDEVLE YHRVHRPKNTMKNYEPKQKEWKAWCKKMGFKEGGRYLPGDYVDEGKLLLFIKEEVASR PPRRGQRLKAERKRKRTAAEVLSEGPPSKRKREKISVPSMAFEELPVESDDDEACSEL VLIYNTAHQTSLGLHNAARPQRVAMTALKTSIARGQHQRQYDEFTDCGLATIRDGYVA SQIPNLTRKVWAQCLGQNQIEQQFHTQLCFLFGNSMLLRLSNRLPMELPGLFSMPLPN EGLKGKD TSTA_022920 MAGLSALAIYFFWRWHYSRESFPCFWTSQDWYNIKVLKRDNNHL TEPLSDSTAASWTRRLYSEAGIKSSKVTHAGWVSGARLAELNGVSEDQIRRGGRWNAD QMTGCYLTTLPQSFMHGIADFDPD TSTA_022930 MEFPSHPIFRDPLFQMAEYKAFELDIHMAVTTVMKEDPHSIAIQ KAIPAVNDWLRTMTAAIQTGQVTHSQALRSLEDLMAPQYRMLRNTTTILELWKEWTVG LNGQLSIERLDELYGSGWSSGPESSAERQFYSRRKTLINEIRRLATVEDASLGDPCQT VVAKLEEERIRAGASLSKVIYALKRS TSTA_022940 MDSPTPFLFCLKDMNRLRVKFNNLTNEMIQGDLIVPVIRKRGHP WFFLDEKYAPEAFLTEVEMRWLYRWFGHPAVDRLHKVLKRAGYLNVDYKMLAQIEEFC HHCQMNRQALKRFKFTLHDDCEYNYEIVVDVMYLDGKPVPVKAHNAISKVERYHTLLR HAYNIILSELSASVDKEIILQMAVKAINNTVGLDRLVPTVLVFDAYPRITYDLPPSAL TAKRAQAIRKAMIDLRNAMATHKVNDALKARNSPIVMETLNLAPGTDVQVWREGKGWT GPHKVISVNDYNVIMDLPSGVTDFRATSVRRYQRDEIESSPTRRLLGTDLPPQKEEEG RKIDSLLTGQKSGLAFAQGSSSCDAAASALQEVELGEPTGAGYNDAGKTGILTQAPTI QRASQRLVVLLIATLILMGMAYTQSKSKLQRLIVANLPAEMRDKYPLDSLLLVEGALY GIPEAGVHWFDTYQSHYKDRLGMETSTYDPCLLMTTKGKENFGLVGIQTDDTLLVSTE SFAGEEQVALQEAGFKAKPKTRLSQQVPIEFNGARITLQDEKVYFRQKGQAAKIKPVG KEDRAQKYVEQRARGAYLASICQPEAAYDLAVAAQLQEKDRSEADYDALNKRLIWQAE NPDRGLCFVPIDLTKAKIMIFTDGSFANNRDLTSQIGFLIAMCTGLENLWPHYWLDQV YPDSTVNMITKRLGQPEMPVVVCTDSYSLYECLTKLGTTKEKRLMIDLMALRQSYERH EIDEIRWIHGDDNPADAFTKSNPNKALRDFVGSNKVTIQVEGFIKRTRID TSTA_022950 MDTRKNIVILKTTDDWRKWIEQLSTEAMKENVWEYINPDPNRMV LEPAPAKPMEPVAPEIDFSKTSEAQLLLQKYQIESNTYERQLSRYEKHQKRMKHMRSY ILDTVYIGHKPMIREISEVSEIIRKLKKELAPKPNRERALLIEQHRELIMTKNSLKPK ELIQKWRDLIIDMKFAKFTEIPDDRLTRDFIKTTENVLPKFYETWTTRMIEFDLDSGA TNLIEIPTVDEIISQFEQWEEVYTKSNPPSRRDIAMATFGDKSDQTEKEKQDTTPKQK TRTCICGQEHLFEDCPYVNSKKRTVNWKPDEAIQKKFEQLERRHGHPRAKMLQRIKKK LEKEGSSGTKVSFLTDAKNDNGNKESANLLYDSDEYIGILLNAKQPSLNPPISTALSA SSRNLDIKEMTLLDSGATVHITNKRDKLINMQSNVRTIMAGKTEIQMYGPGQYILHPT DPISDKVICKGIRILEMWYVEGFPTTIISMSQLRSHGIKYDGKTDRLWSERTNEDLCH VKCTGKLYLLEWNSNKNSKTSLSKELALSSFDKRILKDPAQVWHKRFAHVSNKSIENI EKATEGANITAPFQKRNEEGFEEKCEVCAITKIRKKISRVPMTPPTRPFQKLFVDIIV MNLAMNKDSYALHAVDPYTKFHILTTTRTKSVNFNLENMIEEIEHTFKTRIEEIQLDG ESSLNGISFRDYSQKRKIRLIVTVPDTPEQNGPSERAGGIISMKSRSLIQEANLPQGL WPEAMKAAVWILNRTPIKALGYKTAYEMAHGTKPYVGNLFLFGSKAYVRVDTKKSEKM ALRAQIGFLVGYEAHNIWKVWTTGPNGSKVIRARDVIFDETKKYDPEHPFVKEIVREG VQRYVDNVDIPNLEDIEQNDIIDSVDEDMNLQSMVSPVVSNIENTGGTLPHDSMDISR PGQALDIQQDVPQNMEIDEPTQPDQDTMDIDHENPENEAQEATQIDNREKSVVKKLKI DSAGGVEHEDNIKEEVDEDKNIPSDKQLPQSSSPVTMERLSANHDAEKANNVNNDLPT PPQGASQHSSEKNESTGTQEPLSTSRAQEINADLSESNIVTGPRIRVPSKRALSPESS SLSRKKHKKLSRAFLARQKLLQDSTTDKILLAALEKLEKPLTEQLPPEPKNWTGVLRH KFKNQFIQAAKTEFEALNKKGTFEFVPRPQNKHILPLTWVQKLQFDNKDCVRGDLQQP NELEKRAATLAARNFRLMMALAAIFDLEIVQYDAVNAFINSLLDKEVYTLCPDGFKQS SKVIKLRRALYGLRRSPRLWQKELTTTLLSLGFVPIPDEECLFIKNGVLILFFVDDIL VFYDKDKKQAIFEETEKGLTSKYELRKMDKFEWFLNIRILRDRAQRKIWLCQDSYIAK IASQFNINTTNNVDTPISGNIEASKGEATNQEIHAYQELVGSALYASIMTRIDVAKAV NELAKHTKNPSIAHFQQIRRVIQYLYNTRFLAIEFSPPQNPEKDAFICASDASFGDNP DRTSSEGYLVQIYGGPVDWRATKQRLVTTSTTEAELRAATEAAKRLQVWKRVFRSIGF KPDRELSIQCDNKQTVALLTSEEPQFRTNLKHVDIYHHWLRQEISKKRLRIEWVDTKR MAADGLTKILRGQPFLDWRKHQGLVNIGHLTQE TSTA_022960 MVNKNTTPSDNDQDNTESNFPNDRWITEIGFNNETRRKLINMAL WDKEVEPGDNKIALSTRAISALYYAACNQYVDYEIFMWLLDYFGNWTHDDFKRLSVHI QKKIKDMLMDRGIFVDYIGRKKTIAKALDDLVQMTRMPEWPHEIAAAKAFDSRSKMAK GQFPQLATKNGSEEEEPEVIASLIEDGREDYEKGKQPLVETPKQASQDHDQQPKNHAQ NDKPSRPYDHLSLPGPAYTYLYRSKLEDDWRRQTPRYTIAPPAPKISMSLEKWDD TSTA_022970 PKKYVLKLRRSLYGLKQAPRIWWDRMTSFLLKAGFYQCDAEPAI FICSLDNKFLILLLFVDDILLTVILHQKAYIQRILERFNAPTNPVATPLDPKHPLIEA TNAESLNETDALEYRAAVGELIYLMICTRPDLAFALSRLSKFMQKPGIKHAAALKRVL RLATASYEVIWLRKLILAILPQYAEHTMPSNTIHCDNQGAIAIANQPSHSPSTRSKHI DIRFHVIREAIANGLIRLEYIRTTEITADILTKALPKELHEYH TSTA_022980 MSASNTFTSGEKLPILDYSNWVDWSEYWQDHLILYDLWQYVDPT STVTVPPPTTNVNQDIAKTLTENLTKIRQHVSPECRKLLVGHTNPRDLWSSLKAGCDR GTTLPLIAQYELFHNNKWEPKDTISTYTTVHILVDRLPDCYKTKGQTAKQLNLPFIET VTYLLANIKDSSSEGDNTSGQALVTRGRRPNRRTSSRNLRNGGNNSNSNRRERSNRNS RNKRLICNWCKREGHYERDCHIRQQQLDSGAAKLDRGRAYLVQQPSSLQPPPQPLPLL APPPPQANFSSSHWILDSGATQHFCNSKLDLKDYKHFLEPREIYLGDNTTIYAEGSST QHLQVGPYILVLNVCKSFNRVHADLIPLDGISLGGSKYMLLLVDDYTRYAWCYFASSK NVPAITPLLQGFINLVLTQFNAVIKSWRTDGGTGEFINSIVKEINRQYGILHQVSTSG VKQQNGVLERRVQTIKNMERSMRAGAGVLDDYQLQAESLATSEHRSSSSDPRCRPAMM VGYIQDSKSIYKCLDLHTLQTSNHSEIKFDEDLFPGPWLKRPASFKLSIAHKRNPPGS AVDTVLDPVNLEDPAKPVDPMELADVAQRALDSPQFLALRMDSQPIYNPRGSVVFGTC VKIHEHDTTRELVEAALIV TSTA_022990 MPPIRNKNRKNLDEQEGRILLAISDLQNGRIQRVAQAARIYEIP RTTLQDRLNGIQQRSLVRANSHKLTQYEEESLVKWVLDLDRRGLPPRHSLVREMANYI LSQHGKPQVGKNWITKLIKRRPEIDSKFARKYNYERAKCEDPKIIQEHFDRVQAAISE YGILPEDIFNFDETGFAMGLCATAKVITGSDRYAQPKLLQPGNREWVTAIEATNSTGW AVPSYVIFKAKKNVREGWFDDLPDDWRINISDNGWTTDQIGLEWLKTHFIPYINGRTV GKYRMLILDGHGSHLTPEFDHICTENNIIPPLDVGCFAVLKRHYGQLVEQRMRLGFNH IDKMDFLTAFPQARTVAYKAQTIRNSFAATGLVPFNPDRVIQQLNIRLKTPTPPPSRS SNTASSCLQTPQNIRQFIRQSTTINKRINERTESNQNQEINQAVVRLSKAYEMIANDV LLVRKENYDLRAAHEKEKQKRQKSKKQISIEQAVTKEEVQALVQGQVEASHAVTTTPA EPELPASQAVVRRQYRCSGCNVMGHRINQCPSRISS TSTA_023000 MALRNVLIIGAGGHLGPSILAAFRNDSRFNVSVLSRQSSSSKFP KDTKVHRVGDDYPDEGVLSAFKDQDAVISTIATASAGQQTRFIDLAIKAGVKRFVPSE FGSDTRVPSAMDILPQYFGGKQATVDYLISKEKEGLTWSSFVTGPFFELAMAGFMGFD IPNRKATIYNDGEGSWSTTTLPSIGIALKNSLIEFEKTANRYIYVASFTVKQNEVLKA LEKVTGSKFDVEYVDGEAQRAIGTEKISQGDFSGAMLLIRYINSVDGNGGNYALYHPT DNKLLSLPEETLEEELKKIVSQ TSTA_023010 MIKLQQLRIAEAPILDPFSQQRYIEKGTVALSSTVNMIAEICTK FNAVGTITEAELVPLPALICTGIAAIAELQINGFRANLDALKKTLELCSTKWKLACYY LNKLETPETTLEMD TSTA_023020 MLPNEEIEEAVGSPSPVIEISDEEEDNHTRPQPRRRHPGRPDYT YQDYQDTVEHVILAPPLRKRKSEDSDAADFQSKIKRFVKEIQGPYEALEKENKRLTQE RHQWKNDKKQLWLQIRYLQRQLDGQKRRNILKFYRPSHLVQPISKRQYTDGINAVNEP ITPKSPILECEIPETEDALESMICVDAAELRSAYEHESKPDVHSTTLVMHGEDLLKSE NIDYSTMPICPDGEQVESPPVPEEDLTKGLSFLDTVYQMVDIVHLLKKHPSDLPRTVH QSILQSLRTNQGPIIDSTVSHWSDGKMWMKVLERGSATNRRCTVLNMLEYMGASKWYD GQIELARRTVCTKDNKAVGEKGAAMHVMNRITDEHSLLSRKVIINQFSRGKRLRVLVE EIRLGILISPKIW TSTA_023030 METPSKNEFQIGWICRIGQHHVVIACLPDRQYGTTSATTVANNM MRTFSVSLRIGLMVGISGGAPSAEHDIRLGDIIVSRPKGSHGGVIQHDIGKIGKGGKI QRVGSLNSPPTSLLNALAQMRVAELYENPQYPVYLQEAIRRNTRTQKTFSRPDVKSDR LFKIEYEHPENAASYDQCLVEWEEDRITREDGDPQTHYRTIASGNTLIKDGKTREAIR KDTGALCFEMEAAGLMADFPCLVVRGICDYADSHKNKQWQGYTALAAASFTKELLGYV PKGVSQESLVTDICPLLEDIKED TSTA_023040 MVLRIIVGARRPLTVGEMAMALGLATSRNAKIASEACLKVNRLD EKIRRLCGLFVFIKDSKIYLIHQTAREFLTGKVDRSPNFKWYLQPNITEALMAEICVR YLLTDDLVSNDGEINWADHFRDILIPDAKLMEWVWELYRITTDRFRLWFANFWEIAMP YHEDTKMDVLHLAAFNGHQNILCEMAMNERGSIDATDRSGTNALQWACMQGHSEVVPQ LLERGADVNAEGGKYGNALQAASGQGHRKIVQLLLENGADVNAQGGQYGNVLQAAAQG GHFDVVQLLLENGADVNAQGGYYRNALYAAVNRRNLEIIQLLLENGADVNAQGGQYGN AVQAAAQGGHFDVVQLLLENGADVNAQGGYYGNALQAAAQGGHLEIVQLLHDKGADVN AQGG TSTA_023050 MASNDDCTQTLHAHSGKIYSVAFSPDNRLAAYSEGKNVTIWDLD NDKRLNIFTGHGDYVYSIAFSPDGKRVASGSKDKTIKVWDLDSDKCLNTFTDHEDYVY SVAFSPDGKRVASGSKDKTIKVWDLDSDKCLNTFTDHEDYVYSVAFSPDGKRVASGSK DKTIKIWDLNRNSSPKTLKGHSDHVNSVAFSFDGARLASASDDKTIKIWHINSGRCFK TFEGHTKPVRSAVFSPDGTSIASGSEDTMMKIWNIDRDHCFKTFNGHNQGVESVAFSS DGKRVASGSDDKTIKIWNVHNRSSVKTLEGHSHSINSVAFSPNGTRVASGSDDNTIKI WNADGCLKTFNGHDEAVRSVAFSPDGKRVASGSVDQTVKIWDLSNDECLKTFTGHGGW VRSVAFAPNGTYLASGSDDQTVKIWDVDSDKCLKTLTGHKDYVYSVAFSPNGTHVASG SKDNTVKIWDLNSENYIDTFNEHNDHIHSVAFSPDGTHVVSGSDDKKVKLWNINSNIS LKTFEGHTNGIRSVAYSPDGTFLASSSDDRTIKIWHIDSGKCFITFEGHNAGIRSVNY SPDGTHVVSGSDDKVIKISYVNGGKCLRTFNGSFTNSFAFSPDGNHVASVLGFQTVDS TIKIWDLNCNSYLKTLRGHSKGVYSVTFSPSGTHLASGSADQTVKIWDLNNDECLKTF TGHGSTVRSVVFSSNGTYLASGSADQTVKIWKINSDECLKTFTHGGSVSSVAFSPNDI YLASGSDDQMVKIWKIYSGKCLRTLTHGGAVSSVAFSPDDKHMASGSSDKTVKIWDFD NGQCLKTFKGHNRRVGSVAFSPNGTHLASGSEDQTVKIWDMSSNSDSNCLKTFEVYNS DVISVAFSSDGTRVLSGSLFGAVNIWDNACLKALNGGTRIASVSDDRTFRVWDVDSGV CLHIFEHGRVSSIVFSPNGSSIASASDDKTIKIWDITSGNCLTTFKGHSDMVQSIAFS PDATRVASGSDDKMVKIWDVDSGNCLKTFNGHESMIMSVAFSPDGTRVVSGSNDKTIK IWDV TSTA_023060 MTPNLERVQKSDDSAVNVQNVGSGSCLKTFTGHDDWVNSVAYSS DGTCVASGSVDETVKIWDVDSGNLLKTLKGHGGTVTSVAFSPDGTLEVWDVDGGSCLK TLEGHDGYITSVAFSPDGTRVALGLFSWAVKIWDIGSGSCKEFLGASGTVSSVTFSPD GSRVASASWDSTVKVWDVDGDSCLKTLERHGDYVTSVAFSPDGKCVVSGSRDSTVKIW DVDSGRCLKTLIDHSNPVLSVSFSPAGSRVASSSEDKTVKIWDVDSGSCLKTLEGHGG AVTSVAFSPDGKCVVSGSRDSAVKIWDVTCLKTLEGHRDWIRSVMFSPSGTHIVSLSD DRSIKIWDVDSGACLQTIEHGRVSSVAFSPDGTRMASGSDEKTFKVWDVESGTCSNTY DHSRVRSVAFSPDGTRIASGSDDETAKVWDVNSGNCLMTFKGHSSVVRTVAFSPNGEC VASGSHDKKVKIWDAVTR TSTA_023070 RITRSVLASEMYGMANGFDAAAAIKSTLTQLLHLLEPLPLVLCT DSKSLYECLVKLGTTREKRLMIDLMCLRQSYERQEITEVRWINGNSNPANAMTKSKPC RALQELIDMNKLRIDVDGWVERPSTKRTSESKNV TSTA_023080 MPELAPEMALQMAIKAVNDTAGPDGYIPTLLIFGAYPQMTDYSP PASMVVQRAATIKKAMTEVRRLHIIRQVNNALNTRNGLSSTLVHRLPLNSDVLVWREG GTRYPGKWKGLYKLISIDGEMCTVELPNGLTKFRLTVVKPYYKDDDLEHKDDEQLAPE EAPPILTGDGQFDKSRRKEVTGLLERGIFIAVNREDILLDARIYGFRFVNEVKNKGTD KAFEKSWLVVQAYSDKDKEFVLTQLLTIQRSSQRLILCIGISKDGFHTYYKYHTKQLG METSMYNLYLLHCCNLEQGFGVIGMQTDDILIVADEAFAAREEEQIKRANILCKPCEQ LITGKSLRFNGAVITEDAQGITLTQERTCKNIWLVQDHPSDTVSSRGKVRKNASPHEQ YVAQRALGAYIASVSQPEASFDLSFAAQATQPGKEDIKALNKRLQWQIDNSTRGLRFV KIDLRTAKLYAFVDASFANNKDSSSQIGHVIVLADA TSTA_023090 MISFTTAFVAATLAVGVLASPAAAPAALTKRNTPNSSGTNNGYF YQFWDDGSSGTTTYTNGPAGEYSVNWQNVGDFTAGKGWSQAEPRNISFSGSVNCEGNF YLAVYTWSTQGENYILEDYGTYNPCSGGTSKGSLYSDGSEYQVCLVDRGNNYLQNWSV RQNKRSSGTVTTANHYNYYQSQGMTHNPLSSAVYQIVSTEGYGSSGSADITVS TSTA_023100 MERSQLCIPVTVLEWRHQKQHLEKRVLIRFPLPYRVGEDFRPGN GDEKIRCEAGTYAWIQENCPEIPIPRLYGFGMSSGETFTHVENFSILFRWIQYFRRRM LSFLGRTTPSKYVRHQIQNNLTRELNVGYLLIEYIEAREGTMLSSTWELKHNNPKLRA NFFRDLGRIFLSLSRKPLQRIGSFAIDNNGFIHLQNRPLSLGIQELENERIPVDMPWN FTYSTVDSYVVDTLAYHDSRLYHQPNAINDTGDFIYQTSSLTTMRAIFSSFFRRDFRR GPFVLTLTDLHQSNIFVDENWHITSLIDLEWACSLPIEMLHPPYWLTGMAVDRIEPCE YNKSRLEFMDILTVEEKRDDRKGDSPFDFKLSEIINSAWDNGTFWYSLALSSPTGLFA IFDKEIQPRFTKTCPDYDSFHEIMPWYWTQQVLPIAKQKVEDKRSYDNKLKAEFNID TSTA_023110 MYLIYISNTNKQNPYSQKAQFFALLESKHRLIQAIVAQHLGLRS ANACQVAPLRDWLHGSYNVCVKILEEDLVAKDYEQ TSTA_023120 MIPPSYLNFGATDYNTLQCYGSLQSNAGLNLSIYGITFLKSVFV VFDDENKRIGIAPKAVNMPIGPIIDIEGRKWDHIHRGMSP TSTA_023130 MTASLLTLDVKGAFDAVLPGRLIRRLRNPRNRFGYTDDAANLAI STSLATNCEALSDSLQEALNWGAAEGITFALDKYELLHFSQHKADQDPTYTPSVKAGS IIISENTKWLYLR TSTA_023140 KWHKSIGPFRSPPLKDPLRPNSFPAVTIHEKRDVLVRNLLQNSA EAGDIPLDSPAVPTTSLYFPDITMAQVEESVLQAGNTAPGSDEIPTCILKVAWPLIKD KVLIPIALLSVLGKGLERLVARNMAWISIHYK TSTA_023150 MPQQAAAEQVKPRKLSQPCQRWQPSLQRSPTTFAEPFINWLDLQ GLVLISDIDCPTHERGNVLDLSFASSPLALAGAKASIASHLDATSDHQPLITTVPWDQ RYKETAQKLRFDTLDHTSFLSLLASNLAGTKSSAAAEEDLDALAEKLTSATQGAYRGS AKRTMTQGIGQPWWNEDCKKALHNYCLGLCSKTDFRRITRWS TSTA_023160 MARKGPGTDGPLQTALLESTSAATTRASEGQKIFSPIAVFLDKH RSQTTGLAPHLLRALTALSDDLASVAQQHFNAYISGLNQSTYATITQYTPVKSTPTTH PKASIKKPMPLVKQPLPDIRLFVRLPADHAARKMEAYAIYSSLQSQLNLNSAALKEVQ ATKTGFALCPSSPEALLALEA TSTA_023170 MSFDWRKPDPPRKFTVNEKNARVWVKQFQEIWDFARGNLEIAQQ CQKKQANKHRREVDFDVGDEVMKLADQAAGPYKIIEKVGQAYRLKLDEGIKIAACVID RAIEGSDFGKSKAGGD TSTA_023180 MPKQSGQDGGHRKSQSETLHKTACDRCRGQKLRCVWEPRSRQCQ RCERAKAVCSISPPRPMGRPMAVSSHNRNAQREMGHEVHRFESPCPPEACVPTNDASF SVDDTTQADISWDASFSSLMNDGCPSNAYIQPESFEVFSPPPVPGFFDAQINIGNSPG VQPSGQPAGNYHDKAGEIAEDTSDDIQLCNLTIALSRHPLHPAAMSAAPPLLRRKIVR VADLEIGRLLSMTAQLARIVPVNDNASYPRQAQSTILLILSCYSRLEAMFSSAVDALR ELQKSEKPLDDSHPLMTGLVVDGFSLGTSQELQMRFIIQLCEQTRETILQATVGLMEP DLITLHGIGVAIGKLLSA TSTA_023190 MHEFFSYSARNHYFQYAWYNLELLRLLGSTPSGGCDAAEFLETV SEIEPNDAASWQHCWFARAEKTEALAEEIGKSGQLNLACNAFLRASNYFRCAQYMFPV MPEPEQRYLLQVYERSISCFERAMALVPHNVSRVQIPYSAAPDGRTIHLPGWLHQPSP SQCLPGRRKVPVLICCGGADSTQEELYFLSVSEGPGLGYAVLTFDGPGQGLVLRRDGV PMQPAGEAVLDAVINFIELYAHNHPQAELDLNALTVTGQSLGGYLALRGAAEHRIKAC AAIDPFYDMWDLAMARMPSWMVAPWEKGWIGDWIIDWAVKAHGAQDLATKYQFALAQQ MFGTPNAGSTLRMMKQYTFKLQGSHGTKKPDYLARVQCPVLVTAGTTDSTSFLPEISI NTIVKNLVNVSESQKTIWVANSYAEGGAQAKSGAWPLLQHQRTHALSCFYRLIHGLFA KCV TSTA_023200 MAQSQTAVRTGIDGSFVASHNIPVPSCSPDALLVKVYSVALNPS DYKMARLVNKPGLIVGCDFAGEIVEIGCEANRIERPGRAPWVVGDRVCGAVHGSNSRH PAWGAFAQFVEADPAVLLRIPTGWNWHDAAAVGGSCVGAVGLALFCQMGLSLSVTGGS NSSANDPKKVVLVYGGSSACGTMALQVLKAAGYTPIAVCSPHNNSLAKSYGAVACFDY HSPQCAQQVKAYTRNSLSLVLDCIGTVTSMALCYEAIGRAGGRYVSLEKLPAAATSSR PTVRSSWAMGPLMFGRRIDMGEYSFEPDPAARDFGRKWYALVEELVESGQLRAHPVQV LDDDAGSWVSAVQQGLQTMQEGKISGVKLVVSVAK TSTA_023210 MPSTNSRSDQGKQKEQPGDAVFPADQTPAGNKKPNFPKSWRFYA ILTTLSVTGLLTTIEGTIITNALPTITAALGGGSSSLWIADAYFLASVATLPLYAQMS NIFGRRSMLLASVAIFVLGSGLSGGSSSMAMLIASRTIQGLGGGGISLLIETVVQDLV PLRERGKYMSVVLMFSTLGAALGPLLGGLIASKTTWRWVFYINVPVGGAALVALYFFL RLNYRRDQTWKQRVSRIDFVGNMIFIAAMAAMILALTWGGTVYSWSSYRILVALILGF IGLFIWTGFEWTPKFAPEPSFPRKIVSNRTSSAALILTFLHSTITYWSFYFLPIYFQA VKNRSPLASGVDTLPTFAGIIPFAIMGGVLLSKTGRYKPLHFVGFIPMSIGFGLFSTL DENSSDAAWVCYQLLCSVGAGMLAGILLPAVQAPLDESDVATATGLWSFVRGFGAIWG VTIPAAVFNNEASKYAVMVSDAAVAQKLSGGKAYESATQEFLNTISDPAVKAEVIRVF ARSMQTVWYVCLAFSLPGLIITLFEKEVTLRQDLQTEFGIEDKKDPAAFGMELPGVEA DAVRSPA TSTA_023220 MSVPLLDASQLGAGDEARKRFLDHLLQSFHDYGFVRLINHGVPS DRVQEMFGWAKRIFELNKERKLACENIADGSPQRGYSAIGAEKTAALFGHLVGHDVDA NLYDAREHFDCGSPNDAEYANRWPEDLPGFQPAMESFYALMADCTRTLIAALEEALHV PQGTLNGNISSKTHSASELRLNHYPPIAMNTLRTGQVSRIWLHFDLGVITLLFASSVG GLEVEDRNAPAQQTFIAVNPESEAELVVNISETLQRWTNDELRAGLHRVSFPTQLDQN GGDVEIPARYSIAYFCKADRQASLGSLPAFTRGTKPLYPDITALEYHRSRLQTAY TSTA_023230 MGWRPYGESGLKRQNCNLGSAIVAGLLASQQSETLVNRILICVQ TASQQTRVATMLPNKNRHHVQYVIDNHVEGVRQADVIILTCPPSQASVVLGTHGMAAS LTGKLLISMLGGVSLDDIERTLYGSSKDRGPEGRCHIIRATTNVAAAVDASVTIIGEC STPMPGETLASAVALLKTIGSVVHVNASQIPAATALGASATAFFALMLAAAIDGGVAL GLGHDQAVQIAAQTMAGAAQLALSEAHPATIRTRVTTPGGSTARGLEVLEEGAVRGKI IRALKETATTHRM TSTA_023240 MDDQLLPNPLFLPTEGQKSTWDDVITTAKNLSHQQELEQNNDEA LHQLQQEMDKQTLAFCLEIIQQSVSLRAFNSILIWILALSILEQQNHPTQDLGDIIIR HRDRWLLNDTKGPVAELLENRLYAFRIAMSEVPPAQVRWDREGQVITFQDVSLSLLEL SRLIREGISTAQAIFEQELCLSGPARPATEIPQFDLSNLMDNWDATQAGASFLTDSRN HAYVVPYQDWLFHRVSQDAVLFPIFWELGVDQTWRISPKMVEQYEATIQRFLEALLVP FFIGSGQQARRTEFLGIRWRNTLLHTRDLFLHDGQMLFILDYHKSRHRTNASRWPARF LLPEVGHLVTQFLILIMPFRQWLQHQVQTMHSSTSTPLCDYLWASTTKPWSDNHLTRT VIRTGEQILGKKIHIRAWRQITVGIAIKKFRTLASQFIEDSLDNEDDLIEDHNGSMAA VFHYQAAHTPHTGNQIYGGTINFRAGLTDAGLQEFRQASEIWHQLIKQPSQYSTPSLL KRRLPAVFTQSLQPANVNIEWEWDESPSKRVRSEATESTLVQRFHRCHEPRQSQQRWT MEQAQTILKRMYGPEAQYRTSNQQQALQYIIQGFSQVVAVLRTNEGKSLLYLLPCQLP GARTTVVVLPLLVLKQDMLLRCQNAGIKVTIWNQQDESRHLGSSPLILVSAEQAVHIN FRTFLLRLQLANQLDRVVFDECHLTLTASSYRKGLALLPTLRDIQCQMVFLTGTLPPV MMAEFEQTMLLSQARLIRSLTTRRDLSYQVVSCPMDQDFFKFAIPWIQQERTQLDSQE RAILYCQTQAITEKVATILACPFYHADSGTREEKAQTLETWRNGNPNWIVATSAFGMG IDHPRVRLVIHLGAPSSLIEFTQEVGRLGRDQQGGRSITLLPPSWSITKSSRPGHMIS SDVQAMHAVLDQPNCRVAAMSSFLDGAAVACSAPDPLCDQCRFRQENPESSSTDPTTT CSPNPEENVDCDLTIGSQMRIQQIQQESQQLQQYEDSLTKIADCLWTKYNQGSG TSTA_023250 MANPIAFQNLYFERLTDFPTTVVCRECRYSVWPSQIESHLQHAH RYLSSTLRKQLAEEVRSWPDIAINPIELDIPPTRIRAIPQLIGPLNGWQCQLSPELCW YYFAIIDHHDVEDERDRMDEANSISLPNAAIFWEQANAKFAEFEKKLVEKIAQGHVDE ANPWLRRTGWLPYLKPFTFHALQAFIEAPEPPSEDENQSTAIPDTKTAEKCAAWAVWS AMGEVGRLSQLSVLHMGVFVRMEAICSERNQTRYQPLEAYQDANAVTDRVFRPGERRP WESRSIPSLPPSSGESRVETMAPQRVRPRGEEEMSDRSRDRLEGDADPEEVDPREDDD EEYDEENKEEEEVPPLTPIQQACLDFCIELLNQRIVRREYDSALICATAILGA TSTA_023260 MVDTFMIRGSQSLMQWMLDLRIYGLKIHYNTTTEGHVGWQNHDE LLYKDLQFTMSEFRGMVDRTTREAWRILMEDLLQCSPEDAPAIPWDWLYDNPHIQRHP ALRRKFMHADEQTFLEKLIACTQFTWGQPARAPELLSICHENSQAGGIRNVFIEDGLV VLVTKYHKGYQMSGDIKIIQ TSTA_023270 MASYQEVAIAISHRFMRNSRAFTRSAADEAKADEEEDEIMGHVA DEQATHSPNTASNIYAREWTERVGEVAERRLQFWQSSQEWHQFLGFQSTMRKLREDQT TPFQDSAENRWVEWWKAIRVADPIQALQEMMGKQIGRGGTMIVVIPLVVLREDIQQCC QRLGISCVEWNSRRPVDGASIVLVTPESTVTETFQTFINRKQWTKELDWIVIDECHMI LQEGYQFQKEMAQLGKLVQA TSTA_023280 MIYVVQIIGKKEMKREMIERLMMEWIEEQAEKFRHSRGSTVEIA HQLQCPAYHSKTVDRRGVLASFCQQKQGVMVATSALGMGIDIPDIQMVVHVGPTRTLL DYGQESGRAGRDGRPSLAVMLIDGSGQGVGYPEVVDERVRQYIDGRCRRRTLDRYLDG MVDGYEWEQCEEGEAPCDQCRGATPVSKVDVDGEEEDPSDLEGMEEADDNHKDNKRQH RPPQGHKRWAGQCRICELEHQPNNHSIDECSHPHAHKAQQWAQVITARITSQSRGKGR SGYEPYAACFHCHSPQWICQRWESNGSGGYQSTQKPCQYEFIAVQVMAQLLHGRFQSV IQAAWGRRLAQLSPPIEMQDMARLVGYFQRRFGDRGEERSGLVVEMNWMCEFVEQELQ RDDDRCSE TSTA_023380 MPLVKQPLPDNWLFVRLPADHAARKIEAYAIYSSLRSQLNSNSS ALKEVQATKTGFALCPSSPEALLTLKAQKEIISAFFVNCQIEHSSRWVLYRVTNVPRK VGQILDSQYSLIPVNPTLLSSEISETTRLKPISISETATSAANPDTLSLSWFLSNAHD AGNGIMHNPVPAPLDAGSAAPQSILNRDMLTAAQPWSLISALLDVYTAMDHILLISQN ASCALKATLNILKLNKQRSGNPVLLTLQKLELKEDVALSSPLAHKKHLWH TSTA_023390 MVTDNPCFRSAVVKTYRSDRTGGIHVPGSNREFEQEVEYAIAST RFSSRSRAGDDRRNGGGANNNAFRTHNNTLNKGPRGLRQRQYQHCQNIQEINDLSGIN SGKKSR TSTA_023400 MVQQRIILGSIAEKWDELRSQGVQVTIHWIPAHQGIEGNERADI AAKEATGWRLVQNNRGRQVPLDMNSTAPRLVGLQQPLLALKRDLKTLAYKQPLSSILT QMRTGNISLRHFLYKRKIPGIDNGECQCQRGAQTVTHILLSCPRFKEERNA TSTA_023410 MKTQTKDKIALLKENFFPRMKEVDLSDLQDYIYPDPVDWPPFTL REVQVALSSVATRKAPGPDGISNLLEIIYEQCKKWEEKHASKFNPKKFKLIHLLSKYS KITDKEHPVWLDGREVKPVPKCRILGLIIDNKLNWNGHIEHIETKMTKSLGALSSLAG STWGTGYKGLRQVYQAIILP TSTA_023420 MVVEFDQEEDADYAIKNSIVFRAQIFNCECQKYEHIETHCKAQE TCGYCVGLHSTKACLERDKPGSQPICPNYSKNHLSWSIQCEDRKEELARIEERRMNMP YTYKEAALRRGNFTGKPKQSRETHRGAKENSQATGVAATLNAPGNQKRGRSPRKITRI DGNQGTDLSMIRKILTVLQYNVNKSRERVMAPLLADENFQTTIYHLVKDRFDLVYNEQ PNTQVCFFINSQLREAWTHTYHTPDLDTLHLQFKNGKEARTVHIHNIYNPVESQNENQ PSTLPDL TSTA_023430 MAGSPNAPQLGLQPSTIRDHAIQLEADIRALKEYQVNPNMIKPL LESFISYLQKTQDLPTTSELTAAVHATARAQQILSKDITEIKNFLAAPARKSTIPSYA QVLKDPYIVKPTMQTRPSMGHQEILVKLNPTDVERQAQRATAEEIKQKINNALANHKD PDLKKTQILAVK TSTA_023440 MLRDAALSYYWDNIDLWIVQGKDLVEEIITYFEGPEHQQSIKTE WSATTLATLTLWTTATTPASHHVCRIKVIHHIGKAAHENEATYDTRVAYRAATPKIMH RRFAISVRSQAAGLQSIPRKNAKPLGSHTKL TSTA_023450 MLEALAGRVVHLAVDGVGCSWSYLHSSVFWLCVASSWACNVIHK RVGHTSKLATFPENALYIDSTQFNTSICRQFAIKIQKIQLNKRDESSEIYNVSRSTLQ NRLHGMAYRAETRANGHKLTQSEEESLVRWILDLDKRGLPPRHFLVRDIANCLLSQRG NQHVGENWVYNLVKRRPEIESKFSRKYNYERAKCEDPKIIQEYFDRVREVILEYGILP EDIYNFDETGFAMGLCATTKVITGSDRYARPKLLQPVNSIGWALPSYIIFKAKKYTRL GWFEDLPDDWKINISDNGWTTDKIGLEWLKTHFIPLIDGRTLGKYRMLILDGHRSHLT AEFDRTCTENNIIPPLDVGCFAVLKRHYGQLVEQRMRLGFNHIDKLDFLTAFPKARTM AYKAQTVRNSFTVTGLVPFNPDRVYQQLTVRLKTPTPPPSRSSDTQSSCLQTPQNARQ FKRQMTTTKKRISRHTRSSSEAIGEVFTRASKAYEMSINKLTIAQKELHDLRAAHEKE KQKRQKSKKQISHDHGITREEAQALVQGQIEASQAVSTAPAEPELPVSHPPVRRHFRC SGCGIEGHKITGCPNRTSS TSTA_023460 MGDFNWHDQLWGGDHISPARQGEANALINYMGEHSLYSLLSRGT KTWQSGDIETTIDLILVSIELAEEIIRCDQTINSFRGLGQLQTDRLMTAVLEAVSKLT LKAKPSPYAKRWWMTDLTQPCRTYTYWRNQARLRRRAGCTVPELEQQAREVAKEYHDT IQKQKKAHWEDFLADDINIWQATKYLKPNVKSDGSTTKDKIEQAEELLTTFFPSLLER IEDEGTQPQREPIHMPDLTMEEIKRKVFEAKL TSTA_023470 MFETLGTDFFKNVDTPKFVSNLGNSNRAIYQVMELPNRPATENI ALEAGQQTRQLIPRPLSNKSNALSGDKISQIGRYLRLGWKCEAIASACNVSRATIFRY QSNLLWYGSLRKPAYRSLGRARKLSQADEDAVFEYLLHESWRQQDEVRSWLYYERGVD VSVPTISRLFKRRKWSRKQLKRISLNRSEPLRRAYLDDIRQFAADDLIFLDESIFNEK TGWRRHAYAPIGDDAEIDADINWGKTWSICAAMTLEGYLPCTGIKDGYYSTGDFADWL ETKLIPALSQIHQFPMVIVLDNVKIHTREHVSQIIESAGHLIRYLPPYSPNYNPIELT FSVLKSWMKRNWIFLRETCSNYGEFIHLSIQQSRCDRFARKHFKHAGNGVYIEEKELI EFH TSTA_023480 MPPRRASKDATASQQPPTTNPYNLRSLHIPRSIRPGESFDERHY VPTRAQNQIQRMKERQEEFGLPALITSKTAIQKTHQDAAKKANSYRKRPAPSGRKSTT RSPSKTPSAKRVWFDITSLRDAPDSSVETTPLRAQSNAPRDESIIASLEADTNEETND NEDSVSEDDDLPVVVPGRPPGWNMADYLNSEYDEEENRLLRDMPLNESTPFNSLDIKI AATNLYNAKEDFKKLSAKRCIKVAEHALREAREDISIVNTSDIQDEFNHEISQRDYSI GIDLRVYINKRKVISQTLHDMTRRSFDLGVVEDQFHDQIVKYTDDKPFTFETCMAFVK SMSGRGRQLSHQFDDFSITETLKVLDLIDNKREAHPGTALCVLFEIKVACEALISKKK RTAISTAQQPQDPISIPSSPPVLPTQIRSTRTTQLLEEAAIREARQDRILTAGDFQRQ LMQKYQCNDRNCTNYNNFYFPDPMDNTQHYNILATQHELWANRIASGGATIENPLDKL KQYWTKKQEMQTKMYEQQMQYRLMEQMEAMQEKQEHRDEEKERRHLLREQRDLLT TSTA_023490 MIIGRVDGTPHLNRISFFTRDVDQLPANPVLSIMHFTFHSGVDI ADQSHLASIPWRKSLKYVSTIPGFQGLYWAPVDQPSSCQQIIVLIQWDSGRGWRCFQR SLGFSMMLGYIENISNRCIQLALPVDSLFTSQSCLELVSFQFSEAPSTDQIAGLRSKW NDIFSSYLSNALVESRLIHFCGEWLEVDKDSEDRFFVGLLFWKHCQANNNQDRIQPSD PQNLEKNIEEIRKDATNVVSASTTPLNHIPFKISTLQSLGRQPLSVPEIEHPLFQTPT EPEYNLDEFVLSSGQDRLQVESMIEARRGERIAGGPAGTWLEMGLLSEHHLPQRLEYN ASPNMEMISFRAPIGDPQVESSFEHLRKELWGLGNCPDLFWGRDKEMEDGQSNTNLLF IQIEESKYPRPEFQARVQKSFQSFADRCGKALQDISYRSIVSPIRWGVHQNMDITIFD VSKNEWDQRSFEIAFSNFRETTAQHQIQRQPYGPYNAFMPTGQGWVVRHQDSSFDIHE PETVRQFMSYFNCEKEEGARKEWYGDFAQRAQTEYELLGHIVDCIRTVCTRITSHYLV MEKEDDWMIADKLKREEEKANKPPSPAPKSIFDLSWAKPPKKPDISFHVR TSTA_023500 METQQQPALVQTDDWRIPGLIDEAEEELAPEGPTQVLHDSPPST ISFDEDSPPRQPHSERLSPSEEVEEAAGCPSPVIEISDDEDDNHPRPRLRNTDRPDYT YRDYLNIMEYAISAPPRRKWKGEDSDAVDFQSKVNQLVMEIQGPYEALEKENKRLKQE RHQWMKDKKQLQLQIQYLRRQIDEQKQRNILKCILCHRIFNESWRVLGCGHTLCKNCV EDIKSKDSLFEYPCPYAQCKKPIQSCLDFYPNVVEA TSTA_023510 MDHIIFEDPSQRVSRAKKVCSNPSVPLARRDGAIEQAPGQIDPH FSHTGIFDIQFNGNEPVSLYDMFSLCGDDESGNEDQSNGSSKAPSLQPNTQQERSTPV PHKPEDDIIPIDPAILEGEKMNEDKPSENDAPDCSGSPAYPISPKSLTLHGHAQNIEG LGHASASFNVLQTAAEAPQFSSKRPSSNAIDAEPPCKQSRSDALAAKNYQSTLYSCFS AASLHERLEFFSWLFQYGLSESLSAASAELPFTQAKTADKSPESLCLQRRRLPPTPNR RRATSIRSRKGKAWEPDEIELLIKLKEDGLSWSVITKRFQKRFPGRSRGSIQVYWSTN LKYLHSL TSTA_023520 MIRSIFLLSLLRGLLAHGFPAASSKPVVTLDYATYRGTRLNAGV DQYLGMCFAASPVGELRFRAPQDPPKMSGIQEATEFGPSCVGTNQNVTSSLSEDCLFV NVFTPSSASTTSKLPVWVYIQGGGYALNSNQNYNGTGVIENSEYGLVFVNFNYRVGAL GFLASEQVRRNGDLNAGLLDQRKVLRWVQKYIVLFGGDPAHVVIHGASAGAGSVAHHL AAYNGQDEDLFIGAVPESTFWPTLRTVNDMKPQFSRFAHDAGCGDAADTMACLRSLDI NKIQIANVVSAFPDGPSSPLPNWYFLPVIDGGLVSDQLSRAFQQGKIKRVPMIVGDDT DEGSFFAINATNELDISRFFKANYPNLNERQLQAINWAYPLMPAVAEHAEYFPSASAA YGESTFTCPGNLMAGSMAFYLGPNKVWNYRYNVRDPTLVVEGIGVPHTFETTAIFGYP YAENIDPDTYAPGGLNAAMVPLTMKYWISFVTTLSPNTSKLKNAPDWEPWGGIPARRL KLELNATTMEIVPESQRQRCELWWSLANTMQI TSTA_023530 MATTLIFVMGLWSGLLWSTTVAQASCAAIWAQCGGSGFSGPSCC ETGSTCTQLNSFYSQCTPTTQISSASPTGTNPSSVTSLVPSGSSYTSTTSLSTATATQ VPYPAVNSSSCGAWALVENVCCPYYCTSNNESESCTSSCSGGCGSPDASMCKSGTMWG EQTTVGTDESWHYSRSTHFGLTSGGACGFGLYGLCTKGSSTANWTDPMLGDTCNAFCT AYPLLCRDPSNVTLRGNFAAPNGDYYTQFWPSLAAEGNPDNYLSCGECFELIRTKADG TDYTVGEDGYTDPIYLEIVDSCPCSANAKWCCGSGADHCGEIDFKYGCPIPKDSHHMD LSDIAMGRLQGNGSLADGVIPIRYKRVPCPKPGNVYIWLRDGAGPYYFSLSAVNTNGV GSVINVEVQGSGQTGWTALERDPNYSSSRPQERYGAWVVPQGAGPFNVPIGIRITSPD GQQIVNTEMITSFTAPASAPSGFWYIDMGVQFT TSTA_023540 MEDARETAKSLLSVLENYGQGDYIGESISQLEHSLQAAHQARQA GDYDNPHRNEAARILILYSGARDELVLAALLHDIGQIVPLETTQEVRMTLSEEGTDNV GRIGHEVIGAHYLQSLGFSETVCRLVKSHVAAKRYLTAVNKSYYNSLSSASQRSLAFQ GGPFLGNELEAFEGDPLRDEMVSLRLWDDAAKVVGVEKSIPRAQDYLEMMVSHLTREE SSS TSTA_023550 MEHDSEYILTASQLQSFQELGYLLIKGFLSESESLRLQRWAQEI YDLPRTEDVPWMPYEEVNADGRRVLCRTENFANTHTGFNAFLRGTRTTSVLEQLAGEK MVLFKEKINYKLAGSGGFDPHIDANAYTHVKNIKHLTILVAVDEMSSENGGLEVVQGS HLDTIPLGGDCCIESDWVDNHKWVSCNLQPGDILVFGSYLAHRSGANRSARDRKAVYA TYNSVSEGELRNQYYIDRRKLWPATHLRKDSESYAEGRERYAYGSPMLTIDAWKYAK TSTA_023560 MEQSSTEIISDDKRHAAETVKSYSNENDAEIQTVRKSSALNVIV SGLALFSDGYNAQIIGYMEPFFSVLYGKAMSHTIKSRLSNSYLIGEIFGMLFFGVLID RMGRRTGIVAATAFLVLGIVLATAAHGTSDLGMFWMMIVARGIAGFGAGGEYPVCGTN ATEASDETSQLRTKRGFLVALTTDFAIDLGFVIAGVVALIVLACYHQETSAGVWRVSF GLGFVLPVVICFFRLRMIDSTQYRKHNIRSRYPYWLILKRYWRPMLGTCLAWFCYDFV TYPFGIFSSTIISQLNPNNTTVQNIGYGTVVNCFYLPGCIVGGFLMDRIGRKQTMTLG FMLWAIWGFILGGAIQPIQTVFPLFVVMYGIFNALGEMGPGVATFLCAAESFPTPLRG HFLGWAAAVGKAGASIGTEVFTPIQNSFSSTEKGQQAVFIIGSAFCVVGGLISWYFIP DMSRELETEDARFRVYLEENGYRYEGGEIVSSQRTS TSTA_023570 MTPIGAALWRNLRVHQIYGANTDVGKTIASTLLVKALSEKSKVG FLKPVSTGSLNDADDRHVRQFTVGNNNVLTKCLYQFGEPVSPHIAAQSTQVNNEILSS IYNILLSWSRAGLKNALVETAGGVHSPGPNGTSQADLYRPLRLPIVLVADSRLGGISS SISAFESLLLRGYDVHSVLLFQDDYYQNHEYLDMYFSRRDIPLLAFPKPPSRPEGNVT PDTETQDQVAMKAYYESLARGLDLDSFLQKMEQKCERRIENLENMAARANEAIWYPFT QHQGVRPRDITVIESAYGDYFQTYKASADIDQDKDDNVLQSTFDGSASWWTQGLGHGN PELALTAAHAAGKYGHVMFAGTVHRPALDLAELLLESFGNRRLRKVFYTDNGSTGMEV AIKMALRASCARYDWDSSTEDIKILGLKGSYHGDTIGVMDCSEPSTYNKKVEWYRGRG FWFDFPTIGMKNARWHVTVPEVLEQELGVGEDFDSLHEIFDIDKRLRSPSGQRYTRFI TKTLDRLINEKGLKFGALIMEPIILGAGGMLFADPLFQRCLVDVVRSHSNSFGTSSGS EETPTNWSGVPVVFDEVFTGLYRLGRRTSASFLGVDADISVHAKLLTGGLIPLAVTLA SKEIFDAFLSCEKSDALLHGHSYTAHPIGCHVAETSVRMMMDMENQGDWDHFQKQWTA TTKPNEKTSQGVWSHWSLELVRDLSLAENVESVFAIGSVFSISLLDESGQTGYTSTAA RGLQQKLALGASGTSSFNVHSRVLGNVLYLMASMKSTPGDLRGIEILLRNALLH TSTA_023580 MVSLLDSFQTALDKREARSARRRLTVSPTATADFSSNDFLSLSR SPLLRSQFLQNLNASYSSSSPSKPPPSLGSGGSRLLDGNSRYAEELEKFIAGFHNASD GLLFNSGFDANVGVFSCLPQPGDIIIHDELIHASVHEGMRLSRARVKMQFAHNSVSDL ERVIKKATNDEATRHAYNVFIAVETLYSMDGDTAPLKDIIRLVEGLFPKKNAYLIVDE AHATGVFGYNGAGVAQDLGVEDKIFIRTHTFGKSLASQGAIVLCDSLTKEYLINYART LIYTTAMSMPSLIAIRSAYEVMAEGKTRSLQSNLQSNIAYFQSRCDELKGTIASSLVH IDYNDRSPIFSVRTKVPRELARHCQERGLLVRPIMAPTVPLGRERVRVCLHAGNTRQE MDRLLNTIKQWAVEQHQPISRL TSTA_023590 MASLVFRPLARPALNRSYSTVQSASTSIATGALAAPWTSSTTPA VVKDATAAQAPRTSWTRDEVQQVYETPLSQLTHAAAIVHRRFHDPAAIQMCTLMNIKT GGCSEDCSYCAQSSRYSTGLKATKLSPVEDVLRAARIAKENGSTRFCMGAAWRDMRGR KTSLKNIKEMVTGVRSMGMEVCVTLGMIDSEQAKELKDAGLTAYNHNLDTSREYYPSI ITSRSYDERLQTLSNVRDAGINVCSGGILGLGEADSDRIGLLHTVATLPSHPESFPVN ALVPIKGTPLGDRKMISFDKLLRTIATARIVLPATIVRLAAGRINLSEEQQVSCFMAG ANAVFTGEKMLTTDCNGWDQDHEMFQRWGFYPMKSFEDKGGKNEKSAMIPESDSELVA ARL TSTA_023600 MAKLCCSHCGILSKAVFSNSHFYYTSSDENRFLAETTSHAKPSS TVPFERDEMFVGREDIIMSIEDAVQEGSGWTSKRAALVGLEGVGDRVYIPCSRICANT WTVWIHASNTTRFEQGYRDIATVAKVPGRDDPKANILQLVNEWLCDDTNGRWSIVLDN TDDIDTFFNTSSKRVPLVDHLPHVSHGSILATSRNQISDSGTEHSGRSWSGDTSEADE Y TSTA_023610 MYFTKAISLLAAIGTLASAAPTSMNVTHLSNLPTHGSANTNGGN DGGSVNIHNNMKDTIYYWSVSQDAGSMKTLDPGASYTESWRTNPDGGGISIKMAMKPE QVDVLQYEYTLQGDTIFWDLSLIDMGTGSQFTEVGFAVTSNDSGCPSATCAPGDTACA DAYLVWNDDHATHGCPAPSKQHAYLPNEGAKVVVADIDQCKPHPSTQILSGFTWDDVI HNVRPLDRQITDKQLDIMLSVYNTAPFKLIRAAAPYFCERYKKPRVIINISSTSGTHG NTFTKTIAKEWGPQITVRANTVACGYITTHLMTATSCEWHKSCFRNFGTETIIVCPTD SSESLY TSTA_023620 MAAAQVQTQPDIQYHPDYNKFLDRGKRRLEREVLSKDLPCGLPQ QLSSSFVWAGDDIQGRDDWVIALTARHLEEIDRALNQFKELNKPYGYINRRTFPLSSL GSLLRDSSRELHFGRGFFVLRGIPVDKYTTEENIIIYAGVSSYVGDLRGRQERRNTTD GKSAVLSHIKDLTGTYSRETIGGPASTNDKQVFHTDSGDIVSLFCLQEAAEGGESQLA SIWQVYNILAESRPDLIQTLTEEWPFDGFNNPDRPYTSRPLLYYQPASPIAPERLIVQ YARRYFTGFLAQPRSRDIPAITEAQAEALDALHFLGEKHSVQLDFRKGDIQYVNNLAI FHARKGFVNAPDKQRHLLRLWLRDSEYAWETPIQLQDRWDEIYKELSEEEQRFPLEPT LRNVISPNARKDLALSRLQ TSTA_023630 MALQIYGHPVSTCTQRVLTVLNEKGIDVNFTLVDIFKGEHKATE YVDRLHPFGKVPVLVDHESGIQIFESRAIAQYIATKYRGSGTDLSPPESDLKRYAVYQ QALSIELSYFDPLAAVIVWEKIFKAVTGFGSPDEEIVRITLKRLDSVLQGYERVLSQS EHLAGDEVTLADLFHLPYGTLIEEFGFANLLKSYPNVARWWEGLKARKSWKEVNAKSA SRS TSTA_023640 MFDILGVVRLTAEMKVFGIGVILALPLLATAQSYNLTALFQPVL SPGAEIYYANDSDWTQNVTQRWTTWDAPTYLGAIKPVTERDVQNIVKVASAHNISFLA TGGGHGVSQGFANIQNAIEIDLANFKTVNLDIENNQLTVGGGATYGQLYDPLYNAGKE FPTGNAPCVGVIGATIGAGVGLLQGLHGYTSDALISARIVTASGVLFEASATNNTELF WAIRGAGANFGIITSATYRIYDATNSGQAQNADFLFAESANRTVWNALQTLDETLPAE LSVTITSTYNQTSGQASIMVNLVYFGIYDNFQPYVDQFLAISPTQWRNVTVPWNQLIA SANFGAPSHGCGTNSSHINFFSIALNQTDPLTFQGFFDDLILFSQQNPSYTGAWVIER YSTQGPLAVPEETRGVYPWREAKMQLLWESDYPDSSLDSTVNAFYTQQRQHFDEFSGF HTFATYVNYAHGDEGPNVWYGDADNLARLSAAKRKWDSNNLFGAAKPVPL TSTA_023650 MACEFTATTPPDYKSVMAKFDALIARGLISCYPPEVVRLQDKNL QTLKSCAKFEFRVSQTLQAKPQSGEPLPLSKGNTFGPGSDLALVHADLVLAEINNTHL LVANLYCVIRPQLLLLTKDSYRRQHESLGADDFDVIKEPFYAMFSCSAMAGASREHKH MHILPYEDKNECTGIPSVEAQLSSFPFKYYWSRVDFSEGNLLQIYYRLLADARLALNL AQSATCPHNVILTQYWLMVVLRRSNNFHGLTCNAPGMICSVWLQNEAQLKKWSQLGLA KVLSRLGVPKDLF TSTA_023660 MLYDRLLVHQCKKLSSLIEKPFDIHVLLSTLSFEAPKYHITHFL RFMWSQNADSRIANADVAIISAKGIQEAHAKLHKALGSFLRSRERAADTIEKDGMMSL VFNLVTLQDAANSIEIAADTKRNNTSMNAIAAMTMVFLPGTFTATILDAGIFFTNNNG HGIRVSSLWWLWVALPRR TSTA_023670 MIFGTMYFTIALMVSILIADVFQCTPVAYVYDITIAGGHCIEQG AFFVATATMTIFTDLLVVVIPMIIVYSLQMPIRRKIMVVGILCLGLVATGVGAWRLNQ LVEAFFPSHPNPDHTYSIGFVSSAIEINLAVISACCPALKSLLNRIAPRFLGTSGRGT YGKSSNPRYGHGTYIRSNVDPNDSYELGQKKYHNKQQTEISAGESHSGRLPPRSKTAE SLSLSDDDGIIAGAMGSIAIVKTTNVSVQRNRRDDHPEKASSVDSLV TSTA_023680 MASFLHIGSALLLGAALVNGQTSATTATTTSLAPAQQTVSADSD SGVPLNTAETVQLTTEVLQNLTSQLNQTTVSYFDFGNATAATKRSTTGCKVFPGDWAW PIDFIWDIFDLLLGGRLIKTVPSASSCYPGWGDQSAAECAYVASVWNDSHFHMEDPTS VMWPLYQGRTCLPTGSNVTATCTLGGYPSYAVNVSNVAQIQLAVNFARNLNIRLVVKN TGHDFSGKSAGAGALSIWTHHLKSLRYIPSYSDSTYSGPAVKMGAGIQAQEVYEFAHA NGITVIGGEGKTVGVAGGYILGGGHSPLGSIYGLAADQVLALEVVLPDGTFTTVTAAT NPDLFWALRGGGGSTFGIVTSLVVKAYPKIGVTVGSITFGTSNVISADTFFEALKTYY SYFDAFTAAGTYVYFWVFPLGNGQYIFETHPFFAVNHTVDQFNTLIQPFVDDLSALGI SVTPSGTYYDDFYDAWLAGFPLETVGSTTMLTGSRLFPRENFNNATLLNVTIAAHRQT LEQGYPILAFQMKADAPAGSVPNAANPAFRTMLMHGITSISWPATASSAEILSAMNTM TNDVLGPWRAVSPNSGAYMSESDLLEPNFQQAFYGSNYARLYSLKQKYDPCGVLYAPT AVGSEDWTVSSADGLPDQNGRLCHV TSTA_023690 MADKTESAVYTHGHHASVLRSHSWRTALNSAAYILPHLKPDMEI LDIGCGPGTITVDLANYVPQGHITGLEMAEGVLPQARALAEEKGVKNIDFVVGDANAL SYPDQTFDLVLCHQVLQHVHDPIGILKEMHRVAKVGGIVAARESDYGAFIWYPSMDGL VEWQNMYDRIARNNGGEPNAGRMLHSWARQAGLTDVKCSTSTWCYSMKDEIAWWSDLW AERTLASSFATTAIDAKLATKDDLEKVARVWRKWGEEEDACNISPNSNPETQCEDLRK LEISLTIHENCCHRFGIVRMITGIHHINLLVPEGTLNDATEFYANTLGLTPVPVPHLQ VGKIAWFNIGEAGGQQVHIAFGQNEPYSSRHPCFKLGSLEDLQTLQRKIWDHHLRGGK AAPKAVDQPGEENSGAKGVEYPTRFFARDYAGNRLEFTL TSTA_023700 MEAFKPDTIRNGFAASCLVSLDLQLVFQKLNSGEDSNATRQFIM ILRFKIHTIILDSCNARRDRLERLVHDSLPLAQENVDLRTGFAQEYLKRKRSTKKIVH QGSLNAEEARGFIAMPLRVPSIARTAVSGEAKFWGGVQMTRGVCYLREWASGSHRSEV GPGVDLGVSEASVPHHCEHRANTPRGTNQSGMLTSVVLGNRLSYYSLPGHVLHALRGE ACLNHRGGRRAETDSTCVVTKGKENSLSTHESLEDADNLDKTAIRKLVWKQDLRIVPL SAFIYLLCYLDRSNIGNAKILNSDTHNDLLTETHMTNYQYTVALMVFLIAYALFEVPS NYLLKKLRPSRWIAFLMLSWGAITMGLGGAKNNAQVTGIRFILGVFEAVSERSIRVAL ILASATLAGAFGGAIAYGVGHLNQSHGLSAWRWLFIIEGAPSCFSALLIFFLLPDYPE TSHWLSAQEKDLAKRRLRVEGSQGHAKGMTWEDAKSVLTEWRLYAHYAVYFGISTPFS SLSLFTPSITAGLGYEGLRAQLMTVPPYAVAYVVTIAVAGSADYFNARGIHSAIFSFI GAMGFLASAVLPPDAYQHRYGCLIVAASGSFSCIPPLLGWLSSNLNTTASAGLAIALN ISFGAPGQIAGVWIYKSSEAKKGYPTGHWTNAGLLLFVTVGCILLQIYYMYMNKRLRR LPGSKLYAY TSTA_023710 MKSFTVVAALAAGANALAIRDDTCCFTLTASGGTSGTVGQLDDG QNRIGGGLSPTTFCITPGGTITDSSGRGCILTPPTTQLQCDTGATPDSGFSINSSGEL TSNGSPDFVACQTGDHGAFNIYTNPPPSDVTGCVHVSLTADQCVPSSSSTKPTTPAAS ASPISSATPPVGSPGSVTGSPPASPPGSPSGSPSGGPTGGPPVVVVTATETVCAPAPT SPGKPTSPPSQTSGPSPPSGGTPCSTDLVQGGFEFPHLIIPIDSSSPGTAAGTQYNAK ITSTISTIFNFDIPASDAGKTCSLVFHFPEQKDLQTSSFTFSGDGKVDFAELSSLATE STTFNNAPSVKTDFGVTTIAPGHSYVISTFACPSGQAIAFELKEAGTTDFSFFEDFNP SPIGLFITTC TSTA_023720 MATHQYYQPSMATNVPSKAPAPAGLYPVGRVAASPADFSDSSTT GGSRTTGYSANTSSYSGSINGDYESSAMSGVDIVDMLNDRVHSSFDPMPLDRGLETQA QTSGHLNAKQQELLELQALAQRRLKGVRSSFNEGLKAARETKRDLEWTSRRVSALKAK AEKAHPEEYRRATSKYAFDDDF TSTA_023730 MPLHLLGKKSWNVYNPENIARVHRDEAQAKAREEEEERRMQEID AERRIQLLRGEYPSNLPPSIPPAPTETAQPGSYGRPESEFGVFRHKKRRRIAGEDDTD RDIRFAREDAVQYDARRDELLSSGRKGKNREEHVPIVDGTGHINLFTEDMTRQQRAEK NAEAEAEKHKKQRVFEDQYTMRLSNASGFKESAGQTPWYSANSKDAQAPNAMRGTDVW GNEDPLRQEREKARTNANDPLAAMKSGVRGLKNSQRERKEWQKQRLREIEDLERAQRS EHRRRRRRRPHSPDSLNSLEGFSLDKDSRKHSDESHETLRRSHKHEYQEHQRRHRHHH RRHHS TSTA_023740 MSTPVQDFPPEAEDSCRDSDSASDSLSIANNDEGWEDVEPEEES QPVVGLFTADVYPDVRAMLKDTKERYNFDFVKVQRDLDLDFLGSVRLVNYLRSEIKKG NKEPSVSSTALFQDDIYLKPVLDDDTLLYSLDDLTDEVEVEDPDKSNEKRILELQEEL ERLKTQFSEYRLAVQKSIGEQLAGTSVNDNPAGSEIPKRGRIEEADADYFTSYSFNTI HETMLKDTVRTDAYRDFIYDNKGLFKDKVVLDVGCGTGILSMFCAKAGAKMVIAVDNS NIIQKARENIYKNGFEHVIRCVRGKIEEVSLPVPQVDIIVSEWMGYGLLFEAMLDSVL WARDHYLVPGGLMVPSHTTLRIAPYVDSDFVDSHVTFWKSVYGFDMSSMLENIHDEAI VTTTKPETVVGSSAVFLPLPLHTITVEELSFVKSFEVTLKEDIPGLDGWNIWFDTFFL PSPTFKFDENVEPSEIKKKGLIAFTTGPFGTETHWQQCVLLANHSGKEPTLLKKGQTI KGSVGYQKKEKGSRALDIEVLWDIDGNQQRQLWSLQ TSTA_023750 MAFLFNRSARPRSPSDLAKTTRDLLSKLWDLPESPKLEDDISKQ LAQMKLITQGTPEMDSTPALVRSLFDSMLREDLLFQLAHSIRKLPFEARKDTQTIVTH ILRYKPNSGPGDPPAIDYIVHQRPEVIIELCRGFEDPHSALSCASILKEAVKSDVIAA LILYDQSNEYEPAVRLKDINAEQPQTGKGIFWRFFEWIDRGSFEVSADAFAIFRDLLT RHKTLVASYLSANYSLFFGRYHQILIQSDSYVTKRQSIKLLGEILLDRTNYNVMTAYV DSGDHLKACMNLLRDDRKMVQYEGFHVFKVFVANPNKSVAVQRILINNRDRLLKFLPK FLEDRTDDDQFTDEKAFLVRQIELLPSEPIEPSYSSTSRPSVNAAAA TSTA_023750 MGFTRVTQEDDISKQLAQMKLITQGTPEMDSTPALVRSLFDSML REDLLFQLAHSIRKLPFEARKDTQTIVTHILRYKPNSGPGDPPAIDYIVHQRPEVIIE LCRGFEDPHSALSCASILKEAVKSDVIAALILYDQSNEYEPAVRLKDINAEQPQTGKG IFWRFFEWIDRGSFEVSADAFAIFRDLLTRHKTLVASYLSANYSLFFGRYHQILIQSD SYVTKRQSIKLLGEILLDRTNYNVMTAYVDSGDHLKACMNLLRDDRKMVQYEGFHVFK VFVANPNKSVAVQRILINNRDRLLKFLPKFLEDRTDDDQFTDEKAFLVRQIELLPSEP IEPSYSSTSRPSVNAAAA TSTA_023760 MPEPVISVPGIAFEETAPLPFKNKLSRERPATSPSDNRTQLDIA NATSEQIDNSMYASNGNELKNGEPPRSVQDNLVDPVPLEEQPSIPSPTNIPSIRVDDA SSRPSSRWSERRWGVLKPRKSTESVRPKSATAPNSSDQASFQGITMNIPTTDFQDLTP SSMEFSKRGSLMKANPTTPAISLPNSDPGTSTSPRKRTPNTLRVRQSKLTPRAVSADE DMLSRRVRLMYEKGDDNVTDAEVSRAIAEENGILWEEPSPVEGSLDRFAELNGSTTDF KSIASVGATSSIKRESKELAGGIEDWQNVDAADVDRYGFIVPRSKPGDCAEPQPIQRV STSLLLASESPRRKNTFRRSAGTVRSSSRSLASKSPTRDRKLSDSSNRPSSSQSAYSP TLKRSPSKFRYAANRLPHNRDRRVRDEAGDMFTLPFEVPAAAEDTPVSTTMKKKEWER EDKWMKMAKPTKKTHDGSGMTFEFDTSSSKLIERTWKGIPDSWRATAWYAFLESSARK HEGSPSAAELISAFHQYQNVSSPDDVQIDIDVPRTISSHIMFRRRYRGGQRLLFRVLH AMSLYFPDTGYVQGMAALTATLLAYYDEENAFVMLARLWMLRGLQHLYREGFSGLMEA LNDFEKEWLGNGEVAGKLNELGIPPTSYGTRWYLTLFNYSIPFPAQLRVWDVFMLLGD AELPSSKASANSNRASNSQPEKLVSSFGQGLDVLHATSAALIDGMRDIVLESDFENAM KVLTSWVPIKDIELFMRVARAEYKVHHHRKKHG TSTA_023770 MAAQRTTSVAPFKPRFMPLMLTATLAAGVVSRSSSLSTAAALVL LYAVTVPSYVIYWSWIYPYYISPLRHVPTVPGNPLYGQMFEILENEVGVCQREWHKRH GPIVRYFFPLGTERLSIADDDALKQMTIKNPYNYPKPLGARKWMTRILGEGILLAEGN AHVHQRKALTPGFSIGSIRTLAPIFWRKSFLMAKLWDQEIGRSKDKKTGTFEVLEWLN RTTLDIICEAGFGTEVNSLENPETPLREAYRKVFVFDTLSRLMHGLQTLFPLTKYIPA KMNRDMEASRGIILGSATKIIKEKQATANPADKDILSLLVRDNLKLQAAGEAGLSFET MRDQVMTFLGAGHDTTATGVTWTLHLLSKHLGVQCKLREEIRKHYPFLFDRERRENFD ISTIDPDHLPYLDNVCRESLRYIPPIPMTVRELVEDDKLGDYVVPKGTTILVYSNAIN RLPEYWGPTADEFDPDRWDRLPDSYTTNAFMTFLQGPRGCIGRKFAETEMKILLCVLL SQFTFARDESVQDPEELKMWRLVLRPRDGVQLKVTKLIFLFLLVLNFNIMT TSTA_023780 MSASAIDAVLGPAIQMASPWSLFDQVWTTIMGYSADEFHFDQEK TPMSTFKETGAMIAFYYLTIFTGYQWMKNREPFKLSTLFKIHNFMLTAVSGALLVLFL EQLIPSIWNNGLYKCICSKPGWTDKLVVLYYLNYLTKYVELLDTVFLVLKKKPLTFLH TYHHGATAFLCWTQLVGKTPVSWVPITLNLTVHVVMYWYYFQSARGIRVGWKEWITRL QIIQFVLDLGFVYFATWDYYADEWGLDGLHLGRCEGELMAAVTGCLTLSSYLVLFISF YIATYRKPSNRGRKALGGKKDQAVTATGRAAETLKSARSRFGTATMDSVESSKSQWAV SRD TSTA_023780 MSASAIDAVLGPAIQMASPWSLFDQVWTTIMGYSADEFHFDQEK TPMSTFKETGAMIAFYYLTIFTGYQWMKNREPFKLSTLFKIHNFMLTAVSGALLVLFL EQLIPSIWNNGLYKCICSKPGWTDKLVVLYYLNYLTKYVELLDTVFLVLKKKPLTFLH TYHHGATAFLCWTQLVGKTPVSWVPITLNLTVHVVMYWYYFQSARGIRVGWKEWITRL QIIQFVLDLGFVYFATWDYYADEWGLDGLHLGRCEGELMAAVTGCLTLSSYLVLFISF YIATYRKPSNRGRKALGGKKDQAVTATGRAAETLKSARSRFGTATMDSVESSKSQWAV SRD TSTA_023790 MVASADSIDLVNVWLSLTWSLLWKVLVTILVLTNFKNFPFTWHI RLLRGYRWLLRSNRPKNGPSPAQLFQPLILSSGNALCELDYNGHKSNSTYFADLDIAR AHLMCTLFSSGVDYARNARRRTGILGVSDDLIGLALGAVSCTFRKEVKPFQSYEIWTR VLSWDEKWIYTVTHFVRKDTVRPRSFTLLPQGYNSRQAKLNDLARKEDAIFASALSKC VWKKGRRTVSPEIMLQVSGLLPPRPGQEDFVVSLRDEPLGKLTMSKQFEEYYDLPFKL VAKIEDTWDALKAQFSLENEDIAEEGRFQSGKQAHPTRNNRSQQHQQQEPREEWTWEK VEQERRRGMEIARSLAEMDRLHGEFTADTNALAMRRDLW TSTA_023800 MQPGQPPASAVSDDPTSSLTLSPVMETQEEDSALEERFADALEE HIEVKEEKTEESKRDSKFEDDFEEISQIVEIKERPVMQDVIVIPETSQNREQTTVEHE NKTAGTQIQQEDENFSDNKRAYPGQPVLILLITGLCLSVLLVSLDRTIITTAIPAITN EFGSTSAVGWYGSSYLVTACALQPTYGRIYTLFDVKWTFLHSVAVFEIGSLVCAVAPN STTLIIGRAIAGWGSAGILTGAFVVIAFAVPLHKRPIYTAAIGMMYGAGAAAGPVLGG VFTGMVTWRWCFYFNLPVASVTLIVVIFFFKSVTGVQSQQGYLQRLTQIDIPGSALIL ITFTMLFLALEYNTTGYAWSSSLVIGLLCGFGVMLIIFLAWQWYRQDKALIIPNIILR RTVGAACLLAFFIYAVLILHGYFLPIWFQAIQGTSTISSGVDMLAYVLPNSVFSLLTG IFITRVGYFAPPAIIGCAITTAGSGLISTLQPTTSTATWAGFVCLVAAGVGMAIQQSF TAVQSVLRLEHIPIATAAVTCFQSLGGAVFISIGNSILANELRQASQANGLPGIDIAA ILSTGATQFRSTVPSDQLPALLNVYNDALQKVFIAAIPMAGVAFISTLLLEWRSVKSP KAETPMVEATNSDEPPVLPDVEVETRISPVTTRLGFASRSNSSQGSHR TSTA_023810 MMLLTLKPSTQNGTNGPHEFSIVRQSVPARRSPRTIVAANPAPL SSSSQLHQDPPMDNHPRRDLPPPVAMSRSAETTMPVMTSPSQLPRFEPSQHSEDSLRQ WLRTKAEEDRRRQEEERTRQETLKLEQRRIEQSMLRDAFQAGVPPQMVPLIFVGIGGG NFNVPPEMTQQVESGLQQMQTWQQQQHRAHSSQQQALPTQIAPHLPPHASDPSQPLSP DIRRGNNRAIPPNPYASQPAPIQNTSPSQPVPTSPTQAQLYRGSLQPARLYSIGDAHS QQPSIPRLNTSEIHFGHPSHNIGAAQPQPIAGSSYPPPSAPAPAPSSTKSETQSTQSP SIYFHHWVPPSQSQPSTPSTRGRQESSSRAHGQSEGHTSPGRKRKAAGSHPPPPPPVT LHHEKPSPAFSHSSPRRASSTRIGHTPSHFRQHSDLSHGSRQEHSESDSDNFTRFRPG HDQALEEGAQMYRQGSLQVDEKKSRPMHLLLRCDILGTFYVNARFGSQTCISSLQFSL KLLFYVIEHILYLYLFIIYHMIPTRQEPFWPHVLDITFGIGPFARKRKVLWVGQGRM TSTA_023820 MDIGDPHPGTDFESDIEINSTDGTPKNPRTLPPDLPTSLDDRRQ APEYAGETEMYDGWQGQSQFLTTPITAKPLSFSLALDDHSHDEEHELRARYAQSYYDR EDDDITSRLEDSDARLLEMLAAQAAHREMDSLSTDDDDIALDDNLSEEEKKSILQKSL NMAASNGDVERVRKLVNGRAHKYVDVNKPDEEGTVPLIYASCFGHQDVVSALLDVGAF VDKQDRNQWSALMWAMTNRHKTIAKVLLDHGASPDIKSSSGGTALDFLQPGTDISQYL HDNGYNIGPVGIEDDFYDSGFSHGRFEEEMAQNEMRRRMMMEESALNLEVDLSSLGLD EKIEEPDDFEDDQQEFVWDRCLNDQMFVFQDNELEKILDIIITNMTPQRSPSQKPVPA NLLFLSARYAHYHASPELLAQLLMSATDKINDVVERHQWDMTILAFWISNATLLLHYL KKDPGLMVSTVEFQLHLAELINEIFILIIRDAERRMNRVLDQAMLDHETIPGLSDVTF QNEWKIFKSKPKPKVEPPEKRFRPPSPKRRAQVSPRNITSLLSSTLFVLDLYDVHSLI TSQIISQLLYWLGAELFNRIMLTKKYLARTKAMQIRMNISALEEWARNNNRKPEHYEN GSMTTTGDTTVESARKHLAPVIQLLQWLQCFSSLGDDHEALITTLLQLQQLTPNQLLQ AARSYRAEVGEKGLSKNATRFLVNLQHDPDLLYREHVKLQKLAIAASNQTAPSVDNDN KSTPLAPSSPTKPDQSHQDTPQTPTTATPADQDTNNHTDHNNGPSTPRSSVFLSSPIM SSPSYHFSSSGPDSYLLDPSMTLPFILPTSTDMLISYGAGFGGTNKERARKYIPTVPT EVLSRFDRV TSTA_023830 MMTFYDDTDKPDAVAGDDFQLHKPASRASTLGISSNTFSRPLRA QPDALQRAHTITQPASAKRTGRAMATDRGRKPHQRASSLLRLKTSGNDNLPQRLLAHD LASASRIDNTFAVANVGNNGKLYLRPLVESGSRRHHGKSLSKLSPPAPVNVTRQPPPR PITGPDSRHSILSSSQFSELHPKFAREEITEVNDDADDTELRRRRAHSFSTISEYDQS ETGDEFRIVIDRLDTHEAADITSQPPLHVPIPHYRLGSLQFNADGTPVLRSSVYTHTS DNTNPSVYLKDSTGNTGQNRIPSTYDSPGLSHPVGTLSAAMSLFSGVAPSATKARNSN STYRSGMYSVRHPIEPSIFDVLAENMDDPALVKYLPGTADISAATPARIVAQISSEAF MDYELVSDFFLTFRSYLSTTDLLGLLLARLEWAIKRLQPDGRIIRIRTFAALRHWILN YFVDDFVSNWDLRAQFCDTINRMYDELKSRSTVGVSDIKILVDLKRCWYGRCLVHWDC PLFTSPDNPALPGGVLNSQENNTARLSKITNSFQEGGTENAFPKIPSEQLRRPSIGVE GVRNIEYPSMHARTISSGTSRSFPGSSSEQSVQAQSCSFPGKSASIPMNAMDSRHPVV VVIQPSHNTPTGPRSPTTPSTFKYPVHAHKRSGSFSDSIRDDRAPLSRALPDRQDQEQ ALLPTPDGGNGSLIRGNLFPPIDARVTGFAPPSPTISQASDGLQDHHARSASEGNIKP LRTVIGSIRRALHTRNIGQVSTAHPAYPNPRSQRGKTATLPVNVEFKSDIYRERRAHP KPEIPLRVDYISEQIVRDYREAIGLNPPAPSIAEGPSQPRSQPSHSKPHQTHDQQRLV SEVTMGSKSIVIVDDTGLDLPVVSGGLGWLGPDQTSNASSHALVARKESSPGTIEVPV AASSELLRHEGKNLNLAPFDLPIQRFSTSQSISSRLRKYASFHSGVSHSFDGSSESGE KEIGKKPTSRLLRRRPGGDLRKIQNVHDLAPDQRPLSFATDTSLTESYTSSVRQYLRR SFSRSSQARTQSTPPRFDLINTHSSQHLRPSFEAAIAEFSRIPDDVDGGIESTLLKLE GKWPSPTLSDSRAEGDGYQNTLTRKFQHDTSDIALKEASPSGDLPMRSDETIVSFPHS GPRSQVDTDSFVESEFSDNSIPLLERGLSDDSMKKPVSGLRVHYRTHQSALTGSVNNT DSPRPSIQLIDDRDRIRQLKTNIDEYHDVDSHSEQYENVDSRSELSSELSVEFIEKDD ALEASSSTDSLATQSLEIPPHPLAHPPSPPITIPQAVSTTTYRKPQTPINASATLTPG PSPTRMGDQYSGRRNLDESAGIEHKLGRLHIETPQMPNHFPFVLAYDSRILAQQFTLV EKSALDEVDWKDLVEMKWNNTSPSIVSWVHFLAEKERKGIDIVVGRFNLMVKWVLSEI VLTRDFSERARTITKFIHIAAHARQICNYSTMLQIAIALSSVDCTRLQRTWELVSIND RRLLDSMEFLIQPLRNFHELRVEMETANLQNGCIPFVGLYVHDLTYNAQKPSQVAGTR DGDSLVNFERYRTSARIVKCLLRLIDASNKYNIEPVPGVVEKCLWIGSLPEEQIQAYS KSLE TSTA_023840 MKGLPAFPEDSSAYKTSPNESINYIYEKNLTAEFLPATNSRPFS KLLNVARWGLICAGVAYLGVGQYYPTPDAVPTVDTTATPDLCLEPECIHAASEILYNL DPHYQDIDPCVNFDQYVCGGWIDRHDMRPDQGSIFAGTLMAENAQMRLRHILEAPEAP GEQTLSSADKDNFKKLKAAYDACIDVDALKERGSQPLEDILSNIDAVYSSQGKDSETN ITDTLLYLMQIDVSALTDFSISPDDRDPDNVVIFVTPPRRIGLPSREYYNDSKVVRDY YNLAAELFGNFIPLDHVLSDRLAKDVVQFEKKLADATPDTQAQEDVTQYYNPKTLEEA GSLIPQISFGHIVAGLAPDDFKTDRLIIGSPSYLKELSAIIQDTPRDVVQAFFKFKAI QRYYDDIEDPKVAPLREFNNRLAGKDPQATQDRWRKCINNLDSGIGWILSRFYVIDSF PEESKQLGDQIISDIKEQFVYVLDGTKWMSAEVRQLGKQKVANIIQKIGYPTRSPDLT NGEDVKNYYSRLHISSGKFFENSVAMSRFEFERTWSQLGKPTNRDEWGMTAPTVNAYY NPPGNEIVFPAGIMQPPTFYGPRAPLYLAYGAFGAVSGHELSHAFDSTGRHYDQIGNY TNWWDDKTIEAFEERAQCFIDQYSNFTVPGPDPDSKPLHVNGRLTLGENIADAGGLSA SFHAWKKRDSAHADKALPGLENFTKEQLFFISYSNWWCSKTTREAAVQAIYTDPHAPK PARILGTMANTREFQEAFECPRKEPVCKLW TSTA_023850 MGLFSSSRPAPETVPSDRIIPLSLWDDQDYARAISLDITLTFND VLDPEKLRDSLDILLRKKGWQKFGARLRRNKDKKLEYHIPEQFDEKRPAFLFSIERHD MTLAEHPQLSAYAFGELDKPTLLGPFDPVRPYLRAANAPERLDDWLYSDSPQLAVHVV VLKDMTFVTVTFIHLLMDAMGFTALLRGWTAVLRGREDQIPPIVDVDEDPLATIHQMT PASRYVLADRLLKGWGFILFVIQYLFELLWWRRDDERLIFVPKKYLKELRDSALAELN DSPSQDTKPPFISESDVLLSWWTRVFIKALNPSPNKPITLMNVFDIRGILADMGLLPT ADTALIANVTWGTITLVTAGEIVTKPLSHLASRIRHAIDTHRTPEQVQAIAAMHREAL EKTGQPALFGDAGTMLFTCSNWHKGKLFQMDFSPAVIKTNPFQSGRANLPGRPLLVSA TGKFVGFSARNSLAVMGKDAAGNWWMKNVLRTSLWSRIEEQFGTT TSTA_023860 MVKVAVAGGSGRESMPNREIDVDKANCTLEVALEIIDALLASKK HDITILSRRKAPEIPIAPEIHWHMVEYDDKNGLIEALHGTHTLLSFVQILSDPDQNSQ KNLIDAAIAAGVKRFAPSEYGSKGTTDMAWWRGKEVIREYLAEVNAKEDVLEYTLFQP GLFLDYLAYPYKTAKHVNPLQTVFDFANRRAMVVDGYEDAIMTLTTVSDVAAVVARAV EYAGKWPMIGGIRGNRVTFSQVLDIGRKVRGNSFEVAKAKVEDLEAGELKTSWGLDAV HHSVPKDQSNDLLKQVSVGILLSCLKGAWDVSAEFNQTFPDYEFSSIEDFLRKTWEGK L TSTA_023870 MDDQRKRPAAAETSNVPKKRKIRKGTQSCWECKRRKIRCTFTAP TDIICDGCRSRQTRCISQEFPDELALASRVDRLGRVESLVEELVKRSGVDALNTLRGQ SDQANTQPVDSVNNKKQGTNHATESPQHHPAPNSDLQNQLSSDFDDLSRALIAAWPDQ QDLDIILSVPVGVSVLLHGVICKSYSDYFSGRFPPPRYILQLPVRESHPVLIARKLLL LATFLQGVPPCSTSQLDGLTSSYQTVMSRAFTTATRLVTSNDELINSLEGLECVMIES MYLNNAGNLRRAWLANRRAMVAAQMMGLHTGTGSLNLFLEISTQDRIDPDYMWFRLVC SDRYLSLMLGLPQGSPENTFASSDALTNSIAVERMERLESIAGGLILQRNSIEKTDLV ATYKIDKMLQEASESMPPHWWALNSNLATIVGNDGKAFEESIRLMNQFTHYHLLVQLH LPYMLLPPSINPKYDYSKMTAANASRAIITQFVAFHEFALSPAYCRGIDFIAFIASTT LCLAHIETRRQDQNDISCLQSLRHQRLSDRGLLERLLDIMEKMARENSGVVARQISSI LGPLLDIEDNSFRGSCYQMRALENVEKSRCVGQINEASDELRIHIPHCGTIIIEHPSQ YVPHASDADRVFDEPAAGRDQGDVDFRQSQPWYIDPIPGLELDVDDWALQGVDTALFS SLMQGRES TSTA_023880 MADTVDQPYPTEKGTHETIINESWTPDQLPKGWMYKRRGRIPWY ASPAVQLGLVAFVCFLCPGMFNALGGLGGGGKTDPDLADKMNVALNSTFSVVGFLAGT IVNRLGVRISIGFGGLGYCIYAGSLLASVHHNVPGFNIFAGAFLGVCAGVLWAAQGVI MVSYPTEAQKGRYWAWFWAIFNIGGCIGSLIPLGQNIHVKTASTVSDGTYIGFIVLMV AGACLALFLVDADKIIRSDGTKVILMKNPSWMSEIKGLYDCILAEPYILLLFPMMWSS NWFYTYQENAINAAYFDTRTRALNGFLYWFAQICAASVVGPLLDNKKIRRTIRARVMF VVLVVVTAAIYGGGWAWQKKYTRADVSKDSGFVPWDWTTPGYVGPMFLYFFYGCYDAI WQGCMYWIMGALSNSGRRTANYVGFYKGLQSAGAAVMWSLDWHHLSYAGEWASNIGLL LGSLVVAAPLIFIRIKDHVELEADLADVDETLEDVLPTIPIEKAPEKV TSTA_023890 MWSTSIMKGLVATGYLIASASAAAVLATRDAATCPGYEATNVKT KQGSIVSADLTLAGPPCNVYGTDLNNLVLQVDYETETRLHVKIYDAAEQVYQVPTSVL PRPESTNINPAKSDLKITIVNSPFSFKITRKSNGEVLFDTAGQPLIFESQYLGLRTSL PESPYLYGLGESTDPFPLPTNNYSRTLWSRDAYLTPQYSNLYGNHPVYFDHRGAKGTH GVFLLNSNGMDIKIDQDKNGQYLEYNTLGGVLDFYFLAGPSPKDVAVQYSETVGKAVM MPYWGFGFHNCRYGYQDAFEVAEVIANYSTANIPLETQWTDIDYMDLRKVFTLDPLRY PVDLVRQVVSYLHKHDQHYVVMVDPAVAYQDYVAFNNGVDAGAFLTVSNGSVYQGVVW PGPAAFPDWFASNTQSYWNNQFATFFSPDDGVDIDALWIDMNEASNFCPYPCSDPAAF AVSNGDPPAPPAVRISSPRPIAGFGPDFQPQCIANVNFTVYAETYFGENIYVLGDSPT LGSGLVSGAVAMSANNYPEWQVTVQMPANNTFSYEYVRKESDGSWIYESSNRTITTGD CHTGLQSVSDTITTSSGNRKRGITPVPVVRSPVAAALQKRDGSMLGLSDRDLINPKYS INNTAGSISNLTIQTDLVHENGLVEYDTHNMYGTMMSAASRNAMLNRRPSVRPLVITR STFAGAGRQVGHWLGDNHADWDHYRWTIAELQEFAAIYQIPMVGSDICGFDGTTTDEL CSRWVFLGAFSPFFRDHSDNTSPPHELYRTDAIAKAARTAIDIRYRLLDYAYTALWTQ TQTGSPMINPMFFEYPTDMNTATLPYQFFWGDSILVAPVTDENSTSVFVYFPRDLFYD FYTGKPVTGKGAAVTLTDIAFDTIPLYYKGGSIVPQRIASANTTALLRQQNFEIVIAP NAFGQACGTLYLDDGDSIDQPKTSVINFEYLDGLFSMTGTFGYDAGNVVISQITVLGQ NVQKHSVDLKLSGPSVGIYL TSTA_023900 MASSHSSRISSLIKELSAVEQQSNGSIYENEELRTDAAHLVRKL AVALERPHEAIGKAMKSSYSSWLLGEGVLQDTDCHLNPALVDWHMMVLHSGMMRTVGR WRMVFEKAGPKLVKNWTPPGDGDGIVEAVREGPHGDVEFVEKKESETETSVEKKKTS TSTA_023910 MTAPKYEIPKEFKNQLRFVESADSRSDDEIVASLRKAAPLNSSE KNIWAFWDSGLDSMPAWTRRNVINWARLCGPSWTIRILDAVPNSPNHALNYVPPAMLP EAFVKGTLKGPYTGPHSADFLRGALPYLYGGVFIDVGIILIRSLDKICWDILSDPSKP QQVSVPWMYGTVMANHFVAARQHDPFIKRWHDLFVYLWSGKNSHEGLSANPLVAFAQK LDFSASQRRGFAWDFQVGPGVVFEYITQVIAWLRLCMLEEAGDGFSCADYAQNNILWF DSMEEDWAAEKFFEALTTRLDDDPESERYKKAYELIWRLLTKSSMQKITHGKNLTKTP ALGILLDKPENVNKDIEEGTFADLLRYGSVHFEQTRSQIAVVKIEKPVETMKKGVFEP TSTA_023920 MSPKIAIIGAGPGGLTLASILIRNGISPTVFEHDAFPEARTQGG SLDLHPGTGQEALAACGLTPQFEKYARYGDQDFTLGDKYGNRVMEIKDKDVGRPEIDR GQLRQILLDSIPKGVIKWNHHLVAATEDSLQFRDHIEVGWDLIVGADGAWSKIRPLCC YVTPYYTGISAFRMMLTQASTKHAALSELVGKGTYYSLGEDDGLALAYQRMDNDMISV YAFGRRPEDWIQKSGIDVNDHNAIRAALKKDYTHWDPKLCAVFDECEDNISFQSLYML PVGLRWPAHKKMALIGDAAHLMTPFAGEGVNLAMTDALDLANAIISKPNDIAAAIAEF QPRMWERAKIAGEVAWENAMARFEPGALVRSKRRFQRRLESQGNLQLLELADRKNNEH ISILLEHV TSTA_023930 MEDPVREIRTVIKLLTQSPPKLQASTIEKFFTPNASFSHPFCRT WSFNGSRWLIQAIYRFYKIMSPRIDLEVRSVAFDQDNLKLYVTISQIFSIFIIPFYLA PVTLTTVLTLTTDPNEYRGYLDFERSGHDDQSSEETRVNGETTKYWISSQEDLYQTTE FVKFFLPFGIGVFLVFVWHVFATAGCVVGSFILSPVTWAEEKFALKKEVREISGHNVT EVTGNVAEHFTHEAEGLISGVVGSVQDRLKEI TSTA_023940 MGQSPSKLDEKEAAASMSRRSLDKNAYVFVDDDALPPPYEVSDR VSTISLDQTKRWEEKLLSSARNRLAISALSQNPVSAILTNNNAAVADSQIFNIKIPFE GAPITNQRSSGRCWLFASTNVFRVAIMKKYNLKEFELSQSYLFYWDKIEKANWFLEHA IQTAGEDLEGRLVQALFASPVGDGGQWDFVVNLVNKYGLVPQMLYPDSYNAKNSSKMG SLITTKLREQALVLRKLVATGKTDLIAGHKEYFLQEIHSILTIMLGPPPGPDKEFTWT FYDAENKYTKVVTKPTHFASTLSDKQTVRACGGTDVNELFSLVNDPRNDYNRLLTVDR LGNVVGGVPVRYVNVEMKTIKDAAISMLRAGIPVFFGSDVGKFSDSASGIMDTELFDF DLGFNIKLGLTKEQRLKTGESAMTHAMVLTAVHIENGKPVRWRVQNSWGESAGDKGWF VMTDKWMDEFVYQVVVDPRFVSKETRDVLKQDPVVLPLWDPMGALA TSTA_023950 MASNTIKVVARFRPQNKIELASGGEPIVEFEANDTCKINSREGT GTFTFDRVFGMDSKQTDIFDFSIRSTVDDILNGYNGTVFAYGQTGAGKSYTMMGTDID DDMGKGIIPRIVEQMFASILTSPSNIEYTVRVSYMEIYMERIRDLLVPQHDNLPVHEE KSRGVYVKGLLEIYVSSVDEVYEVMRRGGAARAVSATNMNQESSRSHSIFVITVTQKN VETGSAKSGQLFLVDLAGSEKVGKTGASGQTLEEAKKINKSLSALGMVINALTDGKST HIPYRDSKLTRILQESLGGNSRTTLIINCSPSSYNDAETISTLRFGVRAKAIKNKAKI NAELSPAELKQLLRKAQGQVTNFETYISNLEGELQLWRAGESVPRERWTPAISETIAP KPRPATPSRLQTEIVRAETPSRPDSRLGDRSSTPSIVLEKDEREEFLRRENELQDQIA EKESYISTVERSLQEAKEELKNIKESSTRTGKDNEKLNTEMNELKMQLEKVSYESKEA GITMDSLKEANAELTAELDEVKQQLLDARMRAKETSAALDEKDKKKAERMAKMMAGFD LSVNVFSDNEQRLKMLIEKVDSLHKVSAAGEAIAPDDLLELRASLLETQGFVRQAELS MNDRSEIHELQDSKRLELEKRVAELEAEYEEVLKRNLGEDDVTEIRERLEKLYIGRRD AEAKAVAELKIELARKDEELLRLRQNLADSEVKAATNGNASGTVAVTNKTLQQQIADF DNMKKSLMRDLQNRCERVVELEISLDETREQYNNVLRSSNNRAQQKKMAFLERNLEQL THVQRQLVEQNSSLKKEVAIAERKLIARNERIASLENLLQDSQEKLTAANHRFEAQLT AVKERLEAAKQGSTRGLVTSMEGASGLSFGGSRIAKPLRGGGGIDVNGSSGTSQQEPA KRGSWFFDRR TSTA_023960 MSTSARRRLMRDFKRMQTDPPAGVSASPVADNVMTWNAVIIGPA DTPFEDGTFRLVMHFEEQYPNKPPGVKFISQMFHPNVYGTGELCLDILQNRWSPTYDV AAILTSIQSLLNDPNTSSPANVEASNLYKDNRREYVKRVRETVEKSWED TSTA_023970 MQASRAASRLALHAAHLPKRSFSTSRAVAKDIQDAYILSAARTP VAKFNGSLASLSAPQLGAVAIKSAIEKSNIPVEKITDVYMGQVLQGNSGQAPARQAAI LAGLSNTVEAITVNKVCSSGLKAVVFAAQNIQLGLAEAQVAGGMESMTQVPYYLPRSS QLPAFGNLKVEDGLIKDGLWDVYNQFHMGVCAEKTASKYNITREQQDDYAIQSYQRAQ AAWKENKFADEIAPVTVKSKKGETVISKDEGFESLQIEKLKGLKPAFIRDGTGTVTAG NASTLNDGASALVLTNKELAQQYGSGSRVLARIVASADAAHDPADFPTAPAKAVPIAL ERAGITKDQVAVWEFNEAFAAVIKANEQILGLQNARVNPLGGAISLGHPLGSSGSRIL TTLLHQLQPGEYGVAAICNGGGGATAVVVQRLDQVE TSTA_023980 MIETAISIAFWVSSCLTLLIIALPSQYIPRRVRQETGGNGKEKV TVQILVLGDIGRSPRMQYHALSIARHGGQVDIIGYQESDPHPEITSHSNITVVPLPPH PAILQTNNKIVFLVFGPLKVLFQILSLWICLAYRTKPAKYLLVQNPPSIPTLAIASVV CFLRQTRLIIDWHNFGYSILSLKLGNRHPLVVISKWYEKFFCGWATAHFCVTNAMTRI LKDDFQITKAPILTLHDRPASHFKPILDEEERTQFLASLKEVAATEAELATGDVRVLV SSTSWTPDEDFSVLIDALCRYSEIATTENTALPSILAIITGKGPQKEMYLNEISARAQ AGKLEKVDIRTAWLSTLDYAKLLGSASLGVSLHTSSSGVDLPMKVVDMFGAGLPVVGW NRFEAWPELVTEGVNGRGFGSSEELASHLTDLFGNLSKLENLRNGAQKESLRRWDQEW DPIAGRLLGVT TSTA_023990 MSISGPSHMPTGSFGHSRRPPRGHMRSGNWPQLPSSAMAPTIEN EEQESSEPVEHVANGHDHHHHDTEHSYSHGRTPSLISNHNHSHSHNHSCGHGLSYSVT GANVEDSEESQMSGTKSSDAYTTPSQGSDNTPISYEITTGILTGIPWSLLSWYMRQYT APTSHKVWRMLKTAAAKQKFLKTYSPETAVDTVWWRALVLVSVIMLTTAGIALFRRQR ASQSLQNAPGLQLSASSAQKAFLRTLSLLLPIYAGMVIGGTMVALALSLTYAAGIPTV FQIEWKSGKLRERLGNKKASLFVLSLFLVLNVLGYDFPIDTQPFRGYIALIVSIFVLR PPFATPRDSIPAVQKIDANSVSQLVDGFMVLVSQQASALSNGPLISSSEDIHLTLASG GVVGLFCMLVSPFRAAKIPFGISDLINTLFIALAFAISMIFSSPAGLRSRRKIGFVTT ALVVVFTSAVPHEESSRIAHFSWSILAALCYFAAVFDDPKPGEITPAKSELSTVTKFL LKQGESWPILHSILSKEDSRKIFYFMCLNFGFMLIQLTYGFVTGSLGLLSDSIHMFFD CLALVVGLSASVMSRWHPSVRFPYGYGKVDTLSGFANGVFLMIISVEIIYEAVERLTS GSEMRRIEELLVVSIAGLAVNLVGIMAFDHGHAHGHDHGHGHGHDHSHGNENMHGIFL HIMADTLGSVAVVISTILVHFYGWSGFDPLASCFIAILIFASAVPLVSSTASSLLLAM PADVEYNLRDTLAGVSTLRGVVGYTVPKFWLDDTSASSGHDHDHGHDHGHGHSHDHSH SHGHSHNHNQHDHHDHDHTHEHDHDHTHEHDHDHDHSHHHKHSSEPKILGMIHIIASR NADLEDVRRRTVEFLSEKNMDIVVQVEREGEGKCWCVSNGSTIGSRSSYIK TSTA_024000 MGEALDLNLYPSCYNCLSWSDDGELAVAAGDLVHLQLPQWKPKY VHPLFEKEKSMPWTSTKVRTNVFTHQEWKVVWPSGRDEFSIGAEQSPSVVSQLAWSPP GLGLHRRCVLGVLTSNLLLSLYEADGQRRNWSRVCIVNRAIENFFRLRNGSEDLLRRS RIRSFAWCPPLKSDAQCAGDDRAAVRWGEQLLTIATDSNEVILVRVKRNPPSKASKTQ YSINVIDHVSMGHLDRKYSMVLKGSLFAEALNSKARIMNVSCGPWSRAVGEDNDSENS SAKSRAMIAILLGGNLHLINVHAGLSSEDESAVSLEEHLTLNLNVLASNELESINFTG PLQWIPIDESRLLLVAGYLGGRVTLRFDQDTYMKSIMHPAIDEGNIFFRQRSFHDKGY NSMGTIYYQHNEPISGIVSPTSSTTSHDTRTIYLTTLGPFCEAILLSTIGTPLEESIS RIQPPWEDQIERYRQRYDIQYELGDMSVVRIWGLATSDGWTAVAFTMHPGDVLEYTIR AEESTIILFTPPEPGAVFPKPVNLSDELMAMRRDDILHYILSSSDKLRKNDLRSARLI YSACVCAINVNYGRQQETDKNDDLLNLAHRALTHLSIVLELPIDEEIAFCDFNKPSTD AVLDATPKSASMLERPAGWIYEKCNTCFRRGKGNVGLSWRDQNTTICANGHTWNRCTL TFLAIQEPYISMFCSRCDMNFLSRDQGIDYTLEGQQQTAQARNGKEVYDLLYDRYDTC AYCGGKYQDE TSTA_024010 MSAIALVTEHLVRRSADSDPSEGPADPDPKQPFPLMAVIILYST LAILCVISGCVGYIYGKVVTTLAAVEDPNPDVYVRIDDDHAPLNPEITGDDAADVPPQ KPVTSGLKSTISHLTARAGRWAPFRGLLLYILTGLAINIITYPMSASFHIYGSAFARF FAEILLANLRVGWIHIVISEPSAKSLWSRIPSWRKTFMKIAPAAALRSLAAQIVTFVP LVIVYSTKVLEYGSEPSARSPAAALSGAFGLLALFLVLYVLIQIPAEVTFIRVAASML PEDDETIVPFDRSFGGKVTPEIIGGQGKIGIVDAWRSFSWGSRMRFLGIVGKVFLLQT ALGLMFGVILFGQILLFFGNKGFDVTAMATTAAGRMLARQLQQMQSDKDIPGISCGLV DNNVFEWEVMLMISDDCKFYGGGFFRARLTFPPEYPHMPPKMKFETPIFHPNIYPSGE VCISILHPPEEDKYGYESAAERWSPVQTPETILLSVISMLSSPNDESPANVEAARLWR DDPKEFKKRVRKCVRDSLGED TSTA_024020 MATDADIEASVFSQLATTAYACSSMVRLNGGTANFVYRGILSNP ESIGAKDEKPNVIIKHTKNFVALNREFKLDSERCVFEALVLRGLNTFSPARDTSVSPP ITVKTPRLFDFDSTTHMQIMEDLPESSDLKTWLLAPDTGMRVDEPAAKAIGHALGSWL GSFHAWTTLDAQAGLRQKLAKNKSMQQLKFIINYDTLIGTIDQYPQILESSRSVFEKV RAHAAEEISAHSTENNSHDGSDGWGPIHGDFWTGNVLINHAQHSLFIIDWEMAQLGMR ALDLGQVLAELYKVKHFKNNDGGVWIMEGLMEKYPLLNETQAFQIAVHMGVHLVCWSA VAGVTWGTARQIEGAINIGRDLITKGWEKDREWFLDDGTLRLLFR TSTA_024030 MDIDPRLRPSNDNLKENNNNISFPDRDSYSNPRHHPPSYAPNQA LEYGARLDHGRGYGQVTSESPSAHPQQSTSTPSSTDNRVAEIGSGSIAGYLNEMSMGA SHDEHDPNDPLADLKRPRACEACRQLKVRCEQDNNHPSGSCKRCAKANRKCIVTAPTR KRQKKTDSRVSELEKKIDALTASLQASRRIETMASPEGRSQDQELAAARRWLGGGPRP PSLPPLAKSISPSTSTKRTASGEFKYSAPPGSVSQSSTFIAPVSPREVSEVLTLTFQQ APSANLPSESVINNANEFTDVIDRGLIDVQTAVDIFNHYMDEIAPRLPVVVFPPGTTM ASVRRNKPTLFLVIMAISIGHFRSELQMSLVHEVHRLFADKVVIKGERSLELVQSIML ACIWYVPPDQFEELKFFQFIYMAVVMSLDIGMGRVTRKKGNKPHGLLREIMGNSSTRP SFDPDSVETRRVWLGAYFMAVNASMALRRPLLCRWHPYMDECIEILQTSPEAQPSDRN LIYWAKLTRIAEEIGFQFSMDDPSSSLSMSDTKVQYALKGFERQLDEWRREIPRDEYT PILRQAESIINIYMHEISMHTEHNIEDLSNPFTSAFKTDVKFDKATAAQIDALTACLT SIHTSLDCMLSIEPEVLVTLPTHMYARSAYAFIALLKMFSGVSSNHGLGHVFSPADLK VEEYFDKMIDHLKISSMRPGGRTASRFCMVLNLLKNWFLNRKGEASGSKNEESTSARP KEENDDSQGPSRTATNVSSAHQRSMLATTDPGDKKLPSVTSTTSDPQQWANYSVSGPE TTTSSSFLPEQYQFNQNLRQDVANPMMNNGLLPGSQAEYANLAPDFDFQIPFNAEGIF SMGGGMLPDNVFDLPFDENMNFYLQ TSTA_024040 MLWCKAAGGEIYLDLGPLKYTIDYHRILVENAAPRRFISLESRE DNKGTIIISSQNRAKINSVGDEFLTIIIYFGISTNNLVHISLPAASDASLLNTSSRAY PDQYNIYLLATWGRQCIIALISAAGLHLPSLPFLSPLITSSILLTDSDSRFMSSRSSN NTPPSPLAPFPSYSAELAGSSSSSPVLSGDTRVIAPSSSSSPDNADTIYERSRAYSGS MDRKRRLTSTANDDATLHRRPSTLSGPSSLSYNRVQQQDRPLPMLPTGDGRNNYTSSD MPGSSRANAIDLTSPPPARDQTTAPLSRQHSRSLPTVHQRHQQNYMEYTLPRWQPDSE VTHCPICNTQFSFWYRKHHCRKCGRVYIVRPPEPAEYLTTGTSPRHRRDSSRTIFDLT TDDILDTTTVFPSRSRAEHYPANPALGGGEEVRLCNPCVPDPNPDPPVNYATVRAGFD SFPGQDWNATMPAFPISQRFHQVRRSLSGAQIFGSRGDTNREAQDNNNQRNQVLDDFL GRRTSSATPIEPSFGQRPSSSRYASSLSLSHPVQQSSARLQSHSFLSHRPRAETESRN TPSDLSGTRPRRVQSMAEPDRFRASRPPHRAQIDERDICPICSTQLPPRGSDGNENER EAHIRDCIARSSGSSPGAGSPQPQHLLRMLSFTATEKDCLSEDGTPQECSICMEEYEV GDKLARLECLCKFHKSCIVGWFERKKECPVHKFS TSTA_024050 MQQSNLSQVSFPGREPDSPVTLTNLTITNSIKSDVSLVKDPEFW RRFSVAIHQDEEQARQPEREKTEGESWLARQRKKNRRSKICGFLIAFIIALIACGAGI GIWLLSKNGWFKKGTHTT TSTA_024060 MANYLASIFGTEQDKVNCSFYYKIGACRHGDRCSRKHVKPSYSQ TILLPNLYQNPAYDPKNKMNPSQIQNHFDAFYEDIWCEMCKYGEIEEIVVCDNNNDHL IGNVYARFKYEDSAQAACDALNSRWYAARPVYCELSPVTDFREACCRLNSGEGCVRGG FCNFIHRKEPTPELQRELDLATKKWLKERGRDERSVSRSPSPEPTRRRY TSTA_024070 MLPTFDYFQYIKIRNAKRRERDARFSSLSPDYHTPFSAADKAII NKPIEELVGDVQNGTVSPVDVIRTYGKVAVKAQEKTNCITELLLPEAEQWATSEVNLK GPLAGIPVSLKDSIQVKGFDTTVGYARKAFNPSKEDGVIVKLLKDAGAVPYVKTALPM TLLSFESANGLWGTCRNPHVPEYSPGGSTGGEGALLALGGRIGIGSDVAGSVRAPAAW SGIYSLRCSTGRWPKTGVDTSMPGQEGVPSVFSPMARTLNDLTYFTKSIIGMQPWKYD YTVHPIPWRDDEKKQASSKQLRIGLLKSDGVIPPTPAIVRAIDTTVEALTAAGHTVVE VTPPETADPFTGFDLGSQLLNSDGCVTFNSFRYSFEPTDPGAAQLSRVAYLPRVLRYL YYLYVRYIKRDVKWATVIRGFGPKSAAELWQITAKREVFRRTWHSWWDSEPQQYDFIL CPANATPALPHGAMHDAVSSCGYTFLWNLLDYCAGIIPVSHVDPKLDALPYTGKGGYK SALKALGHNHAMARGAWMHYDAQKMAGLPTAVQVVGRRWEEEKVLGYMAAVENALENA GNRYTLLDVD TSTA_024080 MENHNNSGENGNYWGTLINADKSPAPLLEELCIGLAQLMVKLDG GCGSTDLTPDKVARFYREVGGNYDTLFLETKGSALSFIYQSLGCFHTLQPTTNPFEPP SIPALLPTGFVRWLVINLLLCPDEHAQYLQEAVSRWDVPNPKGGTFPKVIPREAFPEA PDKDMCEWHENVSQRLQQDHAGHHSFRRSPPPEFVRYYSASTSARNYRHPFYDRSHQF HDGNPAPKSRNFSDHAEDIRYHRRRHSPDSREYRSRMFGIDPDVHSNSRTSSRNPSPR QTTSATGVPMRSKTTSARSRDIASERLASHYKHRPRNSSDSSNNDDDLLPPRRHASID DHRHGRSTHLSPARPSRPPRRHSHDATSPVAEDSDTSTDDRSYRYHRDIAPKTKFREH IFDAPRPSQKASQPLPYEINPNVPNTPRVHVKYEGSPTEYRTSKRERGSSGSDRTRSS SDGSGGEANWKYSSHRAGGAKVPY TSTA_024090 MSLGRVSYLESWEHHPPPFIRRQPSFNDAASGDGETISRHSRAQ SPDTAFLHSSLPSSFSNRAVRHRLSFNPVVGRGWAHSSAAEPDEEHRPLFRSDVSPDL TVRDGVSARLAEEGVAVEDVFRDPKWDTAVTTPAFEVSSGKRILQVAVAVTYCLLAAG PVFGFAAIKPVFIREGVYRNRCTSEELEHGFGLCYGQETRLNLMFTIAAVATNICALP VGTLLDTYGPRVSGIIGSVLIAIGALLLAIASRIHFDAYIPGYLFLALGGPFVFISSF QLSNAFPSRSGLILSLLTGAFDASSALFLIFRLINEKTDGMFTVQKFFTIYLIVPVFI LLAELFIMPGTSYKTAGELVLQAEQAIVAEANDTVDESLPEPDEAERQREDRRAQRQD IVNNIQGLLEGSNDRKIDDVIFREAVPEAANHQIQEPVQKPDTRSPVSSDNKHRSGGV WGAMHGASALQQIRSPWFILITVFTVLQMLRINYFVATVRSQYDYLLGSPELAKQLNE FFDLALPVGGLIAIPFIGTVLDTASTAMVLFALVSTSTIIGILGCIPGNTGFGYANVI LFVAYRPFYYTAVSDYVAKVFGFQTFGKVYGLVIALAGICNFLQTGLDALTFKVFHRN PTPANIILTVAVAVVGFFLVTYVSSKARALAHAHSQTDGTGEEQALISEDQSGGQNGG SYGTV TSTA_024100 MSVQIPPKALFFDVFGTVVDWRTSIHRALTTSIQSILHDANRTI PSQLRDRAASITEKDWHAFAQAWRNEYYESTDSDNLDKNRTLFVTVDEYHLQSLRQLL EEWELDGLLTEDEIQKLSLAWHDLAPWPDSVEGLTRLNTKFITSTLSNGNTSLLKDLN TNGFLPFKHVTGAEDFGVYKPAPAVYQGAARKLGLETSECALVAAHMSDLAAARKCGF QTIYIERLNEETLGTEEVAKAKKEGWVDLWVSENQDGIRDVAAHFGLMHYDLQILGEA TSTA_024110 MDHRVVVSLCCYGGYFTLLYPTAWFNNHMLKTLISNGINPIKVN LGRKPRMLQQVQRGSHLLTLLNGSKQSQGCVLVLSMVLVATGLAFTSLDIPGSYLFFD NVSLKLQTGKTLDQPTILEYQTAICLQSLGQYHSGRKKSWPRHVIPDWNLERSSGVKS MSILNDYICLLLTDTSPVIAINGVWPAPMIRGTVNDTVVVKVHNSLGNQSLSIHWHGL HMVVSVLNVHCMQLDEPGTYWYHSHSPSQYVDGIRGPILVDDPFSPYADQIDGELVVT LSDWYHVCMLTRGIIALPGNPTALEPIPMSALMNDQLWPTLSVLPNKTYFLRVLNIAG YAQFYFHIDAQHMTIIQADGVYTDLQLVQDLYLATGQRYGVLLHTLPTASQNYVMLGA MDIAGFPGSAPTPVSPNVTGVLVYDPYLSIPSTPLVEQFIAFDDFCLTPIDSIPLLDP PNKEIVLNLSTFSQVVPLNEQNRGGFNNITYITQRVPSLYTALSGGLYALNPIVYGNH SNAFVLNLNDIVETTIYNYDTGLHPIHTHGHNVQLIYRTGSSCKNRTIPMRRDTWMEP RWNSLDPNHPSTVVRFVADNPGIWFLHCHMEWHLVAGMDIILVEDPLQIQRGQRDIPL SMKLICLDQKIPLKGNAAGNWINFLDLTGEVNVAPMECGSLSSTTIFKVEDLSTAP TSTA_024120 MLFDSNTAKEVVKGLLQSATQFIRENPALTAWAVAATSGAALLA APGAAAALLLNFAGFTGTGVQSGSLAAAAHSIIGNVAAGSMFSFLQSAGAGGAAALGT INGVIQAAGAAMFGSGAGMTYLKSNVSNSTTNGP TSTA_024130 MDCQPNCYFLARHKDLTHKHTTTPPQITFAPIILLMGTYLFIAL GLPIMQASALATYLEYPTEIGGYGFSSLQTASFTMTAWIGMIAAQVDGYPFNDKIPL TSTA_024140 MANHPLPPGTDWYFEFTVTLSGDTGKLEVGISGGFMPRFQLPGH YIQLWGYEGSTGQCWGQKAIVHSYPPFLTGDVVGCGFRFKDRRLYFTQNGEKQRECYT LFFSPRTCPGSLQYLRSRFL TSTA_024150 MQAQNALQASAYCGNLEATNALLEAGAPSHEKNGFHRPGGSIGG ALQAATMRGDQALVKALIDNGASVNEATGWVCTLLSSVLERGMVKMACLLENGARPDI IAGYYVSSLHMSAGSSLVFDEMLEKVENVDVNLFPYDTPLQIACDAENWYTVEKLLAR SGDVHAPAGQFGTAIHAACYSGSLHVVRALLRSGANMNSLGLSLVMHGSREITIPYYG KAVFLIGGIGLHDHWPHDKYLNDHWDDLFPKIGFYGLSLAEALRIEERTHNKVLALFE FEPTHQEGHYGDSLQVAAFQGHFRIVKTLLEHNAEVNSICGVFGTALEAAAYAGHHKI VEILLKNGADPTIQDDFYGFPLLVATTSGNSRVSVILLQAGADPNAGDEHGWTQADW TSTA_024160 MNRENMKTILILGGSFAGVGTAHRILKQASKTNLDVKVILVSPN THLYWNIASPRAILPDQFTDEKLFGSIDEGFRRYPDGQFEHIIGFANRLDTVNRKVEV SIDAEGTESVATVSYNFLIIATGSRSKVFDEDVKAPFKGLGSTEATRDALHAFQELVK NSKTIVVAGGGPTGVETAGELGFEYGKDKKIILATSGQTVLETAIPSVSKTALGMLRD LNVDVKLQTKVSRSSRTKREGTNQLDIYLSDGNVLSADLYIPTSGIIPNSSFIPDKYV NTNGFVKVDEYLQVKGFENQRVWAIGDVSDLEPPQLMCADRQSGHLAKNIGLILNNKT PLPYKGGIHGMGCQIGRKTGTGQLGWVKLPSFLIHFLRKHLFVERLEPTVDGSVY TSTA_024170 MATDSSQTETKQQGIIETAQAAASDPQSKIQPELIEKKLVEESR KAGAVAYQFDPNASPEEKAQAANAILPPGFHNPNKQKGLALVSDNDLTGPPQYDLPST NQASAEVKQAPTLNGAEKRSAQNGQLTEDQRWARDRTGWAPQFAKPDEKREEGETLLD HRTFLEEKLSDKFYGDWYHNAAVIVFACLSSWIIAVLGGGLGWVFLVMAACGTYYRTS IRRVRRNFRDDVHREMAKQRLETDSESLEWINGFLLKFWPIYAPVLCDTIINSVDQVL STSTPAFLDSLRMKTFVLGTKPPRLEHVKTYPKTEVDTVIMDWKFSFTPNDTMDMTAR ELKDKINPKVVLEVRVGKGVVSHGLDVIVEDFAFSGLMRVKMKLQIPFPHIERVDISF MERPEIDYVCKPLGGDHLGFDINFIPGLEGFIKEQIHGNLGPMMYEPNVFPIEIAKML AGNPVDQAIGVLAVTLHGAANLKGSGRIGNTVDPYCSISINNRNELARTKTIRDTTEP RWNETHYIIITSFTDSLTVGVFDYNDVRKDQELGIATFPLDKLESESEHEGLALDISY SGRSRGVLRADVRFFPVLEGRRLEDGTEEPAPELNTGVARFTVEQAKELDGSKSLVGS LNPYAVLILNGKEVHVTKKLKRTNNPIFQNSSKELLITDRKHAKLGLLIKDDRDLATD PVIGKYQIKLNDMLKMMDKGQEWYQLHGAKSGRVKMMLDWKPVALRGIVGGAGYVPPI GVARIHFKSAKDLRNVETMGKSDPYARVLLNGIPSGRTVTYKNNLNPDWDEIVYVPVH NVREKLTLEVMDEESLSKDRSLGEVEVSLSDYIHEDENGEYEVDEEKQDIQSGLRMNG RGTAKGFLNYNIAFYPALNVYDPEEDAEEEEEEEELSGLPSRGHSKQNSVDSTRKSVD RKSIDAPRASTDIKSNGRPSIDTALSKAASNGGLETPTSTKAMAHGRPKIRIEAADLT KYESGLIVFKLIDGQLSHTNVYLEVLVDDHMFPSYTSMKIKSREATFQDVGDAFIREL DMSQITLRLVEKSSDKDEKHVIAKLTGDTLSTLQRCLYTPTELQLRAENGAISKIKVS LRFIPVMMKLDPRESINNSGELRVDVLDAADLPSADRNGYSDPYCKFKLDGKDVYKTK VQKKTLHPAWNEFFETSIKSRIGANFRVDVWDWDFGDKADFLGGADINLEMLEPFHSQ EVTLDLDGKSGAIRLKLLFKPSYVTRTRQGQSTMSGTFAVPGKIVGAPVKGVGLVGGG VVKGASFLGRGLKNRFTHKDSESNGTSTPIREESPPQTPNKPTEQQGGGLARAPGLVV EPSSPSSSVDTPGPLNRRHSRTKSVKSLLGEKGTPGSIGAETGSAMFTIVSASGFPPS ANVRCHVRLLGAKGTKEVHKTKAVKSSDGSVQFDASHETFKVPHVPADAQYQLKVVDH STFGSDAGLGEALFFVDDQGTAMSKEKTVTVGTGSVVIKSSFAPSDLSNGRPSTSHSV NLDPADANGETPDKKRRSFLSKRSVSGA TSTA_024180 MAAKAIPSHLRAQAESGAEGESFQRKHHGKSQSHMAFENASTSV AASQMRNALNALAETVEDGATKKRFEAEMDNFFALFRRYLNDRAKGNVVNWERINPPQ PSQVVDYNELGNSASVEFLNKLAVLKLNGGLGTSMGCVGPKSVIEVREGMSFLDLSVR QIEYLNRTYNVNVPFVLMNSFNTDDDTQNIIKKYEGHNIDIMTFNQSRYPRILKDSLL PAPKSFNSQISDWYPPGHGDVFESLYNSGILDKLLERGVEILFLSNADNLGAVVDLRI LQHMVDSKAEYIMELTDKTKADVKGGTIIDYDGRVRLLEIAQVPKEHVNEFKSIKKFK YFNTNNIWMNLRAIKRVVEENELEMEIIPNEKSIPADKKGEADLSIIQLETAVGAAIR HFRNAHGVNVPRRRFLPVKTCSDLMLVKSDLYSLQHGQLVIDPNRFGGAPIIKLGSDF KKVSDFQKRIPSIPRIAELDHLTITGPVNLGRNVTLKGTVIIVATEGSTIDVPPGSIL ENCVVQGSLRILEH TSTA_024180 MAAKAIPSHLRAQAESGAEGESFQRKHHGKSQSHMAFENASTSV AASQMRNALNALAETVEDGATKKRFEAEMDNFFALFRRYLNDRAKGNVVNWERINPPQ PSQVVDYNELGNSASVEFLNKLAVLKLNGGLGTSMGCVGPKSVIEVREGMSFLDLSVR QIEYLNRTYNVNVPFVLMNSFNTDDDTQNIIKKYEGHNIDIMTFNQSRYPRILKDSLL PAPKSFNSQISDWYPPGHGDVFESLYNSGILDKLLERGVEILFLSNADNLGAVVDLRI LQHMVDSKAEYIMELTDKTKADVKGGTIIDYDGRVRLLEIAQVPKEHVNEFKSIKKFK YFNTNNIWMNLRAIKRVVEENELEMEIIPNEKSIPADKKGEADLSIIQLETAVGAAIR HFRNAHGVNVPRRRFLPVKTCSDLMLVKSDLYSLQHGQLVIDPNRFGGAPIIKLGSDF KKVSDFQKRIPSIPRIAELDHLTITGPVNLGRNVTLKGTVIIVATEGSTIDVPPGSIL ENCVVQGSLRILEH TSTA_024180 MRNALNALAETVEDGATKKRFEAEMDNFFALFRRYLNDRAKGNV VNWERINPPQPSQVVDYNELGNSASVEFLNKLAVLKLNGGLGTSMGCVGPKSVIEVRE GMSFLDLSVRQIEYLNRTYNVNVPFVLMNSFNTDDDTQNIIKKYEGHNIDIMTFNQSR YPRILKDSLLPAPKSFNSQISDWYPPGHGDVFESLYNSGILDKLLERGVEILFLSNAD NLGAVVDLRILQHMVDSKAEYIMELTDKTKADVKGGTIIDYDGRVRLLEIAQVPKEHV NEFKSIKKFKYFNTNNIWMNLRAIKRVVEENELEMEIIPNEKSIPADKKGEADLSIIQ LETAVGAAIRHFRNAHGVNVPRRRFLPVKTCSDLMLVKSDLYSLQHGQLVIDPNRFGG APIIKLGSDFKKVSDFQKRIPSIPRIAELDHLTITGPVNLGRNVTLKGTVIIVATEGS TIDVPPGSILENCVVQGSLRILEH TSTA_024190 MSSAQNDQQRQQNNSGANDQDGDAQLGGGQSTFRPIVPGPSFPD QGVPTAGTKRTMGASWKKRVSTACLACKKSKRKCSGTPPCDNCRALHRECIFDESLDQ RRRVAAKRTAEELEYHRDMLNDLFKVIRTADEKQAQRLLELIRSDATPEEIRLFIDEV LVQLQTFEPSSRAKRETTAQLKELRSQANMQGSAPSFRRQVMDVNFLCVIPPIAVPAS PWTTVTDDDAFVSHLISLYFTWDYPFYSFLDLNVLINHMKSRDLNSQYCSPFLVNALL SHACSYSEYSEAYGIPGDITSKGSKFLKEAEKCLEQRSDDVSLSSLQGTMILYERYSM TGQDDLGYAMLYKAIDIAEQLGYIGGEGQDVDLSHTSKDFYNSAIKTVWGLFQLDTVA HTGFLKPCRIRNVRLSRTPALSIERDSDYWSPYPSQKPPRKAYFVTYFDKACTLSEIA RDISITLFADEIVDDKERLSAAVDSLYQRMKRWHESLPYEFHMSKKPAPHILLLHIRY HTILISMFNCPRGHNDQDRSTDLGTATVATRSQMPEGGEDPASIATSSARAIAKLIRV HQEEYGISRSHVFALYAVNLALFLLLEYDTFDISDPDCVSLTSAFSIITTRSILGREV RSIFRRSVSKKKSDGESRWEQLPEGLREILEDDPFETSGSEADHDGHDGISDEANHEG NTSTTTTPLSPTEEDRRVQKLKKNRGPQEGSRGLCEMLCRYETMTLGRDDQVTGKK TSTA_024190 MSSAQNDQQRQQNNSGANDQDGDAQLGGGQSTFRPIVPGPSFPD QGVPTAGTKRTMGASWKKRVSTACLACKKSKRKCSGTPPCDNCRALHRECIFDESLDQ RRRVAAKRTAEELEYHRDMLNDLFKVIRTADEKQAQRLLELIRSDATPEEIRLFIDEV LVQLQTFEPSSRAKRETTAQLKELRSQANMQGSAPSFRRQVMDVNFLCVIPPIAVPAS PWTTVTDDDAFVSHLISLYFTWDYPFYSFLDLNVLINHMKSRDLNSQYCSPFLVNALL SHACYSEAYGIPGDITSKGSKFLKEAEKCLEQRSDDVSLSSLQGTMILYERYSMTGQD DLGYAMLYKAIDIAEQLGYIGGEGQDVDLSHTSKDFYNSAIKTVWGLFQLDTVAHTGF LKPCRIRNVRLSRTPALSIERDSDYWSPYPSQKPPRKAYFVTYFDKACTLSEIARDIS ITLFADEIVDDKERLSAAVDSLYQRMKRWHESLPYEFHMSKKPAPHILLLHIRYHTIL ISMFNCPRGHNDQDRSTDLGTATVATRSQMPEGGEDPASIATSSARAIAKLIRVHQEE YGISRSHVFALYAVNLALFLLLEYDTFDISDPDCVSLTSAFSIITTRSILGREVRSIF RRSVSKKKSDGESRWEQLPEGLREILEDDPFETSGSEADHDGHDGISDEANHEGNTST TTTPLSPTEEDRRVQKLKKNRGPQEGSRGLCEMLCRYETMTLGRDDQVTGKK TSTA_024200 MNSDLHKSNTTLIGPETALGTSFIVSESVSIDWTGNGPAAAWKM VTDAVHEAGGKMFLQTWHAGRCAHDEMSIAKEYNAQVIAPSSIKVDAGEYRDLPGRPG HMANVHAIKDPKTIFETYRNACSLAKKAGFDGIELLAQGGYLPQQFLNSRTNKRTNKY GGTVENRCCFILETIDAITEGFDGPEAICVKICPTNYLNDSVVDFDEMKEVYTYLINE MVKLIDFEKLVKFPGSPSKLMANNDYTVGEADRLIKEGRSI TSTA_024210 MSAADHEVEVAQLHQSFDNVEGVAHPTKDFTGGDLPYVNEKAPL DTPDGEVPTEEEKQRLKHVAENLPLSAWLVAVVELSERFTYYGVSGIFQNYIQRPYDG SQGRGALGMGHQGATGLSTFFQFWCYVTPIFGAIIADQYLGKYKTIAMFCGVYLTGLF ILLFTSLPVSLEHGAGLGGFIVAIIVIGLGTGGIKSNVAPLIADQYTRKKMAIKTNPD GERVIIDPAVTIQRIYMIFYGCVNIGSLSLLATPYMERDVGFWTAYLLCTCMFAVGTV VLVVGRKFYIVRPPQGSVITNAFRVLGMMIKHFNMDAAKPSWQAEHGNSSNLSWDDHF VDEVKRALVACQVFAFYPIYWVVYGQFSNNFVTQAGQMEGHGIPNDLMQNFDPISIIV FIPILELFGYPLLRKFHIPFKPISRISLGFIVASLAMVYAAVLQYYIYKAGPCYEAPL CDASMVDGVAQGNHIHIAIQTPAYMLIGISEIFASVSGLEYAYTKAPPTMKSFVQSMY LLTNAFGSAIGEALTPVAYDPAIQWMFVGLACASFSAGVIFFFVFRHLNDKEEEMNAL DADEYPPVQDETVERK TSTA_024220 MATETENGTAPAINEKPAAQNGDVVTVFHDPENFNVKHPLMNEW TLWFTKPPSGKGDNWNDLLKEVVTFSSVEEFWGIYNNITPTSELGLKADYHLFKKGIR PEWEDQQNKHGGKWSYSFKDKRAVPIDELWLHAQLAAIGETLENDDDNEVMGVVVNVR KGFYRIGLWTRTVGKSLPGDKSTEARSAAKGKEVLENIGRRFKEVLRLKEADVVEFSG HTDSAHSGSTRAKAKYTV TSTA_024230 MLRWYAARMAQRPLLTSSITTATLFGAGDVLAQQAVDRKGFDKH DYARTGRMVLYGGAIFGPAASAWYSVLQRHVVLKSTAATVVARVAADQLLFTPVNLFC FLSSMSIMEGTDPMEKLRKAYWPTYKTNLGVWSTVQLGNFALVPLEYRVLVVNVVSLG WNCYLSFVNSKA TSTA_024230 MLRWYAARMAQRPLLTSSITTATLFGAGDVLAQQAVDRKGFDKH DYARTGRMVLYGGAIFGPAASAWYSVLQRHVVLKSTAATVVARVAADQLLFTPVNLFC FLSSMSIMEGTDPMEKLRKAYWPTYKTNLGVWSTVQLGNFALVPLEYRVLVVNVDGTV I TSTA_024240 MADAAPRRGGFGSRGDRGGDRGRGRGRRGRRGGAKSEEKEWQPV TKLGRLVKAGKITSMEQIYLHSLPVKEYQIVDFFLPKLKDEVMKIKPVQKQTRAGQRT RFKAIVIIGDGEGHVGLGIKTSKEVATAIRAAIIIAKLSVLPIRRGYWGTNLGEPHSL PTKESGKCGSVTVRLIPAPRGTGLVASPAVKRLLQLAGVQDAYTSSSGSTKTLENTLK ATFLAVGNTYGFLTPNLWKETKLIRSPLEEFGDVLREGKRY TSTA_024250 MSPIFLGEDFGALLSVSSIRSQKDLWIDLWRHFDPGEKVVPVDG QYLTSLNSFGGLRRLRVTGMLKSYQKQLFQAIWKMEQLEDLQLRMAEEPRVSSQLPWR LIEEGWVPNAEGTHAGLSPGDGKGRIKRQYGNAEYFDNCVIELAKPKLNPDYPEYEAW MSRLLPIVHLTLRGFVVDAIPFCSCFDGQKLRSITFTDCIDAGFCLPYEMNNVQLRVD CSKTARAEPIRQVVPDRELKRITLKEGRKIDESPASSPTQSVSSRSRYHRKPDPSTSI PHRVPLPSPAPAPSSPSSPFPSLMPSGQCLRQPRFSMHFHRRVVSSVIEEDEGEE TSTA_024260 MIVPGMEEILKHTATLPTSTPTVGPIPTVIPGDWPVVQHIHDTG KRTLWVVVVLMAISALAFYTLAARVRVQSRLLHTLTALITTVSFLSYLAMATGEGVTY KHSIVHHPHKHVPDTHQEYLRQIFWVRYLNWIITTPLILINIALLGGLNGANLVVAIS ADLIMFAAGLTATFSHDERRWVWYTITIIAFLTVGFQVGVNGARSVRRGADQHRALFT SFAGANLLVFLLYPIILAASPLSQRISVDAETVAWAIHDILTQGIFGYWLLLGHDSSE TGQLFVDGFWSNGINHEGAIRVGENDGV TSTA_024260 MAISALAFYTLAARVRVQSRLLHTLTALITTVSFLSYLAMATGE GVTYKHSIVHHPHKHVPDTHQEYLRQIFWVRYLNWIITTPLILINIALLGGLNGANLV VAISADLIMFAAGLTATFSHDERRWVWYTITIIAFLTVGFQVGVNGARSVRRGADQHR ALFTSFAGANLLVFLLYPIILAASPLSQRISVDAETVAWAIHDILTQGIFGYWLLLGH DSSETGQLFVDGFWSNGINHEGAIRVGENDGV TSTA_024260 MNADVEQQSRLLHTLTALITTVSFLSYLAMATGEGVTYKHSIVH HPHKHVPDTHQEYLRQIFWVRYLNWIITTPLILINIALLGGLNGANLVVAISADLIMF AAGLTATFSHDERRWVWYTITIIAFLTVGFQVGVNGARSVRRGADQHRALFTSFAGAN LLVFLLYPIILAASPLSQRISVDAETVAWAIHDILTQGIFGYWLLLGHDSSETGQLFV DGFWSNGINHEGAIRVGENDGV TSTA_024260 MAISALAFYTLAARVRVQSRLLHTLTALITTVSFLSYLAMATGE GVTYKHSIVHHPHKHVPDTHQEYLRQIFWVRYLNWIITTPLILINIALLGGLNGANLV VAISADLIMFAAGLTATFSHDERRWVWYTITIIAFLTVGFQVGVNGARSVRRGADQHR ALFTSFAGANLLVFLLYPIILAASPLSQRISVDAETVAWAIHDILTQGIFGYWLLLGH DSSETG TSTA_024270 MSSTYQAWHVYRNASTQSEKSLDILDNLVLEEIEKPVPGPGQVL VRIHAAALNFRDLLITAFSPKYPVPTTLGLSPCSDGAGAIEAVGPDNKIWNVGDEVIF RVSNSWDSGDVSNFKGNGIGSGDIHGTLSQYLVMDESWLVRKPTHLTWEHAASIAGAG GTAIQALFHNGISNGLDLSGKTVLTQGTGGSSIFCAQFAVAAGARVIGTTSSESKAEL LKSLGVHEVVNYKIHPAWADEVLKLTEGRGVDLVIDVGGSATFEQSLKAARFGGTVAA VGFLTEPQPSDPGLIHTIIFGAKTLRGQMAASLVMYHEMVELMEKHKIEPVVGQVFEW SAAKEAFKALMKQSVPGKIVIKVCQCGRSGTYGAMGFPIGQPSSMTRSWTSTLKLPRS SFPARVSVADQAKYLRKCSDELYAWQRENRPANETFVLHDGPPYANGELHVGHSLNKI LKDIINRTQLSRGKRIHYVPGWDCHGLPIELKALQAQQKNVIDFTQGPGSAATIRKAA RKLAEKTVKEQMRVFRGFGIMADWEGHWKTLDKGFEMKQLGIFREMVEKGLIYRRFKP VYWSPSTGTALAEAELEYNDNHISTAALVRFPLAKLPEQIRDKPLVDVTSLSAVIWTT TPWTLPANAAIAVHESLNYLIVQSSNHGQLLIAESRLGYFQDMLKEELQVLVPSIQGS ELCQKTTYRPLFPRDGVEEQPIIAADFVTADSGSGLVHCAPGHGMDDYEVCLAQGIQA FAPVTDEGCFTDEAMPRDPSFLSGKSVLDEGNTLVLQYVESMSQLLCQHKYEHKYPYD WRSKRPIIIRATEQWFADVANIRESALASLEDVTFVPASGKQRLKNFVQNRTEWCISR QRAWGVPIPALYDKVTGQAVLTKETVSHIMNVIDERGIDAWWTDSPEDPAWIPPFLQQ DGSSDYRRGTDTMDVWFDSGTSWTQVENESLEKDRPADIYLEGTDQHRGWFQSSLLTY VAHQVASGKSDASTIKSPFKHLITHGFTLDQDARKMSKSIGNIIQPDAIMNGTLLPPL KQKKSKGTKEQKVSGPVYDALGPDALRLWVASSDYTRDVVIGQQVLQTINTSLHKYRV TFKLLLGALGDFDPSVNLRQYEDLHKIDRLALMQLTRLVDTCRNAFDSFEFYKAVNAL NRWANHEFSAFYMETLKDRLYTEAEDGASRRAAQTTLFHIYTYLQELLAPITPLLVEE SWEHTSERVKAQLKHPLQRISRAAPAEWVDETTSHDFVDLMAANAAVKIIQESARSKK QMGSSLQSFVYFELRDESLGTFQRYISELPDLFVVSSVSLGVKGSDLPGEIASAKWSY TQEFELPNSKQKATVHVYAPTQAKCPRCWRYAAPEAVAEEPPLCERYQCTIRGADKMK IRGNPSPYHIGASPDQSNDVCIPTSERVQIARIGLF TSTA_024280 MSTASAPGAMQVSPGKGLGNLTLGSSLHNVLSRIKSRPQTYPAI DLAYSSTEPLLEPVVLSLPKNGLRLRFDGPDQRLRLIEVLDFSRVPLVYKNHEVVKIA KPQEQNSSTGPGFRHVYNRLFGPSYPGEYIPPVDNSPFGTYVLSYPGVAFSFPLQDSA WSDNCDFVALLSSSAASPAISMAIFQGASWSEARNKLFTSVPQLPRSPALIGKGREAV PDEIEEISVLGAGKIELLRRSSPTLVINLSETTPQDLIAELGPPDAVYRKNDRRISIH NAHGVNQPRMLSPSPGRGLDTPDTDHSSNNSPTEDSDDETFTGTNIDPSSLPSECFFN YFHHGFDAFISYPTPSGPAFPGSGISDAPPPATSSLLTVTKLIVHGNVPGSYPFNRYR RSRWKILLDDTGNEVLTSETHYDQVSERLQALWKGSYASPSEETALQRGMVLNRGWGD SPESSVEFLGGWEESVSGKHRSTSTGPDGGQGLGNTELYGFPGLLFEVLKNGAVSSMT IY TSTA_024290 MPAPYTRPAAGSATRKPALRQPSRRIATTTAEKTQSPAAALKPT NTNITRLQRSPSVQSVAGAKRKEREYEPKGNEDTNIHVVVRCRGRSDREIQENSAVVV SAEGSNGVELSMGPNALSNKAYHFDKVFSPAADQTTLFDDVVTPILNEMLSGYNCTIF AYGQTGTGKTYTMSGDMTDTLGILSENAGIIPRVLYSLFNKLEDRESTVKCSFIELYN EELRDLLSLDDKSNLKIYDNESKRGHNSSTLVQGMEEHFIHSATDGIKLLRGGSYKRQ VAATKCNDLSSRSHTIFTVTTNVKRTTEAGEEYISTGKLNLVDLAGSENIQRSGADNK RAAEAGLINKSLLTLGRVINALVDKSSHIPYRESKLTRLLQDSLGGQTKTCIIATISP ARSNLEETISTLDYAFRAKNIRNKPQINSTLPKKTLLREYTMEIEQLKSDLIATRHRN GVYLSAEAYEEMKIESESRRIINEEQRAKIESKEASLKHKTEELFALTSNFNNLKKDN EETRAALNQTNDVLEQTEIVLRDTKKQLEQEEKIRQAHQETEEKLHHIGSELLHKLDQ TVEHVNGLHMKLRRKSDLHNLNRDTWESSTGDVVDVTKLIEDRVEIFKSEHSDLIQGL SAKITDFITAELQAVASGQSQINVSRSLLNETAKEMAEQSTKAHDEMNGVLEEIKVLR EVVKGKVGQGLNGLSAAAERISKEVIDEMTDFHTQLHSSYSTLGRDFKAMFESITGHM ELQKKEINELQTQLLEANRQAIEGNRSASAELAKVLEEERQAAESDRVELLSQIGLLI ETSGQKQASRLKGRVEAVTFDLESSGDNLQKATDRYQEGMNEWTGKEDQLMESVISSR DTIKGRMQEDWEVFEQRNESIQKSTEAVHQETVRIVDEQVQQMAVQMEALDDFVTRAR SQNGSHHESHLANLESLARGIKISFVSLEEGLGSMNTQLHTFQEAATNQEDSIRRTVA PFSEELRQPLVELRNNIRNAPMTDYKPTGTTPPRTSYEYPRTLPRTESCSSLLDKIKQ ATQPVLTPLEEENLDPGLRPPSKHRMGSPVKTRVYNDAEDEVCEPTITASAIITSSNT GLREVDLNVAAKQHNSSSPERDFSKSMIDVSTEDPAQPPLKKRQTVSESKLPQKTLTR RAPPGTALDGRENVPLTASIGRRLRNRPSIG TSTA_024290 MPAPYTRPAAGSATRKPALRQPSRRIATTTAEKTQSPAAALKPT NTNITRLQRSPSVQSVAGAKRKEREYEPKGNEDTNIHVVVRCRGRSDREIQENSAVVV SAEGSNGVELSMGPNALSNKAYHFDKVFSPAADQTTLFDDVVTPILNEMLSGYNCTIF AYGQTGTGKTYTMSGDMTDTLGILSENAGIIPRVLYSLFNKLEDRESTVKCSFIELYN EELRDLLSLDDKSNLKIYDNESKRGHNSSTLVQGMEEHFIHSATDGIKLLRGGSYKRQ VAATKCNDLSSRSHTIFTVTTNVKRTTEAGEEYISTGKLNLVDLAGSENIQRSGADNK RAAEAGLINKSLLTLGRVINALVDKSSHIPYRESKLTRLLQDSLGGQTKTCIIATISP ARSNLEETISTLDYAFRAKNIRNKPQINSTLPKKTLLREYTMEIEQLKSDLIATRHRN GVYLSAEAYEEMKIESESRRIINEEQRAKIESKEASLKHKTEELFALTSNFNNLKKDN EETRAALNQTNDVLEQTEIVLRDTKKQLEQEEKIRQAHQETEEKLHHIGSELLHKLDQ TVEHVNGLHMKLRRKSDLHNLNRDTWESSTGDVVDVTKLIEDRVEIFKSEHSDLIQGL SAKITDFITAELQAVASGQSQINVSRSLLNETAKEMAEQSTKAHDEMNGVLEEIKVLR EVVKGKVGQGLNGLSAAAERISKEVIDEMTDFHTQLHSSYSTLGRDFKAMFESITGHM ELQKKEINELQTQLLEANRQAIEGNRSASAELAKVLEEERQAAESDRVELLSQIGLLI ETSGQKQASRLKGRVEAVTFDLESSGDNLQKATDRYQEGMNEWTGKEDQLMESVISSR DTIKGRMQEDWEVFEQRNESIQKSTEAVHQETVRIVDEQVQQMAVQMEALDDFVTRAR SQNGSHHESHLANLESLARGIKISFVSLEEGLGSMNTQLHTFQEAATNQEDSIRRTVA PFSEELRQPLVELRNNIRNAPMTDYKPTGTTPPRTSYEYPRTLPRTESCSSLLDKIKQ ATQPVLTPLEEENLDPGLRPPSKHRMGSPVKTRVYNDAEDEGGRLKRRRETTQL TSTA_024300 MKVTIKEWNAVATWRWDMPEDEVCGICRVQFDGTCPTCKFPGDD CSLLIGKCGHSFHMHCLITWIQQESSKGLCPMCRQTYLYFLLEMSSLPKQHNITQSSS SARQSPFRATNTTGAIPPTTTSRPRPIGHLPENKQKDASYNQLGDNISQSEHEGKTAP EVPFKGPKENRPKPAPNFKPFFTLIEDANSSDYHHPTVHYIFSDDDAELMTEASLRVL ETGSHATSPLPLHTNDNEDALGSGANQQHSSDIDRPSLLPPPIPGVKERFIVLDVEQS SNTAIGNPSSAPDALVGTSAGTGTTVVSSSSAPKQTETTSNPFGYRIVSAHSLTPDWQ VLDASLSPAPTFDTPQQTTSDQAGVSNTLMLRIQGTSGYSRDIPVGKDRQEQTLEEMM EQFDKRMSELRRVIETSGDYSPLVVTTTAQQGYEEKGADEQGKETREETGEGAATNES RQGL TSTA_024310 MTLAILRSSLRPSLSVRLSTMTARMQQQRWNSFSSYLVTPQELN NALKHYPTSEISTAPRIVPLYAGWFMPNDPEGRTGIEAFRKSHIPHSRFFDIDGIKDE DSPYPHMLPTKERLAEAMSELGIHRDDEVVVYDTAEQGILSAPRVGWTLRVFGHPNVY VLNNYRLWVEQGLPTESGDAKPVPKTNYPVPSYDVDRVVHFPEMKEIAKDYGKEGADE VEILDARPYGRWSGESPEPRPGLPSGHMPGSKSVPFGELLDPKTKAFLSPEELRKVFE SKGVDPSKTIITSCGSGVTAAVIEAALTVAEFGDPSKRRLYDGSWTEWAQRVKEDSGL IKKL TSTA_024320 MSAARKVFHCAVDDTVLTTNVSEIKRWASNGAITLFVPLYTLER LQAPKRNGSQVAINSREAVRFLDRVTSGKDSIPADRVVLQGPMEQYDNWADAEQFFLP EFEEEDGQYIEPTDGDAITQKTEDNVKAHVTQPSEPEQKDHGLPGLPSDLSQMLLSKL NFKAPEPAAGAQNDMKSSVSLPSVGTQSDEGSRASSRSSQTSPEYADRNAKRGHNRST STSSNIPPTPTVLRPLLSALLWRLHHNEGSIGVPQNLTLITNDRDTQVWAQKYGIMTK NIHQLRTAIQYEEKEFKNRVKYAEKTQQAAPSPKPLFSYENDSEEDELVFVPRGRGKG AGRGSGSRGANGRKTRAATAVAIAQADTTVEVPSQPIDPDSFSRSIGTTMTVKQPALD LSSQAGASRGLAASSRRHGDDYGPGNGNGQANGGGSSRRRQRGAGGSRANTSTRGGRG QGKLWVP TSTA_024330 MASSRKPFSYRTQHFLDKLKVKNNSGEENGQFGKWSNKDLDPSP PSQRTWSAWSFFAFQFSIAFSPTTYNAGASLYAIGLNWWTIFIASVIVSIMIATLLFL NARGPARYHIGYPTLVRASSGIYGSLVFVFIRGVVAILYMSIQTYYASQFLAVMLRCV FGHRWTDFPNHLPASAAITSANLLAFGLLWLIQFPFAFVHPSKMARVFQIKSVIAPIG LIVTMIWALASSHGADFNGLSHKTVSGAALGWSFMKAINSIVSNVIPPLVNIADLARY VQKPRDTLPMPIGLVVSKPLVVFLGMIITAAGYKQFGHAYWNLWDFYSSVLDHYWSPG ARTLVFLAAGIQAFATFVTNFTSNSIPVGCDLTGLFPRHFTIVRGQVLCFLLAWICVP WKLTHSATSFLNFLGSYLCFICPIVACMVVDYWVIRKGNLHIPSLYKTQPGSIYYYTY GFNLRAFIAWIVAIALVIPGVAGVLSPGSIGNAAIKIYNMGFLLSTTFAGLVYYVACR ICPVEIYPAEFHPETRDRSWEAMGYTEGFCPEDEVIPEYLREKVLDGTSRIHVATKEV MSAQGDRVSQNSAQWA TSTA_024340 MTKRIRLGILTPSSNTSLEPLTHSIISQLPNVSVHFSRFTVLKI SLENDALAQFQNEKIIEAAKLLADAHVDMIGWSGTSSGWLGFEADEKLCEEITAATGI PSTTSVLALNRALKVLGATELGLVTPYKDDVQEAIIKTYATIGVDCSKEQHLGLWTNS HFADVTENTLDDMVADVAAKDVKFISTFCTNLYAAQRGVVWEEKYGVVLLDTVVTVIW EMLQRCRVDSTQIKGWGRLLIAKVTMKN TSTA_024350 MDTDASPAGTRPYRSKLKRPCDRCRTRKIACILPESGPCRNCMN VGKSCTFEKPPTPRNRDIRNNNTPNRSPNYSLSHVTGFDEPNEPTSFDKGGIDSFLFQ TDSNNFHRRHQDHDSHTLPKINRKRSKLVRSYDLIFSSDTFKTQDAPKFQHIRSLDQL DASTAQVFGTSAESDPWLLRHCKYDDNGMLHLHGIHFRNVGGVPVEGLVPVHFLVTED SLLEPLKEPTPVSTGMDVPKLRQELNRMIPLKFGVRLILLFMKFVYSMMPLISRSQIG LPGRLNEQSLQDVPVHLLAALYASALPFKIHDPELVLCGAYESQLCNKLWRIVYQLIM QEIHTPHLSVLQACLLYLQRLPVGSQSALADSPFIWSFLGTTVGLASSLGLHLEPRPW GIPAWEKRLRRRLWWAVYIEDKWRSLLIGRPPFIDREEWDVQNLDESDFVVNGLDEGV EHGLSHDRIEHGAIFRSFTKLAIIADNIHQTFYTLRASQKMCQDFRISINAARPIREE LQAWYASLPDSLRIKQKPENSYQNTPHIEGVSSLHFAYLILELFVYRAILRPLARSPP PPPIADDKDPQNIAWLLEDLGCDGQGLDQLPVVDFSELGDAAEATLNAAEKCAGIIVN FVGAMTEVDFDTFWYPWTRISFATVSNFILLLLIQAPTAQHASRTKHLLDIWLQNLSC QYKNHENLMSLGLVRLSTLMADGLERNFNISSHVAEILTMDNTNGWPMQQSSG TSTA_024360 MAIVFLSWEYYAGDNAMIHFSMVKAVMGASPLRSGVDLLSLVLS QVVASILSEGLVRKVGYYLQFVIVCGILFTISAALVSTFQADTASENNAIALAVLVFM ENFGGAILIVIAETIFNSKLALEMAQYAPDVNVEVVEAAGASGFGDAVPPAQIHGVLR AYNSALTKEFTWPSVVWSQCWLPAGEWGGHQKLNVVMTTNSMTGTAE TSTA_024370 MSSAIDDTPISPTLNPTTNQQRRDSLEKHLQTRPELQDLKDRHI LLNTSVAPGLQAAQAELARQQATDNLRKHLEKRPERDELVDKNILPSRADMAPALQAN AKELEKHMLADNLEHKIKERPDPSQLIEKGILEENEDPRSPSE TSTA_024370 MSSAIDDTPISPTLNPTTNQQRRDSLEKHLQTRPELQDLKDRHI LLNTSVAPGLQAAQAELARQQATDNLRKHLEKRPERDELVDKNILPSRADMAPALQAN AKELEKHMLADNLEHKIKERPDPSQLIEKGILEENEDPRSPSE TSTA_024380 MASSKRSWEGHVVNNHTRPSHQSELLTMDSEKEGPVIQFIFENF RSELDEHHDRREKIIKASRDITALSKKIIFALQRVRTVNAPIPPNIAKENKTRFNQII DLFKSISPDLAGTNSWRYQRQVSGGIQEFIEAISFEHYIQTQCLITLDEVAAQLPKGI IVTEEDYLMGIFDLTGEMMRFAVTTLSTGGQVKKSDESKDENGMDVDEQIESAQNFPI LPPEKAGIVVDLRYMRAMLEKLNVPRRHSSHMMKDMYKKMDVMQNSVEKVERAAYGLL VRGSERPSGWTPDLSSVSAGAGASASTVEVESY TSTA_024390 MKASTLALSQILLLAASVAAAVTRGHGLAARVAARGEIARLTHP KVPAVDVNEDEAANRTNVDYSSNWSGGVLTAPPSGTKFTSVTAQFTVPKPSLPSGATQ DSYASAWVGIDGDTYTTAILQTGVDFGISTSGQISYDAWYEWYPDYAYDFSGIDISTG DTIRLTVTSTNNDAGVAVIENLSNGQSVTQSLKAPSSTATLGGQNAEWIVEDFDSNGR QVPFADFGTVRFIDAAAGTEDGGTVGTSEADLLDISQNGKVLTSASAPSDTEIVVEWT VTSMGI TSTA_024400 MSKVEEHAVEAVSEHVTSLPEKPAVGEDVQAKEVHSAELFAAIK ETPLPRWSKASIRLYCFIAVCFCCSCANGYDGSLMSSIVAMPAFKNKFDSGLTGQRVS LLNALYSIGSIAAFPFAPFVSDRFGRRVGMFCGGVIIIVGAILTSTANTVGQFITGRF VLGAGIMFMTVAAPAYAVEIAPPHWRGRAVGFYNCGWFGGSIPAAFVTYGCQYINSDY SWRVPLILQCTTCLIVIVSVFFMPESPRHLMAKDENEKAIEILATYHGNGDRHAPLVM LEVEEIKENLRQDALYNKAIWDWRPLFSTHNSRWRIAQTVMMGVFGQFSGNGLGYYNT SIYDLLGYTSSFQQLGFTVVNQVVSATGALTAMSLTDRMPRRKVLVYGTFVTACMLMI NAALQNALAKATVGTVITNTSLAQGALAFFFLFNMAYSFAYTPLQGVIPAEALDTRLR ARGLAMYGLVVNVFGFINLYASPIALGNIKYNYVWVFVGWDFVETALWYFFCVESQGR SLEELEWVYNQPNPVKASLKVDKVLVRSNGVVTEKVVDA TSTA_024410 MVVDTTYYDALGVPPTATELEIKKAYRKLAITTHPDKNPGDETA HARFQAIGEAYQVLSNDELRKQYDKFGKDQAVPGGGFEDPAQFFTMIFGGEAFVDLIG EISLMKDLTTTMDITMQQMEEEELAASAEEKLHIHDEEIKHESTPAASAPSAASAASA TATTTPAPESSNNSGTSTPRRNWGQQAIMDKSEEEARMEAAGLTAEEKELRKKEKKKG GLSREQQERLAQYEEERRKARQERVDTLARKLIDRISVWTETDKGPEVTHAFEEKIRL EVENLKMESFGLEILHAIGQTYVQKATSFLKSQKFLGISGFFSRLKDKGTLAKETWGT ISTAIDAQMTMEEMAKLEEKGGADWTDEKKAEYERKVTGKILAAAWRGSKFEIQSVLR DVCDKVLGDKSVKLEKRIERAHALVLAGNIYQKAARDPDEEGDYLAFEQLMAEAATKK SKDDKKKKKEHKASKSPDRVEEQPTSTT TSTA_024420 MGLLWNIAYYTFHPSELRSIVQWKVWHNPPHERDTSKDPETLKK CLYLLDLTSRSFSAVIKELHPELLVAVAVFYLVLRGLDTIEDDTSVPLDVKEPLLRDF KNIIEKDGWTWNGNRPEEKDRQVLVEFDNVIAEYKKLKPAYRAIIKDMTDKMGNGMAD FVRKAETEGISVKTNEEYDLYCYYVAGLVGDGLTQLFVEAQFGNPGLLSRPNLHKSMG LFLQKTNIIRDIREDHDDQRHFWPQEIWSKHVEKFEDLFDPRCKEAALNCSSEMVLNA LEHVDECLFYLAGLREQSVFNFCAIPQAMAIATLELCFRNYSMFERNIKIKKGDACRL MFESTQNLRVLCETFRTYARRIHQKNTPKDPNFVKISIACGSIEKFVETLFPSQTAEQ AKLKLSGQPTEEDEKKKQHDKESWEEIKMMMVIMGSIITVITVILVGAAWFLGARFDL AWADLRKGNFRPANKIEHSEL TSTA_024430 MADRCDTKGAEEAATVQGTEEKVLPKLTPAEFRVYNRLAEHMDY FHNHFRHSWNELYEACCNNRRPSGVSIRQFLNMGTDFLSQLNFHHSIEEQSIFPILAK KMPEFKREVHLLKQHKEIHKGMDRMEDYLMDCKSGEKELRLDEMKKVMDSFGKVLWDH LEDEVKTLGAENMRKYWSPDEMRRLPM TSTA_024440 MENVGDSTSPPPTERVEESDPSPSQEHKSLKFSLLGPSLLKAGQ DAVDQRKVSEIIYNASKGSKFFNHEEARDKILTEKIEQILAHKARLEKLNLSADLRRT DEYIAELELSRDLSQTVVHVDCDAFFAAVEELDRPELKHLPFAVGKGVLTTCNYVARK FGCRSGMAGFVAKKLCPDLICIPQNYEKYSAKAEEIRAIFAQYDPCFESASIDEAYLN ITAYCDENNMDPQEAVQQMRARIAEETKITVSAGIATNTKLAKIASNQNKPNGQYFIP SEREAIMSFVKDLPVRKVNGIGRVFERELRAVGIETCGQIYPQRALLKRLFGGKAFQF LMQCYLGLGRTKIQPAEDYERKSVGTESTFAEISDPDAIRVKLRWAADELAKDLARTQ FKGRTLVLKVKLHTFEVYTRQVAPPKAVWLADDLYTYSLPILAKLQKEIPNMRLRLLG LRCTHLVSTKKVGLDFFGFQSIPKPSLNTAITGESTEDVDAGPSNESGDQLHRSDAQS ATEQEFEDAARQEREDDMEVLEQLSQGYPDNSITSLPYVPPTNDTATKSELPPERAPA QHWTCPICSRPQVADDKKFNEHVDYCLSKETIKEAVQISSSSPSMAVESEYSSKTPSN FANAWSKEQYSRKRKTTSETDPRQKRLFFS TSTA_024450 MTVTNSNDQNYLTVADTLVVSTSSRSSNYVDLVVPGNLTRLAPG QSAIVQVKVKNKAGVAADTLCTVDIVATYGQAYDSLNNHWNPDWYNNVKSGIFIHWGP YSAPAYGSVAPNEDYAEWYWMRQHQPSYKTQINQYHLEIYGENFICDDFMSNFTGAAW DPKEWMNLIADSGTHYFALVTKHHNGFALFDFLLMLACGLPSIMVQKETSLENHLQKL KNIILEFVVGLRIATSCSILDPCTTAPFQELCKQVFATLAPGFERMTKASYDTRYWSV TPGTGQIRYTTTADAFCIHYMGAPPLLIPISDPVPWLPGDTVTVLGGNKNDTIVPVTK SDDVLNLTLTEDIVQADTYVWSFKLSYTSDR TSTA_024460 MAAWLSQPVQLHSSRKFNCEDITPEECEYYYQRWHYWYEADHVF ALPTVAFFMCTIGIFIIARVISRTLSYRIGRGLPIWRRIIAAVRYLSYRGFYVKKFRW SSPSVGLLMLASIGTTYFFCMDLAPKPYYWPNLNWGNSPALGTRSGWLALACMPFVFA TASKANWITLLTGVPHEKLQVFHRWISYAFFILALMHTFSFIVYHIRNADMVHQITES NTLVYWTGIVALVLQAWLTFASFSFFRNLGYEFFKAAHIFAVIVFMLIFFWHCNFRLT SWDYFIATAAVYIPCLLYSWLRTFFEYGIGQKAQIVIEDNGFTRITIPANFEWVPGQH CFLRFPSFGIHALTSHPFTICSLPSVSPHEQSNLTFYIRHQGGFTAKLYNYATKQASV SAPVLIDGPYGGIDRQKFYNSDHVIVITGGSGAGWSLPFIEQFCRHYLSMANKTNIPN MEASDEEKNTSGDPQSLVSTLSMRVVLATRESATSVWFQRRVGEILSQHSLEHSSLDL DLQVYVTSETKRDSYSPGVLADQENAVSLQIVGDTTTQKDNEKGERMTCNLLIQEQHS RPPLPLIIQEEAAKVAEARQSLGVFVCGPHTMQNDVRNAVAKENLRLVNNPSFEGVYL HMEHFSWA TSTA_024470 MRDQFRRSPVTRPAMPTPSANSDKHNSTIPTKPFTPTLSSTFRP TTKSSLPLTPRLAHLGTNQTPKKSEISPIPSPRENVATPDPSYLGTNITPRSGPRTSR RDGYIRTERSPVRGYPRSETSKSTVSRGLNIDGTGSRTLSRPTSSSEVLNSTSSKFFH ADEARSSGSNEAEARPRTYSKTAQTPTFFYADGSHDQDSHTDDSSRGIPTKRRSTGSS RLPVGPKSPVVLSPRLRAAQLVDSNSRSSAESSLQPSPNIEEMSGSRPPTSAEHPSPG LTRTPQFPTHRKSCSVDSTTTIPSPRVATRRVTSTSSSPFLPEPLSSPSPPVSNSPLI TSNPLIQLTDHKDQPYTVPQSPIKLEPNAFGEDATNARTARKVLDLEISNSSLLAINR TLERELRKQNAELRRYRRLSRSGRLSITTSLRSTSGGGLSVVSETGDISELSSTYSND ELSDDSDQDSLGDGTVSPNSSAEHDSHHRVDDEKQFMLDLAKHHELLIDSQKLNQSLK RCIGWTEELIKEGKRALEYNVHVSDVEIGGRVLDPDELGEELDRGRGLLSPAAVVQQD FEFSALDAIDDVLEETADLHLES TSTA_024480 MWVLESDGDLLGGKRVWLKPGKKYLFGRIKQEGVRHVIQHQSIS RKHLVIEVSPVQPGDGAHVHKKSKLTVTDQGSKCGTTIDGVQIRGSSSVLTEDEHAIQ LGRYPPALKIKWQPIVLSFSFSSKELKAKDPLGPVRNRLEELDIKTIIPYVEKTTHVV QNKRNTAKGLQALINAKYIVSDSYLDALVYAATPGDLEATESLSPLEIDFDANWPDTN QYLPPAGKEPVQRPAEAFAPNPDRIRVFEGYTFVFCDPAQFENLSQPINNGHGKSLLF NVRNGETTAEELLQFIQNAGGEKSFEGLSDREVGVVLVRFRAKGYENWSIELGNQVAL RMDQRVIEQSEFLDAILNVDATSLCRPLPREESSALPEPPKRGSARQRVVEDAPVPET DSSQGIVTQQEDTTVVEQTQTQSKRSARSQSRPFVSRMKNFDDGFDMETVPLYHSDAI DAEEERMEQSPDKSKRPSQQQSAPTMREEEQDEDDDMAGLLPGASAMKRRRVESGSHT LREQAAKEEAPKPKRQKVDVIAAARRHREEEEAAAQERKQQAETTFQEDIEGMTIEDM KKLVIVEEFEVPMREKEPVTVNATDRWDERWNGRKNFKKFRRKGDRSAARSRIQAVIV PLDEVKRKEYGVGDRYWEGNERADSPEPIFRRTSQQQSTQKSSSRTGSQASLAFSEEL ATASSVNRVRSEVSHSSATATTQTSQRAGQKRTRESRPKSDDEEGHSDDGEELRFKFR RRRK TSTA_024490 MISTVPPPRPVSVNSPILPLSSPVLYHKSTSIPTKEAYQNDEEV AKSTYSLTVSLDRLPRRDIEMEDADDSITFPSHASQSAIQFNDLPFEIHEAILDHLFG ERTSGSVSSIPGRPDTRSWIRALRHPRRKTLSNLALISPIWRDLVQERIYRHIKVKGT KDGLAECENWFRSHPHLVRHVRHTEYWIPVWGNRAHKTVAPHLREVPPIRRYLNEEAG LFGHNNGLVQQQLVMPDWDLSDNRNRSPNDFAFHVASHNASLEEIFRHVKDFFPETRI LTLEAGHCKKPPLIKHFANDPSGLTGNYHLEQLPNIRTLFMRGAWNIMRDYKHWATIS EALPSLREWHCAYAKPKLEAYQTICRALSSLSSSLIHLDISLEGFHSKDHSHLLGGKI GRHHMCRILGRLAPQLEHLSLTGYMCADLFDTARAATADRPQDARLRSVDLVVKTCCK DEDDANGLLSPLLDEPPGITNMKFIDSFETLVLSSIRCLDTFLDLSYIRIRFIDLDAQ CAVLNPYFHLADNKCMGLWSHEIVETLAQVRSTAHFVELADGIFTQYGPNNVIIGAVY PRSRPLSININTYKILSDAAKS TSTA_024500 MPSLFSSPAVIFSLAAFLRVGLLFYGLYQDNHSAMKYTDIDYMV FTDASRFVAEGQSPYLRDTYRYTPLLAWFLLPTTWEPNWIWFSFGKVLFAIADLVTGW LLLLVLRTEFPGMTEKSRLKYASIWLLNPMVATISTRGSSEGLLGVMVIALLWAVLNR HLIFASFLLGFGVHFKIYPFIYAPSIIWFLSATLTSGNLINRALNFITFDGVKITVIS LLTFASFNLWMYSLYGHSFLQHTYFHHLTRIDHRHNFSPYNTLLYLSAATDSPNAHNQ GLKFESVAFLPQLFLSAFAIPLALAKKSLPGAMLAQTFAFVTFNKVCTSQYFLWYLVF LPLYLPSSSFISNPLLGIGALIMWVVSQAFWLHQGFQLEFMGFSTFLPGLWLASLGFF IVNCWILGIIASDVGKWAGEIITEKRKNHPDFDAQKHIM TSTA_024510 MLQDIIIIGSGISGLSAALAFSHYLTPLIPDLRITIFELHPVPS TSGGALSLSPVALRYFDLFGVLDELENFGADSGIDVDAVEIFSSRTGKALSHLDFSGK HGLGYGDPEDGKRYKARRVMRINLSLAMMAAAEKRGNIKIVFGKKFVSAVQLENEDRI EVHFQDESIATGNLLLGCDGVWSATRRHFIDPGRQAEYTGFSLVQGTVKTACLRAKPH FRNSSLNLSKAGSLLVTFYEKQRQEIFASAMVECREESAKDHTGLGKWKKEIINRSLR GEMSSRFSESVIPFIREIATSTEVDWMMYPIYQVPLGGNWYTHRAILLGDAAHAMLPR DESAAYAIDDTILLARILANNLDSPLETTFQIYDAARRSDISHAFRDSFKLWQKRNTD AGPIEAWFRERLIPFQIRNNQMSWKAAFEYDANKAPIPGGSRASTPINGSLRPNSKSR SSLYSQDTTTSSGGGSVNNNQLREFSSCSQPEITNMMNNLVVIEK TSTA_024520 MAPLKTEIDFPAIRACIFDMDGLLINTEDIITLSLNQLLEKYGR PRLTSSIRALLMGVPDSTNGDVFHNWVKLPISHEQFARESKEQMRLDFPNCKPLPGAE KILSNLSLFEDSVAGVEAGRRAGMRVGWVLHPDVVVEYQARHEDVRAGRTGMFEIGDN WPLGDINDGFAESISNLDQFNYEKYGIECRT TSTA_024530 MKATLFALSLLSAIGLAAASPVADIDLAERSGCTYGIGEVFESV TCAYVAENPCVDPFTGKCDYELGCICVSESTCCYGLAYVTQKLHTPTGTNCLMACAGF VVPP TSTA_024540 MKSQFEVEISGTSGNFGGLQDRIMNRSEIEEKRKSQLLARDSWV AELKTKSAGGGMEEDLAVTTRVGRVVVPSTRAREALEDADSTDATTATRKTTSKAKPT AYLEGIYREAKSLKETLSKQEKIIQE TSTA_024550 MIILSFLSTFDNGQTIALVIVSISECRGSYSLQSDPEAQLIGQD LWEGYGNTNGTGAMPRPSGIIFVNGGFQYRKHNWKAILFVPDFHVTFTL TSTA_024560 MAVKNPSPPFISGSASQGRAWNSTAILPTDVPSRSSHRETTSNS YSQPESATETRSLSFYFGAPSATSGLYESDAESATNEPFAFPGFSASSITLARTISST SLFTSRTSSDKSMLVAGLPIEKVSLIVTSTTRGSSSVIFSASGTDSLEGPPRGLPTLS CAYCSGGLHPDSEVDFGVEDFGISSRSSSFGQQTGGTSVLRESGEVLIPVTVTSLAAQ IWWLTEPTYYNDAAVAAVFPTTLSTPQETISVNPGITTPTV TSTA_024570 MYDWVLLGVWMVPMWHSLDEPAATFKQSLNELREPPSSVVSVVS VKFPMTPSEESVERIYEEVRPEASRHDDPSWIPPRTAVPSFLSKVSSSTKRLDPRHSY HRSNNL TSTA_024580 MRKGFRRVTTKNENIVQDAIFNNASQDYTTSISAPIAKLELICI FVDITIAILILIGSRESIDLSTIFASAVSSIYLLLLALVRQTPYFEIVKVLQTHSATL YTVQWVCIGVTGHALIFEGHGQLLTIATLTRFGIFTALCLFHWTASRVPVQASLNKKI PSSEPSREETASLISRLAFSWVNELVWRSFRATLEASDLYQLRQNQRSKVVSLRFHDI AATTIPLLRRLYRFLKYDLLRQGGWAAVNSVAVFIPPVLIKLILECIESPDRMALSTA WLCIGGLLVAGIIAGIADCQCDWIGHQMAAKLRTILINEIYEKVLRKRMTRQQSESQS SSTSEDHHASDGNIFNLMSMDAEHISLGVWLLYRLLGISGIVGVLCMIALLPLNFLIS QRVMAVQARALKASDTRIQAGNEILNNIRTIKYSAWESAFKEQVMEKRRFEMTEMRSR FIWWSINATTFHSLPLIVTIITCFFYTIVWDNPLETSIAFPALAVFGIIRIPLDRLAT CVTFVLQARVSLDRVDNFLCERETAKYDQLSKLKTSNDIGFEDATLAWPTEASANVSD DNGAKRGGHIPLNELASGRSFRLKSLDIRFRTEALNVICGPSGSGKSSLLLALLGEMD LIKGQVFLPHCEQTNLDTNNLLETTAYCPQEPWILNRTIRENIIFDFPFDSRRYECVL HAVALLPDIATMDNSDQTLCGENGSRLSGGQKQRVALARALYSPCKYVLLDDCLSAVD SHTANHIFSLAIRGSLMRDRTCILATHHTHLAIPHSDYVVMLDDGTVRGHGTAEELVS IGLIDAGVMESKWDLPSPVSFNINDIDFDVKLFARSSLESISLHEVTPKPEELEENRG YREIKAEGAVSWSVVRSYLGAMGSRWYWVAVLFMFAVQQFASLGTNLWIKEWAFQYDN LKRRTEASTPIQTTGDSETYYVDELHKLDVWYYITMYVILCASYTLITMLRDLITFYG SLKASSTIFERLLDLVLYAKLLFFDSVPLGQITNRFSKDVEVMDQSISGFSISALQLL ASMATMIIFISTILPAFLVVAVFICVAYYFVVVVYINGARDLKRIESVQRSPLYQQFS ETLAGVISIRAYARTSVFTAQNQELVDRLNQPYLLQWASKEWLTFRANVLSSMISFFT GAFVLWNSRRIEFGSAGLVLTYATNFTENVIWFVQVYAIIQQNLNSVDRIFEYKGIEQ EATQPLRRAIYDMPEDWPSQGRIRFFDYTTRYAPGLEPGLKGISFEVRPGERVAVVGR TGAGKSTLTLALIRGLEADSGWIEIDGINISTVTLKQLRQAITLVPQDPELFDGTLRD NLDPLKQYTDEEIRATLHTVLLDDMIMTATKPSTAVSLLDFAADALSRGQRQLLCIAR ALLRQSRILVLDEATASIDYATDAAIQAGLRASVSNGTTVLTVAHRLQTIADYDKIIV LDAGRIVEQGSVRELLAYHRDGAIFRRMCEESGDLEGIERRPVILLHIVPDEVPPVKD KCVAVDCEYNQSRTLLIRLSADEVLINLYLYQHPRKVDLRRNQVYLRIIGSFVYAITS KLL TSTA_024590 MASKEYRDDVSRSESLDEEYHGLVSGTPRLATQTKWGGALKLVP VLLFVSLCLNIVQVIYLAVHRPKVYSLYAKLPENELTINFHYATEYSSDQYDHETKDA LWNTIDISEGFVALTHEESDALGLPRSEVFPWDINKGMYVSHGHHALHCTVLLHAFTY DAHRGKKPKVSYHHIEHCLDLLRQDIICNADDNMDFTAGHGDQFLTGENQPRKCRDWN KLSAWVKERSACYKTINITRAGEDHGVAHQLDRYTFCPEGSPYQPLIDAWKDLGRYNP GNIPDDSLDSLSEAELEADAIAVAEHNKDILGGN TSTA_024600 MITIASRKVYRQLILLASSAAYDSSLNWFSLTVTHTNAVKERGK VKYFDTKVESFVILSAFHEPRNTMRSITVSAFIALLAAEALAAPYTNPEPFTKAGNLK ARYTEPFTEPFTEPFTEPEKRYTEPFTEPFTEPFTEPFTEPEKRYTEPFTEPFTEPFT EPEKRYTEPFTEPFTEPFTEPEKRYTEPFTEPFTEPEKRYTEPFTEPFTEPFTEPFTE PEKRYTEPEPYTEPFTKVDKLKA TSTA_024610 MSFGTVEADGSGEEVVNGTVHQDTVSLDGTELSVSSQSLGAITM TSPPGPAFPHDGLMGFFVPGSSFGESTNNWFTNLCSQPHALDECRFGLALELFTNNMN KHDGALYLGGAEHSRFLGELSVTEKHERFVFGDVIFDNQTIHSNAEIMVDSGTTVIWG PIKYVQELFDKAGMQSVLRQSNNTGSLVRTLDGFYSCDHPPSFGFQFPSLANATTARL RKSTVVSHQSRVFQIYYSALAENSSEDGGNCRASIHGTDSFGDSWIVGQRFFRGRYID HNVEENTMGFADLQEIKKLI TSTA_024610 MTSPPGPAFPHDGLMGFFVPGSSFGESTNNWFTNLCSQPHALDE CRFGLALELFTNNMNKHDGALYLGGAEHSRFLGELSVTEKHERFVFGDVIFDNQTIHS NAEIMVDSGTTVIWGPIKYVQELFDKAGMQSVLRQSNNTGSLVRTLDGFYSCDHPPSF GFQFPSLANATTARLRKSTVVSHQSRVFQIYYSALAENSSEDGGNCRASIHGTDSFGD SWIVGQRFFRGRYIDHNVEENTMGFADLQEIKKLI TSTA_024620 MFNFRRKFNLRYYLPVVQKPEESETEQFIVDDSEQSKATRNLRK RPVLHYTGLILLGLLCTIGWLLAIVFFFEFHISSKGGEEPQRTSSLPDLGKAKITVDQ ILSGQWTESTSRHISWVTGPDGEDGFLLRRDPLGKEGLRVDDLRSCSIQNDGPVCSNS YNLMKSSHILVDDKLTEINEALPSPDLKKILLLTHKRKNWRHSFTGRYWIFDVATQST QPLDPNDDLTEIQWASWSPRSDVIAFVRSHNIYLRRLSSKTVTQITTDGGEDMLYGVP DWVYEEEILQMGGAIWWSEDGRYIAYLRTNDSQVPEYSVQYYFSRPTGQKPALGEENY PDNRRYKYPKAGAPIPTVNLQFLNVDNNSIMSVEAADPNRERVILEVIWASNYKILMK ETNREFEIVQTIIIDLENRSCETVRVDDMLSLDGGWVEVQPAQSMVFVPADPNNNRPY NGYVDIVVHNGFKHLGYFTPLTNPNPVFLTSGQWEVVNTPTFDPNANMVYFVANREAL TQRHVYGVRLHGSSDLISISDTSEPAFVMPSFSPKAGYVLLSYTGPSIPWQKIMATPD NMDGSQYTEVIETNEQLKEMVKTHALPELLFETITIEGFTLQTLERRPPGFNPSQKYP VLFAPYGASDSQCVDRQFTVDFNSYFASLGYIVVTVDGRGTGFSGRKMKTMIRENLGY WESHDQIEAGKLWSRKPYVDETRMAIWGWSYGGFLALKTLEHDAGQTFQYGMAIAPVT DWRYYDI TSTA_024620 MFNFRRKFNLRYYLPVVQKPEESETEQFIVDDSEQSKATRNLRK RPVLHYTGLILLGLLCTIGWLLAIVFFFEFHISSKGGEEPQRTSSLPDLGKAKITVDQ ILSGQWTESTSRHISWVTGPDGEDGFLLRRDPLGKEGLRVDDLRSCSIQNDGPVCSNS YNLMKSSHILVDDKLTEINEALPSPDLKKILLLTHKRKNWRHSFTGRYWIFDVATQST QPLDPNDDLTEIQWASWSPRSDVIAFVRSHNIYLRRLSSKTVTQITTDGGEDMLYGVP DWVYEEEILQMGGAIWWSEDGRYIAYLRTNDSQVPEYSVQYYFSRPTGQKPALGEENY PDNRRYKYPKAGAPIPTVNLQFLNVDNNSIMSVEAADPNRERVILEVIWASNYKILMK ETNREFEIVQTIIIDLENRSCETVRVDDMLSLDGGWVEVQPAQSMVFVPADPNNNRPY NGYVDIVVHNGFKHLGYFTPLTNPNPVFLTSGQWEVVNTPTFDPNANMVYFVANREAL TQRHVYGVRLHGSSDLISISDTSEPAFVMPSFSPKAGYVLLSYTGPSIPWQKIMATPD NMDGSQYTEVIETNEQLKEMVKTHALPELLFETITIEGFTLQTLERRPPGFNPSQKYP VLFAPYGASDSQCVDRQFTVDFNSYFASLGYIVVTVDGRGTGFSGRKMKTMIRENLGY WESHDQIEAGKLWSRKPYVDETRMAIWGWSYGGFLALKTLEHDAGQTFQYGMAIAPVT DWRYYDI TSTA_024630 MPPIRNKNKKDLAEQEGRILLAISDLQNGRILRVARAARIYSIP RATLQDRLNGTQQRSQVRANSHKLTQCEEESLVEWILDLDKRGLPPRHSLIREMADYL LSQRGNRRVGENWVYNLVKRRPEIESKFSRKYNYERAKCEDPKIIQEYFDRVREVILE YGILPEDIYNFDETGFAMGLCATAKVITKSDRYARPKLLQPGNREWVTAIEAVNSIGW ALPSYIIFKAKKYTRLGWFKDLPDDWKINISDNGWTTDKIGLEWLKTHFIPLTDGRTL GKYRMLILDGHGSHLTAEFDRTCTENNIIPPLDVGCFAVLKREYGRLIEQRMRLGFNH IDKIDFLTAFPKARTMAYKAQTVRNSFMATGLVPFNPDRVYQQLTVRLKTPTPPPSRS SDTQSSCLQTPQNACQFKRQMTTMKKRISRHTRSSSEAIGEVFTRASKAYEMSINKLT IAQKELHDLRAAHEKEKQKRRRSKQQISHEQGITREEAQALVQGRVEASQAVTTAPAE PELPVCHPPVRRQFRCSGCGVAGHKITGCPNRIRN TSTA_024640 MINGSDQEVSSYTTQSKKMLGIEFLCERSLSSLEILAAWTILIK DYVGPSDVGFSFTDTTTSISSSNSTSKPIVLEIDEGMDLQQLCARIKTQLLQEEIAND SSHLSSSFRTHLWITDEDYELSEREHGKKTNGKWTPASLIQDLDLTIKCTSLNNGKAF LFTVIPESRGLSRTQATRISHQLQHLLGKIDVQAMEERLVYKIDTASDSDIRQIWRWN SHMPPSDDRSALDFFKKHVRSFPDAPAINAWDGSLTYAELDKLSSALSRRLADAGIGQ GNIVPLCFEKSKWTPVAILAVLKTGAAFVLVDEVLPEDRLRQISQILSQEIIVVVASL GQKHRAKILSSRIITVDFFSLGSGPEPHTDFPQPNVKSTDLICVVFTSGTTGVPKAAM IKHSNLCAFSSLVGAGLSAVKSDSRVLALASYSFDVSVGNIFMSLLNRACLCIPSSWE CKNDVANLVQNYEVTHVVTTPSISKMLQPSKCTTLQVLDLGGEACSEDALAPWRNTGV RVINSYSPAECTVESVLHDNILLSPKPSIIGKCIGATWIMDPVDRNRLAPVGAVGELV LEGPQVGLGYLHDPKTTLEKFIEDPEWLMNGLPGITAGRKGRLYRTGDLVRYTDDGLI DYIGRRDFQVKIRGQRVELGEVAANLQRFMPSSIKWCPEVIKTKNGTDMLCVFVVLRP GEAATTRDVLQSIADRVNPQLRKRLHPSMVPGVFAPIEEIPLSLTGKTDRRALRQIGS SLSRDQMLFVQDTSNELSLNGGALNQSMKYPNHASDHTNSTNEEMKLRILREVWSEVL GVDLANIRTSDTFFGHGGESLTAIRFVGAASRKGIQLDVASIFQHPQLSDLASHARLR RITLTESPKPLSLLDSPVDVLPEVAVACGTSIDRIEDVYPCTPLQEGLITADNVHSSV YTGRGHVLLPEDLDVQRFIQAWQRVMLFHPILRTRIVDTQSHGLLQVVLRESDLLPEV RNTDLVTYLKEDGRKKMGLGTKLCRWAIVREPQAFYFVLTMHHSIYDGWTLPRIAVEL FKAYQGVRIETAVGFNVFAKYLKETSWQSAREFWAHQLAEPQRTTVFPAIPLTIQEPR ADSSMLKTFFISAHGYQSISMPSLLRAAWALLISKLSFSDDITFGATVSGRNVPITGI EDLTSPTITTVPVRVKIDRNSCVSDFVANIQNEAVKAMPFENLGLQNIRKINSDTRLG SRFQTLFIVQPPNNSIWDLSLNTSPPEQELKTKLQSLDISSILSDFNEYALMIIITQK KGELIVEASYDSRILDPREVKRLLDQFSHVTEEICRPENIDCSLQELSFTSTNDVEEV WQWNAVPCDGRQEYVHQIIQNKINLSPQAQAICAWDGTATYEELDKHSAHLAYVLQQN GVGRGSLVPICMEKSIWAAIAMLGILRAGAGFVAIDVIHQPEQRIRTIVDEVKADVVV TAGPAMALAGRISRKIIACDQLRYESTMRNKTTIISSTPSDTAFVVFTSGSTGRPKGI IITHENFCSTIDVHQQGLELSETSRVYDYASYSFDIAVHNTLMTLASGGCVCIPSEDD RENDIEGSIERLQANWADLTPSVARLIDPTAVPALQVLVLSGEAVGKDLVQLWAARVK LINAYGPAECQICTIQRSVTNPDHASNIGLAVGCNTWIVDPESNSLSAIGAVGELVIE GPIVSPGYINEPGDSFVSNPPWLLKGSATVTGRRGRVYRTGDLACYMADGTILYCGRA TTQTKINGQRIELSEIEYHIKQAIPDLSGVVADTVNFDGDSDSSLTAFLVYATSSNPA RGLETNAELTVNLSTPLPGLLEKLSKTLPGYMIPVVFLNVSHLPLTPTRKIDRRKLKD HASRISRKDVLHLDEQEFTMSKTALDEHQVKMVRAWAQVLKIEPSKIGLYSDFFQLGG DSISAMRLVAYLRKAGYSIKVSDVFRQSRLDRLVDVLDMRSVGTSQRSVPASAQASEP FMLVPRDEHLTLISSAAAACNVNPRDILDLYPCTPFQEGVFALTSSDSAAYVQHAEIK FSKKLHFDRVLAAWNAVIEQTPILRTRIVQLEGASLMQVVVREAPEWKWYETAKEYLD HAGSVAMSIGDPLSRFGLLRDDSESSPEYSIFWTAHHAVYDSWTIELIFRQVTSLYHG EGNLDLGPNYGRFARFLRDQQRSSEEWWKLNLSSASSAAVFPKRSLTKEKRSSKNQII KKMNVTLPQVIPAGYSIAILLRAAWAVLIARYTGGDKALFGETRLGRGVSLQGVESMK GPTTASVPVLVHVNPEQTIASLLEAVRESSIQMQEFEHLGLQNIARLTEDSRAACNFQ SLILLYESEVVTEVTSSDSFFRIDHAIDDLRNFNAWPLMLVFHQRLQDLSAEALFDET EISSNFIELLLQQMQGILNNLCSFSGTTLIRDLDKAYKEDLAKIWEWNAGYPEMADEL LHNLVAKQARRTPDKVAVTSHDGQMTFKELDEISSSLALTLRNMGVGHNCVVPLCFEK SVLVPLAMLAVSKAGAAFSAMDITYPEERLKVISSSLGMNLILASPSQGELAKRLGGN VFIVDSNSYMGTADTGRDHFDEVRISRKSDQLMYVCFTSGSTGVPKGVMITHRNLASA VAAQGRVSLGIRSDDRVYDFSSHAFDVNIWSTWVALAVGACVCIPSHEERVGNLAGSI TSFRSTTLFLTPSVARTIEPTEIPTVKRLYLGGEAVTPVDVSQWQEHVELWGAFGPTE TTPLCSFSRLDGPESATNIGRGVGVNTWICDPDNSNQLMAIGAAGELLSEGPLVSPGY RGLPERTAAAFIEDPEFLLQGSAEVPGRRGRLYKTGDLVRYSFDGTIEYIGRVDTQVK LRGQRVDFGEIEYHLKKALPDSTAIVCEIVPHPSTKLPLLVVFCEFSTPTASALDKIG VQAYLRNRLPPYMIPEQLFTIPQILKGASGKIDRQQLKLLGSQMLEIFISNEVNSTFN RLRGPFTQMQDLLERLWSIALGQVDMVVSLDSDFFHIGGDSVAAMKLSNLARKHDLRL TVKDVIETPDLLSMAGRLQPIEVQSDWPRPFSLVEPSHTNQTLTKAATICDISADSIS DIYPCTPLQVELFALTMKQSQAYMKRSVFEVQGDVSVDKLIQAWDTVMNINAVLRTRF VEINGLGLLQVVVKDHQWKHYDSIDSYLSSSAQIKPDLGKPLSQLSIVSDSKSTKIVW TIHHALYDMWSIQIIQDQLCRAYQDRFIPRPPRFSGFVQYLQSQNVDEARLYWGSRLA GCREVTIYPSLPSLTYQVRPSKTFKRTLRDTAGFRGNIQAKIHAAWALIVSKLSGSDD VVFAATLAGRNAAVEGLEQMVGPTITPVPIRIQLETLFQCSVEHLLAEIEKSTADMAP YQHIGMKNIEVINADTRAACKFQTLIVVTPPETTVDNADIIRTSTYDIESLEGDAFHT FALVLFFFPKKKGIDLEIVFDPMVLEWREIELLGSRLEATISALTSNNKVVSDVDCLG QEDLQDIWKWNATLPVASEQTVDDLILKTARMFPEKVAIDAWNCKLSYSQLDSLSWNL STHLRCHKVGRGSVVPILSPKSGYVPIAALAVLRTGAALLPLDFSQPFQRLQSIIQQV APQVVLASELSNEIADYLEASVLIIEDCLKSSVLDGSKAKDESLSYLDDVACVLFTSG STGTPKGVMQTHRALSSAVTHQGVESGFNEGTRAFEFASYSFDVSWNMIFKILAVAGT LCIPSDDERNNDLVGSLNRFSATLIELTASVARLINPNQLHSLQTLILSGEPVFAQDF EHWRRTVRLVVCYGPSECTSVSTMNPGLHIDSNKNGIGKGYSCVTWIVDPHNHQRLMP IGAVGEILIDGPIVGEGYYNNEALTNASYVSDLPWIKAGYAGIVGRSCRVFKSGDLAR YDLNGNIHFVSRKDTQVKLHGQRIELEEVQFHVQKAMEGMTGPVVSCLLDDPGSKEQR LVAFISSNDAATGNACEILVPGTALLESVHALDEKLGAVLPKYMLPSLYYFINTIPRT TNGKVDRKKLVRLALDAQQSQVYRGRPAQDGPRRTASSPMEIKMQQLWSAVLGVPPES IWADDNFFDLDGDSIGAMRLVAAARSEGYELRVSDVFESPRLSQLAPKLLSKVANYQS RLNMTDFELLGESVNITAVRSEAASRCAIPDPNMVEDIYPCTPLQESMLAATIRDPSA FISMRLYGIPDEIDIKQLQYAWKTIVTRHRILRTRLVDLSNHGLIQAVIREEPLWKTY NSLGSFLDGARNITMGPGSRLVWWALVGEPQQRRVVWVIHHTLYDGWTLSIIEEEIKR AYLGQRLEEGQLDMRPLINYIIQEPQEASIAFWGHELRNSQESTVFPSLPNHNYQPMP DAYLETDISVNLALAGTGVNLSAFFYGTWGILASHITATSKLAIGAILTGRNTPVDGI DRIIGPTITTVPILIDVDPSLTVSDFMARLELMTIRRISHEHLGVHNIRQISSTCASA CNFQTVLVIQPPSATNRIPYKHPSDQDIVMEELDETKVDGFPNGHAVLNQYGLMFELL PVGDKMTVRASFDSRLISHAQINRMIRRWEHVIKQVSHALRKQVAVKVEAIASLCTED LETIWKLNKDLPCTIENSFVHGTISAIARRHPEAPAIDAWDGQLTYGDLETLSSRLAE RLIEAGVGPGKLVPLIFRKSIWANVSMLGVMKAGGAFVPLDHQHPEGHLRAVVQTINT NIILCSAATRDRAARLASHTIMVDESLRRNNTTTVLARSPSNDERRTLQGGDLAYAVF TSGSTGGAKGVRISHTNLATAIHYQVGTQGFHISSHTRSLDSSSYSFDACIFNFFYTA SQGGCLCVPSDEGLKGDLGGFMAEYRVNWAQLVPSVARTINPDRLTDLDTLILTGEPL TQGDIVTWSQKVNLVNVYGPTECTILCAISSQITHSAQAGNIGRGRGANLWLTEIGNP NKLAPIGTTGEILIEGPIIGAGYLGPYQYPLVKNPPWLLEGGANVSGRSGTLFRTGDQ ARYADDGSLIFMGRIGSEIKIRGQKVDLIAIEDIMRRYIPTDLEIVADIVHLHLNQAD QDRQMLLAFVSGTCSTTDELQSKLHALVPALRVAMDAELPSYLQPEAFVPLPTIPRTS SGKTDRRRLKDIGQQLRLQQLLWIQGDTLKTSSSMPSTEEEQVLATIWGELLGLDYSS ITREDDFFKLGGDSLGVMRLTTRAHERGLLLKPSDIFQDSNLATMARKMTLLSGLARG IETYRPYSLVPDITDVKSFIKNCVEPSLGVEADQVEDIIPTNGFQIDYMNDKNEPLGL QYCYLDIGPDVSWPKLKDACRAVVQAFECLRARFVYHQGKYYQVILQDAPLLTEEIFT TEQVTTFSNRYCPADGQRATVNDIFTKLTLVDTGANRRRVILRMSHMQYDGWCTIHIF RAIATLFNGGQLSKTLKWTELLQYRQRMADDSRKYWRALLQGTSQPTPPLLYKPSTGK ERTLRTHALPYFHASSDNKRTRPTVVVNVAWALVLQQLAGHSDIVFGNVTTGRNGPLP GLDSVIGPSVNMLPMRLCLPAENNDVGTRKQYLRDLVEASAQQVDERTTFEGLDWEDT VDRCTSWPSGSRYSSAVHFRNMAFEPELPLGSDGVVIVWHELKATPHWTTVLVYPEND VLRLWLLANPAEIGDDGADEILHMLASYVDEIVEALRR TSTA_024650 MGAQSAHLAKTHELWTRLKLRKRQGRYEINGNSLHIADVVATAQ HKCPPVLTRDPKIIKGLQDSVNVLFDHLAQGWYVYGVNTGFGGSADSRTEEVIKLQAS LMQLTQSGILSSAPENGAAPGHSMPPAWVRAAMVVRCNATLRGHSAVSFSILKAIADL LEHHLTPIVPLRGSVSASGDLMPLAYVAGGIEGNPDILIETDGQVQPSYQALEEAGLE PVVLGPKEGLGLVNGTASSAGLGALVVADAHMLAFLTQLLTGAAVEALGGSSESFHPF IAQSRPHPGQIECAQNIHFFLRGSYLSRDVLAPKDRRREDLAQDRYSIRSSPQWIGPQ LEDLLLADQQITIELNSSCDNPIVDSSANDIYYGANFQAAAVTSAMEKVRLVLQMFGK ILFAQSSEMIDPHLSGGLPTNLAADDPSLSFTMKGVDINMAAYMAELAYLANPMSSHV QAAEMHNQSINSMALASARMSYDAVDVLMKMCACSVYVVCQALDLRALHTKFVVLASD AIASVTRQSFSTEMDPSQLEPLLGALKVHTLPSWNSTGKLDLSARFASLISSSIPIVV DHVKGQVADIAEWKQNAIKAVSALWTETFESFIAEPHTAGLLGKGSEALYRFVRCELA VPFHQGFVEHPMVHDAKLNGRPKKTVGGWISVIHDSIKNGSLYDELVTVAGECLLGST NGLNGSASNEAISLAR TSTA_024660 MSRYEQLPANDFLQDEVDQEPGAVDIEEKPVQEKARKWLQILLR AITITLTIYAIVDIVFQLWMYTFVYSMSEDSACSCGDTPAEAVSRGCKFDPFAATWLP DRCRDDELIDFFNDLGHKNNHTWWDFYDWPDKNDKMDLQQISMKAGRVGIETPYVTTS VDWHHAHCLFLLAKGSREKSNADEFIAYTEVDIYPDGFEGNEEDPA TSTA_024670 MAKSGNIQDSWLQDFDPQEQRCFFAASDSGWTNNELGYRWLVDV FDKETKSQASRGWRLLILDGHGSDVNMRFIEYCDKNRILLAIFPAHAIHTLQPLDVAL FAPLSKAYTKELRKFIDDCQGLSRLSKRDFFRLFWASWKEAFISENINSAFKNTGLYP FNLELVIHRFTPESESRRSSSDSAASITKVDGCRRIRKLVREVVTDIYDKKAQKLNDT MLHLSTENILLKTQVEGLQRALITAKKCPNKEKSLLLDLPTQNEGGAIFFSPPKVQQA RDLQLQKDEDAAHEQARKDNKKLQQQLTKQAKELEKAEKAQIWQQQREQRQQEAAEKQ RFKDKQELAKLADLQLQKDILETKRFIKGPADSAPWAFSLANL TSTA_024680 MNKVVGGRRSYPCLTTIGFEGLQVAFSLFSGQVVTHLTSLGLRP AQIAITLLFGPICGAIFQPYFGSWSDRCQSPWGRRRPFIVIGTGFLIISMLCLAWVDS ITLGILLRSSNAAYETAYRTVLVILAMIFTFTTYVSIQAVQVGLRALVTDDSTPLQQA EANVWAGRHVNLAAALGYFAAYVNLPRYIRYFGKTTFAGTSVLTAVYLAITITITCFC DSDEPYIVEKGSASGHGETFRVMRDVFFGTSKQVRLILLVQFLAWFGWFPFLFYTVTY VDSLQKANSAGYKNMGALAPLVYSIVALLIAIVLPSQTLSTRDSTATLGRGPLSWYRI IITPRNLWIASHGVFTIAMLGTFFVRSALGTVLLFSLVGFTWAISSRIPYSLLGDELS RSYSRDNDDDGDEEEDSFTTRQGLIHGIHNIAICLPQIIIMLVMGLFWVITEKKTASH DETVEHQKFLGIVWFLRLGGLFSLGAMYYTTKLRQWDHNRVGGIDCKITIYSSKEKEI EHRLSQVQLKHSDFVSGAQKGHKHTQHCYMVP TSTA_024690 MIAAIVNHRYLLDACHKQTKGIISLREWMRSTVSPSYASDALDT AEDIQAAYLNLENQVDFLNTVRSILSLWVSSYEILNESEIEAGDLSFRLLSGAFRRKV KNSIQSDQGSRIGKNYFPVLHDEEAHQSLTEMDASHEENGDSDIQKQKGTSRKRKSRR AANVRVGDKDLKCKACQGYHDLQQCFYLFPKRAYEGWTKRESIRRRVNKNLEQDHSLT EETCAATFYVAFTLAVGAAMSITKYPLKNSAILDSGSTLYVFNKIARFINFRRAPDID VLWAGDSPVNIIGYGDLRLFNVAYCPSFATNLVLLRQLQKMDYWWDNRPSYNCLRRAD NTIVAYLKDRYAQFVLEDIPNDHPNMRMAFFTARKPVKADAIKWHLRLGHPGPEAMRH LVNFHDFETSSINGFKCLILVTDRYSGLIWDYYLTDWKQETILMALQHLFNYLDRQYK IKPLKIKCDNEILKQPKVKDWLHSQEHVDIKPSPPDTQALDGAAERSGGVVKDKARAM RDAVKLPADLSPEIYRIAVYLLNRTPRY TSTA_024700 MQKHGAIAVIGASRKVITVVAEAEADIRPFHNRHMEKATKMQID IQTLPKSNPLVKLRTITTRRFMSPLQRISRINRIQTIDERDNEMAIELANKAVGILIV TCTSARSGIVGIEGRIRNAQAGNNGNGISSYFIILGKGQS TSTA_024710 MPVNHVVMFKFKDEATPKEVQPIVNHMLDLKNRCIHPATARPYI LSSKGGRENSIEGIHNGFTHIFVHEFKNPEDRDYYVRTDPEHLLFVQSARPWIDKVQV VDFAVGACFRSCEVLKNNPTLAPLGYISPYSPQQSFIQQDRQNHQYKARTKPSSINTL RINNAPKANKMIHRNIPRTASRRLSNSSRGILTPRRTMSLMPRFSPPSPFFSNRNGPT GEFSSLFRLLDDYTDHVTRRFDDTFSELSRNMPTTFAPKFDIQETEEAYQLDGELPGI EKDAVQIEFVDENTLQIKGRTERNFSSGNPSTDAEDVTMTGAGSESNTNAVGSASTEP PATEGSETTKAVANTSNATTTTNTGNGRYWVTERSVGEFSRTFCFPTPINQEAVKANL KNGVLSITVPKKVVEEPATRRIVIE TSTA_024720 MKNILPAVAVATAVLAVGHAATPPSSIVSSAGQTAKIAGWNLQS VLHASSDIAGLSRPGADVSNWPRVSPRTTVMAGLIESGLYTDSNLWFSDNLNKVVDRS VFGTPWLYREEFTVNPSSGQHYFLITHGITSKADIWLNGKQLADKDFQEGSYGGHKYE ITKFLQSGANAILIQAYETNYLLDSAMGFVDWNPYPPDNGTGVWRDVEISQTGPVSLA PFRFIHDWTPGKASTKITVKVNATNLESHAIDGTLTGQITLGNQQLDLTEPFTLAAHE EKTVSLTGQLQDPQIWWPWLWGDQPLYNVTVTAVVNNKISDAAEPRRVGIRHIESGLN DFGDRTFTVNGQRFLPLGGGYGPDMFMRWDTEYVRKKFELMVNLGMNTIRLEGKQEHP ELYDMADEMGLMIMPGWECCDWWEGWTYNTDVPDYVRFTEHDYWIANYSMLHEASMMQ THPSVLAFLVGSDYWPNDRAASIYVNALKSWDWLNPIVASAGELGFPEILGSSGVKMD GPYDYVPPNYWYGGQVGAAFGFGSEEGAGVGTPEIRSLKKFLSDGDLKDLWTKPNKGL FHMSSNVSSFYDRSIYNKALWNRYGPPSSLEDYLLKAQMMDYEANRAEYEGFASLQDA SRSATGVIYWMMNGAWPNLHWQLFDYYLNPAGSYFGTKVGARPEHVSFSYDNGTVYLI NRLNSLDKKGDASRWVLIDLIDTAGKSLFHQQVKIDTKPNYSQEVAKISKAISKIKDV AFLRLILSSDSKSTTILSRNVYWLSSKNDVLKWDDSTWYYTPISSYADYTPLQKLPGA SVTTTVKQASSAQSATTLQVHLENKSNVPAFFIRLVLIDSKTDENINPPYWSDNYVTL FPQESINLTVSFDSSLSASPAVEVSGGNVATQVINV TSTA_024730 MATTSGTTPSAPKPGLGDLEKELVCSICTELLYQPLTLLDCLHT FCGSCLKEWFSWQAVRSRSSGSASRFTCPACRAAVRATRPNATVTTLLDMVLVANPER DRTAEEKEEIAKKYKPGDQVLVSESSDADTDGEDRRLLTDGESSRRRAASTSHSGDSR RQRSADNRDHHSAARNAARTGGTVANRNESTRQIEHQSSLRSLLSASDVEDNTQEEIV RLIMEEGLLDGIDLSGLDQSQEEELSDRLVQIFLSRHPDRSRPPRRSSDQTERIRPPE HRRARSQTLQNRSPNPTPTENSSRRPPVSRPHLLGVAPDSHHHRRRASDETRRRRTSP TLVAPASTSETALGPAVRSSSDMTSQRSRPSSSRPRTRESSTASVTRRSTEPEGRASD IWAGISTRNGSQQSIAARQAVGSSLTNSPSTMTPTGRTFSASTTSLPGVSASEAQSPT GNLQSSSRRNTATRSAPMRQTPTRVSSAHYAEPAISCERCGKTSIQYVLHKRCPKCND GNYHVCLHCYRIDRGCLHYYGVGSVGEMNFNKAHHPALRSDVELPHVMISQRYRRPKE GSSRGTSDGKHMTNDNPSSRLQEGMFCDICQSVANGCFWQCDECNEGEWGYCRRCVNQ GRCCNHSLLPIRRISSEAVTPSPIDAISIGPITTPVPTQKALAGSATYQVLSFSTECD ICKYPIAPSSTRFHCLQCNEGDYDVCTNCYLKLVVSGKISKDNGHGGWRRCLKGHRMI VIGYEDHEKGQRRVIVRDLVGGYTLKDELANNMEPSSSSGSIASPELGTGDWSWKEGN TKRKKAGRARTSHINPLDSASSSPSTSPSATTTTQLDRRTTAATMIPPDGGFGLVLRA NWSYFAEESCTDELSFPRGAEITEAENINDEWYWGYYAGRTGLFPGAYCTLIREVV TSTA_024740 MAEPISGFVLDTPTILSIGFALSFMPIAYGLGTYLIPSSQLRNR ALFMWHAYDALTHLFIEGSFLYECFFSYTKIIEPVGTKALERPYYFLGRKDRIYGAQF GTGPSARLWQEYAKADHRWATADTTVISLELLTVFLAGPAAIYICYLLYKIASNRNSS KAGAQQLGTTKGKLWLVASAVATGELYGGFMTFAPEWLSANSQLDGSNPVFLWLYLVF FNMLWVFIPAWVLTEAYKEIKGAFVTVEVSSPLWDSVNDELRRGVVASNEPPAGYPPP GQPPYPQQPGYGHPPPGAPQGGYYPPPQGGHYPPGPGGPPTPGQYGGYPQRPPPAQQG YPQHGAYLPPQQGGYGQPPPGPPGAYGHPPSPGPYGAPPAQPGYGAPPLGPPAQPSFG YIPGQVAPGDFTSQANSLRKAMKGFGTDEKTLIQVLAHLDPLQMAAVRETYTKHISRD LYKDVKGETSGYFEQGLLAIIEGPLNHDAELVRDAVKGLGTKEWLLNDVLLGRSNADM REIKNAYQRKFNRSMEKDVESDLSAETKNLFKRVMSATRHEESTPFNPASIENEVKSI HGATAGRITNNVAEVCAIFAQSSDNELRAISHKFHERYHVELEKHIASQFSGHMKDAL IHMLRTATDPAYRDAAALEETMAGAGTKDFQLVTRIVRLHWNKQHLDQVKKAYYHHYR RDLRDRVKGEVSGDYQTLMLALLE TSTA_024750 MDEGDGRDSDDIDEDSTVDDCRGGGLRLRFPSADGEDERGRGER ERIRVKILVEVASILSRDRKRLCWGLLDGGEEGSEETLLDGERASGSVAVCASNSIGR VSGISIQQFNRSKADSTWELFQNEQAVIQDEYIVTRKGRGHARIYDYYFRTASAEPRH TEPNTRQQRNVAEQSEQS TSTA_024760 MWLIGPKGDNPAWEYQIMPRRRHYSWPFSGSETSAEVEELRFSP RKIVSPLFTSRTQRPTGPFTFDRPFGLALSLYTSSDEEELLSSAGIIDSKVEKRHVKR QRRERRARSKRQRRGRKQKSFSGYNVADASDDDAPEEMIISSITQASEAPTAILRRFF WIHWGKARQLFITLHNIQYLTDSWTSYSKPTGPAEKGHEMNARYVAKLNSPSAPSLSN TKASADEGVMSQSMVDNNEWMLRPGRRHRRCHSEQPRAWREPNPGLWTLAEE TSTA_024770 MDWSCVNPVPSELCFRNILTSSGYFQIGYRSLLGDVNVWTPVFR LPSIVKKAEDWVWELIRMEDEKTDYAGLAPVRNPMNFVACYVHDGEGSESVRKHRETI YEYLWMKNEGMLCNGTNGVQVWDTAFITQAVAVAGFANVPKWRHLLANTLEFLDNHQL LENVPDQEKCYHQHRKGAWHYSDKLQGYTVSDNRLHGRGLPSTLQLQEIYGYTKMISA DRLKGSVDCLLLMQNETDGFSEYEKVFGGIMISYDHVKCTTASITALSLFSRFYSDYR VEEIKTAKHKAVEYVSRREMEAGTEGGVSASPM TSTA_024780 MAFQISIGDILMLSKLAWDISQEFTSGRKSAPAGFQEVQNQLIS LTHALESLKSLSSETSGQEDGDSFNSITPILQNCRFTLEHLEALVSKYMIIVKDDGLD ESEKNRWREELQKNWKKEESLKLSFSLYRGDDGLFETAPLCDNASFNVDWLRGPDEDK PVFKCNCQLRRTTYGDIHDEELGQYLVMENIMANESIPAHLPYNPKYNPIWKAWNRGA ASLMAYPSINPYTKLPVISVLNMLWYVLWRVLTTAFLSKIYLSETGRLYGKIETVKFT ANGRSYSTGPIEAVQMVHFRNLTETLAWSFNEAADLMLDARLDIHNSDSIEDEAVEYT ITGEPLFTPLVTRMIELNFTSQRSDANYTRRECISQSGQENMVKGVGVEIELPDINSA IYFVQQLNSLQEGLLGVRTQELLRNEKLVCKM TSTA_024790 MDALGRLQSGLFVRRTILDSAPYNEHYKSLFRGLARRPQVPASW CGNSTSPDMPTASPSGDSPAGHEVSLPLLSVSIDVDIHGRYCTTKVVQKFSAVPSVSH NVKYVFPVYDGSVVTSFRCWIGNEKLLEGAVKAKEEARADFKHAVSQRKIAVLVEELV PEVFETSVGNIPAQTTVKVEITYANLLKVDNSTGGLVLTIPTSIAPRYGNPPSEYSEN RSLLTGGLQINVQASMPAVIRKMESRSHPISVELGAVSHKSFRDFVVDASSDVTDYSK ARATLSDRHAILDQDFVLHILSSSRESLQSRAIAAMQPGQNSLSTIALTLHPGDLFRQ NVNVGDFAGEIIFMADRSGSMMSKIPSLINVMNIFLRSLPEKCSFNISSFGSRPTWLW PSSKRYSQEDMDIASQHVNKFQANYGGTEIYGALESVLDHYNKQNDVPTSVILLTDGE VWDVDNVIKLVRKAVSESDTNIRFFSLGIGGQVSHRLVEGIGEQGGGYAEIVPESLMN SWQERVIQMLKAALTPSRLQCTVELEEHPVIKTYERQIAGYKVQYPECVRAPHHIPIL NAFSHFSLYYMLESDLKSLPNTINITATTDKGEKLTVQLPILMIANKTTIHYLAAKAF MNDFETGQSWLHSLNQDFKSTDPTGFEMVLEQEAQRVGKMWSIPSKWTSYVAIDRTTS QHQRISVYKADAIKVPQLMRAHNPRDALDPTRIAWDTPFTLFDANSTNDTSFESNRKG ALCSITAKASGMRRMRKSNSSFKSELTLTSEQATDVSSLLQPGPVGDRAIAHARMSSD SIPSTASRNTALDATDTNALEGILRLQKADGRFKLAGSNFRELLKQKYVGNALKNFIN STFHQESSAMRYRISELSDTILTVVYITHTHAASKALWELQITKAREWIKRTITEWLK NDTSEVVPGISLQELEESIIEELKKQDPFLGQSHTSSE TSTA_024800 MLEFLVRSLPAFYIFYRWEIQYEPVPQFYQRQQWYDLHFFKGST AKTGFSYEIQLYWTDHVFEVIRLNTKKKTHSGRSGSVRYAKLQGVGENSIIEWAIQIK IV TSTA_024810 MAPLRLPKSRPRSPSPSLSVQTVAVVVLRQLRRLQILAYILMGA SAAFSAAFLIWKLGSLFQQFTLGRILEERKPVETRYVKTWYGWIPQDRYNARRRKWKN LYSIFWNWLSWDDSDDYSKIWWDSHSGGDQMRSKRKIGSSRRRSIQASLRKRKLNHTV ADAVATERDIPDPSSPPNTYILPHRPSLAYLTFPFHLKRLSPATTVDKNGSFLAPVGD GALQGRIPQPEKASPEYPVLMGRVSTHAMTRKTQQLRYLRNWATRLEMGSLQSVLPHQ SGILGRPGSPMTPDTSSGSGLGPDSGGISPSDTRQIRQEQIEPFSKALANRRCEVLDV ELRFMDTLDRHLEWLLNECQPGQRGFKFPILPKNWINNQKWLVYVNPCGASVEYMRRY AQCDFGTADYKESNRRRSSAPVSRRRVRADSIESWRAAINKVRLNHDIAELRSVEVFL SSAEDVFESVIDPADWMLRRPPQGFEMPNRQRKAYYYGGMGRWAKLEEWQMGGDTSDE SANTWKNRMDVTARLEESRKEFRHNHAEITNGFWQKSSLA TSTA_024820 MFKATSPLLGGLLWKIPWRLSSRQKFRQRKRLRAVDQVVDTVAA ALERNGLPQVKAVERWYAEMPREEEMLPKDKYSIYDRKEKNYRKGIHKLPKWTRVSQR VNPPGF TSTA_024830 MSLGATTERPSAWWRACSSMTMFQVGALCRSFLLGLNKLEVNGL EQFTELLDSRRDPSKRTRGLITVSNHISVMDDPLMWGALPFKYHFNLPSYNRRWGFGS HDICWATRAGGAFFTLGQVLPTHRLAYSPYGGLFQPTMTQGIRLLSKGPFPADPHFAN IERQRWSLRNVCVDPFSDLPTAYTTTGEDSIMAPSSYACNSYSWVHIFPEGMIHQSPK KVMRYFKWGVSRLILESSECPDVVPIWIEGTDEVMHENRGFPRFLPRVFKRVSITFGD KVDREAVFGDLRRRWQQLKEKAAKRNASVAQLPLGVLNEELMYGEEAVELRKECTRKV RDLVVALRRSRGLSDEDPKASLAETWAQEGPKREGKMNDESWYNKYSSIKPASTVHPS LESSTTKRQYGNSSRSGSETTSSVNDLIHHLRRTQVAGTSNDSPSNTSRALTQRSLHP SLRNILDIPETPPPRRRSNARPVGGRLFRRTPGPPPPSSWLQTQAPRNETDEVRGISV GHNQVIYRLERLPGVKFPPKDGLQHAVLKSMAVNWPWHLEYDNEFLLEIPSRLKLLLL SYIATHMSRSDTTSSANILNYFFSSPEAASGDEVGYVTRLDLSGAVGNWISMKLLSKQ LLLPRKEKSTPVIKREEAVPASWDDSEDSTEAADAHSVSSPSSPLFKSPSQVLRFPNL KYLSLAHPKRTAANWGSLLNLLSHISTLTHLSLAHWPIPTLTPNLINERVKHPTIRSV SFAAGGTDSYSIDENNWVEAVNILRRLSRATYCLKWLDLEGCGEWFGALSWDGRTLHD DEPFQTLASAWNGSWRDIEWIGLGPGWIPSENIDADDSTISFLPLAASRHSPASQPRG AQVRISDNDLTSMLTEQDLRDAEIAREKQRRVNEWKAYEETLRKARRVEKHIHRVRRE GGGKWIHFSFGVDGLEERKALDNVLTKIDS TSTA_024840 MKRKLDANDVPSTDATGGENEAQITFESLPLDPRLRQALVKENF SKPTLVQSKAIPLALEGKDILARAKTGSGKTAAYVLPVLQSILQRKSNDLSLKETSAL ILVPTRELSEQVQRVIVSFSSFCGKAVRSVNLTQKVSDSVQRAMLADVPDIIISTPAR VVSNVNNSALSLDHLRQLVIDEADLVLSYGYEDDINALAKAVPRGVQTFLMSATLTSE VDTLKGLFCRNPVILKLTEAEDEGGGISQFAVRCAEDEKFLLTYVIFKLQLVKGKCII FVGDIDRCYRLKLFLEQFGIKSCVLNSELPANSRIHVVQEFNKGVYDIIIAADDQEVL GTKKIKKTAAVKNADSNEVDDDAEAEIEESSDDEVQPDESASTSNKKQKLSGKQKDYG ISRGIDFQNVACVLNFDLPTTSKSYTHRIGRTGRAGKTGMALSFVVPKEEYGKHKPTS YPTAKHDEAVLSKIIKRQGKLGHEVRPYHFEMKQVESFRYRMTDALRAVTRLAIQEAR AREIRQELIKSEKLRRHFEENPDELRHLRHDGEIRAVRIQPHLKHVPDYLMPSKGKQG LMAEDSQRHGVGIGKTSENRIRKARERNRGKGRRGAVGKKADPLKNFNAKGRKK TSTA_024850 MNTLRRCHSAPLDIQSLGRLDSGFQLSVSTRRQKGTKSLRLPSI SSERLRTGQYPNSEKLLTTLEPISPLFSDSQQVLAGLDVPDPDSSEREISFQIEEGII HEFSDNKPSKRETTIQNGEGFADTNSQSRPPKRISDAIQLVINDQQKVSGMRVQLAEM RSSLDESRNLQIYQWTNALKELKSILSNAPGEKGNDSDEYLIRENEYQNFQRRLEAEE SSLQRRETYVAELLREFSSRSRMTESYINNPNNKKVSNVHQGPWAMTGNDADANIISA QMEAHPLIGKYLSALGDVRMYEERLAELSLEHAEIAGREASFALVDRSLDEESQEFLA NYESEYLELERIIADKMDAAVSLREQCEKEGIPIPSMIDEFDTELDFDIRQALPQERD LLWISELDEENTFYELAQSKDFNIYNFINTWIFHQLRHSTSQIYRYKSNPRLQGLKID EDSLSEWAMRLWFQDGNAKMASPLRSPEASE TSTA_024860 MAGKEGQGRGCYLHQINKLLRFQCGLCESQSPPTDHKAKRLLSN DPFSHRRRGSTLKVVMRKLFGIKRHSNNLDSEEGSESPSTVKRQPLATPTGLNGPSFQ TAHKSDSSSGRSVSRRKQSVPASQLSSASSDQIVTTAEDKRIEIPARTVRRRATLPSL ILTEDGDPKGSIYSGLSPTSTRPVSMVNESREENEHHEDYEDSKSQPSLRAHRRSRSA DALRDLVRHHRMSPIQWRRRSDEIKFWRTSVLEMEEPHDEGEAQPSNSNRKPRIDSLP ENQEPKSAKEDNLPLVNEPTSPPFQFENLIASMADPDATVEQRLTTIEVKLMDLEFAI SKIQGTDSNVFSKSPEIPMGQRNATLSPNSAAKSLNDASSIVSEVSTQSSASFGGGED RPISTATLRPNMVYSQPPPWQNNSWSSMNLNGISIEQYSALVTLVRREQTARKALENQ VTQLQEEIQQIRRASGLPASPPGTLYPIPSPDSDDGKFQRRHTGSSRKDSRTSADTRG SDTRTQDSSRYRHTSELASGHDSNMI TSTA_024870 MCFEESIPFVARRGGGHSEWSTIGKEGVIIDLGRCKGVEVDGAN RTAVLKGSILSKKVDVALADTGLFIALGNGNIVGAIPYFLNGGASITTSITGFGTDQI LAARLITASGELIEVNQTHNADLLWALRGSGRFFGLVIELTAI TSTA_024880 MTTSVQIPLFAAAQRKLLQKEHEAEKLSSALATSSTNTVSPSTR RTLQATGYALTGLVLEQWRTGMGGRIVGEFGADAAFATESTEDTDGRARFGAHGIRVG DVVRVLEISAGRKSGREGKEAEGNKANGAEGVVIKASDKALSVAFGQTGGGANARAEE AVDDLWGKKLWLVKLANDVTHRRLNQTMEKMEKMPESEHTYFMRVLFGHTTPSSPDYD AIGAIEFIDPTLNHSQKEAIRFALASKEIALIHGPPGTGKTHTLIELILQMIRRNMRI LVCGPSNVSVDNIVERLAPHRVPIVRVGHPARLLSSVLDHSLEVLTQTSEAAEIVRDV RKEIDEKQASIRKTRNGRERREIYGDLKELRKEFRERESKCVEDLVTGSKVVLATLHG AGGHRLKNQKFDVVIIDEASQALEAQCWIPLLTASRAVLAGDHLQLPPTIKSSNIDSS KKTSKTKVKEAEKSSSLDSLQGVSLGQTMFDRLLALHGPSIKRMLTTQYRMHEKIMQF PSNEMYEGKLIAADSVKDRLLKDLPYGVEDTDDTKEPLVFIDTQGGDFPEKAEDEDAT IKGGLLSESKSNEMEARVAIRHVEGLIDAGVRPEDIAVITPYNAQVALIAQCLREKYP GIEIGSVDGFQGREKEAIVVSLVRSNEKHEVGFLGEKRRLNVAMTRPKRHLCVCGDSE TISKGSKFLKNWIGFLEEHADLQYPDVSELF TSTA_024890 MRTSRVSRETAKMVQALSPPRRRTRSSLAASSLRDFSYSENQAA PELSDDSSLSSVKTEDIEDLLERPAKRRRRNVDTPSTTISTVSTSRLVKQEVTIKAET QITTPRSASSKQRRQPAKRIKREDGSIEMQPPSNWETMYNIVKKMRAENPTAPVDTMG CAELHWRSSPPKDQRFQTLVALMLSSQTKDTVTAVAMQRLHTELAQGGGSTNETKPLI KKEEDDDDKDGIKFNHEKKDSTLTVQNMLAVSPERLNELIRTVGFHNNKTKYIKQVAN ILRDQYNSDIPSTPVELMALPGVGPKMAYLCMSAAWGKHEGIGVDVHVHRITNLWGWH TTKTPEETRIALQSWLPRDKWHEINKLLVGLGQTVCLPVGRRCGECELAGTGLCKSEV KGLAVKMKREVREEVEVKEEGKVKEKAKVKEEAKVSYRDDLFRPETAILAKSVTPS TSTA_024900 MASARSTTTRLLKELQDYSSNPNEALLHLGPINDEDLFHWEAVL KGVNGTPYEGGLWQLHIEIPTNYPLSPPTIRFKTRISHPNISFTTGEICLTLLTTEHW SPVYTISTTLSAIHQLLTDPRPDSPLNVDVAALLREGDIAGWESIVRYWTEEERWQGP SGNVKPAAR TSTA_024910 MNADKNANPSGDPHPCIEGGKGEKRSKGSGKCSHTSKHSIHYNA YTMLAVGLLAASAAEPVATTTVVAVTVLKLPLGRVVVCLYVVVNEVDLVDVLVADENV VDGADVTEEVDEVVVEVEVEVDEDEDEDEDEDEDEDEDERGAEVGAAVDVAEVSDGTE VAEGAVEVGGTLVGGNEVEVEVVVTVGRRDVEVPVLGNAVVGGSDVVGGSDVVTAVVG GSTEVDDTIREDDDDDDDEEEEEEEEEEEEEEEEEEEEEEEEKRKIEIVDKLKADAIA REAEPDLRWAWSTFYCRLEGADMID TSTA_024920 MASNLWHYLSLPTAVFTSPVAAILTPVAAGALVGYTTTSVGNTQ SIYKALRQPPLYPPGWVFGPVWTMLYSAMGYASYRATVAGLASPSSTIRELAYTSQTL YTIQLATNLVWMPLFFGMRKPLAAFVDILVVAGTVLGLANNYRKIEGVSFWLLMPYLG WLGFASYLNFGVGYLNDWDISDEKIGRAKKSN TSTA_024930 MPIFTKILLALVGFLSLAKASPVSLDMEKRDGSYRSVAYYVDWA IYGRNFHPQNLTSMLPSLTHILYSFANIHPDTGEVYLSDTYADIQKHYSADSWNDSGN DVYGCIKQLYLLKKVNRNLKVLLSIGGWTYSANFVGATGSEANRANFVKSAVGFVRDL GLDGIDIDWEYPNNSAEADNFVALLKELRASLDNYAAENSPGYHYLISASVPAGPSNY EKLHIRDMNQYLDMWNLMAYDYSGSWDEIAGMDANLYTSSAYLNSTPYNTDQAIIYYI GNGATPSNINLGIPLYGRSFTNTDGPGKPFSGVGDGSWENGLWDYKALPQEGAKLYQD DSSVSAWSYDSSQRLMISYDTPDIVKAKADYIKSKGLGGGMYWESSGDKTGSESLVNT LVNALGGTDALQHVDNELNYPASVYDNMRNGMD TSTA_024940 MGAIENAVNYLNFLGEDKPINFTLVAKMYGVDRTTLSRRYRGVT GSKEAHYDNQRLLNDHQSKKLIQWIEILCEKGLPPTPYMIANFAHEITGRNPGKNWAS RWLNKHPNALVSRYSTGIDRNRKRADCAWSYALYFELISRKIEQYNLQPNQIYNMDEK GFAIGIMTSQKRVFSRRLYEKKFKQFLQDGNREWITTIACICADGTVISPALIYMAKS NNLQDSWFQDLTMQKCYFAASETGWTNSEIGYHWLEEVFEKETRSQASRGWRLLILDG HNSHVNMRFIEYCEKNRILLAIFPAHATHTLQPLDVGLFAPLATNYTKALYKFLEETQ GISRLTKRDFFRLFWASWEISFTKKNINSGFKSTGLVPFNLEVVLQRFNQKSESRPSS AGSTASILLPEEWRDIRKLLRKIGGKNPSRDFKMLSNTVMELTTEVILLRLQLASAEK ALLNEKRRRIRKKPLLLGLPNENEGGAIFFSPSKIQQARELQQQKEDQAKQERAKKEE KKLQQQLAKEAKEKEKQERALSCRNALGLIFTFRQAQIRQQKREERVQEAAEKQGQKL EEKLAKQADLQLQKGILATPNFPMSQTNPNSRKLKRKQSSDVDEEVIDEVIATNPSLQ LVPAARILPSRTSHGSLSGQLALLFHRVDTGQWTPLGLFPLLMEVMESKQDTTIWEWV YLTTEFAGDRLRETSSVSCSNLALEPVLGQVIKSISVAEARATAAEEHMKQLKVRMEL AWKVLPDLIESMGRDAATAVQSLFADFQSETELPSDDRQENKVLQTPELLEEILLDLP MLDLLHCQCVCRQWKGVIESSPRLQQALCFRPTPIGRKHSEIGLCQPDRPTNVFNPLL QEVFIEWFTASSYFNPRTRDGREHVAYIPVLRDNPERFTRPEASWRRMHFQQWALPSE ETLVCFKRSAGGFPYDWISIASDSKMGDIYDRVKNLAPKPRREDLQWFYFMPPGSQLK PRFGLQSEQLVQKQWSNREFWCEKYFGMVENEKLSVEERKTRESWCEKHPRRTRREIE WLHSDLWRYIPTSRWFMSHSHFSQPFPTCVRYF TSTA_024950 MTIWNRKITVSDEAVTSAVHLTLRQSLYRIYWVVGAGLSTLETA AQPFLALCGPPRYSEIRLNLGQGVQGVGIFVAPLLASRVFFAHTVNTDQGLKNVQWTY LGVACFVALLIILFFLAPFPEITDADQQALEGAITDSADDVQPLRKQYTLFLGVMSQF CYVGAQVAIAGYHINFAEEAGRSASDASDLLTVAQGLYAFNRFLASFLMMFKPFKPRY MLFVYLLGCIVFSISAMNTSGNTSIALCIIVFCFESCCFATIFSMSLRGLGRHTKRGG SYLVAAISGGMVFPPMMAAVIVRPTKRAYRNGHPYVLAWIFPIYVNLYKREIMDTHRN TEVNVTHTRASEKKIELERARENEPEVTNIENVEGKRA TSTA_024960 MAAQLQALLRFLSQDAKVPLASAMGKIKDLQAAGLQNVDDISTS NLETLQSIFKDGKIAKQVHNAAKRVAKEGVQKRGASDDGQATTDKSSSTTKKMKFSNE SGGNRTPYEIEYSLTLPITSEPEEVLSKTILKTNRAPLVLAFAVAVTKYTMPEQPLSS RLSLAQTVVSANSRSKAISLGIESSAAAVDEEGKGQPTVRVLGREISVLKRWDYDPDE GRPEGDDAVDEILSNSTTNTGLPPLWGLDLEALRKRDSVSAKGNPGYRSTSNLPIHTP EVARSYLLKSFTMMKEIDDNTEKADTSKKKKPRESTAEKERCLGLLLQVLDILFSSWA STLSAEELDRRAWTWYVRVRPEVQAGVAGWGEKGRLNLADILSLKR TSTA_024970 MSAPSAPVIPPRPARSPRTLGADIPSIPPRPANRRLDRSVSPAR DSYAPSPLNEPPQSAGLARTTSHDVPTRPPSVTIPSLGEEGIEYDELSSQQPEPAETR NVGSDLKLHAPKPSLPTSSAKARVQTVTRTDSDQAAAAGFGKSSSPALEDSDQRSRSI YSKPSGSRAESTASSTRRQSMQFEEEHGIPEIGQRVPMLANAGDVQAPSPAPPRSGSA SGQRASRHHHRTRSGRDVFLPPGSYGLHGHGVHATDKFEKAWYDKHPDELAREEQGQY GPAIAERSTWALSSDDLNRLVHSSDNIDTSVGTSPAFIGTPAEEVGYIATDELTRQDT QPAVESPLRHSSFAASELTRTRSAMSTASSDAGSRIIHVDEPYHSIHHPDGLAFTPDP TSTHDYDDAIEDDEPILAPDESRPGSAFLHPAISPRRASTDFHDIERVRSRTPSAPNS RPTSLHGAPAGLTRWSSRGEEHDDVHTPLEDVEEYEPLFPDDDKSSKPLSAAERFQKR TELLKHRFPSQDIWEDTPDSLQLHASVSTPELPEEQPKPKFETPEAEEQRKRQAEQID SHKVASHILQGQPGAQRQTRPDLVKHRFPSRDIWEDAPESQQLVTTIEPSESETTSPD TAKPPAIPSRPSIPPRPAKVSRPADGAADEKKAPSIPDRPKPQIPTRPSKPASAESAA APPVNRNKPVVPARPVGGKIAGVKANFLSDLNSRLQLGPQAPKPAAEKKEEETPEEKA PLADARKGRARGPARRKPAASSASEKRLPSIPEIRIMDPWNVWELGVDGNLTVGLPEK QPVVEVKPYAPDVDLKAEEKAMAPPIAKNMAGEFADPVVASSENDTEPQAEANNGAHE PPKPTTLAHHEDSRTSEHVSPKQSVDQPSETESTQVKALEPESTTTGDNVSDQVSEQL AATADGKKRSDGSIDNEGATA TSTA_024980 MTLAEEFRTRNFSIYGQWTGVICIFLCFALGIANIFAPIGRIPF SIVCLCCAFVILFIEVPFLLRICPTSSKFDDFIRRFTSNYMRALIYIGMSAVQWVSLV TGASSLIAAAVFLLIAGLCYLLAGVRNQEFIGSKTLGGQGIAQMIV TSTA_024990 MADFSSIAQQFVQFYYKTFDEGRANLAALYRDNSMLTFENDAKL GTAAIIEKLTELPFQKVQHQVATLDAQPSSESGGILVLVTGALLVDEEQKPMNYTQAF QLLPDGAGSYFVYNDVFRLIYSAS TSTA_025000 MEKFTIKLDSGQKVTGRHCLPNTDRSSSGQTARPLIVCVHGASY DSEYFDANADYSWSVLANAFNVPIVAIDRPDYGGSTEVPNQNDPGNADTTTPTQRQAR YLESTVLPRIWNEFGLTSGASSMVIVGHSVGGMVGIETAAAHATQTTSLYPLSGLVIS GIGCVQRKVESDKQSPNHDNGEKKAQEPVLPAKKTRYITFEITRKNSTMLDFTADKSP AGLLISPDILQVTEQLNRPAPLAELIDVAQLWRSYWRREAEQVNVPVFYALTEVDPWF DSSNKSVSEFAGGFTSSPKVMHGCVPRAPHCIELSLQAKGWMLQCGGFALETLFVNLL DILLVHLTSFLYRADRATVAVHLHHFPNQQHQQGSWPVCSEGKCCPLATMPDDSKSKT FPDLSSKLSAPPKRSLFERQKAEAEAKRAREKAETAAVYEDFVKSFDDDGDSSSRRTL SNFRSSGPGSLGGPPPKRHFTGASTSRNSGPGSLGPPPPSLARKRTYDGFFRDRDRNN RESGSHGIFGYDDNSPQAAFRTSDDEAERDVEDKEAERAVAKPTVYLSSLPPGSSPAV IKALIPGNLTVDAVKIIPPPAQPSMERRSFSAIVTLAQDTAASDIDAAVSALQSKYLG WGYYLSISRHLSSAAIQSGMPVTVGSSSKGSLPFGAKPVNQAPVGRLNRAPPPGPHRG GFAPPASYGSQYGKPTSTAEIEVKPPSNLKQLRLIHKTLENLLKYGPEFEALLMSRLE VQREEKWAWIWDARSVGGIWYRWKLWDVLTNAKTSRGRYGRNSPATLIFEGGASWLGP ESPIRFEYATTLDEFVSDEDYDSSDEEGSDNEESRRRHAADDAIGGQDGMGYMDPLQK AKLTHLLARLPTANTKLRRGDVARVTAFAIEHASAGAEEVVEMLVANVLRPFAYSRAN PDREEVRTALRESEANTATGDKTDAGPGASGKGIEDTSAAKLVGLYVISDIFSSSSTS GVRHAWRYRQLFEHAFRTHKVFEHLGRLEKELKWGRLKAEKWKRSVGAILHIWEGWSV FPQPAQDHFIQMFENPPLTKNEMEEEKKKAEAEQAQSAAGNKGKGRWKTVDEDAGKFI LAEVPAPEQSAAPQFDDSLDGEPMSDIDGVPMEDSDLEGDDLDGQPLDMAVDMQDNEE KREQPDQATESTSKPEENTPVARVRKPRPKAEDMFADSDDDDV TSTA_025010 MATTTTAPTAAGAEWKKNLSAHVICPECKEFPPNLEFPGSHETV CGSCGLVLADREIDIHSEWRTFSNDDQNNDDPSRVGETSNILLNGNQLETSIAGGATG AARQLYRAQNKLSSEKNNKALMAAYKEIGALCDGFNIQKIVADTAKYLFKIVDDHKAF KGKSQDAPRTFSEIFAVTKVSRKEIGRIYKSLEKFFTAQNIERTAIVGSDAGFKQTTS TKPSDLCERFGTFLGLDYRTWNAAATLSDKVTKDGDLAGRSPLSIVAACIYMISHLCG VPKSAKEISNVVGVSDGTIRGAYKQLYAERDRLLTDDFIAKVNGDKANLPQS TSTA_025020 MLSHSVRASRSLLTRVNRQSVGVSYRTFMTTATRRADPVQDLYL RELRAYKPKPTKAGDAEAHVQKFTAPKPPPSPEESSLASDLQAYETQTVEVEGQAVAG EEAPKEESWFEEDEEESHPAAH TSTA_025030 MAMEVDSVQVQPFPLFQQQEHDALVQEDNKTPGLLQRAASFEST NEDESPDTPVSPGVKRNFSDTSLSIKNEPPTVEENVTAGRDILRRLTLRTSTKPRTLT RRTSTNEAPTITSSVSKLEKSATLNEKPGEIAEEGNKEKPRQDSTPQIVVRPSKSRSV SGKIATLARVSWMSSSRSPSPARDSKKGATSSREQSPTPRSRQTSTKGVSLNNEAKKD NELQPNSNGDLQNKKRASSRRSRRPLSSFVPRSKSVDPPSPSLRSRKSVDQLFAPNLD LPPLPRPPVPSSIPAIEPPRKKDELWTVFRNLEADLQKFHAKSTNLKVNVVRSSLLPF LQRYASHPSFNALRPEDLDRRVNILNKWWTSLLGLLHGKHNQSVSGTDRPVFLEALSG IMTRKEWRIPYQPQNFSAHSSNSSLESSSSDFLAESIFHNVRNIFNQNLLAQMSFVVE RMSLRHAPASLVAFCGKACAYAFYFCPGVADMLVRLWNTPSDAYRRLLADPLGYKGTG GRAITQSFAARFPLPIRPLAFCSHIALSRYLRQPPTLPLSAVQIPWQGPWVSRWCGRD SDLFFVFVKYFHILNCDFLPREADASKQLFAPGMLSVQGHLLMVLEDTLYRQSSPPAP ENPFAPSAVTFDDFIEGPNTAMSTMHMGMANCHRSMAENRLIILLRDFLSEPSPELAP ARRMYFDSFMRVLKTAARKTSLFNHNACFVLCDFVEETAPLVDRYSRKIKEDVFQWPF WLDVCKQLTLSQNSMTEIRLFSFLFSMWKSWTSNKERYHDLCTQFLLHDDYFYTYFSH WNPMVRAYFHRLICWRVARFNENPTPIDSDVYEMLMDKLEQVWAFYLSYNLRARNDLR PRLDAVATGPAPNRRLLIIRSDNQQPSPNSPFISFDRLSTSSFSSGAYQSHGALELDP SALAPDSDSSSTTKRRWNILKSVFGSTANPKPGEVTPPGSVSDENEANPIDSLMGEKL MKSSEENAEDDSIYEPPAPHQPYNFKFSLEWCPQPNRNPQHKSVPKERPLFEPGLPRK TLYYVQTQRSAKALRNSTTTTDESIDPRRDADASTTSTRNSYATTKTSVDETDSTIFE NIEASKILRDERIVASKYAGRALAEWAVIVSEYDNFFDRRLREGVPNDELVETPTLSV ENLKK TSTA_025040 MGDKKYRYEELPIPTYEEATASQSGSSHLGPEHSSDDLERQGLL QHEDTNDNFNTGRPHATTRNGGYQPPTVESARSSIDYLASSASGSARQSEEELRREIH QMDVDDSGTSSQTRRPLLSRRFSKHFSNPFSSLTKTLSSLQLPFRNSFPRFQMRWRFW RLQINEDGVACMLFLRLFGLLILVFLVYLVFVSDVFSVGSRFSMGQSYTAASVESFVQ SHINETNIANNLQKATQFAHIAGTEGSYVLAEWIEQEFINAGLEDVEMEEFQVYLNYP RTDGRRVAIVDPPELAWEAAIDEPQVYSDPRRQQTLVFHGHSKSGNVTGPLVYANYGS QEDFKRLADSGISVKGAIVLVRYYGTQNDRALKIKAAELAGAAGCIIYSDPAEDGFIR GPAYPDGRYMPSAGVQRGGVSLMSWVVGDVLSPGFASTPNEKKRLSPEKSPGLVQIPS IPLAWRDAQRLLQVLRGHGSKVPVDWVGGVPDIAEWWTGDSSSPKVNLMNLQDEIERQ PIYNVLGRITGLEQPEKKIIVGNHRDSWCFGGVDPGSGTATFLEIVRIFGEMRSVGWR PLRTIEFASWDGEEYNLIGSTEHVENEMERLRSNGFAYLNVDVGVSGSSFEAAGSPLF QRLLNTAMGRVGDESANKTLKQIWDEQGKRLEGLGAGSDYVAFQDMAGTSSLDFGFGG EPFPYHSCYENFEWMSKFGDPDFRYHRLLGELWGLLLLELADSPILPFDLEAYAAQFR GYVDNLQLYAKNKGVPLKPTSDAPQERSEMVDVTPLYDAAEVFRTNAVNFQAWEQVWY SAVYGSGGFESNLMGVRRIEHNNRLARFETDLLDLSQDGGVPNRTQFKHVLFAPQAWS GYDESFFPAIRDAIDIGDWNATQSWINRVAEIVTQASLNLNI TSTA_025050 MSSHSQNIPRNPTNNRSPVSSPRKRAQNDVGTSENRRKDPKVSR ACDLCKIKKIRCTGTLPCVNCARRRLNCAYATKYARGRPPTPLPLARQDGPTVARVTS TSSISDAGIERDSSGRIDRSVPNEMTTGRLPSSSNEAAPSRASPELEIEGQYFDPTSG LTFLHRAWRKLFAQKGEMAAHGSNEADKHQLLTCAGDRPFYLDEQGTELIPDDMTART LLSLYFDTCVVTYRIFHRQTVENWLEIFLKDREQNRQISYSLGNAKCAIILTVLAIAG FRNEKLKGGFYSGDNEALALRQNDRLFCVAMNLTDSEMGFPRLESAQARLVQVLYLLQ TSRMNKAWYTFGSAYHIFSSLGLHRRRSRKQGVSFKSHSSDYISLQCAKRVFWVAYTI DKYLSVVFGRPRFLHDEDIDQDFPDSINDEDMGPYGPLESEASEECHVDSLIFHAKIA RIIGRISREVYAIGDIRTEDRVAAAHRLVGELHEWRTSLPPHLGTIKPSTLMPSFRRE SSALNLAYHHAVIHANRPFLLGDGNSSNSDAPYVKDRVAECISAAKVTLELVNNMAND TNLFHSFWWTHYVTFCALAVVYVWEIQRSSNNNNYERDDDDEASYTKLFDLAERCRSH LLRANSAASPSRRYGVILEELRKEAQQQAVRNHGSILFRCTRKHRPLRKVRITLLLIR S TSTA_025060 MSNPEDYTVGWICALSTEYVAAQEFLDEEHKPPKFVSPNDTYEY TLGRLGKHNIVIAVLPDGEYGTASAASVATHMLHSFPNVRIGLMVGIGGGAPSRKHDI RLGDVVVSAPRDNEGGAFQYDFGKTIQEQAFQHTRFLNQPPTILRGAVTGIQAQYQRK GHRLEEVIETMRKIRSYVRNIHDRSLTLTNFSNLNDPSNLIERRERAKSEDNPAIHYG LIASADQLMKDALIRDRLAAEKDVLCFEMEAAGLMNHFPCLVIRGICDYSDSHKNKEW QGYAAMAAAAYAKDLLCRIPPNRVEAERKISPDLSERVESRVDGTCEWFLNHENFQTW LEQDSGPLLVSADPGCGKTVLAKYLIDHKLPRSASICYFFFKDPDQNTVRQALCALLH QLFTQKHTLLEYATKQFSKDGPGLIDSNISLWMVLENAVESEFEDLMRNVGGQFSSNQ SSCGKLKYLLTSRPYEQVLSKFRRFSHIRIPGEEESGSISQEVNLVDTSLCLFEVFLL QQVEHKVHPEFFNSVLDEATIETLPKSVNQAYEQILSKSKEDSMVRKSLSIILVATRP LTISEMNIAVNIDDTVKSFKDLDLEEEKDFMLRLRSWCGLFISVYYGKIYFLHQTARE FLLLTDMSSPATFHWRRSITSHSAHNILAQVAVLYLNFYNSDVSLQANTANTNQMARH GINRNLFLDYSSKNWGLHFREGRINNDDTIVPYASKICDPISKTYSTWFHFWKTPGRM IPMNGTGLMTASYFGLDAVVMLFLKDGIDPELKGSDGRTPLSWATENGHESVFKLLLH TGKINADSKDENGRTPLSYAAGKGTKALSRRCLSQAKWMPTRKTILRGNQITITEDVV KAAAANFMNGKEVMTLLLLARASHYIINHGKDNSHCSNLWSNRDS TSTA_025070 MAPFFGLRGNSLNIAALLSVVMPAIMTFGYNQSLLGGMLTFSTF EKQFPLIDTFHARASEKSHKSTIQGTVTALYAVGGLFGAVSCIWQGDTLGRRRVIMIA AVIQIIGAILMTSAFSLAQLIVSRILVGMGTGGLLATVPVWQSEISPASKRGAHVVTT GVFIGMGLSLALFVDLSLSFVHGSLSWRFPCAFQILLSLMVLVFVNFMPDSPRWLVRQ NRVPEAREILAVLDDVDTTSPEIEAEIRDVQHSLELSGSISVREIFSMGPQKILYRTI LACSVLMFLQLTGVNAITFYTTTIFQKNLLLDSLTSRILAAIYQVVSPIGGIVAIYTI ERFGRRGLMLISAAGNAVCLALVAGLGSQPHNKHAIDGAVFFTFFFHFTYVLGFGGIP FLYATEIAPLRLRAAISGLGVATFWAFNFLMAEVTPVAFNAMTWRYFIIFAGLNALMM PIIYYFFPETAGRNLEEIDEIFALSETILDPVRVAKRLLHRHVGDSEPHERDIEKISK DFKRAQDSERDVTFAQVERADE TSTA_025080 MTRKEALRAFMQGWGKLHGFQKGCYRTPVFKTVNMVGFDMRGLT PAPVTPFTPDGAVDYEAIHRLGSWLGSIDGVKGLVVLGHAGEGTFLTPQEQESVVKAF VKSVDNKTPIIAGITGEGTEVAALEAKRAKDAGAAAGLLYPSHGWLRFGYQPGAPQDR YKRVYEASGLPLILFQYPDNTKATYSLQTMLDISAQPGVFAMKNGVRNMRRWDTEIPV IRRERPDLQILSCHDEYLLHTAFDVDGFLVGYGNIAPEPLIELIAAGKEKDYKKARAI HDQLLPVTKSVYHRGSHMEGTVALKHALVARGILTHATTRSPLLPLEPGAEQEIHAAI SAAALSKVA TSTA_025090 MAARPQNIGVKAIEIYFPSQCVDQAELEKFDGVSTGKYTIGLGQ TKMSFCDDLEDIYSLALTTLSSLLRKYNIDPKSIGRLEVGTETLLDKSKSVKSVLMQL FEESGNTNIEGIDTVNACYGGTNAIFNSINWVESSAWDGRDAIVVCGDIALYAKGAAR PTGGAGCVAMVIGPDAPIVFEPGLRASYISHVYDFYKPDLTSEYPYVDGHFSNRCYTQ AVDECYKAYNAREKTLQEKFGVNGVVPEDKTPLDRFDHVLFHAPNCKLVAKSYARMLY NDYLTNPSHPSFAEVPAEIAGIDYEKSLADKTVEKTFMGLSKKLFNERVQPTIEVATQ CGNMYTASVYGGLVSLISNVAFDTSKPKRVAIFSYGSGLASSLFSFKVVGDTSAMAKN LDLRSRLEARRVVPPADYDALCLLREHAHLKKNFKPAGNAENLFPGTYYLTEVDDMFR RKYAVKA TSTA_025100 MYAEDSYYLPTTPPGVARMHLMGPGLGSAPGSAHSRNTSGSSVH SHDSSPESIMTNITSPRTSTSPNYQHGPQLLPKIRTQDAVLEPVSGSVGPRRHRRVLS NTRNPPGYMPYPTARTAPSLSRNVIDTPSAPDCSGLISPVSPHNPTISSGLSSPIALT TAHKRKSVPSAGHSRSGSTSSIDEATLSRYGYPTYRQLPKYVSQPEVSPISPIDPASF VFPTYHQVPSVQVPCPVQLPSQQAYNAIPSPQHYDYLRVHSAHPSPVGYLAPPLASPT SSTTLLSYLTNTTPAVKLVRTVNYGPTRGLNDYFWWDIRQLRSWYSFSLNTFNDINGL TQLLKTPIPSHLTPQPPLITASRLTPESENALISLVGDIYAPRINAALRVSQGPDHMR LYVSPDANSSGTRGANGPHFLANYASDTDVTASGSRRGRVVGIVKSFDRWNTGMRNEP PHRRVEYLRGLAHLQRCMREHSCRYGFIITEIELVCVRAGCDEGDDVPYFGYLELSSP IATRESASYNIHEEQKRRSATPPHSSSCSSTTFDDTRSTHSRSSSLTSLSSSSEDHTD GLNGVPLTATLALYFLLMLSKSMPLPSQPSGHLNVGGPGALSRQRILPEPKDKWIPEP QLGEKREAKRVRGWIMPQDAWHRREGTRPKGINKDGGEGNGKGKRGRKGTGL TSTA_025110 MKQVILSRTLPVIYLIMLGLSKYAVVVATVLSIIAPTVARPTEL HPGDASDLIINAQDTINGTISASASKTQGIHATNGTALKFTITNNIAGNVNCYINGQD PNKNNAPVMLTPNFLWYYPTASTNADGTPAPVTESVAIPLNAQGLTTTIMIPSYVVSA RVWFAVGELQFFTVAGDNGSFSVVQPSQSNPADPSAGINWGFIEFSYTADGGIYANIS YVDFVGLPLGMSLTDTSNGVQTALGLKADAVTNVCNDLAAQAVKDSLPWDALCQSING TVMRVLSPNDYMAANKSSGFETYFDDYVNEVWDNYTSKPLVIDTQNNAGNVSCTVSGD TLQCPDDGINYSKPSTADIFGCNSGPFVTNPTDSSVHQATVPRLCAAFNRGTLLLPGG DVQPSLGADSYYTSGPSNFYSAIVHKYEVDGKGYAFAYDDVNPSGDGENASGTVSSAN PSVLGVTVGGPSS TSTA_025120 MSTYNEVFDIIIIGAGPCGLAVAARLREETPSAMFTDEEHQRYH WIKKHQGKMSLARAKTKRLYNAPLAESSYYKTQRCCANDNSRSTYSTLVLDSSGSRWM ERWHRAFKALRIEHLRSPMFFHVDPADRDGMLAYTRETGREKELFELSGCVGQELSKH KRKKIRNISQFPREAEINERDRKDYFTPSTSLFADYCNSIIARYGLDNESVPIQRSEV CDITFGEVQGSKDDSKLFTVSTTHGKSFHSRAVVLAVGPGLTKMMPWNLSSDEELGAC HSSEVGVKFPSAALARKIENRQTTHVVVVGGGLSSAQFADMAIARGVTKVWHIMRGDL KIKHFDVSLNWVGKFKNYDKAVFWSADDDQERFEMIQTARNGGSITPRYQKILKQLAA KDRVSIHTQTVISSKKFDSGTQTWKIVTDPPIANFPDRIDYVCFATGMKTDANEMGLL QSMNRDYPIESINGLLCLTDDLMWKPDVPLFVTGRLASLRLGPAAPNLEGARLGAERI AWALQDVLGEQTDVDFCGREGEKLQKAFCGLGNRYESLAQCS TSTA_025140 MAIKTTSSDKKLRFLVIGAGSRGHRYAEAVTESTSALIHAVAEP RPYNRQEFGERFIWGTNKPTDGQAFTDWLDWLKWEQERRQRLSKGEENVPLGVEGVFV CALDEMHVDIMRAIAPLNLHVLCEKPLATSLDDCLAIYRAFVPEGKEDVAPSKVFSIG HVLRYSPHNMRLRQLLLEERVIGDIVSVEHVEPVGYWHFSHSYVRGNWRRETQAGVGS LLTKSCHDIDFLVWLLSSPPPGAPKDIPPHAPRTISSTGKLTQFLKKRKPKEAGEATN CLSCPIERKCNYSSVRLYKERQLDKGETDWPLHIVCPDIEDTFKTAGKNAAEKLLMDA LAEDYDKASTPDAEIAARSWYGRCVWESDNNVCDDQFVTITWDDEDPPKGTSAENYTP RLSKAANLHMIAPTEKQCERRGRIYGTEGEIEYDSRTIRYFSFATNEFTTVEIPKAKN PKEEQAHGGGDWGLTRMFIGAVQAVEERGWDVRDAQREFIGCTLEEAVRSHAVVFAAE EARREEKVIRWQDWWDQHMKTIV TSTA_025130 MSVQTVSMTPFQDQKPGTSGLRKKVVVFQQPNYSESFVTSILLS IPEGVEGSFLVIGGDGRYHNSEVVQTIAKIGAAYGVKKLLIGQNGILSTPAASHLIRK RKATGGILLTASHNPGGPTEDFGIKYNLANGAPAPESVTNKIFETSKSLTSYKIAQLP DVDLTQIGTRSYGPLEVEIVDSVTDYVDFMKEIFDFDLIRNFLNTHKDFKVLFDGMHG VTGPYGVRIFQKELGLPASSTQHCEPKADFGGGHPDPNLVYAHELVEAVDKSGIQFGA ASDGDGDRNMIYGANTFVSPGDSLAIIAHHAKLIPYFRDQGVYGLARSMPTSGAVDLV AKAQGLKSYEVPTGWKFFCALFDNKKISICGEESFGTGSNHIREKDGVWAIVAWLNII AGVAKQKPNETPSIASIQNDFWKTYGRTFFTRYDYEKVDSDGANKVIADLTELINNDS FVGSEVSGRKVTDAGNFSYTDLDGSVSKNQGLYVKFDDGSRIVVRLSGTGSSGATIRL YVEKHESDASKYSIQTQEYLKDNIKLAIDLLKLKQYIGREEPDVKT TSTA_025150 MSLQRSTAPLVWVDCEMTGLDPETDQILQICCFVTDAQLQLIEP NGFEVIVHQPKSKLDAMSQWCIDTHGRSGLTQAVLASTTDAATASSQLLAYIKRYVTE PRTALLAGNSIHADRAFLAKPPYSLVLEWLHYRLLDVSTIKEAVRRWGSDELLRAAPP KREVHLARDDILESIEEMKYYRLKLFGGR TSTA_025160 MAGIGPRDEVHDVETVDYALKNIEKTADGSAVSDSEQGHVERVN LNNNVSAKIKNPLADLSPHHVLRDVNDFAQTHGLTDILPVLKKGALVARDPANYTSVE GLTHEESEAIRNEVLHKWRQPWALYFTIILCSIGAAVQGWDQEGSNGANLSFPDALGI PEKGPQASRNQWLVGVVNAAPYIGAAGIGCWFSDPLNRLIARRGTIFVSAIFCVLAPI GGAVAQTWPQLFVTRILLGIGMGLKASTIPIFCAENTPASIRGGLVMSWQLWTAFGIF LGCSANLAVMNTGDISWRLQLGSAFIPAVPLLVGVYFCPESPRWHIRNNNMRKAYASL LRLRNHEIQAARDLYYIYAQIQVENEIIGKSNYLTRAIELFTIPRVRRATLASFVVMI AQQMCGINIVAFYSSSIFSHAGASATSSNWASWGFGLVNFVFAFPAIFTIDTYGRRAL LLFTFPNMAWTLLTAGLCFLLPDGSTKQLACVALFVYLFAAFYSPGEGPVPFTYSAEV FPLSHREVGMSWAVATCLGWAAVLSITFPRMLNAMTPTGAFGFYAGLNVTALVMIFFW MPETKQRTLEELDYIFAVPTRRHMSYQLRTALPYWFKRYVLRQNVKLEPLYHFDHIAT AEEIVQVSAK TSTA_025170 MPCFKGLAVSIHTPDGPIPEFSVQRQSRQSRIACYIPVPAPKIT EEGKAQASTFAVSITLLNAGQSVPYSTPKPTPENPNPKPQLVGISETDEKGHMQWIGP YIPLTGSSNETVAAYIYFDGRQKEEVATLLRRGEETWVNSRWVSVPESEGGGLAEREF LFREVGLERWLNGLDLEGKDAAAKIERRRQKMEKRRLQREAQESGDMEMDAESFRRDK GIMRYGNDSKAPLETLSDEELSSDSDDDPIPESAGQIKVALFRVLASGEIRRGEYSPQ FDAHDDDEDAAAQGADGNADVDHTTSFAKPKTLDPTTISTQTVTGIDPTDKPYAVFTF MYRGERQLQKMGILKTKPAEESTAKRKSTDFSKLGPLKPGGAVGFMNYREGDNASSSK TRKKSKGGESDMDSDDDEDEKDPVTEKADEDEDKDTTHLSPDDLRRQGDLAEGVRKIK LKRQHSMDPMGDSTSNANTPASVATPPAATNESSTPPNAFASATAPAPTTTNTEPPKS LPDDLNGNEYVGSPMKKARASVSTADEDGLRKRLGSNLSNNISEVLNTEKSAPSVSTT FGTTGSHFGGNVNNTNITAASPPQSNNTQQPPQHEDEEL TSTA_025170 MPCFKGLAVSIHTPDGPIPEFSVQRQSRQSRIACYIPVPAPKIT EEGKAQASTFAVSITLLNAGQSVPYSTPKPTPENPNPKPQLVGISETDEKGHMQWIGP YIPLTGSSNETVAAYIYFDGRQKEEVATLLRRGEETWVNSRWVSVPESEGGGLAEREF LFREVGLERWLNGLDLEGKDAAAKIERRRQKMEKRRLQREAQESGDMEMDAESFRRDK GIMRYGNDSKAPLETLSDEELSSDSDDDPIPESAGQIKVALFRVLASGEIRRGEYSPQ FDAHDDDEDAAAQGADGNADVDHTTSFAKPKTLDPTTISTQTVTGIDPTDKPYAVFTF MYRGERQLQKMGILKTKPAEESTAKRKSTDFSKLGPLKPGGAVGFMNYREGDNASSSK TRKKSKGGESDMDSDDDEDEKDPVTEKADEDEDKDTTHLSPDDLRRQGDLAEGVRKIK VCWFHAMYAQLDTDVYYS TSTA_025180 MSLNILCHTMLILIFRPFFIWRWTTHLRDHPLALRAQVVCTKQA ADVNEIFRAYGRLFNFQYQTYLVSYCVYTAATIDVRLMRHEDRGLAEKAADRLVVTLR MLETELKQTPGIRRSIEIIRSHLGKQRLPILNEYAIDARHEQLDSSRSSNNRGEKCQG YEISPSTLSSSSYIPSSSRSGIQLQKLPIVSALAHHLPLPLQSTHLNQNADIYEQSTQ QHNNQDIRPETPDIIPPIPPITLPTPGTANTNLNPDLATYIDAQQLNRINMPWFDWNV DDSGGGFVPDMAYWGAMQYG TSTA_025190 MGSPDIPSPVATRAKGRKYGFACLSCRRKKIKCDGSKPICINCN KSKESCVYRDNPAFVGYLAEELRKSKIRVQELETVIKELLILDSESRHLRIAALVSEM ERQRSSPSPGSSTLLESDESHAKLSVSYGGSVEYNIDENGRQQYFGATSRFHTLSEAS EHLENVLDTTTEQEYSDIEEYHRKWHLSNSRWQASWEKQAHDNIPKYTDVDASLCSQL LDVYWTWQGPLHNYVYRRCFFRDMAIGGPYFSLFLLNVMFAHAMRHMPEEDPRFKPFE RGEFFMKRAMLLLLDEMKESKPKIPTIQGLLILGGRQCAVGKSSEGWLYTGMAIRMIT DLGLHLRKGRNAMLKEYEPDDLEVRKRLYLSCYAWDKCDTVLLKITPDAG TSTA_025200 MTQTRYLTAIFSLGFLYMFSYIDRGNIGNAYTAGMGADWGITSN QYSWVLTAYYLTYIVFQWFILLWKVVSLPTWVALMALGWGAMSMIQTVTSSFAGLMAV RCLLGVFEAGFAPGVALFLSFFYHRSEMAFRYGLFISFSAIANCFASAMAYGIVHAKT SIASWKLLFVIEGIPTLVIVPIAYFLLPKGPGECRFLNQKENEIIRLRAVQGRGREEK GRLNFRHLFIACYDYKNYFQAVIVLCLNTAFAALPAFLPTIIKDIGYSSIQSQGLSAP PYFIAFLICLGVSFLSDRYGNRSIFLTTLSIVGAVGYLIEALVKTEGVRYFATYLICG GVFSAVALAFTWVTDNQGSASKRGAGLVIFGMIGQTGSIAGSRFFPSEEGPYYIKGMG ISAGLLLFAAILSQVLRFLMARENKRRDALYGYVDIKNMPDEVGDAGDDHPSFRFVV TSTA_025200 MALGWGAMSMIQTVTSSFAGLMAVRCLLGVFEAGFAPGVALFLS FFYHRSEMAFRYGLFISFSAIANCFASAMAYGIVHAKTSIASWKLLFVIEGIPTLVIV PIAYFLLPKGPGECRFLNQKENEIIRLRAVQGRGREEKGRLNFRHLFIACYDYKNYFQ AVIVLCLNTAFAALPAFLPTIIKDIGYSSIQSQGLSAPPYFIAFLICLGVSFLSDRYG NRSIFLTTLSIVGAVGYLIEALVKTEGVRYFATYLICGGVFSAVALAFTWVTDNQGSA SKRGAGLVIFGMIGQTGSIAGSRFFPSEEGPYYIKGMGISAGLLLFAAILSQVLRFLM ARENKRRDALYGYVDIKNMPDEVGDAGDDHPSFRFVV TSTA_025200 MALGWGAMSMIQTVTSSFAGLMAVRCLLGVFEAGFAPGVALFLS FFYHRSEMAFRYGLFISFSAIANCFASAMAYGIVHAKTSIASWKLLFVIEGIPTLVIV PIAYFLLPKGPGECRFLNQKENEIIRLRAVQGRGREEKGRLNFRHLFIACYDYKNYFQ AVIVLCLNTAFAALPAFLPTIIKDIGYSSIQSQGLSAPPYFIAFLICLGVSFLSDRYG NRSIFLTTLSIVGAVGYLIEALVKTEGVRYFATYLICGGVFSAVALAFTWVTDNQGSA SKRGAGLVIFGMIGQTGSIAGSRFFPSEEGPYYIKGMGISAGLLLFAAILSQVLRFLM ARENKRRDALYGYVDIKNMPDEVGDAGDDHPSFRFVV TSTA_025200 MTQTRYLTAIFSLGFLYMFSYIDRGNIGNAYTAGMGADWGITSN QYSWVLTAYYLTYIVFQWFILLWKVVSLPTWVALMALGWGAMSMIQTVTSSFAGLMAV RCLLGVFEAGFAPGVALFLSFFYHRSEMAFRYGLFISFSAIANCFASAMAYGIVHAKT SIASWKLLFVIEGIPTLVIVPIAYFLLPKGPGECRFLNQKENEIIRLRAVQGRGREEK GRLNFRHLFIACYDYKNYFQAVIVLCLNVRLSHFSHQFLKYCTDSNGAFRLPLPPYQL SFLPLSKISDTLRFNHKDCLHLPISSHS TSTA_025210 MSLLRLLSSATGWLSENDSSQSPPPGNRNYSSADTNMSIPLPKF DDLPLRKGDPKCSAWSLWGKDDELGTLNLITEDVTKAAASEVKLGKAVNLNLPLNVPL KPMNPQRKPCVHTLIPKGHANDDELYFNTQSSSHWDGLRHYPYSDTKQFYNGVAQDDI SGINKCDKIGIHNIATHPIVTRGVLVDWYSYVVKNNLPHKPFTNQHIPLKQLLEVVRE ENITFRKGDALLIRTGWTDAYNKLSEEEKENLGGRDDRASIGIEATEESIRWHWDQKF SAVASDTVAYEAWPSPKPWGVSMHEVFLSGWGMPIGECWDLEELSATCAKLGRWTFML TSQPLYLPGGVASPANATGIF TSTA_025220 MASDFSILTPNPMLGYGYKLEHFWYGIEKYSPKAIIVDSGSTDG GPYKLGLNKMTCGRDSYIRDLTPMLQACYYKKIKVLISSVGGDGSDKHVQEMLEIVRE ISKKHGFSFKVATISAGFDKGLVKDRILKSKVSPCGPVEELTVDSVERTIDIVGQMGA EPYLKALEMDPDIVLGGRSYDPAPFAAFSMFHRVEPATAWHMGKIMECGGICAVPKGR SMVVTMRKYSFDLTPLSPKERCTPTSVAAHTLYEKSRPDRLPGPGGALILDDASYEQI TEKSIRVRGARFEPTPIYQVKLEGVEKLGYRTIFVGGVRDPILISQIDEFLAEVRAYT QNLFPQLDQTPQCRLIFHFYGRNGVMGPLEPDPKSAHELGVMGEVVAPSQEMSYTIAN NVRASILHMPYKNQVATTGNFASPLSPHETPAGPVFRFNVYHLVDLEKGEEETLFPIR FVTIENPPPPGSESVPRLTTEQREQLASETLEPLVFKQIPEGECQMLDIAKIIRSKNS GPFELTLDIMFDSNDAYERVKNANILTNERIQTLYHLKPGDILTNTFFDPALAWKCTI RRPWEQGTVGERDTLGTQQHAPLLTIRVPKPKNSTAASPKPQTNVQYFQDRSHFSTRD SVEYIWRGLGLPEYSLKALQLTGDGLGLPSSFKIGHIAQASIGLSALLAALIYGHRKQ IPTPTVTIPLQHASIEFKSERLYRLTNKPTPSPWGPIGGLHKTADGYVRVHDSFPNHR DGARKLIGCSPGASREEVATAIAPWRSIDLEWAGFDSNIVISALRSYSEWDVLPQAKA IADFPILLRKLSDGPVGLPQEMTDVDPDKCLCGLRVLELSRVIAAPLSGRTLAAHGAD VLWVTSPDLPDLPAIDRDFGRGKRTIQLDLNDEADTNEFHRLLENAHVFTQGFRPGSL ARKGLSSAALAARFKDRNIICANMSAYGPDGPWSNKRGFDSIVQTCSGMNVSEAEHYG AGEPARATCCQSLDHAGGYFLAAGIMAALYKQATEGGSWEVNVSLAGAMKYLRSLGQY EGYLFAVMNFSNNVSFASLPLFLPTIVSEMGEFTAVESNGLLAPPYFIYFPLIIAISV ISDRVRLRGPFPVFFALLSALRFILLGATTSVISRYIGTFLAVLIFVTTSIVLIWNAN TNSTSSKRAGGLWIIMTVGQCGPLLGTNVFPSSQAPLYRERSWICCTFALLFAVTVMM LSFSLWQENKKMDRLYGPLEESGPVERSENDGIPRYRYIIEAFWQPRVYLIIQSAAQN TDTSNKKKARVIAICIRHPGTNPLSKLSRLHAGRTHIIPSSPLGPHDDCYRYDGQLSA KRYTISHFGGVSVLFHVLTAITRLVILSDLHITRVKDHQALAMASSADPALRKKVGQL FAVGFHGFTPSPEIKTLIRDYGLGAVILFRRNIKDAVQLKTLTLALQQEAKEAGHEHP LFIGIDQENGLVTRIMPPLAVQQPGQMTIGATQSAENAYEVGKCTGELLSFFGINMNY APDCDINSEPLNPVIGVRSAGDDPNFVARFSCATAKGLRESGVVPTAKHFPGHGDTAV DSHHGLPVISKSRSELERCELIPFRRAVAEGIETVMTAHIALPKVTTGPDSEGLPATL SPEALGILRNDMKYDGVIVTDCLEMDGIRATYGTVEGSLMSLIAGSDSIMICHTYAVQ VKSIERVVQAVQLGALSESRIDESLHRIGKLKQRFLSWEDVLRSVDNNSVGLSSMNQR HEQIANNVYSQSTTVVRNSLGVVPISKSISKVLLLAPGGKIPAGGAVDDSGPRHRTYI DVLRDVAGTASSVMQLDYPDTGLLSDEQWKTIETEADVVILTTRNAKEAKGQKEFALE LARRRKDVIVIATCSPYDFLDDEEIIKTYIAIYEPTAEAFTSAIDVIFGRASATGVLP VASNPHTKTNKSYKILALDESRKDEMITGILDVWNKALPDYTLPAGNLRKVIDQPNGH HFIAHDAQTGRIIGFCLLYTSQNQGQTSAEIAVIAVDPVKQRQGIGSELLSETREYLY EYHGVKTASLRSYFPRFWPGLPADLSPEDEQFFIHRGFQFTRSGDADLYQDIRKFVPP EQYLERAAASNVTYKAIETPDEFENCLVGQKRNFSHYTGWVETYIALHPSKHPSSIMA AFDKDGTQIAWTLMLSPDDDFVAQNWAFSPLASGNQQPLKTGIIGCVGVDESHRSRGV GLALLCYAIESMKKRGIEAAFVDSTDIVGWYGKVGFGKWKEYIHAEI TSTA_025240 MVSQSSEDLQSESVHDEANTTSEEPRTEEAVPDSEPQAETEMET ETTEKTEQDRELTTIQRPAGPRDPPPSAIEDARAARRESRKGNEKEDRNQRPARRPTG PRDRPPSTVEKSRAARRESKAKTKDKHQRPVSRLAGPKDPPPSKPREFHSTVSDRSLM YRKRIWRRRLTKEREMQGRLGQRCLK TSTA_025230 MEYNQSEIPNTMLPPGTVHLVAEQSDQIILVPKPSADPDDPLNW SKRRKWLNVTLLLFYVFSTGIGGTSIYSVFTPISKETGITIGQLNNGTGYLFLLAGWT NIIWQPLALTFGRRPIILMSLLFCVAVSEWTAWIDSYPAWAAARCLYGFACAPVEVLP QICIPDVFFAHERGAFIGWYMFVLCASNFIAPLIAGFMNDAYGWHWVQHWAALILALN FVLAFFLYEESMYMRTNMEAEKADDPLVLNEVILAQQFTAVNADYRKKTHLQRLKLWS YNGNSFAQILRIAYRPVLIFFQFPNIMWAGFMYGFALAWYNVYNATTSAILSVAPYDF SAAIVGLSYVAPLIGASMAGVISGPVADWLTLRLATRNGGLREPEQRLWGLVVYCILM PAGLLIWGLGAYHGLHWAVVLLGGLLCGYCNVSGGAYALAYAVDCFKELSGETIVSVI LCRNTLSFAFNYAITPWIDAQGLQNTFIAVAVLAWAFGMSFLLMEWKGKALRVMCAER YWTYVETQVVRIN TSTA_025250 MGAKITGGKKGKPRGIRRDRDCQSCKTRNIKCDLNRPRCQPCVQ AGLPCGGYPQRVVWATDTNFGQRRPREKVATPALSQESHSPSDSSKPNLNGSKPPTPV AATLQMHDRYTTVNQYSFIKRLAAFYEQIKDVNNHSSGGYLPPEAIEMKGQVASNDST AERQSMDAVQYHVDALMRLTEAIDQAHPIALFGIATFAFFEVCDGSFGEWQCHLNGAR SLLDFHCHNKADLDRLSVELAGLNEIVAHLVWFDTIGAIIRGSNGLIFDDWHRETLTE EFFANVGCPVDTFHLFVTLAKAGNHINTLDLSFEALDQLLLRAEPGSGNSALVENAWR CTAAIAIISRIGDAGSLSRRKALSTAVDQACRVIAVMSPTSGIYIHLAATAYLAGIHA THPRQCEIVRTYWRNCRASDFPRYPDGQAKCEAKWQAEGLA TSTA_025260 MTATITSITYQERARIKRSIQDSLIPPEWRLASIPPVNEVSDAL DFIRKNNLLTARELRITETTDVNVLLHNLASRQLSSLEVTKAFAKRAVLAHQLTNCCT EIFLDDAFARARQLDDYLEQTGELIGPLHGLPVSIKDLFHVKGIDSCIGWVGLTNKPA TQDGNAAATLRRLGAVLYVKTNVPQSMMMSDSYNHVFGQCVSTLNRNLISGGSSGGEG SLVSARGSILGIGTDIGGSIRIPASLCGMYGLSPSTGRQPYERSGLRQDIVRSVAGPM ATSLSTVEKYMEALPTARPWEIDHQVTPIPWRAELCTTTKRLRIGYIIDDGVVKVQPP VARAVEEVVQALREAGNEVFEWDASSHAHAYDLWEKAILSDGGEACRRLCELSGEPLI EGMLVGKPHNILSTSQTHQLIADKYAYETQYLRQWTAAGLDALVMPVTPWVAYKPQTW VKSHQYVGYTSIWNLLDYPALAIPVTKADRTKDLVLDAEWIMHKPRNKSDEFNHKQYD INLVHGAPVGVQIITPKLQEEKCIAVAKAIEESMTAQPAERTQRAKL TSTA_025270 MDFLSLISKFVPDASERVARGAFQAESSLNQALNWVPRFTTLAN DSLGLFELDVSISVPIYTILHFHQPQDSYTITMRTSLLIAALATVLGATADSSSSSII GYFVPEWDVKGFSDGAGGTSTAASLANINAAAATYHVGCVPNAPKSVCNYPSSITIVQ GSATVSFTGVYVASSSAPSGSGYDVTVTESYECSLKSSTQSASCTMSVGMTGTVDGAK WTSSTSTKATYTTAPIAESYYQLTVTAGLSSFTAPDATKTPGMAVSGIAGSMITAAPV VAAAVAAWL TSTA_025280 MAYPQQRMPPPRGPPPGSRSQAPGGYGQGGPGYDFDGYGGGGSD YGSGGPRSGQPRSRPPPPRGAYGGPGGPPPPGSSSSRSRGGYGRAPPPGPGRGPPPPR GAPMARPPPQRRPPPGDPYGYPANGPLSQRRGSDEQGLATQMGDLSIASPPTRPHTSN SSRAPRGPPNGDPYYPGAGQPDGDYGYAPPQRSYTMGNGPPPIKIPQRSMTTPNTSSA YPPPLRSPKYPGQSTYEDPAMEYAEPEAGTGILLAPNPSRDDLKSKTYSTYVHHNVFA DYFAEQDEEEGGGKQPETVKEEEEDELDMPNFDAMPSSDPVHRRNESFENSLGLEKKP YAPYKPATAPEQNTNQFANAGFQFDLPGDQSANGMNNGYNGSFNGSFEGSERTPSMGS YGAHPIGSPESQNPDALPAHPLPYRAGLDQVPGGAPAGPPAPVRQYGGPRQNNAAQPA PSTVPPAVGPDGRPASPPVTQMEIEKLQLIVRRNPDDYKTALKLAKKYVEASTRLIGE EGRVDPKTRARNKDKYIHDAYKIVKRLVNDSFPDAMFYMADNYGSGGLGLQADPKDAF QLYQSAAKAGHAESAYRTAVCCELGQEEGGGTKRDALKAVQWYRRAAALGNTPAMYKM GIILLKGLLGQQKNPREAITWLKRAADGADVENPHALHELGLMYESTAPSDIIIRDEA YACELFTQAAELGYKFSQYRLGAAYEQGLMGLPIDARMSIIWYTRAAAQGEHQSELAL SGWYLTGAEGILQQSDTEAYLWARKAAAAGLAKAEYAMGYFTEVGIGVPSNLEDAQRW YWRAASQNFNKARERLEELKKGSGRMQKTRVSRSAVAQQQQQGGDCIVM TSTA_025290 MSAGKWIKMFVVGTVVAVGGPLFVQSIRPTDEELFNRYSPDLKK AALENRDRQEREYNEYVNKLKEWSKSDKSIWFAVKEEEEARLRSKTLPTSSQSKEDER IQREEMRRELQGEREK TSTA_025300 MKFSVIAPAVLAACAAALPTAQEAKPDAAGPFSLMALRSASPLH FQPINAAGQKFWVGGSTSSYCPSNIDPCPPGTQTVFANTNGLDVEVPGGQAVYVDPSG ALSFTQAHSAYIPEGSVQGPFTYTPGQPFGTYTTGAFGSTGFMACPDNAAAPTKWQVF AAIQNATVPTGNVGDCLGFDAAAIEYSGEIPAWHIYYTTMLTKDIPIDNHHIQTLEER LLDLDRKILKHHDRLLEKTERLVSSTTHICNELQTTIRTLNNQASVINNTKHIVSAQA RTISAQARKIRAQQGMIDVLQRDIFRLGRWYTESLGRINMLDETITDRGDDIRELWQA LNRHTAFVERVERDKYWMHVYTKQVMMLRAAELEFAVTADDDRDEDDDEGSVTAESIC MDSTIVTPLQPHILLDKIVVHMMKDVLPDRFGKVCDGFEIVHGSSATVHGRDDVEDSE NGSDEMLRGSSDED TSTA_025310 MSSSRVGLRFLANSRAAFRNFRQNVSNNSKGFRFSSTDASATAG EQQSTFQRLWNSPVGVKTVHFWAPVMKWSLVIAGISDLARPAEKLSLTQNLALMATGS IWTRWCFVITPKNMLLAAVNFFLACTGLAQVTRIFLWRRSQDGSATEAAKDLAEDTVG SAKAVTDSAKGVVKAAEEKVKSS TSTA_025310 MSSSRVGLRFLANSRAAFRNFRQNVSNNSKGFRFSSTDASATAG EQQSTFQRLWNSPVGVKTVHFWAPVMKWSLVIAGISDLARPAEKLSLTQNLALMATGS IWTRWCFVITPKNMLLAAVNFFLACTGLAQVTRIFLWRRSQDGSATEAAKDLAEDTVG SAKAVTDSAKGVVKAAEEKVKSS TSTA_025320 MSAKYAFNKSLKELRFLFCQTSSHSDATRSFLNRAYPTMKKNNP YVPILIREASGTEPRVFARYEFGKEKQELLSGLTDKEIEEKITTLVKQTL TSTA_025330 MRIPIALQLALLVLVTSLVGLAVISIATWENNYKFVTGVKSQGL ILAASLKAGQVASNIALIEATCQTVTTRILIQSALRRFYQGNTTDANWVTAITDVQSA LGSGGYVSLFQAKIFSRNPAGDQGGILNVTSNVLTEEIVLPYKYDNGSSVILGDSGLG YPPSLYPNLTYSVASGVDPADATSEQATAYAFPDFPIQRTSALLLGPLYVNNSFSLIS LTLPIINNTSYTDILGYMTIVASAASVQSALVDNNGLDSTASVLLVGPATADNLFNGD AVTATRTTPDVNKTALQNAEARYIFTPDLVDGSEDRHNKYRSTEEPFKLSQYPAVLDA LETPRSTYANSSSNLDTHNEQGYRVAVGVARPQSTLVDWVLVIEETHAQAFGPVSHLR KIIIACVFGTAGFIAVAVIPLAHYSVTPIRKLKAATEKSIHPSHYHHLGDDPHGPNGG AVLETLNAQSEKQGGIFGVFKRIKHGRWGRSQGTASEDGRSKTFKVPGKVKESKHIVT DELTELTSTFNEMADELMIQYTRLEERVAERTRELEISKKAAETANESKTLFIANISH ELKTPLNGILGMCAVCMGEDDLPRIKKSLKVVYKSGDLLLHLLNDLLTFSKNEIDSAI RLDEKEFNLADIKSQILTIFEKQVLEKNVHFTVKFIGAPAAAETIIMDNDEKHALGTT SHAYGPPGTARMKDMILWGDQHRILQVLINLVSNSLKFTPEGGRVEVRIKCLGDYEKP EGSTKISFDSRSNSAMRSRTPSFQSRDTYMGGSVAGSAFDHYSREREQPVNYRTLAFS FEVEDTGPGIPAHLQQRVFEPFMQGDLGLNRKYGGTGLGLSICSQLARLMGGTIHLDS TVGKGSTFRVDVPLKYLKEMAPSTRSSSTAESSPPSEIVSLDDAANINRTSDANSPVV KPVEAQDLQPRLVGLSQPFFAAAPPSQSTINNSTSTTTVTSTSDSKRHVPAPASSTSF KSSLSASDSTTTTKIRVLVAEDNAVNQEVVLRMLKLEDIYDVTVAKDGQEAYDTVKAA MSEGNKFDLIFMDIQMPNLDGLESTRLIRQMGYSAPIVALSAFAEESNIKDCMESGMD MFLSKPIRRPALKQVLKKFATIVEEDGETS TSTA_025340 MADSKYQPVPRQDSTGEEYSDCNSHSSTQHQHNWHQLDPIDVSD LSSHEDEIMDDDENDDGAVVAPLNRKNRDKKKQQLSKRRKYRRRLITLKNFIVRYRLC LVAAAGLIFIFLPLIAYQRSIRKFFWGDKVYESPPWYPSPRGGTSETWAHSYQKAKAM VQNMTLLEKVNVTTGVGWSMGLCVGNTGPAIDSGFPSLCLQDGPLGIRFADHITAFPA GLTTAATWNRSLIEERGYLLGTEARAKGVNVLLGPSMGPLGIAPAGGRNWEGFSPDPV LSAIAAADTIRGIQRTGVMATAKHFVMNEQEHFRQGREWIIPDAISSNVDDRTLHEVF IWPFAESIRANVASVMCSYQMVNNSYACGNSKLLNGILKDELGFQGFVQSDWLAQRSG VISALAGLDMSMPGDGATWADGKSFWGKQLTIAVLNGTMPMERLNDMVTRIVAAWYQL GQNEPGDNPTSPNFSSWTNEEYGHLYEGSGDPATGRVNQFIDVQGSGESAHSITARRV AAEGTVLVKNEGGILPLSLDKPYRVGLFGEDAGIGKGPNFCVDRSCNQGTLASGWGSG AVEFPYLITPYDALQKSFEGTTVEFHGYPTDKYEDKDLADHDLCIVFANSDSGEGYLK WEDVKADRNNLLLQKQGDAYIQRVANSCGGDTGNTIVVIHAVGPVVVEAWIEHPRIKA VVLAHLPGEESGNALADVLLGRVDATGRLPYTIGKSIEDYGPSAQILYFPNALVPQVN YTDGLFIDYRYFDKHNITPRFEFGYGISYTTFEYSDLVISPVREKSPLPSPRPKAPVS PPEYSQQTPDARSALFPTGFRALHKYIYPYLSDLSEIKKRHFDFPKGYKTKQMLSPAG GGEGGNPSLYETFVVVTANVTNTGSRTGQEVVQMYVSFPDDVKDEEDTFSDYIEFPVR VLRGFDKVKLAPGETKKVELALTRKDLSYWSTRRQNWVMPVTGQFTIALGNSSRTMIL EGRY TSTA_025350 MIRSVTRRMPRRPFRPAIVTSRAPVYSFLRMSSSLPQVIPPVST SLPSDSFQLLSTTEKVGDAENALFEEQVKEVEAWWKTPRYKGIRRPYSAADIVSKRGA LQQTYPSSIMARKLFNLLNERAAEGKPVHTMGAIDPVQMTQQAPNQEVLYISGWACSS LLTTTNEVSPDFGDYPYNTVPNQVERLFKAQQLHDRKNWDARRKLSPEQRKETPYVDY LRPIIADGDMGHGGLSAVIKLAKLFAEKGAAAVHFEDQLHGGKKCGHLAGKVLVPVGE HINRLVAARFQWDLMGTETLVIARSDAESGKLISSAVDVRDHEFILGVTEDVEPLSET LQAMEADGVSGAEIDAFESKWVKSHKLVTLDEAVDAHLKAQGASQDAINTYWSQVTAN PNLSLTKRRDLANSLTDKPVVWSCDVPRTREGYYHYKAGFPAATKRAKEFAPYADLLW VETGNANVEKAAKLAADVHQFFPGKKFVYNLSPSFNWMAQGFTEETLKSFIWDIAKHG FVLQLISLAGLHTNATITTELSRAFKDEGMLAYVRLVQSREKELGVDVLTHQKWSGAS YMDGILGSIQSGSSSSKSMGEGNTETGIMPHLSSTEMSSTPIAVSKTPSSVPPTEEEV SSLVHTIFSAKTSQESLDASYALTNLLINSVGYRGIHAYNILPQIKKAAADKKDGAKR ESAMLILGALFEQFPRKDSLSEVVFLIQDGGVLNIALDALADKGAVVRDAAQYAVDAL FAGLKPESIVNALLPAVLRYLGKSSGKWQGAVGAYALLEKMANKAKMGTGTKEEERQK DLLREALGNTLKDVIPVVESGMHDLKNEVAKQAIKTMTAVTTLITNEDVIPRIPLLIT AMEKPSSESLQKTIHALSQTTFVAIVTSPVLALLTPLLERSLNTPTTSQEVLRQTVVV VENLTKLVHDPTEARTFLPKLRPGVQAVQDRASLPEVRELAGRALDVIKKAMGDDNGT VADGAVVKTTSDDVLKVLDGKIVAHGGPARPEEATFFDLVKSYIADMVREDVNVRMLD RIPGCVGPYLAALIQDGQNDAVASEVHDHFFEEDQRKFGAPVQEDDGEVEIVNADFSL AYGGMLLLSHTNLRLLKGHRYGLCGRNGAGKSTLMRSIASGKLEGFPPKEVLRTCFVE HNQGESAELSILEYCAHDPELQNVSKEEISTVLSEFGFTAGPEGRQSQKVGSLSGGWK MKLALARAMLMKADVLLLDEPTNHLDVANVKWLQEYLKAHTDITSLIVSHDSGFLDEV CTDIYHYENKKLVCYKGNLADFVKVKPEGKSYYTLSASNVQFKFPPPGILTGIKSQTK AILRMTNCTYTYPGASKPSIQDASITLSLSSRTAIIGGNGAGKSTFIKLLTGETVPQS GRVEKHPNLRIGYIKQHALEHVEMHLEKTPNQYLQWRYANGDDREVYLKQTRILTDEE REQMEKPVDLGDGRGPRRIEALMGRQKWKKSFQYEVKWVGLLPKFNTMISRETLTELG FSKLVQEFDDHEASREGLGYRILEPKVIAKHFEDVGLDPEIANHNQISGLSGGQKVKV VLAGAMWNNPHLLVLDEPTNFLDRDSLGGLAVAIRDYKGGVVMISHNEEFVGALCPEQ IHIADGRVTHRTSTAVATDRFEDSQPGSPAPNSTVPSTVASSAVSSAAPSAVNSGAED TGELKFKARKKKKLTRAQQKEREVRRRLRHIEWLNSPKGTPKPVDTDDEE TSTA_025360 MLSSTRTTFHALKLGQPLSRPLTVFTRGYATAEPDLKTTLKEVI PEKRELLAKVKARGDEVIGDVKISNVIGGMRGLKAMIWEGSVLDANEGIRFHGRTIKD CQKELPKGTSGTEMLPEAMFWLLLTGKIPTTTQIRAFSRELAEKSYLPQHILDLFKSF PKDMHPMTQLAVAVGALNTESKFAKAYEKGLPKADYWEPTFDDSISLLAKIPRVAALV FRSNEIDAVANQKLDVTQDWSYNFAELLGKGGKENEDFHDLLRLYLALHGDHEGGNVS AHATHLVGSALSDPFLSYSAGLLGLAGPLHGLAAQEVLRWILAMQEEIGTKFTDKDVE DYLWSTLKSGRVVPGYGHGVLRKPDPRFEALMDYAATRPDVLANPVFQLVKKNSEIAP GVLTKHGKTKNPHPNVDAASGVLFHHYGFHQPLYYTVTFGVSRALGPLAQLIWSRALG LPIERPKSINLLGLVGK TSTA_025370 MNQVTAPLVAAAVAGTATAAAYINAKWHISNDIKNIWTVKSGEW AVKRAIANARLNIFFLFEDTATKYPDKVAIWSRERSYTYRETLEISSQLAHYFLSIGV QPEQLVAVYLMNSPEFIFIWLALMSIGCAPAGINYNLNGDGLTHCLKVPNSAFVIVDD DAECRERIESVRPILENDLNITILSLSEVMQKAGEFPRSTPDEKYRLNVKPSFPLMLI YTSGTTGLPKGCAFTTSRFYAGASVARPTQDRWYCCMPLYHGTGAIWSLARLVSGTSI AIGRKFSASNFWNDVRDSESTWFIYVGETVRYLLNNPPSPQDKEHKIYGMLGNGLRPD VWERFQQRFGVQEINEFFNSTEGMLGLMNVNRGPFTTGAVGHHGLLLRLHYQNQYIPV AIDHETGDIWRDPKTGFAKRQAYEEGGEILVAVPSKEAFQGYWKNNTATSKKFAQDVF RKGDLYYRSGDALRRTSDGRWYFIDRLGDTFRWKSENVSTAEVAETIGRYPGVTEANV YGVLVPNHEGRAGCAALDLSVRETEFDWKTFARFVCEKLPRYAVPVFLRVTRKGARHT HNNKQNKVPLRQEGVDPALKGTKDVEGANDRLLWLSPAGDEYVDFGQREWDLLVAKEA RL TSTA_025380 MTTTQAHDRDNQDENLVENLNGLDDTDLSENDLIKLLAQIVREQ NNEINKILMKQSDQAKKQADEQRRLSLAIEKLDIRLSSFLESQQQSSQHKVSHPDLIP SLVAKVDKLGSALLDQERERLEYDALQNASHPSPNNSNGLNSEELQSLTEALATRQLS MQFRIDELTETMNRQISMKNEKKPLAVQIPGQAMRPSHRSNNSTTTTLPATTFEHSRL GSDRIYNSPHRHTPHSSHDIASPIRSSRSNDSDFSIESFYQQATSLINKATEQDSSLS SHRKDLLNLKRRLAHAEKLIGATYRLGSFSIHGLSFYQDAAALRYISGDPAARDAFRM FYGSRIAAIDYADIAPSAVLASFDIISRVRCRHEMWRDDKLDVKHRIRCAAEQIVDDW TKSLPLRDGAEHVHVSARELQRQFNQLKLLCYREDVQFDFAWPEL TSTA_025390 MAGEKEADSQPQARYLIRQPLALQWFDNGKLVKRSEEERQAGRF ELFLDLLYVAILANFAESLAEDVTGVKLVKYILILAPSWHVWSDLRELMNSFYNDDLL QRILILWIMAILIVYGNNAPLVDEEISAMRSTVGAYMAARLSANLAHLFYSFSCYHHR AQQRLWFVMSTVALCIYIPLYFETVSLRNKIAVAAVAEIVEEATWMFCYSPIAKRILR ARYTTAVDIAHEIDRFTAFYIIALGEFLYTIVVGSPAAVGFNLSLLRSVWTLVIAFCL NWMYVHNDCATDYTHPIRHSVGRAFAWVTLHLPLIASLLAGGHVAAASARSSYDSTTS TSTAHRRSEQETSSSSENSSTEKSTHFNDGQHWLLCASLGIGLFCLYVMSLLYSTTDG DCKLILPKHFRIIMRPIVGLIIVLLPLAKSLNLTETLSVIMALVAFCVIWENVSSLQR GAKVWESWRDTRYPEGGNRVQDGDATINDRGVVEDGGSNNAVKV TSTA_025400 MSAGASAALRRTSSAIGHRAPRTLGLSSRINQTPALSRSLITST PPSALRSNSLSKSSSALNRQVSRFSTMSPLQAAPVLEREYDPEIKDMADYIHNYKIES DLAFDTARLVFLDTLGCGLEALRFHECTKLLGPVVEGTVVPNGTKVPGTPYQLDPVNG AFNIGAMIRWLDYNDCWLAAEWGHPSDNLGGILAVADWISRTNRAGGNIGQGKILKVR DVLEAMIKAHEIQGVLALENSFNKVGLDHVVLVKVATTAVTAKLLGLDEKKTADAITQ AWVDGQSLRTYRHSPNTMSRKSWAAGDACQRAVNLVLKVLKGEGGVPTVLSAPVWGFY DNLFKGQKFKFQRPYGSYVMENVLFKVSYPAEFHSQTAIEAAQIINKKLAALGKTAKD IKEITNRTHEACIRIIDKQFKAMDNFADRDHCVQYMVATMLVFNRLTAQDYADGSEAA TSELLDDLRKRIKCVEDPQFTKDYHDPSKRTIPNALTVTLNDGTVLDEVVVEAPLGHK FRREEAKPEIFAKYKRHIESHFDAARVKQLLELGWNQKELENTDVDSYVDLYVTDKVI APYSR TSTA_025410 MAKGGQLREYPSSAPVINISATLNQNIVVRQERTQPCSSSHERP GKNAGHIDLTLNDDDGYLSSDTERGSVVDVTEPRQFHEDDYLDDDQLESLLQSWNEDT GKKAKPATQHLATIPLQEYNGLVAGMAVELSGGHIMRIKQILRLITGDIYLQGLYFKE LTELDRPFPQRPKELCWLIEEEADGNVHLEREVPISDVSGVCKVALTNYTWRTFVPAA HDSYICRLVWQKTSEKGEWIIRYLTYEEADDRTRAYASTIRKEWRGETTPYGAETVST SNLTAAERKEVRRSLKKQRQYSFGDAFCGAGGVSVGAWKAGLRVKYGIDIDKAACKTW GTNFVHSDCYHADFYSWIALQDDEVRVDISHSSPPCQPFSPAHTRSYNQQRDEENSSL IFSAFNMIQKVKPRIHTIEETFGVPSRYKETFLRMIQDFLELGYSIHSKTIACAKYGV PQLRRRLFIIAAGPGEKLPAFPVPTHGPGLQPYVTIKDAIRNIPRRADQHDPENTVFQ DGRTRAPYDENTLAKTITCGGGEGNYHPSGLRPFTIRELACLQTFPVQYRFPTAYAKK QVGNSVPPRLAEVIFRTAIKSLQETDDREYNEAVVVDRLGRPWQI TSTA_025420 MTTNINTTFHIISDSHGQQLLSTPPAEHIDVAIHCGDLTQESKI NDADLKLVIAGNHGFTLDAVAFKKLVANAPQQLEPELVRNGDYGEVRNSLFKTAKDAN IILLDEGGILDVTELQQRVGCQHLFLAVARAQPRLHCFGHIHESWGAKFVTWRRNIAS GSMPSHFTHIDHSKSRVLGNISRLIFAWQDKDVNCFLADCYFLEQETQTLFVNAALKG SPVLIRYPGLQGFPYLVYRMHTLLELYIGTHWNIRKYV TSTA_025430 MISLAPALLLLLPVVSGRALPTDEAAQNTTEARAGCSLPSTYSW TSTGSLANPASGFLALKDFTHVPYNGQHLVYASDVNNGGNYGSLSFALFSDWNSMGSA AQHTMNTGAVAPQLFHFTPKNIWVLAYECDPTNPNGWSSPQALYSGSTGSSTGPIDPA IIGDSQNMYLFFAGDNGKIYRASMPIGNFPGNFGSSAQVVLTDSTNNLFEAVQVYSVQ GQNQYLMIVEAIGSNGRYFRSFTASSLSGSWTPQATSESAPFAGKANSGASWTNDISS GDLIRASADQTMPIDPCNLQFLYQGRATNSGGTYNDLPYRPGLLTHK TSTA_025440 MDELVRKAMQLAEALKKPPDSVPWKDDEPWAQPHYSWLEKKGEW QTASTVSSFERYEKDIPATTDVIIATASNPVHGLGLTSLFLTQERVQVSLDAAMMEGV IVASPVLKAAAKNTARGVELLKTLLSRCRDDISITPELVAAAAANRAPGAELVTLLLD HRENVGHLEDGDSSLTEGLMIEAASNVGCAVQVLARLLRRHWTHIPLTDEAILAVEDN SLSVLMSLLHLLPKEFPVLESMTDTFSAENSFHYMLKEMTLLVQRLEVEGIVTQNVIA KVAERCGEELVLFLLDQADEDVQLTPALLKAITENQRYGPQISKILLDLHCDIVAPEK FLITLAQTNWKSLARFLRKALKKYGDYLPVTDKVLEAVTGASSPREDIVTLLMERRN TSTA_025450 MRSRGLSGSGDPRRWRCVEALSTAGDNKAFYGLIKNKEYHLTIL TQILQCGVGFYITTLLLVEAAILLQWIRLFAPEGTRRAFYVCKFRSRDFLRQLANPSI VERLVGLPKRTMDQPLILSFSPVAGNLICIPFKRIWDKTVPGDFYNGRPLNMTIGAFN LLSDIFILILPQGVIWRMTLSRKEKIGVAARASAAFRLGIAVVYMIDPDRLYRASSLS MTYIAKMTCILLVYCMPGIPQSVQRLGHLVKGRGLIPIIHQLGDV TSTA_025460 MLRTVLREMIQNAADASATRVTVKFETLPSTTVPLPNPADPTAP LKHIIAHHTLRRLIISNNGHAFTEKDWSRLKRIADGNPDETKIGVFRVGFYSVFDDCE EPFVSSGNAAMAFYWKGNSLFTSRLELSGTNASPDTSPDTTFVLDYRNDISPVPSLLE LAKFLATSLTFVGLESIDLWLDNWNLLRLNKKVAPSEKVTIPRDIETKTVEGLMEVTS VIREVAQVDATWIKLVEWSPQNTLSARLEGIRDTTTTVRSFFSKFTGWSRASDKPPTE SVERLDDAEDLTENQKASVFLHINTASVQSSISNSLASELERATRKPQPKRTTIAILF TKKLIDVGLVTEVTVSGIKRELENNALISAQLVEFISWIGKRAASGELDQKTTKSLLS VAVANEKPSEGQAGQLLTLAGIDCYLNPARIRSDLPIPPSVIPFKYTKSLEKSQLDAV GWKELQIVPWLRWLVENAGNRGVLPVEKDITRTASFAAQILPVLSKQ TSTA_025470 MRKQLESNFNGMFKVFFKSTPEQRDLRNRFEARMKQLIKDEQLQ QKLIPPFEAGCRRINPDEGYLITLQKSNMQPIFESIKNICAHWVITSDGQEHPADILI AVTGRAGSLEATSDYFIRLLRKFLREQVKKFDVRLDAQTDFDKHTQAYMQNMVWTGTY RRSTLHYIQTLAENRWEDYAWEYHGNRYDYWEQGLSWIEEPLADSLGRDEAEALHSST IPTKDSDISFYLRESSPLPSSLGVKDECLLEELAIEQVVETKLSEIIQPSVPTSIPV TSTA_025480 MSNNCLRITIIGAGISGILMAYKLQKHLLEFVDFRIFEKNSDLG GTWFENRYPGCACDVPSHVYQHSFAPNPDWSKFYASSQEIQQYLKRVVKHFDLDKFIH YNSTVTSATWREDRGTWKVEVENQGTFESEILVNAGGILNHLQMPNIDGLDSFSGPLL HTAAWDNSIDLRDKRVAVIGAGASSIQLLPQIQQHCSHIDVYIRTPSWISPGGLTKSK CYQSNIL TSTA_025490 MPSKALVQKDEKLVIIGAGVFGLSTALELSKRGYKNITVLDRHV PPVVDGSSVDISRIIRVDYADPLYARMAKEAYQGWTKEYADFFYQSGLIMLAKDASNV YVSKSKEIVRSQGGHVDEFFDANDVRKLYPGIKSDFSGLYATHNSTGGWADAERSIQR LASECSLAGVSFITGPQGTVHSLRKEGSRITGVNLVNGGAILASQVILCTGAWSNRLL NLSHAASASGQPVGFIKLTPEEARELESTPVMINMTTGVFCFPPTPGTNILKLARHGH GFATEAVSEATGRIISSPRRDGSNAKSGYLPDDADEALRDGLRQFFPRLKHRAWMRRR LCWYTDTPTGDFIIDYHATLKGLFVATGGAGHAFKFLPVLGRYIADCFENKAPTEIRE KWKLRKDIKGSNAPLMVGDGSRGGPPLRKLNSVEQSKL TSTA_025500 MYELERRAIFSKDWIVVSHQLRLAESGKYVQLQEAGFSFFLVKD RQGNINGFHNVCRHRAYPIVQEKEGSANILACKYHGWSYGLSGKLAKAPRYDDLENFE KEKNGLFPIRVHIDKLGFIWVNLEASEKPTISWEDDFGGVDEQPRLLQFDLSEFQFDH QWDMVGDHNWKILADNYNKCYHCLTGHLNLVNYTDLAKYRVETKGSYIQHFNTDRPDR QGMGIYSTFYFPNASITISKHFFYIMRSIPKSASQTLMEYEVYRNKNSSDEDFGNIDS IFKQVLKEDKDLCNAAQQNLNAVIYTNGQLHSHNEKGSLYFQDRLREQVMSHRALERE KGRDIWPTTLAAVVTKKLEEEIDFCNALDCEAASNSNDPLSW TSTA_025510 MSADEARPPKRARQACEPCRQDAPVNGLLAHTVNVLASIVSMPT SPMKDPTLLGRCVRREPVRSVIASTTATSRNRPTYPESTGNVPVQESVTRYQRNEQTV LSASRLFLTYCSCQPLPLFHHDSFFASLSARDTELVDAIQALAFRFSEGGITDPIIEQ QINIQTENCRKQVMDRLANGTVELSTLQALCLLSLIEHTAGNTTRAGANLKLASYLLE SLKPNCTEFPKNLENTRDETELCHWSIHILCNLLDDPSQLSVTAPGNQPYGAPLRPQE RFFMPGPNHRADRGLSEPKGDHGLLACVIRLSDVWKLARIYASTRVDQESAPPWSPQS DYSIITFSHTEIESQTPLKYRLHASRFPDTSPADILEKRAYWGPWLFIQLVWHAIPCI LNHPFLLSMRLKNFRHTMPQSFLRNSFEQITLHTGWILYFVDLLETKQYEVSDPTLGQ CVAVVATIYLQHSFVDELSFREKAQAGFEKCMRFLRRMALRWPHIERQVRNLQQLRDS ISAGEVQQQDPSLHAARDSGRQWLFNVNLLSQILECNNASQAYNPSGDIFGPELARDR VAYASRSGTFTPDPDFTFIGVPGISGHKTVAKEVVTYPPNQLQSQVDLMYHVSPSAPI DLSNLLDNQPGLLDAPEAAFLQPYDYGRAIENWLNFSAA TSTA_025520 MSSLFKTRYGLTEIQISLTFIANGVGSMVGTLVTGKILDKDYRR VKAKYEDTLDIERRTRHEEDFTLEQARLRLMPVFPSEMSAAASASLNFARFLFAAGGT SFIMPMINGVGVGVAFTICAVVQAVALIGPSIQYKCSAGWRRKDREKAEQRDGEGKIE K TSTA_025530 MPANSVPALQTPTKKPTKPTSSNNKRQKSNSSTIKASFAVSKSS NSASKKRKIIHEIDINELPHNLGKLATQIVRDALDGPQDIKNETSSPHSPKRVKLESI QPESKAKDVESAKIKSKKGAAKYGLTPGVSPFPEFSHPTVEECEEVNRLLSTVHGEVK APTKVPQPSLTVTGCGEVPSVLDALIRTVLSGATTGANSAKAFKGLVDRFGILEMGIG KGSVDWNAVRVAPINEVFEAMKSGGLATTKSKYIKEILNMVYEENLARKEAHIKSEEE GNSGPAGAEHESKAQKEVEIALTDENVLSLDWIHALDKEEAMLELIKFPGIGPKTAAC VVLFCLQRPCFAVDTHIFRICKWLGWLPSADTKRVTEITAFSHLEVRIPDHLKAVLGV ERLQGRKVMDGKMDV TSTA_025540 MSEIKAIGSKLTLFGVIKEIFNWYPAEYPSQETKLLFKLDVSIL VFACLCYQTNISNAYVSGLKEDFSLNGNQLNYFNVCYYTAYVVFQVPGLLLLSRPKLA RWLLPTLEILWGICTFAQSRVTNVQQLYAIRFLVGMLEAPVFAGTHFILGSWYSGPEL FKRAGMWFICNPLGSMVSGYLQAAAYRNLSGVGGMPGWRWLFIIDGIFTIPVAIIGYF VFPGIPDSPKPFYLSDDDIALAKERSRRSRIQRPGKLDLNVFKRTAKRWHIWIFVFCY ICMIISSYPFSYMNLWLKSQGTYTVTQINDLPTVTYAIQIVASWLGTTLAAVYPSWLI YGIASFCCLFSTLCMIIWHIPSGLKFVAWYLFGLSGCLSPILYSTVNTIVKNDSEERA LILGTMMTVGYSFNIWVPLLLFPTAGPYGAPRWKKGWPVTFAFLSLLLIGFLTSLVLH RRESRKMPSEVSSDAGSNGEEDSGDIIVSGQYDKRVDS TSTA_025550 MPDTSLEKYDHVPVTKEDLDWAELVTLDLSLYDQPGGKEKLVKQ LEHAVQHVGFFYVKNFNISQEEVDFQFALGREFYALPLEEKLKYHNADDLARGEYNGY RPAGHRILGNGIRDNVQVYNIPKFDGYHQRAQPDVLQKYATEIEAFSRKCHTEVVEKL LRLFAILLELPDEDQLVRDHKYDVKGEDHLRYMHYAARSAEDNKKVGELYSPGHTDLG TITLLFRQPVVALQILNSEGQWKWVRPQDGTITINTCDALTALTGGFIKSSIHRVHAP PQDQAHVDRLGVLYFARPNNHVILDPIQNSPLLNHLGLTKNAFTELDQHLTTEQWVKV RQSQQQRRNRDVKISDDGKYTYKHKDLEIIPGLQAVVYN TSTA_025560 MASSFLQLVFVARFSKLIATSPAEAGRGTSSEQSCGECVGVPLQ GNLTTARGTDGKTGSGMYSINNKCEVASPRVEELLATFRKDGTAQRVWEYFAADFKRI TGIHDFAVSSPNDVLSNDFLNWNIDQFHVNILEPVSVDTEYILAWADFIFQAAAGTDS AAPIIKPAIKASDDRPKQKKEFPHRHCDSTRCQTINDKAMAGVLEIYLSRLHDFWMEH GIDTETLKTGSVGAIVSFLALYAGYLYIISLTEAPVTFNVPLPEELRADWNRTKWDDL KGKARRILEDQVQGKWNTERIVSYCPADGRILSTSIPLATRESVDKAVQDAKRAQVEW AQTTFAQRRRLLKTLLKYVLDHQDDIVTACCLDSGKTKVDASFGEILVTAEKLKWTID HGEKALTPQNRPTNFLMMYKKNTVRYEPLGVVSACVSWNYPFHNLISPVISALFAGNA IVVKPSEQTAWCSSFFVDVIRAALVSCGHSPDLVQLVVCLPQVADSLTSHEDVSQLTF IGSRPVAHKVCESAAKSLIPVTVELGGKDPFVVLDDSTTVRGLESIASILMRGVFQSA GQNCIGVERVIALPGTYDKLIDIVTPRVKALTLGSILLDSKDESSPFTPDVGAMISPA GFARLETLIAEAVDQGARLIHGGKRYNHPKHSRGHYFTPTLLVDVTPSMRIAQVELFA PVMVIMRASSVSDAIEIANSTPYALGASVFGHNSRDVETCIRNIKAGMVAVNDFGAFY AVQLPFGGVKGSGYGRFAGEEGLRGVSNLKAVCVDRFPKIVGTQIPPRVDYPIYKGEG AKRNGTGAWEMCKGVVETGYALDIVGRVRGILRILTNS TSTA_025570 MGAVVSCIEAIFGAIGRCLMAIVNGIGAILQAIISGVVTVFDVI ISCVTCGAAGGRRRRRHHGTTTRATI TSTA_025580 MTSSRSTLSTTPKRKHQRQDSENPENLHNVTATKKRRPGRPLLS FAQLAARRAARKSARRSRRNWKLSQLASPPSAAIQSKRRNGSSALERLPVELLERIFL YALDPNFCRASPFLASAVSSERIYRTLIRLAFFKDDDGSKISGDARERIAEALKPADY EAMPLDEDDRVKLQSTILRCRWCTGRRILAQLPALMRMKIQKYVIGAGIVLSDPKEQP QLDMLSVQGRFADDKSRTYKLYILKGTAPDGGECAIIVDPLVTLNIRWTEKDISWSHR VMDIRVLPDYLLRGRRTEDDIDLLEIFRQEYGLDGTGHDVHFSKGALESGIRTAITIR DMRALNSLLKVHEFFFRQRWETDPPLGCANQRPGQRYTLPEEFFFQAIRLPMPDAMHI FTRLFRCNAESIPPNSSELTQWAMDLGYGSHRDNEKMTVDDHDLAQGLGSFLLDFMTE LPGYDDEGRRIAPDEELFRYGMINNFHAFYTHIIGEKYGPIAKWAYVVEETSEAVVDH YSFDAFQIWRVYVDNELNT TSTA_025590 MASSTRPQLSKLESLPPELLEKIFFHSLSINLPRSSLHIAHVLS KPIIYKWLIRLAFSSANPGSRQGIFTEDFLPSPLDFWALSNAERSKLQGVILECRWST LRLFRECQRDYVLHVLRQKGKGIVFVSEEDRKGFNSIEEKFTRSMMEFDKAEHGRRGS GDLILKGKFLDSSEGNEEKKGGDIRLSIWFHFGAVQIREPSPVAHEIDMFRLPCPPSP NERPRMPDKLLHAPWTDEKIEFLTLLSQETYVDEHNQSSERSLQVLRQLIRDRDYVTF ERLLNLKITTKDYSYPQKWPVKTRHFREAVRLRQGRNDPFVGLLFDKRWDSLKDRTVR EMVLKSLEND TSTA_025600 MAPKRKAQAEQDETSVSSSKSDASSKKQPGLDSYPPSKVYRLIE PGPVLLVTTGSLADSTHNVMTIGFHMVMQHESPPLIGITLGPWDASFAALKKNRECVL AVPSVEMAGVVVDIGNCSADDANLTSKWERFGLDALPGARVKAPLVGGSDIISNIECV VEDTKMVSKYSMWVLRPVKAWINPDKKPGEGGKMFHHRGDGTFVVDGDILNLQDRMPA SVPLQPENINNSMHRVFMKIDSSPVIAE TSTA_025610 MGCLAKNCPVDNIGAPPTNQQIEESANYLLGKDFSGPGEPPRAG KNWVHDFIKRLPKQYVRIVQKPQEKERTVAEHYGEVERWFIDLELAIQQYKIRPQNLW NFDETGFIVGQGKDEAVVTAYPKTSKRVSSLSSRESITVIEGINAEGKIIPPLLIPKG KVHLEEWYRHIKDDDWLVAPASNGFITDKIAFEWLQHFDHFSRPGAFPDWRLLLMDNH TTHLTIQFVQYCEIWHIRPFRFSPHSTHFLQPLDGVPFQQYKHVHGRVVNKIARLGGF DFDKNDLFEELRDIRIKTFTTRTIRHGWRERGI TSTA_025620 MLQPEEAFEALVAEGDALKIYGEADDTIPSSPTTKSISLPSTAV KLRRYVNKIEKSIDGIKDILDEVSPGLSRRIKVVNQGSLTLAELGDLHRESFAKVRDT ATRKNQKTTKRQVKASGILYVKDANRLIKRRHDGDLLKIYKSHVVGVPQPMEEAASTE PQNSTSYFLALESGPTTTRSRVGGDATSIAYCPATPSDPSILGLGSSRERERPLQERI TSTA_025630 MVRILMLFIQSQFLLDEAIADAFMQKTANNSSHSSVVLMRNTTS SHEQHQYRQEEAEFPTPTGRTITGLRRVLAYLTSFAIFEVGSALCGTAPDMDAPIVRR DIAGMGDRGERGTYITECGLHWGLGAVLGPVVRGGFTSPSLTWRFSFYINLIIAAVFI PGTLLALPSVDPAAGVSLVDRLKRFGLVGLVLGAGTRVAFTMTFTMAGEQWLWADGRT IATIVVFGVLFVLWAVQQTFCIFTTTVDRSVLVHLL TSTA_025640 MYGFTILTAVGTGLTLQIGCAVSSLEAPGQESETLTLQSYAQIS GSVFALVIAGQVFQSYSVRGLTKVLAGTEFTKADIQSIVAGTRSLLFQGLDQRSKTAL HLSYINAIQRVFISVCVRGAFTSFRGEAPYIAHLDLGGNLILYRASIIAIHGKIEELF R TSTA_025650 MAAPRSAALKIDWTKVTSSLGLRGQTAASLQAFKKRNDDARRKV TLLSEQPQTVDFAYYRKTLKNQAVIDEIENHFKNFKPATYDVSRQLKAIDAFEAQAIK SAEETKGRVEAELVNLQKTLENIETARPFDELTVDEVATAQPEIDEKTASLVSKGKWM PAGYKEKFGDLSIL TSTA_025660 MANPRVEELPDEEVPKAGVEDAGSSSESEAGDEPTIPGGAAVTI HSRNEKKARKAIGKLGLKHVPGITRVTLRRPKNILFVINQPDVYRSPSSNTWIIFGEA KIEDLNAQSQVNAAQQLAAAEAAASSDAHAGHDHSHEGKGKAPETEAKKEEEEDDGEE VDDSGLEAKDIELVMAQASVSRKKAVKALKENDNDIVNSIMALSI TSTA_025670 MAANIDIKTTSWKLVEVGRVVLIRSGPYTGKLATIVEIIDHKRV LVDGPSTQEEKTVPRHALPLSHATLTPFVIPKLPRAAGTGPVRKLWEKEEIDSKFASS SWAKKNAQVERRKNLTDFERFKVMRLKKQSRYEVLKAHAKIRASA TSTA_025670 MAANIDIKTTSWKLVEVGRVVLIRSGPYTGKLATIVEIIDHKRV LVDGPSTQEEKTVPRHALPLSHATLTPFVIPKLPRAAGTGPVRKLWEKEEIDSKFASS SWAKKNAQVERRKNLTDFERFKVMRLKKQSRYEVLKAHAKIRASA TSTA_025680 MQRSPFAPLPAQSPPLHHPVPQHVSTVPMMRSPPPPVSQSGYDN PYQPAPAQGASGTFAPNFGGFMTDPTAQMGFQVGKTAMMAGQEYMEQNLNRYVSIPAL KHYFNVSNSYVLNKLSLVLFPWYHKPWSRQQARMAATAGPDGQLQPQHYASVFLPPRD DLNSPDMYIPTMAFVTYILLCTVMAGLRGSFHPELLGSITTTAMAVVIFEILCLKIAM YILAISNDSQLLDLVAYSGYKFVGIIVTLLAAGIITPGRGTGGWVGWAVFIYTFLANA FFLLRSLKYVLLPDSTGDAPMRSGTMHTVARAQRNRRTQFLFIYSYVVQFIFMWVLSR EGPPAAKATAS TSTA_025690 MLDAFEIVTTSGVVLWSRSYAPVGSHIINSLISEVFIEEKVIPT DGASTAFKKEKYTLKWRRAKDLGLIFVAVYQSLLHLSWVDKLLDNIAIIFVDVYKDQL KNTRARIYEYPFDKYFDQQVRELEDTTGPSIEEYTGSYYDGKKNPLVASDNGGPPPPS DPSLLSARQQAAQPAAATSNGSSPVATPQSSRPATPSTNHLLTAKPGPAGRVSRRARK AANSSANVSSGDESVRKGKSSKEKKARKWTAEGLADEDDGVNLDYSAAADETTSAPAV EAVAQDTWGRKTAKGQFVLKDLGDEVHSILEKADSEKTKSGGSSGIVGSSFGAIGGLF RNIVGGKILREEDLEKPLKAMEDHLMKKNVAREAALRLCEGVKQELVGKKTANFQSVD AALRSAMEASLRKILTPTSSLDLLHEIQAVTAPTAKEQAPRPYVISIVGVNGVGKSTN LSKICFFLLQNNYRVLVAACDTFRSGAVEQLRVHVRNLTELSARENIGEVELYEKGYG KDAANVAKDAVAYGAANKFDVVLIDTAGRRHNDQRLMSSLEKFAKFANPDKIFMVGEA LVGTDSVMQARNFNQAFGPGRNLDGFIISKCDTVGDMVGTLVSMVHATSIPIVFLGVG QHYGDLRGLSVPWAVGLLMK TSTA_025700 MRTRPSFPHHIYNDSDTALRYCRLIEDLAGQYPGYSYLDFYLNS ENVQPRKEPYQIDLVQLSNGQSDAQFDINTFTDVNKLIDQVRKNDSHKLIILEDPTPE VIAHMGSELEIDPQFWADFLVGSFWFDSGKLRIDHRKEYKYFQDDSLLEKVWLLPTDA RQQDHCCIRFVAQRALDRKDNAQMKKYKSLEKTHGITDGAETGPPNYANTVPEHCKLT LWNNFRNRRGNEKLMSQSSFKAYLDWQRRPQTALKSNASLREIINSQLNEQLNWKAFR NAAAYAPDYLLIDLCRLIASFWVAEIESQHLYLFWVEKFYQREITRQKQELTFQELFA YNWLSEKLNADDIELWSHRTATGCYSHSIKELSQCCDTQKPREAQLSTLKDTLI TSTA_025710 MTSTVAGGLHKVQEAIQSAAGQDKKIIDLSRETADVHKKTKFTT DHGVPVSDTDHWLKVVNDKTSGPSLLEDQIAREKIHRFDHERIPERVVHARGTAAFGN FTLKEAIPELSYAGILNDTSRKTPVFLRFSTVQGSRGSADTVRDVRGFAVKFYTDEGN WDLIGNNIPVFFIQDAVKFPDFVHAVKPEPHNEVPQAQTAHNNFWDFTFLHPESTHMF MWAMSDRAIPRSYRMMQGFGVNTFTLVNKKGKRHLVKFHFIPHLGVHSLVWDEALKLA GQDPDFHRKDLMEAIDNKAYPKWDFAIQVIPEEKQDDFEFDPLDATKIWPEELVPLRV IGELELNRNIDEFFSQTEQVAFCTSHIVPGIDFSNDPLLQGRNFSYFDTQLSRLGINW EEIPINRPVCPVMNHNRDGAMRHRITKGTVNYWPNRFDAVPPTKPEDGGFVSYHEKIQ GIKQRSQGPKFREYHNQAQLFYNSLSDYEKHHVAKAFSFELDHCDDPVVYQRMAMRIA EIDLELAQKVAVMIGAPTPEKAGKQNHGKKARGLSQLDFKPRVPTVASRRIAILIGDG FDSVAFNGVYTAVKAAGALPFVIGTKRQPVFADGVDPKTGKGVTPDHQYDGMRSTMFD ATFVPGGPHVKSLAKIGQIRYWIAETFGHLKALGATGEAVDLVKQALVGIDALQFATQ GSADVVESYGVVTAAGPQKPESFKEGWKMVKGATDFLGKFFFEIGEHRNYKRELDRLA DTLAF TSTA_025720 MRRIGILVSRTRIPRQLSYNQHPGVIHPSLLRNFKVMIQSILPT YILRVRIYFFPRWPIQKETNWFRKLPDSARPLERYSPRDEIFAVNAKVVLTLFVLGLM IFDATKPNPSRSWAIFNSTSAQIAYESSADRLELCGEGSSINGGTTPSIDTLETVITS LQRIHSSTEEDGNVHGRSVKILKESIPIYAMIRPRGGDFVYSDLEFEVMKEQLLSLKS AIYPQHNAENESQEQEASSHETTNFFEKVSGFVFGILTPDNKVDRVRNEELVKLAAPL PCTFHRAFDEIISSCQERSGGAKLLPRELVTELGAVISAGFASILTSGGTGRSAVDGA KQIASLIDAADGRIEIIAGGGVRSTNVKELRRQNPRARFFHSSAILGDGDVADANEVL ALKRELGSTEELCA TSTA_025730 MDRATDILMSPWTAVPVETDGIQKVTVVRSIYDVCIPPASEETR LSLLRYQSKRRLMEPAISRGDQMNAMGILRSEPAFHRMALRRSASEQMLSNRRRDFSE LQTDSSKRAKNRQTRKHDGVSVQLPAMIEDNPMTKVSALDVSPLSLDIQLMDTNFDIE ELLREAEMEIEMDNSSDTSGPTSSSPEMWEEKSRYCSSASSNSTLRSNFEDGNASSRT KPIQPLIAHSSSEMKEDRTPKRRSREPRTKPVLFSQETRRRKEQYLQQKPLPAIPTTL SIVTNQSNQHVAVLASKYSSSGDESPIDRLLVLQTQEKAFSQAANELERELKKTADLY PVMRPEQPLIKLTKDDENAVSPLNVSPKYDISSKTGFITNSLGPNQRENVSTRKQSHT YKAFPSPENPNLAASSNKIQPNRHNTESPIDMQPAEITIKRTAQTSQSPRSVSHKIKS SVHLPHLRRPRFNSHSVHPKQLKENKRSNASTRYPVKTLREEQAALAKSTDRYIDTEI AKALNVEHDEEEDGDAMGSKDPKENEWSTMYPLKAVKKLGIPLLTNSHIPRLSSSDIE RTVRQQLPKLDTNSLLISSPTSTVSERRYPETPEDIGVRVRSFIANSIDVQSPREENH DMDIGETLHNEKIFVGFDRMETMPEDFSLKTEEISVVMDVPTTTQPLRATFPPRKESL YELGEHGSTPIPSTPNSIYNMKLSMPAQLTDEVVLALMRNVDNLDGLFKYAQVNRQFY QVFKSNELQLIKNALFNMNPPAWELREMSPPWSDISDGLKDPDAPVPEYTATSYLQHY ARDVFALVRLKALIYSRCSSIVRSETIGGLTGEDDVRASEIDEAFWRVWTFCRIFGCG KNREGDINGQIDWLNGGYLAKKSKSGATVVTAEPFFSMNNVLFDPPAGFGDGNGDGLT WNQLYDMLEIWNCLGTLVQGFHRECKEARVAGIFDGLDIPVGDIAKEEAMLEEWTHYL LTLGPSALVGLSSVTSSYSAKDIFAKAKQMGVTKWEASEDGSTRSSFLREAISRTYNS RVAIQHKLSNSSASDYSVASGRERTRNYNSAGGRRPGHLLYTDCTDEDNTSNQRAPPY TSRNDDSAFTAPLLSPPPRYSITENRLIDPVDKAIHRMVHELGFSERDATWALKITDT GDMLDINAAIQLLVRERQKRDRVKRFDNPDDTTASGRDDCLVDISRHRGIVSWRWA TSTA_025740 MKQLTHDSTILLVGGGTWGCSTALELARRGYKNITVLDSSPIPS PISAGNDVNKIMEEGFPSSTDSDEDYVWNRMHQLATNKWKRDSVYRPFYHPTGFVMAA SQDDARHEVDSYIKSCQHKVRLLSTPEEFRSTMPEGILIGDFPGWKGFFQETGAGWVF ARGALEAAYHEATRLGVQFVTGESKGKVARLLYDSENVIGAQTVDGTKHRADQTILCA GANSDQLFDFERQLRPTAWTLAHIQMTDEERKLWKDLPVLFNVNSGFFMEPDAQKGEL KFVDEHPGYCNFIKDPETGEEMSIPFAKHQIPIQSEQKAREFLRQTVPQIADRPFSFA RICWDADTPDRVFLIDHHPKYKSLVLAVGGSGDGFMMMPAVGVAIADELEGILELKLK KGFRWRPETAVGRNWRDTQNRWGGGGDVRDFQKVDEWTHIRESVAA TSTA_025750 MRTSVIVAREFNVPYRRLLARVNGQTALQERPTQNNILTNDQEC AVKAWIDNVDLMGIPPTNRMIISCANAILQDANPHVQPPPTVGTGWVYRFTKRIGYTR VKQKIIDPKRLEAEDLGVIQTWFDRLEIQLRINKITPSKLWNFDETGFQVGQGKNESI VTQFPRTSTRIASASSRESLTIIESVSAAGKVIPPLIILKGKHHMEEWYQNLFEDDYC VAYSEKGYSNAELTYEWLHHFDLSTQEYAKNGYRMLLMDNFKAHLTYEFIEHCRKQKI IIYCFPPHTTHFLQPLDDIPFQAYKHFHGIEVNKQMRAGAYDFDKYDFLYHLATVRNQ TFTSRIIRAGFRDVGIHPYNPELVMDKLNAAEILDAVPILEIYDGEEQAIPSSPTTRS TSPPLDSYKIGRHIKKIEQDLELIKEGIKHISPNLERRVRRIMKGSLINAQISASHRR QVNHLLDVNRRKSKAKTRRQILNVGGVLTVRDANTKIEARKVLEIEKR TSTA_025760 MERRLEMEGMLSNITFIFDYENRRIVHSSYIYLQGARQIVIRQQ LQQMERNVQAVVDALSSRRINTLTELRRMERILLTAIQPHVTDLRESSLVGVLATAWL NYVQNHNLLSELRNLTRNYPLSSVMLDEAKSLVMNDPERSHSWNFAWLVLTKIEEEAL ATNADMWGGILPQEDMITMLEIKCREEWTRAVEIMLRHWDTQPVFPNEGD TSTA_025770 MVSSESQAITRRPSTQHYQTFSTPPPKSRGRPPSHDSDRSHSFG SPQSNGDDDQSTTGQNKLPKKQMAVLAMIALAEQTALNSISPYLPDMTSRFPEVHGEN VGVYVGLIASAFALAQFITNYYWGWLSDRVGRKPVILLGTACTAVCFVLFGFCTTLWQ AILVQALMGILNGNAGLVSTCLGEITNRSNQSRAFTYLPVLYGIGGITGPLLGGSLVL KTNPLKKGEPNPYPYVLPNVISASILIIDFILVICLLEESLEDAENLPTITDRFKQLF SWVWEFTTSHRPTYLRVRSGPVYHHLGTRHGRSFSDLTHDETDLDSASETAEEDDHPP ELTREEIFNRDTILLLLTYLIFALVNVSFNSLYPIFAQAPEPAGRELSPQEIGLSLGF SGVVTIIFQICVFGKLRDKMGNKWSYRAGLLGFVIAFLLMPLIGYKGSDKNGRLSKNS ALLAVELCFVLLVKTVAAVGGLTSALLLVTNSAPNHSVLGALNGLAQTLSAAGRAVGP FLSGGLFSLAQKLQKSEMLAFGVFAAVAFVGFVLSFGIRSSALEAAGWSSDEDDLDKS DDEIEHGA TSTA_025780 MSDNIDSTIDIEGNKTEQGFLLNDTVHSFSWKDLFVTVKDRQTK QMKDLICDVNGSVGKGELMAIMGPSGCGKTTLLNLLARRNPTSSAKISGHTMVGGSDI DNSSFSRISSYVEQEDTLIGALTVEETLKFSAELSLPSSTSKSERNDRVQLLLNAFGI QEQAKTIIGTPIRKGISGGQKRRVSVASQLITSPKILFMDEPTSGLDSTASFEVISYL KKLARRNNLFVIASIHQPSTSTFELFDKLLLLSKGRTCYFGPTAGVGTYFNAIGYPVP LHINPAEFILDIVSTDFSHDDEVGAFGEKANSNRTPTERLKYIHKCWQESEQARTTER QATQSPDGQNSTNMKLLVEDVASSRPAWYRIVLALIHRSFIKSNRDVVAYGIRIAMYL GLAIMMGTVWLRLHTSQEYIQPFINAIFFGSAFMSFMAVAYVPAFLEDRSTFVKERAN GLYGATPFIISNFLIGLPYLFLISLLFSIVAYWLSNFEPSAVAFFNWVMWLFLDLVAA ESLVVLMSSIFPNFVISLALVAFANGLWMSVGGFLVTPTILNPFWKYVFHYIDYQAYV FQGMMVNEFSRRNYSCGSSCQCMFQTELASQCQIRGSGVLQQYGYAEGRQGKWVGILI AIIAVYRLFGWIALVLKRT TSTA_025790 MPEFISVTFPNASTEINPLPNAAIDPNYLIRYARTLDDYGFNYT LVPYDSSSFDPFTIGATILSVTKQIKVIIALRPNTVPPTVAAKSLATLDQLGGGRVVV HLIAGGSDAEQAKEGDFLTKDQRYGRLEDYIRILRRAWESAEPFDWDSQYFKFKQFSN RVRPTNKTIPVSVGGSSDEAYRIGGSLGDIFGLWGEPLKETKEQIERIYAEAEKAGRT DRPRIWVTFRPIVAETDDLAWEKAHRTLDALTVNRANGQGKVPPVAPPPQNVGSQRLL EIAARGEVQDRALWYPTVTATNARGASTALVGSPETISESILDYIKLGADLISIRGYD NLNDAIDYGRYILPRVRASLSAFRDLHSGPEKLRVYQM TSTA_025800 MTETSTFFVGILVGVVLGVISILGFTRYFALNESDKEHKRSPME FKPAKKLNSMTKAESVVTKEAEFPPDWFSSTDNYNLETRAIFSKCWILLTHTSLFSKP GDYHTFTICGYSIFLILGKDFKIRAFHNVCRHRAYTVTRKESGSSTVLGCKYHGWSYD VMGRLVKAPMFDGVPGFRKDDNGLLEVPLRVSEESGTVWVNLDAARVNDGDIGGDKKE KEAVDLFLGLGGNKCRWVDGRTVEGGFNWKSAIVDSNTFNIGIPQRPRPSLLPSWLGK NHSHTSALHLFPCSTVYRIPNTNLWYSIILTPSSSTKTQIRWDLISSSTKSTIEAEKA IASQIEGMVSSQAKALEQSLQLVDDKNKDIQSAILVQLKSHLRVERALGKEVTPAMNK AKTSEKFLQAESLCKELDCLSGKTTRTNAQRHDILAW TSTA_025810 MPPIRNKNRKNLDEQEGRILLAISDLQNGRIQRVAQAARIYEIP RTTLQDRLNGIQQRSLVRANSHKLTQYEEESLVKWVLDLDRRGLPPRHSLVREMANYI LSQHGKPQVGKNWITKLIKRRPEIDSKFARKYNYERAKCEDPKIIQEHFDRVQAAISE YGILPEDIFNFDETGFAMGLCATAKVITGSDRYAQPKLLQPGNREWVTAIEATNSTGW AVPSYVIFKAKKNVREGWFDDLPDDWRINISDNGWTTDQIGLEWLKTHFIPYINGRTV GKYRMLILDGHGSHLTPEFDHIFCMPPHSSHLLQPLDVGCFAVLKRHYGQLVEQRMRL GFNHIDKMDFLTAFPQARTVAYKAQTIRNSFAATGLVPFNPDRVIQQLNIRLKTPTPP PSRSSNTASSCLQTPQNIRQFIRQSTTINKRINERTESNQNQEINQAVVRLSKAYEMI ANDVLLVRKENYDLRAAHEKEKQKRQKSKKQISIEQGVTKEEVQALVQGQVEASHAVT TTPAEPELPASQAVVRRQYRCSGCNVMGHRINQCPSHISS TSTA_025820 MAELARTLPASWYCSSPLYQLERRAVFLKSWYLIGPVVRFLDVD EKVSFEIAQRQIYAIRVSAATEFPGKDDLKVFDASTGDPLPSHVTPTGLLFAALSPSA PSFHEFFPDLEQLLAKVDFTKRPWRRSIKYEGNFNWKTMVDGYQECLHCQYTHPSFSV YYPPTFYTVYNHQNFSQHVADPKKPDDGLFLYFFPICTLNVYGGGMSSFRVCPTEDPG VTRMEFDYYHLEEGAKFEEYFQFTRQVAMEDFELCEKAQTNLERGVYGEGILNPEKES GVAYYQERVFDLVRQQFEEERDKHEAQGDSSGTDSAHTSRMVKIEA TSTA_025830 MGNIIFPIIAMSGPLRHHRLVQPNPSESNAVAEYDTKTWTNEPT VIIFPGAGESSSSWPRVQESVSRFARIFLYDRTGLGKSENYTHSNQNNKGAAIIAAEE LCSLLDETRITGPYILVAHSYGAIVAREFLHLRSNEVAGMVLAEASTERQPQYFRLPD PNMTAVMANLKFSAVIGLRANARLSSDEWRTRAIEMSQSAPAIQEEVAGYETVCRQLG EKNQFKAQVLGDRPLSVIKCQSFRDYEKMYEAGLEVENGTEEQRQAFRRLLDNWDGYS EELQREQLQLSSNSRWVEVADCGHNVNILRPDVIVSEIRWVLGCKSTDELRL TSTA_025840 MRIFSVLKALTCQWNEFELHTHTHSDRQREIQNPETEIGAFLKA NAPEGPRKAVLRASTLLSHWSGPRLNNNDQASADIRHFQDVLRKFPPRPNKDAYTIEL KNVSCTDCDAIFSTRAELENHRFTKFCPGAAIVVHVMMRDNLINKGYRIEKRLVPLEG FPLRVPCNKCKDVFPSDEAKEAHENLRMSTRLSRYELRLHYDYHDDMEFRHVRKEVNS STSHQRASSHPQVTHTGSMSIPLNPTENAMRKVHKRKSQRLLDALSTKKAGKGEDGEI VAIAFSLPEDMERVHAADGKLQDVEAGTVVDFPMAQFTTWLDMKGNDDKCLDSPTCSE KENFAENQRSSLDEDKTATVPRRMSLLKSVLGLRLPKRLSRSDTSSSQPRKLRKKENS VRFSFVSKPKKSRETSRSDSRTSSGILGKLEKTEIVSPRLDETGDSDSNPFIHVRISA DGAVVEDKSGRRRVLQMEQVAHIYSVVSANMSLASIISQITSLQIRAETDLEGGNPRD AILAYKEILDILRMYPTLDTDLRIKSGTYHRLGSVYSSLGAAGESEFYFLKALAIYRR IYGRDQSVTYSLLNDIAKLCEKDGYATEASALYERVLAGRLRVLGHNDPETLNSMQEL ANIKMSLGDLESALQLLEEAVPAFGTVLGLQNETTLVAMNHLSILYQKLGLNENSLAM SRKMLPHCKNVVGYESPLTRDTLIKYLEESENFDFPADVKSILDHYRRTRSSESFRVL QTLGRAYMEAGLNRDACEIFLSLLDETSGGNELDSLEFFDALSALCVALEHLGHFDEA IKNYGQLLQSAHKTPLGHPSRSRMDYARNRVTDLIHRREVLTAERRAWEMFEDGPCTF CRSTTTSLCNTCHVVRFCSAACHEKGRLLHNLSCIPSVTLRESRSVAITPRCPPAVQN DALNMILPTERGTTPPSITASHTVYLDPRNFTTFRMKLSSHVNTLLLFSPEADIRYTI IGNLTESGFSQVSLPGTTAVSTFAGKMPAGMIPSTLTGHQWLTPALQESVSITPMEQS AAIYLVVAPGEQMMKNLVEKRVRARGGGGEKEYFEALDIPDSRLSEYAQGLMMNGYMG EAFLYIVGWT TSTA_025850 MLERNQHAGLHGAASVASSVGPPKALGEKILADVPGELLTELGR LQEMFIVDKDKLKLITQRFVSELEKGLTDDAGDIPMNVTWSFGYPTGHETGSYITIDM GGTNVRVCNVVLTDGKGEVDIVQEKFVMPEGLKKSNAETLWDFLADCTAEFLGKHSLD TKEALPLAFTFSFPVTQPHIRSGILQRWTKDFDVDGVEGEDVVPQLEKAFKKRNLPVE IVAVVNDTTGTLIASAYKDPEMRIGSIFGTGVNAAYMEVCGAIPKIKDHGLPPDLEVA INTEYGAFDNSRKVLSRTPFDRSIDENSSRPGQQLYEKMVAGLYIGELLRLVLLDLHE RNHMFKEQDVSLLRQKNSINSLFLSTVEEDKSDALHDISFMFNKALNIEPVDYELKVT RFLTELIATRSARLYSCGIAAVSKKKGLETCHVGVDGSVFNKYAHFRNRAAQALKEIL DWPEGSPDPISIYSAEDGSGVGAALIAALALQRTEKEASGKMRFRSQLTNVAIFAKLA ASLNSLGKICWVRLERETVHFTIIPDQGTQVWAVLPAEAIFDESYLLEAAAGAINLEV PIAALSRALRSAIGDKSAQLRLSRKANVPLLVLTVVSSSLVPGNIPVGSEADEFGGPE HDQSQAATRANAPRERETVITQEIPVKVLHQSVVEGLHEPRCRDPDVHIILPNLAQLK AISDRFTKLAASSSRSSGGASIATGTTGPKLELSANMHGSLKIGIATDSLRISSVWTG LTNPPLDPRQMQDTDIDQLPSERMRQLGNSGDDDEEAGWAKVRIDGKDWGRVLSVGRL SPKVVACFIHETALILYVYLRGGLTGEESCLTYYINSYSA TSTA_025860 MTISQAAIAIVGAGPAGLTFARLLELAGIDYVVFEQAESALWAD KHSSSGTLDIHKGSGQAALKEAGLMADFQSLARWGVPVKFVDLNGNVVLDTVAGNSGE DKPEIDRRDLQKLLLGSIPASKVRWGFKILQVQVDSDGSQSVHCENGSVESGFRLVVG ADGAWSKVRKLVTSAEPQYSGTHFFTTFLKSDNPHYSSLTSMVGNGNYLALNNGRQFF LHYLGDGSYHLAVGMKLPETWALEVTKHHGPSTLWQSLLRYEFAEWAPELTDLIKSST HTFRSWPVYSIPKTSVPWKHTPGVTLLGDAAHLTEPTGDGVNNALQDSVELARCIIKH GIDDLDSAVVEYEKAMFPRAIEAIEKGQWFAEHLFKAESSQSFLQAATSR TSTA_025860 MTISQAAIAIVGAGPAGLTFARLLELAGIDYVVFEQAESALWAD KHSSSGTLDIHKGSGQAALKEAGLMADFQSLARWGVPVKFVDLNGNVVLDTVAGNSGE DKPEIDRRDLQKLLLGSIPASKVRWGFKILQVQVDSDGSQSVHCENGSVESGFRLVVT SAEPQYSGTHFFTTFLKSDNPHYSSLTSMVGNGNYLALNNGRQFFLHYLGDGSYHLAV GMKLPETWALEVTKHHGPSTLWQSLLRYEFAEWAPELTDLIKSSTHTFRSWPVYSIPK TSVPWKHTPGVTLLGDAAHLTEPTGDGVNNALQDSVELARCIIKHGIDDLDSAVVEYE KAMFPRAIEAIEKGQWFAEHLFKAESSQSFLQAATSR TSTA_025870 MSDSRSLSIPTVRIPPPPSAHSPLRSPKRRQRLPVDEDPLLGKL SPDTILDALAAINAVATNEGYAKDLLTRSISQASAEDRLLGTRAAITAKKLREWLKEL QAWQWPKGHDIQHGKGFMSPSKDTMNDTTEFWGSLPAQLVVEHEARLEQIRDGIDSLN VDELKEHIMNVHVPGRSRPSSAHSALSAISAPPFTHIQLSDFTAVITATILRALPTLA RLNMLLETWTVRLLVLRQIPDLLSSLDAARTSLDSALLITQESRLNKRYSMIDFTSKR EELAELIGTAASRMDTVLDALEGREDSIPETWIDKMDALETNFTTWIQLAEKMTIERA WAFSELKVAQQATIEDEGLQRNVPAMDQPGEDATEEEVHDHVDDSSSPAVNEATRSDG NNNNTAPQNTTPSSREGPTPSTDVVDVNLVVTSVTISLPTVDTMEVPNLPTDEEESIP EITTIETRPSSAEVLPRNADSNNAPAAANHEQTGADPGPHVSELELVHSDGQGSSEVE TAIDYEPETSTLPLRLKKSIPILAVIEESLSEDSESQHSSEPGQTHAQPLVQLRPSPI SDRRDSDSATEMQTEINPATTENNLESQPVPASPFTISQVDQHSTDLGNDMSSEQSQE HQLQHLEIPAANQTSGDHLSQRDTPSTLRPVTGVIQHPKAGDSDDLDQIRAATAGKSN SDISVPASDADQSSPRRLDFESPQSIMTPSLALEDPAIKGTPQSSLIESSPVKVMGTD SQNFGALLEQNIPIHPDIPVQSIETPSKQDTISHSFQGDNEITSIHSRKISAGGQSYR STVPFSPAVFSSTSDRTVRERESPQLSSSALQDLETFKHSNDASLPLQRFINDKSDVS YSINHEMTPNSPSSRGNTSRYLREDTESPRFSNRSVSPPANVVPRRAIRGPSSSLMRG TISSLNKVVGTTKRSESDSYNHESERLLSRSRLGSPYDADSPILWRRKSSTSDLLQAP RPHLQNQPSMESIGSYVSSNGTSDMRRRYSFSTDGGSFAIRPVHEADSDLQEKIHSIL TSIPGKIRLSNNPARDFDQQSVMSSMSSSKRARLKAQSPFSTPSRAGTPTPSEGFTPS SRQRRTTSHKSEDKTVKVYHLHHRGKTEPTKLFVRTVGEDGERVMVRVGGGWADLGEY LREYVLHHGRQTPSSSNVEVKGLLATSTSPRSTTSAAATVIAQSSPGAPATAINRPGS SLSIHKIRRTSKPSELPELAIDDTENSPENLPLPSFLSTARRSSISSINSVSVSSILG DGSSVYSPHPGSARAPLSHSSTPLGLAGPKPRTRHVSMTPESEAWVEDVIGQARRTSS TNIKPTGQKHTDHRSERELHDSSRMSIRSVSDIPSGGRNKRVVLKGLGSHDRS TSTA_025880 MAVPTSIPEEDDRSMELSSIAAIYPEIRIDERSPFRASLDIPVA PSVPIHVRFEQGSEPFQAPMTPPTSLDTNGTGGKDNIFNINNDNVAENVHDDVHAISH LPLVTLDIDLPLGYPSEKPPIFNISTNPQWLSPRQLATLKEDGNRLWLESGKDLVVYT YIDHIQQMTDDVFGIASDHGDEIKLPRGLKIALLDFDMKAKREKFEQETFECGVCLEP KKGLNCHRMLLCSHVFCVPCLQDFYNTCITEGDVDNVRCMAPDCGKGPNTTQDAPQEV IDGTTSRKRKRRKQDRLISPSELLQIPLEQEMVQRYVYLKRKKKLESDKTTVYCPRQW CQGAARSKKHPKPDNPMADDFDDSADEDDAVEFDPMGEESQLPPMADRVAICEDCGYA FCCVCKKGWHGELARCSPRREAELTAEEKATEEYLKMYTTQCPTCKTPCQKKMGCNHM ICFQCAWLAEDNPYKHFNTVDSSCYNRLWDLEGGDGIDPDGAEELHRLPENVADFDSD PEFEDDDPPGDLDDGEDQPVWELSSDNSDTDSDDEEGLGRRRPPPPAPAPPRVIPVAG NPNGDPGFNPHGLDAAGMAVAAERQAQALAMAQIRDRPGAREAFPRPPVRPGAGLQPR RHAPGNQPVRQEGLQRFLELVQNDQEDEWDSDELNE TSTA_025890 MSAPDQPLASLSLTHVHYNPDDILSYASAWLALVPQALCVIYVT LIWASREVEILLMFAGQMGCEALNFGLKRLIREERPQYMQGKGYGMPSSHAQFVAYFS CYATLFLLLRHRPNFSITPDAIPFLQRVALSFLACVGASAVAISRLYLNYHTRKQVLV GFSAGVIFSVVWFSFTSYLRSSGWIDWGLDLTLSRALRIRDLVVSEDLTEAGWQRWEA QRKLKRREHSDATHKSD TSTA_025900 MSTHHIRADEPEHVIVKADEVDQTGTDISPVPDEQLMAEVVEGL SLEPSPVLEEQIPATSISGNARPTGRPGPVRDAAPPPPMQPPPPAPGQNAIELAPDSL SLAQLRRIVQDIPKVEQQVYAFRYADSQPFPEELDEWFQYSEPERMMLVGSKVSFHQQ WKEFCHKNSLDTSTSWLHAEYDTRKSFVKHILTGLDDTDLYTRIEALEAVCYAMCGVW GLTGGRNSQKSQVKSLQIKWIVQNVLLIYECDGIPRLYEYMKSIYDKETSPLDTDPVD LNNEKEHATYLIAREREANLILTCMYFAIEVARRQEALDKMDVKLRGAISVLQPSLLI TLVKVISGLRWEDSAAIPFTRIALLFWKTILLVFGGTQSLEEAKKELEPKMDIPKDPA DRREPFLTASPLDYHIFRQEVTSKYPAYNPPPPVVPLELDHNSILPPFANHPSRLAST QGVSGPAAVGSSGSILHQPVHIATPAPSPPPSPIGPSGKAAKKQNYQTNQHFPFMYPP LDDSSNNIGGKGTSEMQDTLVGKKWEGSDVPASIIEAGKLFSSHVKMTRATRQLWEER ERFMKYERGWNADDTINDGTAKEEENPDASLSSPESQRGSAKPRIPETENEDVQRRLD AVEDFYKQAMPHLQAVVIVLLKVILTNISAMINQANGQGGHGASMANGYPYGGTRDDF LSDVEELDIIRLREITGKAISGALLLLLKWFKRSHILKFEYMTQLLLDSNYLPLILKM FAHQDVDQLIAQQNDHKEYSFFHFCRVHSDDPPEEDAAIDNESMDEEDEAVPPPILPR RASANGTSSVRGPSPVKNAEGYSDGTTRLEVDELGYPTGPVPEDPITAFSFRNFFSVI NYLHIMQKITRDKAHRCLLLVQYRSSTILRKGLKIPDPHLRLYTLKLFKSQVPYCGRK WRQTNMRVITAIYLYCRPELRDDWLAGSDVDAEVEDALPLEQALRGLTHWWHLRQYKD VLNIGDEGTSIFEEERDFFTRELDAMGWGLYGEDMLNDVDEREIGLPTNGTTEWDGGP LQMEGW TSTA_025910 MDAPFPHQHSARKLDGFENAGHLNEHWSSRVYPAINLLNPEVEV YNSPTGERICTRCCVSYCCRNLYLLHVELSLEHNLCVLCNYKTDFDRFEDLQEHTERD HFWCEICNWYAPSYEGLQQHFKHWHNMCEICKQTFNNQSELISHGNTHRPMCVPCIMC GEKFNLRSASFNHMESGKCPSGATKGDIRFVVRNFWDHLCMELGYWVPDTHLFHCQTC QRYYQRLSDLFQHRESKSCREGYCKVNSITERLMNYLQEQLPLVVEARKKQEESEKKR LEAVYSAPSTPSALAVASVPADLLAPPVLPAHPRILKLRGEPGKLHNELQLVCKGPRE L TSTA_025920 MASDEIVWQVINQQFCAFKLKTTKGQNFCRNEYNVTGLCNRQSC PLANSRYATVRSDPETGTMYLYMKTIERSHMPSKWWEKIKLSSNYTKALAQVDERLIY WPKFLVHKCKQRLTRLTQVNIRMKKLAKEEERLGERIVPKLAPKIRRREETRERKAEA AAKVERAIERELIERLRSGAYGDRPLNVEEGIWKKVLRGLERQGEGERDEDLDEGEQY DEDEEEEEGVGEVEYVSDLDEDEEDLEDIEEWLGGDSADSSDDYDDDEDEDEDSDDDE DSDESAAEDKKKPAAGNKRKRAAPPPKPRKKGARVEIEYETEGPAKERILA TSTA_025930 MSSYFASLASSTTISNLGTRLNSLRRAITSGDEKDDPDNEDCSH ISNVLRAYYTEKGRPFPEWLPPDPKAPAAQPRLVATQASLQQGSTSPYGQPQATYTGR GGGLGDLWGESGPAEPPQTQTSSLRSRVSRRPLPSQRGDTYPSHSSSGGASGGGSASE RLRARLQGGGSSQSPPPSRPQQQYQAYSWDGGQGQGGYGASGGSGGYKRPGGLPSGPK PQR TSTA_025940 MEHSQHVEAAYADKPVPDIDLDDLKGNSNAAQEAAMDAEIARLE TASQGLKRPKLEMTFSNPAVFTYVLVAFASLGGLLSGLDQSLISGANINMPKDLSLSA NQASLANSGMPLGAVAGALILSPSNEYLGRKNSIILSCILYTIGAALEAGAVNFGMMF AGRFILGTGVGLEGGTVPIYVAESISSKLRGNLVSLYQFNIALGEILGYAVAAIFIGV KGNWRYILGSSLIFSTIMFVGMLFLPESPRYLMFKGREHEAYLVWKKIRGFDSYESKA EFLGMRETVQIENEEQSHTKKYPWMDFFTNPRARRALVYANIMVFLGQFTGVNAVMYY MSVLMEAIGFTPKNSVFMSLVGGGALMLGTIPAVLYMERFGRRYWANLMLPGFFIGLV FVGVGYQINLETHQQLAEGLYLTGLILYMGFFGSYACLTWVIPSEVYPTYLRHYGMTT SDANLFLCSFIVTYNFTRMMNSMTRIGLTLGFYGGIAVLGWVYQILFMPETKNKSLEE IDELFSKPTSVIVKQNVKNTVQVMRDLSRFRFRKSRIETDKDIYYGLSSI TSTA_025950 MTETMSHLPENLIKPHLTGASWNPAMRPEDAPDASVPEANSLSA IERLSQQTDEIPADDTVKEDIISKSESQSTPGHNVATISTAEHNESPAPSIEPTEEEK PLNINWGEEADAIWNIQSQNPFEREDVAARTNSFPPVSNTTEPTDEEIPIEQHASAPQ NHEEPHNSQQEDSHSGSHFEAIQNNNDNNFWEADGAEDDEGEFFDQLKTQTKPIYVPP EAESRFEEGIPLVENESNFQTDGANKDEPQLDDVFRDDEEDDFFASAVKGDNSAPEKP TVLRKSTYDVLGGRDSSIPDSPVGESPAAPELEKEDDDLAARWQAELDDAEYSPDVPE PKAEEEDLAARWQAELDDDDDDLLLENETKYKPREESTFFNGDSAGDLWSPQRAPSNY TNPYTPHQPSSTELFQSLPNIYTPVSGVSAPPSGVPETPTEKEAATHSFVDQAKDGYK SPYDLPESLAPRPRRAAARRTAPPPSAGIPPPPPRSSSIPPSLSIPVVSSVLPQPGAQ KTVSQPVGGADPVANNFFEELPALAPRQHPGSRTGAYTPAPVVASAPPPGESMLPPSM PPPSLPLSQVGPDVAYQNQLQAPERMGPYANLTVPMASAGPGIGPRYSPKPPSTTSKS PLSSRYSPAPPSSQPPNGRNRYVSQPNNLPFQPRTSSPLAHHEKTAYEAQTQQRPSTS SGSSSLTYPISSNHTRGSFSVPTNDLHGGTGPQLPQPSPAPASFSPPANPCASSLTSE PSRRSSTESPYTPHVGVAPTNVLPPPANDLGFAPLRRSQTQSPGRQSIRPSFIRNPTE PVQRPASVHEPGSPTQAAHAYTIPQVTSIVREVPELDFIKPTDSRKLDPLQRWKGAPV FKFAFGGLVSSCFPQHIPRYTAGQMNPMIQPAPGETKVRQLKQILPDGDIVRYPGPLK TKSKKKDVVAWLSSRIAALENEGIPPSLDQGPDALKKHDEKILLWKLLRILVENDGAL EGTSEIEKSIRNVISPELESTDQGNGYDTGAAPGLYHPTNGSISSESVASKVLGQIKT ELLGGYREKAVWLAVDNRLWGHAMVISSTLDKALWKQVVQEFVRREVRSAGENIESLA ALYAVISGNTEESIDELVPPSARLGLQMVSKEGQGINKNAVDGLERWRETLGLIINNR SPDDHQALVSLGQLLGSYGRTEASHVCYLFAKAFSQRPIFGGADDSQASIVLLGADHL KFPTTYFQDEDAIALTEVYEFATSVLAGSAVAVLPYLQPYKLQHAYILADKGLRTEAM HYCEAIGSVLKASTKPSPYYHQRLFAEIDELATRLKQAPGDGASSWISKPNMEKVSGS MWARFNSFVAGDENEAASNGSATDADVGPFAKVTEPATISRPPSVSEVYGSYSMVQPA SNAFSRYAPANQFAPSSSPEQYRARSSMESQRSPSIGVTYNARRGSQEPATPVESSPY NFASSNAYGSPTSFPYHSTPPQSSYVPLAPVEEDLATQAQPSYPAAPQQSALTSGLQA SPERFGQPLHEVNETSPSAEVPHYGGYEPPSISSYEPPSYHPDLGLSTDGPGQEEESD EPEEKPKKKTFMDDDDDEDDLAARAAAIQKAEKARRDREADEAVRRAAEADAQRPAAP KKGWFGSWWGGKKEGESSGGPIRAKLGEENSFYYDPELKKWVNKKDPNSATASTRATP PPPKGPSRSASVGSTPPPPPASALHRPPSLSQLGATLDSRPSTGTGVSPLVSSPAFGP PPPNGGGLPPRSVSTTAAATASTTTLPSPSASSAKGAAPLPRPSTTSLSNASSIDDLL GAPTARKGGTVKSKKKGRGYIDVMAK TSTA_025960 MSLSAEYLHGIDHSGPPSDDDLDLEELDPETARYRQGPRTSLES KRQRGSYGARIALRNLRSRKRNRLWSRDHQGADHDLDSLFDDRDDIAFNSHEDDAPLL NGQRRDRTSYDARRSGKSRLPSFRANAPARSPDVPSDSGSAREVLVGQAQHLKFPANA VSNAKYTPWSFLPRTLYNEFSFFFNLYFLLVALSQVVPVLRIGYISSYIAPLAFVVTI SLGKEAWDDIGRRRRDAEANAEEFTVLSFGPPAGGNTRGKSGSMNGSRLDENVYEITK KSRDLKVGEVLKIKKDQRLPADVVILKSTLTESTVQPDACHTFEAPEVDLLEASTDSP PADGTTNGKVGKTEESVQASGAGDTFIRTDQLDGETDWKLRLPAALSQTLNLRDFQRL KVHASAPDKRINEFIGKIELLPPLYSSYDPPVNNGTALENSDSNDNSGSVTDIQSAPL SIDNTAWANTVLASNTVTLAVIIYTGSQTRSAMSTSPSRSKIGLLEYEINNLTKILCV LTLLLSIILVALEGFQPTNDKKWYIAIMIYLILYSTIIPMSLRVNLDMAKTIYGRFIE RDQDIPGTVVRTSTIPEDLGRIEYLLSDKTGTLTQNEMELKKIHVGTVSYANEAMDEV ASYIKQGFAVSDSTRQSLITPSTVFGAPAGTAAATRTRREIGTRVRDLLLALALCHNV TPTTEEEDGRRVINYQASSPDEIAIVRYTEEVGLRVAYRDRKTLMLESTSTNQVVVRV QILELFPFTSESKRMGIIVQFDHVTGGNVPSESEPEIWFYQKGADTVMTSIVAANDWL DEETANMAREGLRTLVVGRRKLSMEQYQGFTAAYKEASMALQDRDTGMAKVIGGFLER DLELLGVTGVEDRLQRDVKPSLELLRNAGVRIWMLTGDKVETARCVAISAKLVARGQY IHTVSKMKEKAAALETLDFLRHKTDACLLIDGESLSLMLSQFRSAFISVAVNLPAVVA CRCSPTQKAEVAELIRQHTKKRVCCIGDGGNDVSMIQAADVGIGIVGKEGRQASLAAD FSITQFHHITKLLVWHGRNSYKRSAKLGQFIMHRGLIISACQTMYSIASHFDPKGLFI NWLMVGYATVYTNAPVFSLVLDKDVDEELANLYPELYKELKSGRSLSYQSFFGWVFIS VYQGAVIQGLSQLLLNTTTGKRLISVSFTALVLNELIMVAIAVTTWHPIMIFCIVGTA LVYAASIPFLGDYFDLEFIITVDWLWRVAAVCAVSVIPVWVGKVIKRSWKPPSYRKVQ G TSTA_025970 MAGTGPKLTPPPSPPSPAASYYDISDDEESEYNTIAHSSSGRGV KLLFSKSKVYVHPTPSAKDNIPGFIALLQQKPVTVLARHNTSNNTSESSSRRNDASSY LLAWVPESAMGDAYSTYVKVDLSDNDSPPRQTYLVPALPTMTTYQDSIGMYAFAVPLS EIYSLWVRPPSLGWWYGSLVICTKSGDNFPALFFHDNECESTILQKRRMTRESFDPFS ADGSMFWGGDEVLRWVRRYADVQRAGADPSKYLINPSEEDKLSFGRSLGPQADAAKSA SSTQPRRDAAMDPFTKALKETRWKVLEGLSRITTFTRRTANDLAENSMIPTQVRRLMK NPEIQTLQNEFDSARIYLARWAMNIAEQSERERNQRIWTAQDMLGSEDSSVGEFEILE LETGTMSIQERRKPVTIQEWNSWFNSYDGRLQITVDEVKERIFHGGLDPNDGVRKGAW LFLLGVYPWDSSADERRAVVNSRRDQYLRLKGAWWERMVDGDTSSKEFESWKEQKARI EKDVHRTDRTIPLFSGEDIPHPDPDSPFADAGTNVHLEQMKDMLLTYHEFNPGLGYVQ GMSDLLAPIYAVMQDDAVAFWGFVGFMERMERNFLRDQSGMRAQLRTLDHLVQLMDPQ LYLHLQSADSTNFFFFFRMLLVWYKREFEWGDILRLWETLWTNYYSSSFHLFIALAIL EKHRDVIMDHLKHFDEVLKYINELSNTMELIPILTRAESLFRRFERVVQAVDKKHNFP TPSSISTGTESRSQTGKPPSQPGNETGPEKKEQVISPELRQLLSRENITVASTIQAEQ AGSSTAAKP TSTA_025980 MAPTPAYFHDLANSMIVRRSLTNVNHTQAVTLGIIAVYVVVIAL LWNLPYIRWSLWPFKMLVIAFHEFGHAITAVCTGGRVKSISLDPHEGGVTHMVGGKSA ITLPAGYLGSSLIGALLIFCGFDIVASKIASIVLGVCFLLTLWWARKDWLTIVTILLA VGLLIGFWFIAHAEALRFLVLFIGVMSCLYSAWDICDDLILRKVNTSDASVFAKRYGG SSQCWGVIWSLVSVAFMAAAIVAGLAAFNQSFAQQESDSSHFIPTKI TSTA_025990 MPKTPYLVQVNDGPASTSKDKLLLLPLNVEKGSQRTALSQRRNL LFVAYSHQIHVWEPAGPRQVLGRKPAMIITPVMRVPQADGYISSRCPHGINNILVDDL GRDEILLLATDSGNVCGYHVENIYSCIESGRIRNERRPIIDPRIEPFFCESVGLSAWG LAVHKYARLIAVSANTGTITVFAFALADTDAESDDFWNGVPASYEQNWLHIKYEAEFK GLHDLFLENRHRSRNLRLSYQGHYTNIPSISFLSSDLDSDGMWMLSTDIDNRLFVWAI WDRFHPVRVLDFADGGPLPGILREEERGWSVLALDPRSFRLRQSYVQACGGKVYLRPS RHDTAVLDVTNLALEVPDASSMFNPLFPVFPVVDLPREEPVLPDIFDRYSRITSDSSS VMSEESISAATSITAQSSEDKVLPSANPLEEESTEPIDHSPSQRSDSSSSDEHAAFAL RSVIAGPSFQHGSNDDDENTTSENSDSEDSSDEDVPVVSDAAHQHVLSTSDSRDIRSL INLEQPTAEVFGSIGPYSDAKRVYRDFPILHFSETDIRLLEGPFLGHASVVSGGPLRQ QLPRTFYSLHSYDRFNMVKYLPESGIVIAATQKGRAAVITLTQVADRNFTLRINWMIP LASQEQRAQRPEVGLLGLGVSPMQGFEKQPDVVHVPRGVSSHEDFSFHYRSSDHDLFE SVQNWNWQSDSEADASQSDEGGSSSSSDYVPDKQELTYAESHGIANWTHMPQEPWHGS ISSRHYRVLLTYADHTVMSYEFWYDWSDIALGEWPAGQKVQDEEDKWEMKEEPNINRQ DYLLL TSTA_026000 MDLVAGVRKEGSRGGRDSFKWSDVKESSHRENYLGHSIMAPVGR WQQGRDLNWYAKNNQDSDAAKQEHEEEIRRIKEAEQDAMALALGLPVAPRSAASNANM TPLGGNDVRKAVQESTETEDRTGDDGGRGIGFGSYGGVAGSMNETDGETLAPIGMDSA GDRLSVREKDGGRGRESRCHRSRRDRSREHGRKRERGHRDHGRSHRHDRDLDREHLHR HHHREHREHRRHRSRSRSGSRSPRPERRDRRHESGRRRDADRSGRRRSSSPRHDRAGR ENDREAHRHHRR TSTA_026010 MQLQISFYLLWSSFVLGCAYAANDVSVLINEVAKANNQSLLWGP YKPNLYFGVRPRIPKSLTAGLMWAKVDSYATAQSNFRHTCEQNEGMRGYGWDEYDVRK GGRQSIHDVGNSVDLTIDFVKVPGGLHGGSWAARVKGIPREDAPEDLYTSLVFYASLE GLGSLNVDNAVEHQMGLKGDVKLKGMTPELGDFTISVTTGPDSNKHPEFDHPSGAEKP LDRPLVASVETPQENLWQAKSLMFTAMKPEVEGLIAKYGTDAPPPPAQLFTIPNHPGS GNIHFVQQVFEGAFEFDIIFESGSAPEPVSSETLTNKIEEISSSFSSRYENLFASQPP FNKPEYSEFSKSMFSNLVGGIGYFYGSGLVDRSDAPEYEEENEGFWEEAAEARSRVQP TEEEPRELFTAIPSRPFFPRGFLWDEGFHLIPVIDWDLDLTLDIVQSWFNLMDEDGWI AREQILGLEARSKVPPEFTIQYPHYANPPTLFMVLEAFVDKLQANQSILENFELNAAD VADSLRSAHLESKELAYSYLHSIYPLLRRQYFWFKKTQWGDVKSYDREAYSSKEAYRW RGRTVRHILTSGLDDYPRPQPPHPGELHTDLISWMGLMSRSLRKIASAIGETEDAEEF AVYEYAITRNIEDLHWDEGAQTWCDATIDEYEESVHVCHKGYISIFPFLTGMVGPDSP HLKATLDLIRNSDELWSDYGIRSLSKSDEFYGTDENYWRSPVWINMNFMIVKNIYEIA TSQGPHKAQATQIYNELRKNLVENVFQEWKNTGFAWEQYNPETGKGQRTQHFTGWTSL VVKLMNMPYLTSSGKGHDEL TSTA_026020 MASETQRNIVIIGGGIIGSCSAYYLTRHPSYDPALHKITLIEAS EIAGGASGKAGGLLALWAYPSSIVPLSYKLHAELAKEHNGKDNWGYREVNCGQLIVKG QVIDKKSNADDASVSLQKRDAAAIGKLRAAGIPAGLDWVLSDGIRGYESMSGPGETAQ VHPYQFTTSIAKLAEEKGVNIILGKVTRINQEAGAVQSVTFTDKASGEAHTVPATDVI VSAGPWTKRIIPSAPITATRAHSVVIRPTRPVSAYTLFTNIELPSKHNPKRSTVETPE IYARPDTTVYACGDGDRAVPLPETSADVEVDPKRCQDIIDSVGSISDELRLGEVVKQQ ACYLPNVDARGGGGPLIGHSGTKGLYVATGHTCWGIQNAPGTGKLISEFVFEGGARSA KIAALDPQRFM TSTA_026030 MTEPPMKRARRTDISTMWDTDEKRSRTSHTPEADSRHGSSRPED SRTSTKDDQRRYRSRSRDRYPRKKDRSWSRDRDRDRDGGKRDSYKDGRGMRDRDRSTS RDRHYNRRGNAKSGRPDRSRSPRNGTRSRDYPARDSKADYRDSKRDGVRRPDQRRTNG ASRGGTRDEEDIDPADMMSDIEDSDDIEAMMQKAVGFTKFRSTKNTKVPGNNIYGVRK EKKTEYRQYMNRVGGFNRPLSPGR TSTA_026040 MGVFSVLRRRWQRLAVASVAFVLIAFSILSHRGDELFAQQHYPA AQHEFSSDTPLIWKHINSSTVRGGAFYIPPEWLSPSTPRPTTMIEAARLVSQLTNIKN TTHLIDNTEIPLVAHQTWKNTHVDTWPDLIRNSVERWMQTVMDTPMAYFLWNDDGIME FLEEYEPEFIDHFSALPRMVEKSDIFRILVCKYIGGVYGDVDTRPIRSPATWIEKSDL KPWRDNATNILYNSTKPVRAIFGIEADCPPDTDTYWRMGYTNPVQLTQWALASSPGHP ALTWFMQKLYSIIDEVASHHGGNLTTPQAYEELDYLEPLIFTGPDAITVSLRSWLEDR IGLRWNALTGLHDGGKSKLADDIMILPITGFSPGRGVYGNMGSKPITHHDARLQHLAQ GSWKGFDLRVEFGKVCRTFFGLCRDWPKS TSTA_026040 MIEAARLVSQLTNIKNTTHLIDNTEIPLVAHQTWKNTHVDTWPD LIRNSVERWMQTVMDTPMAYFLWNDDGIMEFLEEYEPEFIDHFSALPRMVEKSDIFRI LVCKYIGGVYGDVDTRPIRSPATWIEKSDLKPWRDNATNILYNSTKPVRAIFGIEADC PPDTDTYWRMGYTNPVQLTQWALASSPGHPALTWFMQKLYSIIDEVASHHGGNLTTPQ AYEELDYLEPLIFTGPDAITVSLRSWLEDRIGLRWNALTGLHDGGKSKLADDIMILPI TGFSPGRGVYGNMGSKPITHHDARLQHLAQGSWKGFDLRVEFGKVCRTFFGLCRDWPK S TSTA_026050 MAAYRPILAAATHITRRAARRRFSIISSLLLFSLLFPIFLYLLL GNVLASDPRLVPHAIRNAQSVLFITAHSDDGSLFFGPSILQSWGRKHVNRYVLVLSSG ENSETNRLETKASCDQYSIPDKKCLILENKDLQAHRPWDEQLIQRILERHVTKWNVDL IITFDAYGISSHDENHVALSNSVQRFSNLHDHHNPVAYALQTKSMFRAYLSLLDLVPT SVPFTFRILQAMFLSVPEGYQTSLDGKIAIPPPKDGDVYGDKALIVTSWSRHVKAQEA LRKHASESSWNRVLYSSLSRYMWFNDLRRM TSTA_026050 MMAAYSLVRVYYRVGGGNMSTDMSSSCHLVILENSETNRLETKA SCDQYSIPDKKCLILENKDLQAHRPWDEQLIQRILERHVTKWNVDLIITFDAYGISSH DENHVALSNSVQRFSNLHDHHNPVAYALQTKSMFRAYLSLLDLVPTSVPFTFRILQAM FLSVPEGYQTSLDGKIAIPPPKDGDVYGDKALIVTSWSRHVKAQEALRKHASESSWNR VLYSSLSRYMWFNDLRRM TSTA_026060 MEKFQGNSRHHSMVTMSSASNLSHRRTHNLLLISKLLSLRDTAS PLTLVLDSLEQPGKPLLNEYIRRTQISKVHVTFIAFETLERPNGVDSFILATRKKPTD MAKEIAAGYSNSSHKRRLIIIDAINPLLSKPGIHLPSYFGSLIAPVNPSPSAAPIQTS LVVTYHRDVVPVKAHQSYAPPDLSVLSYLSTTIITLHPLSHILAQKAAHDRSLAAPVF GLEEQQEGIIIGRINDSKDRAHVEGIVLELEHRRKSGRGVVEWYFMPPAGRYSTEEVK EVITLLEDHPLYRRPDEDVGAPEQTEPGSTFELKLTERQRRDREGVVLPYFDAQSGDG PGEGGRILYDMGEEDDFDEEEDEI TSTA_026070 MVRSTQIARIDGLMLAASVDDDQAENELAQVKSQAKMIFRRLSR NSAPEASIESGQYTLHYLIKDDVCFLCICDKSYPRKLAFTYLADIATEFTTTYSSQQY NSPNLRPYAFVEFDTFIQRTKGTYQNSRAAANLDKLNDELRDVTKVMTKNIEDLLYRG DSLERMGEMSGRLREDSMKYRKAAVRINWELLMKQYGPFAAVGFIMLFFIWWRFF TSTA_026070 MVRSTQIARIDGLMLAASVDDDQAENELAQVKSQAKMIFRRLSR NSAPEASIESGQYTLHYLIKDDVCFLCICDKSYPRKLAFTYLADIATEFTTTYSSQQY NSPNLRPYAFVEFDTFIQRTKGTYQNSRAAANLDKLNDELRDVTKVMTKNIEDLLYRG DSLERMGEMSGRLREDSMKYRKAAVRINWELLMKQVDGMHQTM TSTA_026080 MSSAPKQNAARKRGPWGEDYLMTNPKSALVHADLVRLFSNPKAW ECLEEDEKRELLSRLPAHIHPNPDPDPDDPESKIPPLPESFLRYDNNWRAALRNFQSD LESGRYKPDWQKQAKQAVQERAQGKFDDYKEREFEQFWGQKQKINHGLIAGESSKVKL ETLIAHGVVRVGDVWKYCRVFGAKKSGIVVEKEAKITAIDGAKLSFLVPTGQRVFLSA VGEKTEDEKIENGPFEDSKAEGEKMEIDDNQDGKIEDDKNEEKMNGKLKEEFKDDTQM DIDPSPALQLNDHTLSEAPDQPIQDESGTRYNLMGLFDHARQSTPGITTNDNKDDIAN GEKDDIVSKEATSTAQQLTSPQALAAKKGTGRKRGRPPKRKAPHDEVAQEWATETAVA AEVPETTETTEAGTPGHPDTSLKITDNDTNSDRIPESTSEKEQENASLATPTEADPPR SSTSPKADPETAPCSATPPAPLEAEIHNIAGPNALMKKILEIDGRIKNPPNGNAWKEI RCYRDNQDMGSLWEVRQAWYVKYQQE TSTA_026080 MSSAPKQNAARKRGPWGEDYLMTNPKSALVHADLVRLFSNPKAW ECLEEDEKRELLSRLPAHIHPNPDPDPDDPESKIPPLPESFLRYDNNWRAALRNFQSD LESGRYKPDWQKQAKQAVQERAQGKFDDYKEREFEQFWGQKQKINHGLIAGESSKVKL ETLIAHGVVRVGDVWKYCRVFGAKKSGIVVEKEAKITAIDGAKLSFLVPTGQRVFLSA VGEKTEDEKIENGPFEDSKAEGEKMEIDDNQDGKIEDDKNEEKMNGKLKEEFKDDTQM DIDPSPALQLNDHTLSEAPDQPIQDESGTRYNLMGLFDHARQSTPGITTNDNKDDIAN ALAAKKGTGRKRGRPPKRKAPHDEVAQEWATETAVAAEVPETTETTEAGTPGHPDTSL KITDNDTNSDRIPESTSEKEQENASLATPTEADPPRSSTSPKADPETAPCSATPPAPL EAEIHNIAGPNALMKKILEIDGRIKNPPNGNAWKEIRCYRDNQDMGSLWEVRQAWYVK YQQE TSTA_026090 MTETTNIPQIRFPQHGDRRVWLISSGDSPIGLSVARQVLAHGDC VVSGVVPSNIVRDENRRTYFEDFLAEVERDEDHGWKDRFRTFLLDIRKMGECQAAVAE AVNLFGRLDIVLCCTSQAIVGSVEELSASPRTLSLVRDQFETNYFGPVNIIKAALPQL RSQKGGHFLVLTGITAHLGTPGLGVYCASEWALEGFCDSIAYEVAPFNIKVSILQCSM EICILTNLISSVPPILPAYSASENNAPLFRNILDGLVSRLPQASVASESITSSETPAT IAITSPNSNSENAEKLGPFSSLQEVVTMYAPFSAAHLEALTLETVHAITAIGGHENPP SRHIIGQEGVAAVKEKLKTVSEELEDFVQSSYSVNIHESTAEEEFNADIM TSTA_026100 MPEQRQQQEEEGGFNFRTVIQGVAIFFLVQFVMGQFFGGNKTQS QGAVSTGPTGPIPAYSDRPARNEIENYNPIPAGIAPMWPSNSSLDISIYISPSVRMLP LSAVPKESLVMEEKAFNMGNWSESREIDTTFKVPKEVQQNGTLWAHFYVALSGHQLDP AAKDYSPETAVHFLRPLNQYLPKKKVAKRKNLLASSEETGDEEGDNTPKYSIASYYHP NFTVSVIPDFGMANYQQMHPAVRQYVNLESTGARDTTGQNTWYYPVLYLNTFWQLKSH MTELNSTVETLPLRIVLNNLSNWKFNILASMDEGAKQNARNAANGQTVPGGGDGSEFE MIKEVLLDTNVYLLGTTAIVSVLHMIFETLAFKNDISHWRKKKDNVGTSVRTIIANIF MQLVIFLYLIDNNENTSWMVLASQGFGIVLEAWKVTKTVDVRLRPPPQNSFWSFLPYV VSFEDKHKLTETEKKTQEYDEIAFKYLYIVAMPLLAAYAVYSLMYETHKSWYSFIIET LVGSVYAYGFLMMVPSLYINYRLKSVAHMPGRALMYKFLNTFIDDLFAFTVKMPWLYR LGTLRDDVIFFVWLYQSYKYKVDYKRVNEFGQGGESDEEVEDEVVSETVKEAKSTGAS KAAGTSARRRK TSTA_026110 MSSPIASSVANEQFGDIQAQLRSLRNSVDHLEAVASVPAKQRNT SVKEIASDIASDAYNVGIPTDILEQLVDVLTKPNHLDQPTITTLVKNLYPLERVPSIV VTKAVCSLGPTKLKPSLATQTLLLQWLLLVYEFLEEPAILFKLYSVLFNLLDMISLRR PLCHILSLITRRPHVKPFRIQAIMELLRNAGDDEKELMGLLRVFKNYYPDIIVGEIGR SKFFFKHPDPEWTAKLRQLQERNLERIQFGNGHNVFQVVRRGVSKRRKVSGIIPDLQT SRVKPNFTSLEELRDVDNFVESLEKIELPNQIVSMLENRMAHKYMAFVLPEIARDRLE DWLDAFLKDEIELAKLPDSADSEAIRYVLGAVCGYVQSTKQLPNAVQTFLNNYLQTWN GRDYRQEIFGILQFIPKGSYDDIRSQYLERLDTTVLDHTASSRTDLLDFYANLIRRWG ISLRTQDSSFTSGNLRLLVSLITHVEFLLLSLMEVPFTTEARNVGDSKPVIMSILDLY SNLAELYSHASTNGNIRLTVPLPPVIYSLTFTSNLAQISRLCSILATYKNSFETSLTS QTLRSPDKSTGEFYPPEMIGLYNGYIMDLCNLVWRNRALNREDQNAHGCLVPELAKDA FVEYINDSNEILKHRRRPEGPAFNHSLGLMFSLSHHVALANHSAACFAVLEEQSTETG REEFRLRKPVTQNALKTLEKEGGLKLTWQEYRVKMLEWFDSMGSDGIGKLMRSTMKEF RKDD TSTA_026120 MASAFGLRLTRRPRLNTQWVCDTCRSFHVSVAVQSGHSRWSTIK HDKAKNDKAKSKERGMLTQELINASQLWGPDPKNNPRLQLAIAKAKQSQMPKSIIDNA IARGQGVTASGQALEAVTIEAMLPGTVAAVIECMAENKARILQDVRFTIKDNGGSVTP TTYLFEKKGRIVFENKEGTNPDDYLDQAIEAGATDIDTDAEGRLVVYTEPTATKSVGE ALSQATGLVIAQSQIIWDPNKDTMVKVEDPEQLNQLDEVLAALREESSVQDIFLNTTI TSTA_026130 MSAPEPAAASAAPAERPQEPRRTELDVAKLHALPSEQQDLYLLT FTSELVQHVSTLTKDELALEQASLKQELFKIINLSSPTVTRVIRNNLGKCFGTILGKG DRIPLYDTVLDLLAILNGGKSVELKTKLAAGHCLGEVFANAGDSAYSHASAVTAGLFK LLKAGSNHAGARGSVFAALRKVVGGLGGPIEEPIARDIWKHARNAASSDKSSLVQINA CRCLEQLLITTTYFDNQNDSETLKTLVWKVLDSPVAPVRHAAASCLARALVKNYSPQD GIELSAKSKKSKRQSKKPPQKAADADEDEPPEMESPSAKKVEPRLSLSLSDILVQLSS QYVRSSTGNRARAGIAVCYKYIIQNLGENVVEERYGQIASHLLVTLLNHPTVTYNRFR LLMTRKFIKNILEDTLGREILRENSQVNAANWLINSILKDYPQVIKERSEPSKYTLAS ALSALSSLISSLGSAVTSLADACREALIQVLPHPSYTVQIQTAQCLRNFVLACPSQLI SCVTICSNSLNREIGQLSTPRSSSRRCLGFANGLSAMLGTSRLQPLYGSVDVFARVLS QATDLLKHSGSSELRVASTQIQVAWILIGGLMPLGPSFVKIHLSQLMLLWKNALPKPL GKANTAEQGPLETSFLAHVRECALGSMLSFLEFNAKLVTADGARRIAAMLQNTVAFLD EMTLPKAAEDISQRLFPSLQMHDFAVMVRRRVLQCFTKLVKIHHTGHSEIVSQSSLLS IAISSFADPDIVHPSPIETSVAASPGQFDSLWDLWDNFGFGVTGLARTYVNETLTGKR AGGATAWNANESTDQEIDDILNFPVCQASEHDSVLLYGSRDAFSAFYTDPPVTEAVNA AIDLFAVALPLQAPKVQESSVEQIVTLLSSHSLQRNPGRKVAMAINIAIALLHTLKVA VRETIASPGKLETSTEKIIQELLQKFVMDPDAIIRTIGFEALGRLCNRAGNAFTNTQI NWLVDTIVANREPSARAGCAAALGCIHSKVGGMAAGFHLKTIVGVLMSLCSDPHPVVH FWAIDGLDRVAESAGLTFSPYASSSLGMLAQLYVADTHNEETASLATSNLEVLFPTPF VISRAVDSLINVLGPDLQDIAKTRNLILTLVRQFQLEESPAMNTQSSRCLDHLSLYAP SHVDLTVFVKRLHGGLTSKSSLMREAAIRGLDNLMKRDAEGVISSTASTLEDDIWLAL DDSPGSTSLRSLIRNWLQQTGLSHTQLWVQRLHNVLTKTRAKKDDIPPKKPARVNTTS EIPDDEVAGFASAVAGGDQEEGDSSATSGQDLLKWQTRNFAVSCLSELLTMVNDKILP DQTIPAELALQQKIGDVVRMAFSASTAHVVELRVWGLKILDQVLKMFGKTPDPDFSEA SLLEQYQAQIGSALTPAFAVDSSPDLASEAINVCGTFVAAGIVTNVDRMGRIFRLLVV GLEQFANNPNITEIGDLKGLNSNARVMVKLALFSAWARLQMASLEQQYLVNVVQPYTA MLTPLWLSSLQEFARLRFEPDISGSLGTPSTGDLDEVYAALNRETLLNYYQDSWLNLV DAIASLVEKDSDFVFDALDNKSKAQVPEDGDEGKTNGLTPSGKGDSINYRDEPVAFFF VLFGLAFEALVDQSVLPSQRLEILQALKKILRPSVSGNAIYQDSVFTETLDTLDRLVL TEGHNIQTVIVEMARNLSLDHPSAKGGQDRAENLSDDIEQLFELTRNIILVLAGLLPN LGDSSSRTFNVSSDETLTLIRLALSALVDVAAVFPAIIRHDLHACILHIFSTILATGI CQAEVVPHALPIFRRFVQGMSSQDTPMTEAVSLQVRGCLSRFLTTLTIAQRRESDTSL PCAKNTLLAMTIVLSTGSHVIPPQDPLIARVLDEYLDCLQDVGLASVAAGCIRSVLLR SGPLSTTDSAIGRYLFPRLIAFVAGVPNDRGEPPIDPENSRVSILQTLVLYISNPAGF PTSKLSTAVPLIVSTLLARAKNEGTAVYQEMAARLLEIGKLDPVIFRTLVASMDHDKK ALVEDILRSIGAGSSGEAQDTGAQEQKAAPSIELRLDF TSTA_026140 MTSGDSLPVYDPSCNFRPSNVSGMIDLYDWVGSYYNGTTVIEYT PFSRRNGMFRSCPNVENHTYIQQYQSILGIIEPDRNDPDKPLINMLLGFWHLGFDFSS LPTTQQSLYEQGWDYGLYSTKPWYLRYGYKNKTIPRDGKNFNLSLIKAEERNSTRIIP YTFFNEITTNTQMPSHLAMSPCNNSAVTNTSKRLEYLTTLISDQSAHHRDYSNFTNPT LTLSFNNATANLTVNGYFYASADLSKEELRDRSSAVVGGRMKVKFLGQVDNYHSDVLV DHNSTPAWSRTVGFGNNSLNIGYHSSSGEYQQHQRIVAFSVALLTMGLILSDPAFSTG KDLGAAGEAHIMLFFNHRSGSSQYALTLSAFLLLLFSFPLTTSAASCAGVLLQTIATR WDSLGSAYQSEICARGCQPVMSTWDDWTYSNAFLPLIDTMAKEMSLSDNFKATFTRIG QDIATSTKNECSALLAPGQHFCTGGNTPEGNKLVQWNWCFKKQAAKQASRNAFTILRL VSSEICKGSAYKYLSDDALWTEALPEYMRKYADTCQVPHANEDDGVTGTGSVPDQGAL VEKEVKDEL TSTA_026150 MAAPRLPFLYPQLFRSVGTYETGARSLRFTTFTVTRHRNQSTVT RRQPQSIESKLPPPTQPKKRSARQSSSSSLNRNTNPKAQKDNTRGDSEQPSQDIKDGN KEDATKSTKSSEPSTQLLDKNEVPCGASKNSVDPAQMPREVPPPKNPMDAVLQMPPPS DLGLARLHSNGQEVPHLEPAPYIHHFDTYTLVKNLQEGGFSEEQAITLMKGIRGILQE KLDLAERTLTSKSDSENEEYLFKAACSELRSSLEASRHLEVERQRSSRTQLQHEVDIL NMRITQELAKMNDDLKGMWNEHKMTTREQQQGQDTGIQELNYKIAVSLASDGKSEAEG LRWVLTRRAAFAIVFSAMMAITFLKFWSARSHELERRQAAAKEIAKLETVKDAAVQTE PSLSDTLASETLG TSTA_026160 MSSFNPDVDFNESRGYQINIIGWVFTGIAIATVGLKLFTRGHMA KNLGWDDFFIFFSMGLSIIATAFVSYSVTLGLGRHSAAVVAEHGMDGYALAAKWQIIA FRSFSFPNISIAILIHNLLDPNRFRSISLYAMAILQVVIAMISAVLVFVQCKPIAILW DPRLLSEGSCWSPDVFNDFTYWVSAYTTVTDIILAVVPVSAFWKLQMRTSTKVAVCTM MSLTLLSAVVTIVKATYLHLFTDHADPLYNVVTLVLWGLVEQNVVIVAACIPTLRPFF RTVFTKDSRSGTTSGGSEIYSGHAYKLSSNTAHATSKRPESESEIPLGNRDGIWQTRE VMVESEEDNI TSTA_026170 MSSSVSSLIESLVAAGKAYENNEPGAREALIDNSRALATSLEIP SEFLQRSFWAEPALSASIRIAVNVKLFQHLKESGESGLSAEVLSAKTGIDIVLLQRLA RHLVAMHLLTFRDGAFHATILSNGLAEENYQDSIAFCYDTARPSFNGFPEFFQKTEYK SPSLGTLDGPFQNAHKTQLPFFDWLIATPPNLQHFDSFMSAYRAGKANWYDPGFYPVA ERLITGFDTSESDVLLVDVGGGRGHDMALFCAQYDSSAHKGRVVLQDREPVIAAVKEA SQNLPFEAQAHDFFTPQPIKGARAYSLHSILHDWSDEDGVKILQNLAPALRKGYSRVL FNEIVVSEEKPTLAATSMDLMMLAHFAVRERTEAEWKGILENAGLRITNIYTYPGVAE SLIEAELA TSTA_026180 MATETPDTKNLKSWKEAFQYPIPTVRKVEQELRRDIASNREKLR SLVGTRYREFLGTAETIIEMNVESAEIESRLTSIGIRCNTSLIGKKSVNLTDINRDST GRTEEDKALAGQLALLHRCTTVTGRLLRKHDSPLLASKLMVISRLLYKTLSQTTNAPP FLESLRLQLSYVQKLIRRRIERRLGSSKSSVEDIIDSMAAFCLSNSASSLDSITHFHN IRLNCIAKRLDRGENGSDCIPKALSLYIQTLQHTKALFSRRLSDALGKLQARPLLNDH DVQKLDDIDLDILGRWVVPDVKNFTPWIKSDPMTKQSAEQTLKNWSKKAFQTFLERAA TTLKDHVDFSGVLALRKKTLDIWLAAVPTTLTHSSTSVLEGLRDLFNTQLKNIILREA QELLFVGDAIKSHLKEWEKTEGSATRSLWDPDLLSLEISDGASALKKVITETLLGQDT KIANVLERYRSWLATIETSRQLIEELQNTKWEDTLDEDEDEEFQISTVDLLTKDDPHF LREEQTKAVRQALLNLQESFGDAIKAFEDSKNSDKTAFVLRLIRDLRRESPSNILQDD EMDFAQDIIPKLHELLAEEVLSKVTASKILPPSKGNITRIPGRSLWEKGPELPIQPLP STFKYFKRLAEAMEDIGPDLWNPSAVSVLATRLHETVIDPFNAKLEQLKQSSNNTGEK RDAEEAETTAEQESSATETNSSNTVDMIRDWKIQLLLDAFYIRDALSVRADNTNALDE LIEALQGEIDEGGKIAGTLQESAHDFWNRTQLLFGLLAN TSTA_026190 MLSSDTTTEPVEATQAPKKLPFPPVTRTQILHCSYHYWHPLYRA ITPKARLIPLSDAFLSYLRADGIVLPPDNPSRAAMMGDDSGIETSDSEDDGDQEDPSE EWSDIHIQIEETIRELDGKVTPKLNWSAPKDATWIAVTNDLQCQTPNDIYLLLKSSDF ITHDLEHVFDDTDPEPEDSVSTTEDLDTTNIPYYLVLRKYFNLNPSLEFRCFVRNRTL LCMCQRDLNHFDFLFAMRDMLVSRIQTFFDEKLKDTFPEPNFVFDVYVPPPHERVWLI DINPWALRTDPLLFSWLEILTMKDLAPLEDEVVRLSLRDDGCSASPDNDSAAAAEEIE NISSVPELRLVERDDPEAYGFTSPQYSAHKLPRDVVDASQSGTGGMASFMQQWKDLLA QERQQQQAESSDDE TSTA_026200 MADPNQITLTYQDRIAIVTLNRPEVLNALDGDAYYLLGERLREV DKRDDIYITILTGKGRFFSAGADIRGNGVSRRADNTLSEEEQRREIMRSFLVNNLDIT RTVYNHSKILVVALNGPVVGLTAAIISFADFIYAAPHTFLLTPFSSLGLVAEGGASRG FVQRLGISKANEALLMSRRITCEELVSTGFVNKVIPAPSGRNNDSDGFLKAVLAEVDD RLGLHLNQTSLLGIKRLIRQPEREILDRQNLLEVFGGVDRFVAGYPQEEFRKLATGEK KHKL TSTA_026210 MPYYVENIDEDQRAKQESTTKVTGAMGSTTYLIHADPSFEGVYQ LSPADLQIPARGIRQAFVYSTEKETPLDETKARRLIASLQESLKTLLKPFDKEQIGSI TYPQLLGRVVRPDGKPPYIAVEKSSNIPFKVAYRLDIDFESLSPEQHFPADAIPKTDF ATGLDDIQFLSQHNCAVQLTFINGGFVLVFQIHHIITDAYGYVGFMRQWLQRTKVLMA DGPYEDGVSSTVTSNIHDKTELLKDFDESLYGPDELRGLSKWKTLYKEAPAAKTNPFS GNGDVQSKIFRFSNESLETLRAEMHTYTENRPTIFESVMALTWQCLARARTAPETSVE STTSSGFFSADMRQRLVPPLATDFFGNAVTAVLARLPLSSLLDPTNIATVIRAIQDTL RKDATDPNLRSINKYIFSQLRVGKIPPNDLLERDVFFNSWEHLYPSLDIMDIGVGRFR TLRYLMDSPITPSYVLIMPSYGLRQKSTLADSGQYPGGIELNVQLLRHQMEKLEEDEE WARYQNAGDIHEDSDSNPDSEFVGEYAPSPLIKIVKRNDLAGGFGGVQPFYIAITNDN LDTLRLLLEFCPSSLSEGLKNRRHRLLSILDLACRGGDFKTTRFLLDRDPRLGVMFGR DSHGGSALCLLLAHHDVQGPTRDQARDQLARGEAFTYELIERGDPVRDAVSRMKHPTP GEIPPVVKTVLGEVVIRGSPQLVSRLIAGGANVHERQFGAGDTSHIMDLGSGSIPLHI VSGHWNLPAAKVLLDNHGSQISIAEMVSMRDSELRLPLHLAARSLVHWANEDALPVNE THPNLQVQSSWCSE TSTA_026220 MSTILRRLQGGNLEVFKFGMYILFPIGWMYYFGTNLEERFSVPG FWPTAEQSHKIPETKEDIDAELARMRMLDAVRLEKRQRQLGSGTSEGAA TSTA_026230 MSPPSMASSPSPSVFTSLLRWLRLKIYQYEVTFALYMLTPTEKF IFNSLVLAFLSLFITAAYIYLPDHVASIYGHVHYYFTADVSMIKEYIPSPSSILQGSS AGPATGALNVVYESIQGAASTAGLDEL TSTA_026240 MRQLWSKSPIPNAIFAARRLQLRSLFSTYPARAVKQLPPRPKLD DKDITGSYLKGTGPGGQKINKTNSAVQLIHKPTGIVVKSQATRSRSQNQKIAREILAA KVEELEKGEQSREAIKNALKRKRKASSMKKKRRKYRALEEAKQGQQLQDGAEEYEECA SDYEESTTPATATR TSTA_026250 MANNLGAVAQLLEASLDPRQNKQAELALRQEEKKPGFSLYLLQI TASADFPYNTRLASALCFKNLIRRNWVDEDGNHKLPQDEVVTIKRELINLMINVPGGI QTQLGEAVSVIADSDFWERWDTLVADLVSRLDPKNPAVNNGVLTVAHSIFRRWRPLFR SDDLFTEINHVLKTFSTPYLALFEGLDAYIEENKSNKENLSQGFKQLELMINLLYDLN CQDLAPLVEDNAQSIANLLLKYLLYDNQLLHTDDESEAGLLEFVKAAIFEVLTLFVQK YSDVFEPHVGQFVGNSWNLLTTVGVETKYDILISKALQFLTSTTSMPEHARIFEDQGT LSQVIEKVILPNIALRESDEELFEDEPIEFIRRDLEGSDSDTRRRAATDFVRQLATKF ENSVTQVVSQYTDHYLAEYAKNPASNWKSKDTATYLFSAIAAKGAATASHGITTVSTL VDIADFFQKHLATDLVSDSGVNPILKVDAIKYLYLFRSIITPQQWQEVFPLLVKHLGS DNYVVYTYAAIAVERVLAFHDSAGQPVISPANITPLAKELLEHLFQLIEKDPSPPKVQ ENEFLMKCVMRVLIIIKEEVVPLTDAVLEHLIKITRIISANPSNPRFYYYHFESLGAF IRFAAPANPEKLEQALYAPFTEVLQADVQEFMPYVFQLFAALLEANSSATLPEYYQNL IAPILMPVMWESRGNVPALVRLLSAIIPRGAQFILQNNQVEPILGLFQKLLSTKANES HGFDLLESVIGSFPATALEQYFVSIMQIILTRLQNSKTEVLQLRFVRFYHFVSARDDQ GYSADFFIQVTDKVQEGLFTPIYLNVILPESQKLARPLDRKTAVISFTKTLANSEAFA QRYKKGWGFTCEALLKLLELPPVPVTRDDIITEHDVDDMAFGVGFTQLNTVRPRQKDP WPETGADLKVWTGKYLKAANTKHGGRINGFVQERLSPELQKILVSYMTA TSTA_026260 MSSENDPPQKPAASSFADVFKTLASGRRKSLSPLSASDSPSEFP NHVIDGRRGSRVTFGFEGMSRGTMSNSSLDHSAVPSYEDALENLRNPVLAVDEVEVLA KHSTKFTPEQIISLWEASSYLLCQGDFEDARLRASRFLDTIVARPDLSTDLKAQLCKS ILTPTPADVIPARVNALIALSDNGRKLDTMQVNILPALAVALYSLFKAVANLRSKAKK SKQPRTIENEESHLENLFDFILNVITLQNFPLEEKDAATLLEEVLKICKRTASPDDLK SSLSIFDAIISYAEVPDDSFAPLLEVLCGIHASVKTLSGRTSQVIRNIVKSPKKLAML ELLYSFLEGPDDHGRNANVVRGAVETFTDIIRSHDRKKLPELSFPKLIHCLQAVPPRR SGRLNAATLELCANILDGDFAFICIEEPDWTSFSKLLIECSGAIGQEVTDSAQSPVIS PTRSISHDDHLAASISRLSSLIEHSWVQLSSEQKSEISQFLRISHRYLSPTQARLLIN HLKMQKSYFPGTEDWEQEAKWLVDSFLQAPAKVSDVRAYALEALEEAMFQDNNLVDFE QAGIIDSLLQNFADEKDTIYLSSLVSVMIEIAARADNDDIFHTVVDTLSSPMISDFLE EDDPAILSGQLSQSRRNSASVLEPSLSNVCMMGLVRLFLRFLNLSAQRAALLFETLIF IMKTSKKRPADATLTVLKLLFRLRCDSSGAIWVTADIENDFLVAVLSRNLDVGVKQST TTEGSILERSSMHSDDCLTASTGRLSLRNQNGSAESQRSVPRMSSSYLRGTTKSMPPS WVQGDSSLPDEPSTTASPFVYAYKTVGEGTDSESSEQNKEALKVNVYLETIIDLLQKR DTPWDVYSYILAHLGPQLSNRDFFSNAVPQIQMLRNILCGQIKGEMFREPPAIAGIKK ADVAICIFDCLSMLVGFHHYFAKSEQDELVRAFMLGIGSWDGTSRGCIHALSVCCHEI PLSVTKSLNMILDKMSKVITMAHVAVHILEFLALLARLPEVYVNLREEEIRTVFGICI RFIQTSREQRLKSSDSSTRPSTTPARLSGGLREIAAMQPDSSEANTWQEGMSNYVYTL TYHVLVFWFLSLKLQDRANHVNWITNRLIFTDELGQEVVEEQTEVFIDLMQRVTYSDL GDTIPYETFPPSPEDGPVTSKSWVIGMSIVTVETAGVSGLTQITKRMASGTTYAEYRQ RTAPVLPHQVPPTPDTLSSFDSNSRTAIFPSHVLLQMVTTAFPTPKISQPIPLPDDAM TRRAISSFDRNDIVDGHKVGVIFLDNNQTTEAEILANTAGSPDYDHFLNGLGTRVSIR GAQFNTQGLHSDIDGEFTYAWRDRVTEMVYHVTTMMPTNLESDPACVAKKRHVGNDFV NIIFNRSNRPFNFETIPSQFNSINIVISPVSRIAESDFNRDTDSNRDYNKSFYVVRVM SKPGLPELSPASTPKVISGKSLAAYVRILAINASVFSLVWNREGGEHIGSWRNRLREI KRLRERAMMSARTASVDASEGGFLGHRRNTRTNIHSEDESPVGNRAQIRPDITGDVDN NISQALDFSRDSGIIFLMTLALMKKLSLYRVCV TSTA_026270 MLSKSASKQPGSNAAGTSHDQSQAISSEPSTPKSPQNESPRKNE GRAALAKALEKDFKRKHLSVVGVLLWIFFVHVVGIYYFAKGFLLTRLVLDNKSSCDVV PLDVVTSSGNSQEGCWHPKTFDKAVFLIIDALRYDFTVPFHPQFEGDEARIYHNNIPV LYETAVQHPERAFLLPFIADPPTTTLQRLKGLTTGTLPTFIDAGSNFDGSAIDEDNII FQLRAAGKNLVHLGDDTWDKLFPDYFDPELSHPFDSFNVWDLHTVDNGVNDNLFPLLH PKNSTKWDVIFGHYLGVDHAGHRYGPDHAAMAAKLKQMDQVLRNVIEKIDDDTLLIVI GDHGMDSKGDHGGESDDEVEAALWMYSRKGIFGRTNSDYKIPPSTAKERAIPQIDIVP TLSLLLGIPIPFNNLGSPIEEAFAGRYGADIKNLATVNRITSGQIKRYQHHYSVARGV NDSQTSGPLSLLAQAESQWQSLSKLSSNSDKYRAAYDAYREYQRDTLNVCRALWARFD VASMIRGIGILVAGIVVLIMYARGLREDRRITFDSQLICRIGFGMVCGAAGGLVMGLT GSREDALWADVIVLAAAGGSIGAVAASIVIFPSNFKSPLPKSLWSWFAVIVTVSQSIG FASNSYTIWEDDILLFFLTTFGVLAGISSMRQKSTSDRVLGVYHSMIFIFMARLASFS RLCREEQMPFCKSTYYASASSSTSAPWQLAIPFAVALLLPGVVRSFYVGSKSYEGSAT LWIGVAFRVGLFISAAFWALDTADNGEWFSFRKEAFKSVEVILAQLVLAIAFAAGTTT FIWAKPCISINVTSGADQAGNVQQLQQQRTTITILGFGNVHGTRFFLLVVNFVLSITL LSKPMGQGAIAIETLQILSLLEILDTNGLTTTNSAIGPIVLGLLGSFHYFKTGHQATL NSIQWESAFFALSSIQYPWSPLLVIMNTFGAQILAAIAVPLTVLWKRPVDTHGRLPMQ LFQEDDNPSSSSSDNKDSGTTKTNSPMLHLLSEVVQSVSTHILYYATINLATTLWAGH LRRHLMLYRIFCPRFMMGAAVLVVVDVFVILVGLGLGVRVNTLSVTEVFGW TSTA_026280 MHDGSLTPKTIDLLISLLVLILLAIVLAGSLLLLRQRRRKLEKQ SHLPLYKSQAHQRRLTITQNSRTGSIHVYNEKQDLMQSSLSPPQSPVPEIRITFPEEQ DESGKPKAGRVVVVHISDNGGVGLSPAQDELPPYQTNESGRFQSLDLERMGGLKEKEE TKRWS TSTA_026290 MAKNLVSVVQVNERMESIDLCSVHAPGIQAVADRIHEGCGVPRT GALSWTREAASKEPMIGGRKGVEDKGPMREASRSWNVLETGPRESKEKSERGEKKKKG KMQITTSTY TSTA_026300 MASTMATNRAAIHSNVRISLPLLFTAKLSQQKLILLQVRPNRIG TSTLNGDRTNSTHNAGMTNGFPASKDRWNNNIWSRNTFGSDSETESTVTRENAFQGKS GSSSLLATSESEGWAGRASLPWNTVGSASTLNSIKTSPIEARTGDRSAPTSAGSGENS SYFSLPRTAIGQNGSSAASKTYLGSNADSYSVPATEGLSLSNFGNFRNDDQTQFPSFS SNQTTGAFQRKSSLVPTTTQRSEDVLGSVSAGPFSHVGSESISSGSTRLVGSNNYSHS AYQSYQSDAHNMGSRLSSGQIEISAGLNQLHLNDNGYQNAHRNAYAHHDSFDISGNRF NSNLDDVNYQDMSNYVADFSNDGPLASYQALSRLGERDQSPANDYARGLGNSFYPSTR TPPVAVNQLRPSSGHRMSAQISDGQQLLDRRLRGLQQEQEFNPAAGAAMQQRVSIPNC YDLSGYSAARLNGFPQYLQMSYNNLAPAIVQRVSHREPDPSQVVRSPLLEEFRTHNKS NKRYELKDIYNHIVEFSGDQHGSRFIQQKLESANSDEKDQVFREIQPNCLQLMTDVFG NYVVQKLFEHGNQSQKRILANQMKTHILALSTQMYGCRVVQKALEHILTDQQAAMVKE LDQHVMKCVRDQNGNHVIQKAIERVPTQHIRFIIDAFKGNVNKLATHPYGCRVIQRML EHCETPDRESILAELHVCTELLIPDQFGNYVIQHVIENGEEKDRSVMIKSVIKNVHNF SKHKFASNVVEKSIEFGEESQRREIIRLLTAHNDRGESPLLALMRDQYGNYVIQKVLG QVKGSEREMIIDEIKPLLSQLKKFSYGKQIMAIEKLIVDPNAPTVAANSSTTPPASHK SSPQPSRRSLAEMRPLIGGAAPPTPPPTDTQSLHGGSATDGSVDGPVDSSKGLATTSI AAIPDSTTATHQTGAEVTVAVSVPSESRA TSTA_026310 MHRGGVPDELFAPIRGAFNSWFRIKFKDGGSAVIRFPCPGAPVF PEGKVKRDIAVVRFLEHFSNIRVPHILHSGMTEESPCGLGPFIIMEYINHEHDFIDAL NISPRSHQERPILNPNISSERLELVCSQMADILVQLSRPCFSKIGCISKAKEDDEWVL GGVPADLLPQHTFKTASSYYESLAEMYMAHCDDFRPGNVLANADYQMTGALDWEYTYA APTGFAYSPPFRLILELPEFRAEFLDIWTEKLRKSPANISTSTKKERAGGWETGDLWL NYAARKSWACDTIYWAKIDQRFFGESDSEDRLMLLMESRREEMNASVQRKLREKEVIR FRMSSNVGLSTPRGSGTSGYVQRNSAFLKPRNAGYGAPYPPVSSADDKGPSSFRQRKP DQQILDHDRKRAIEVRVLEERERLEDENEELQKTKETPLTEEEIDEKCDALRTRLLKE LEDGEKSSAAGDYRRQQQRSAAGRSYDKDRRQFKSYQVHELAEAKIEESERLRKALGI KEDWEKKDTTSTAKDTEKLTTREDGDVGRDRERDERSRRRRYS TSTA_026320 MPPFKPRDRKQRHRRQDGKAASTPVDTNVTEIIPVSKAEKEAKR QQLREQLREQQPKMSSKKKKRLDKYIETKLRKEENLEFMKKLAETKVDLSEFRSIKDM SKKERRQHGNVDSTIKSLEADMSGDESDELEAGLSEKNASAPDITKSAPQVQVGSGLK RPLDLGADGFPILKKRKRARKAQPVVHEVPWEGFDSGEEEADDAKGENGLLEDVDQRA DGAASESEDSDENESDVSHDEDKERSDTSEDDDEDGEEENDSADEIEENNKRIRPRNS AFKAWATQAINEAVGFKPSSDLSPSEQLLASSEVFVKEGKVPKNTVYEEEPLPQELQI TTGDPNRKAFTVNVDRPDEIQETRLKLPVVGEEQKIMEAIYNNPSIVIWGATGSGKTT QLPQFLFEAGYGHPDGPNPGMIAITQPRRVAAVSMSKRVGDELGQYSDRVSYQIRFDT NVSSNTAIKFMTDGILLREIAKDFSLSKYSIVIIDEAHERSVNTDILIGMVSRIVDLR ESMRKEDPSVKPLKLVIMSATLRISDFTQNQHLFRHGTPPLVQAEGRQYPVTIHFARK TRRDYVEEAFRKVSRGHRKLPPGAMLVFLTGQNEIKHLSKRLKQAFKPTQRGETVQGK LQLSAADAPLETEDMELGDSGAGPQGDDDSDVDILGLDDDEDEDDEDFDIGEESVSSS TKVHVLPLYSQLPTKEQLKVFEPPPEGSRLIVLATNVAETSLTIPGIKYVFDCGRSKE KQYDLNTGVQTFQIGWISKASASQRAGRAGRTGPGHCYRLYSSAIYEADFEEYTEPEI LRTPIEGVVLQMKSMGLHNVINFPFPTPPSRQGLAKAEKLLRYLGALKADGQVTEIGR KLSLYPLSPRYGKMLQIGHQHGCMPYVIALVSALAVGDLFIQESELDVTVAKQSKDME KVYTNADRLEDTQRESRRKDFNRVQRLLSKHDDTSDALKYLSAICAYAYSSSSPEQEE SFCEQMFLRGKGLKEASQLRAQLTEIVRTNNPGLLGPYEARLPEPTPKQVKALKQIVT AGFIDNIAIRADTCPEPPEMPRKPRRAIDIPYYTLFRSREGRATELSEKAVYIHPSSI LAQFTPKEMPQYIVYSHLQRSTTSRVTATAEDTPKIRMFPLTAPSGPQLSALANGTPL LEYGKPIGKVESLGGIPERRQCVVVPSLVGEAGTTGWPLPAKKVIQVKDPKEGWIVEK FL TSTA_026330 MAESIDLNSLSEVLNLPFPAQTKQAAGKINGVLTDVLFMGFSDR ILVTVSQEGRLAHWLHVPLENNNPGTDGFHTFSEGDADNSLLPLPSLTATTLLGGHAQ GHETTNQLLARQIGSAIVTKTPNERRVLLVGLGLNAYQIDRDAFFAIVDLVLQCI TSTA_026340 MGVDLFSPVAPVRLNILLLPAGRIKRSRFLSFAARLQAENLIRL GDISPDERPNRNMFTPLAFPNGIILYNISFSVPPTSHLELFPFEIFREPLVVIAIADG AELHYNEDTGKETNGKRSSGAEVPPNPKGLEDLMQELAWVKEQNPRALVSQLLIFDYE GLQKSVNGGPDDVIWVPAPEFSRPTTIKTVLCDITSLLLGEMDGFAKLMQSIPSIDSP KASSWGPRRGPELRPRPIDKLMHRMTMPAQFPVSNGDAQSATSSGRSSPAPGGHDSPT TFDEITRSIQVANRSATGMQSSKESSRDRMSVQGISAADRTKSRIKGRLGVVIGTLYL QAGRWPDALKELVEAATMARASSDYLWHGKALESILLCLLMLGWAGMDFQVPQICYPV AEKSSSKSSHSTIAHGVTDVASSGQSAAGNRLISLQNLTNLMPDLCNNILHLYNRAAV ITDEPLPPLVFSETVIRLSRVLCAIRLRSGTLDDNALRHIVTNEALVPSNTEWPRGAP VLKKNDVANFLFRALPLSLGVELPVTDAAPILVGISSVLSLLDLPRKKALVLRELVLL MVPGLVEARKIGAAEIGIHPAAGLSTLNDAAFEINALDVGRGDMGESTRALLTMIGEI YGVQPSIYQERKKSGTLSRPPSAKGSGLNEKEYDSIASIVERAFRHVTLDGYGDLSLK IAILRVSINLCEALPDFEGVLQYTVELLQTIKGDLMLAEMGRNPPVLPPDEQMRLLST IKRTVGAAHKLGATHLESEYWDDFLVRGVTLLEFPEFKRLFRRTKKDLSVASVADEKS KKDPFLYNAFAKTDYKTTEALMIAKEIATFKVTLQNPYDFELEIESLQIQGNNTTLDA REYNIIVPPLSLYDVMVPAIISEVGQLNITGCIIKVRNCRSRTFPIFQTPWKPTPEVK LKRTGLAAKRPLSERPLSWGSTTSKDGKVVAKVGPETHTCSVKVIHQQPSVVVHSTSL SQSAIMLLEGETRSFDITLHNVSSCAVGFIFFTFQDSTTRQLQNALSNKDLLPAEIYE LDLQLSSKPPLQWIRHGSKPNEVTIKAGERATFTVEVFGKPGLQDATVQIDYCHLGDK HDSIPETFYTRQLSIPITVTVNASVEVARCDLVPLSGDVSFFNKQMEESSLSSQVDKV YSSPLLANHTAQITSTLSHLSSESHCLVVLDLRNAWPNPLSVDLNVFRQKDEDETWVA VKGTIQPGQISRFILVLPRVFLDNPHAAIPILHSVRRQFVVSANKLTFEAEAATREAF WFREELLKRIQGSWHEESTGREGLVDFRSIRFTPRMVDAMRLEDVEVKFSLTSSDDQQ PVTQVKSLKYTAKTNSFLQLKVEIQNRSSRTIHPLLRLQPGLCNQPSTIALDLSKRLA WTGTLQRALPVLPGGSKSVCSLGLIFFCRGEYEIGASVEEIRLMKPTIGSNDTSHTSK VDAGEFFIPDTFVADGGVRKRRIWHARDHCVIAAHD TSTA_026350 MTQSIDFNALKARTMGSSNDEEAVTVDTRGLISKVLSRYSGKWT VLREMIQNAADASATRVTVKFETLPSTAVPLPNPADPTATLKHVITHHTLRRLMISNN GHAFTEKDWSRLKRIADGNPDETKIGAFGVGFYSVFDDCEEPFVSSGNSAMAFYWKGN SLFTRRLELSGSDASPDTTFVLDYRNDTSPVPSLLELAKFLATSLTFVGLESIDLWVD NWNLLRLNKKVAPSEKVTIPRDIETKTAEGLMKVTSVIREVAQVDATWMKIVEWSPQN TLSARLEGIRDTTTTLRSFFSKFTGSSTASDKPPTESAERLGDAEDLTENQKAAVFLH INTASVQPSISNSLSGELERATRKPPPKRTTIAILTPSHSTDDSYKNSKILSAVLPSK SGRIFIGFPTHQTTGLNAHISAPSVIPTVERESIDLNTRYISRWNMEMLRAAGIVCRI AWTADMSSIKTRIAFKSGPKVRKNDIMSFIPEAIHTANQFSFRESTPSATLGQTIEDS FWMCNKNASIEVLSTCGVLPSHHVRIAPKDLSFMESIPSLPDELVENAKEFTKKLIDV GLVTEVTVSDIKRELENNALTSAQLVEFISWIGRRAASGELDQKTTISLLSAAVANEE PSKGQPGQLLTLAGIDCYLNPARIPSDLPIPPSVIPFKYTKSLEKRQLDAIGWKELQT VPWLRWLVENAGNRGVLPVEKDITRTASFAAQILPVLSKQWDTLSQSSKQTVVDMLQP QTVIPVRNGMRRPEEAYFPTVRLFDDLPVVYGLSNVKDKFLAALGVRKTVELGVIFER LLNDPDPMSSEKSKPKWSHVDLIKYLTSVRDDIPAKDIERLKSTKICLAENSSDRQRY KISELFEPKESHQKLGLRIIDWPGKFLPHSKEAQFLSTLGLRSHPSAIEVIEIIAKAA LAGDTALQSKAMSYFITEHHANKYFQLDMQRVTIAFLPIEGNKKLSAPKDCFTHEGAA LLGFDILRRDLHPHSRIFGVSLHPPVMECVQSILKAPPKTPSEAKGIFTYMASLVPDL KEADIRRLGDAFIIPIPNRSTLEKRPSMRYVSPKNCYLGESEDYKDIFDFVDFGPLGN LFLQAVGSKQEPTMIEVAQMLVKEPARISSKFQSPEKYLSLLGRLADNLMRLKHHKDK DLYHEMMKAPFLLASKELPAAGSNTIARKATTDEADDIFEDDEQGIREWRLVAAKDAV IVDDYQSYTLFREHILAAPQEEKLEKFYAALGTPALSTLVEERAHWGAKSSDQTSAVK LQKIINERTRLFLHEHSSESIKHDTKWLEKHLSIVVVHSISLRRSLKNTGATHSQKRH AIITSQGSDRALWISPGKYDFYEISQALVHILLARPKLHSILTLEMLLKTDLYELRAR GYNVERILQKKQQEARMAEDLRQKELEEERRLVHEREAERLKLQAQRQLEESDSQQQH HTMPGVFPDSPSHQRLGEKEHTGINDQDFNPGGFFSNLSKRFGLDDNKRAQNPFQSLF QNRSLQEGGIPGSSSEVSLPPPYSETDPRAPNRGSSANPNEPRSVTSPNQLHNNLLSA ISACRPHGASGVYSRPDTNQVTETKSYCDERPSHDLEYVATLPSRLHFLSAKSVAGKS AFLSENSAGINAFAKILVECASIFSVRLESISIFYDPAGKTIAFNRAGSLFCNYLFFK QLHQTRLSQDPIGGRADALVYWWVILCHELAHNLVEDHSSDHSFYTEGFVTQYFSKVA EKLLTDSP TSTA_026360 MCPVDVGSSSIPASTKKNVLYLDAYDSFSNNVISMIEENLGVQV TVLTVDSQWPNGDMRSFLRGFDAVVLGPGPGHPECVADVGIMNDLWTLEGEDLIPVLG ICLGFQSLCLNQGLAIKRLPCPLHGQDFEVTLYHSLYASTGSETHVSPEDNLDDGFSE SGNLSFLSWLTVNEQGSVYRRLPMGVRHISKPFWGFQFHPESCKSDADACKRLLRNWW TASKQFNQTSKRVIRPISKDALASTLQTPDVQGDLSHLLEQLTAESSKMCFHRSLPLH GLYPENIFELFNAPGSPSILFQSNGRFSIMSLPSPKSWRLEYFVPSKKLLIEQLDAIT KTDAHGDISVEILWQILRHVMNTKKVTSGKDSIPFWGGFLGYFSYEMGLAGLSHPKTS PIVASNIEGQNVGNEDETAAPDASFLWVERSIVVDSVLRTISIQSTREADNQPGGWLE AIYQQIQQFHLRTSQEVIEIGDKSAALEQHSLLTSAERELVDTLLQESTVKIPDEMAY KSQIVECQEELKAGESYELCLTGQTTITLPAISDARLRKLRPWLIYKKLKTYNPAAFS AYGSLGKVKIASSSPECFLIWDRISTLEMKPMKGTVRKSPGMTLEKAKEILATTKEMA ENLMIADLIRHDLYSICGSGNVHVEKLLDVEDYGRVYSMVTHVKGIVDRTTARDSKHA SMAAYGLTTLQRTLPPGSMTGAPKERSCMHLDRIEGWKRGIYSGVMGFLDLGGSGSFS VLIRTAFSSSAHEDAASATKPEVWHLGAGGAVTILSTPQGEWDEMITKLRTVVSIFEP TK TSTA_026370 MLIEDQSANSATKSTSSFSSLPDAPVDEIFSLNHAYATDPNPNK VNLSLGVYRTEEGQPWPLSSVEQVEQELHSQNNPARHEYLPIEGDREFLDHARDLMFG FNKNDTDVEKEAKAKKRIASVQSISGTGANHLGAAFLAHHLKPKHVWVSIPTWANHHT IWELQGIERKGYPYFDASTCAFNFEGAITTLENEAEEGDVILLHACAHNPTGVDPSKE QWKKIAELCQRKRLFPFFDSAYQGFASGSADEDAWAVRYFFNLSPPLEMCVAQSFSKN FGLYGHRTGAFHLVTNGSKPAETELVRKNLCHIIRGEYSMGPRYGSTIVKRVLGDSAL RAQWQKDLTAMSSRIKRMRQALYDELVRLNTPGSWEHIINQNGMFSYTGLTLKQVLTL RNEFHIYLLKSGRASVSGFSEKNVAYVAQAIDNVVRRDV TSTA_026380 MHTEQPIRSRRRPRPLQDSTPKEVYKKQKVEHPSQLPPSFWDNL SEVWLTRNALRELDRRNTQATANASTKLQFLWPVTRNELMAVQRFARQGGPDLSGLRG CPEPTLSSHRPYRIQKRRISASRGLSASRNSCALRSTKSTTTESSGPYDWNFQQHLTA HGIFPTRHRFSNGHIPSKSRNWDGILARLAQRRPSLSPPRFAEEDFEEFLRADAAASK ERQVIEHAIIPFIEGKVLDKEYIGGGIPFKNLNHLTDGTLVPGNPNHYYGARPDQLDP QIQIELNGQIVPSTQHELPIAPNFFLVVKGPDRSSLVANRQACYDGAFGARGMHSLQE YNKDEPDFNNNASTLISIYHDEQLRMFTCHPSKSATSGRTEYYMTQSRG TSTA_026390 MTSEQNAEQLENGTTAATMSSPETTISEPPYSIFDNRQKWLIII IVSTAATFSGFASNIYFPALPTIATDLNVSVELVNLTVTSYLIFQGLAPSLWGPISDV KGRRIAYICTFLVFLGACIGLAETRNYPTLIVLRCLQSTGSASTIAIGSGVIGDITTR AERGGYMGIFQAGLLVPVAVGPVIGGAIAGSLGWKAIFWFLTIYTGVFLCILIALLPE TLRSTVKNGSRLPSNLVMRFPLIVYQKASKIDWQRETPDDQSVSKKSVNILGSLRILF SNHAAPIIVFLAVYYAVWQMSITAMSSLFKTRYGLTEIQIGLTFIANGVGSMVGTLVT GKILDKDYRRVKAKYEASLDTGRRSRHEEDFPLEKARLRLVPAFSVLQCLSILLFGWT IQYPQKVHIAVPIVSTFITGWTAISTQSLIMTYLVDIFHDRSAAASASLNLARCLFAA GGTSFVMPMVNGVGVGVAFTICAAVQAVALIGPLIQYNFAARWRGKDREKSEQKDGEG KNEK TSTA_026400 MPTTSRSLNFGSNYAILNLDWMAMLINSIKDVPEGQAMIANCTK WNDAVHLKIPRPLTIFSTLSFSPNQAEAAPDSPFARLIAPFGDFTQGTSPVQIYDTFT VDEKDVVVQKTRWAATTGSALEQILKAQNIRAVVISGLSLSGVVMSTIYRLFDLDYEV YVIRDNVLELPVDQTESVAKVMLDILLPKMGFHVITLAEALHALDHS TSTA_026410 MASMSNTSTTTRCKSPIPPDVIIAKIQHANLGALAVPGNGGYYL KYPEGKVVAIASDRLCSVLDDSIMSDGKYEEANETMEDLRKAGIDADKLKAEASEEIK NGACVLDGDFDGDSRDDGQQPKAES TSTA_026420 MASRLSVRSLTHVGRAVSSLPRVTCLSTPTRASQFFSVSSARVL QYARLQSISNPRNFASSARMAANTRIETDAFGEIEVADDKYWGAQTQRSLGNFKINQP QDRMPPPVVKAFGILKGAAATVNMKYGLDPKIGEAIQQAAAEVAAGKLIDHFPLVVWQ TGSGTQSNMNANEVISNRAIEILGGQKGSKKPVHPNDHVNMSASSNDSFPTVMHIAAV LEIEGTLLPSLKSLRNALQAKVEAFNHIIKIGRTHLQDATPLTLGQEFSGYVAQLDSN IKRIENTLPDLRLLAQGGTAVGTGLNTFKGFDEEVAAEISKLAGTEFKTAPNKFEVLA AHDAITEASGSLNTLATSLFKIAQDIRYLGSGPRCGLGELRLPENEPGSSIMPGKVNP TQCESLTMVCAQVMGNHVATTISGMNGQFELNVFKPVMIRNLLHSVRILADGMASFEK NLVHGLEADEKRIDSLLHESLMLVTCLNPVIGYDMASKVAKNAHKKGITLKASAMELK ALSEEDFDKYVRPELMISPKEKK TSTA_026420 MEVDPKIGEAIQQAAAEVAAGKLIDHFPLVVWQTGSGTQSNMNA NEVISNRAIEILGGQKGSKKPVHPNDHVNMSASSNDSFPTVMHIAAVLEIEGTLLPSL KSLRNALQAKVEAFNHIIKIGRTHLQDATPLTLGQEFSGYVAQLDSNIKRIENTLPDL RLLAQGGTAVGTGLNTFKGFDEEVAAEISKLAGTEFKTAPNKFEVLAAHDAITEASGS LNTLATSLFKIAQDIRYLGSGPRCGLGELRLPENEPGSSIMPGKVNPTQCESLTMVCA QVMGNHVATTISGMNGQFELNVFKPVMIRNLLHSVRILADGMASFEKNLVHGLEADEK RIDSLLHESLMLVTCLNPVIGYDMASKVAKNAHKKGITLKASAMELKALSEEDFDKYV RPELMISPKEKK TSTA_026430 MTTDLYSVPASNGIESTNLLHIKEDVDATATNSNGTHKTTNGTI IHKPQLENGTKSAEEEEEPLFSPSLISAEIQSVLPEGYSARPLRRSDFHLGYLDVLRV LTTVGDISEAMWNERYNYLYKRNDEYYMIVICDGTGKIVGTGSLIVERKFIHTLGLVG HIEDIAVAQDQQGKKLGLRIIQTLDYVAEKVGCYKTILDCSEINEGFYIKCGFKRAGL EMAHYY TSTA_026440 MRTYDDTFSGQKIYPGKGKLYVRGDSKIFRFQNGKSESLFLQRK NPRRISWTVLYRRQHRKGISEEVAKKRSRRVVKSQRAIVGASLDVIKERRSQRPEARA AARQQAIKDAKEKKAASESKKKAEKAKSAASASAGKARIQSKQGAKGAPIKVQAKSR TSTA_026450 MAGLPKRIIKETERLMAEPVPGINAVPHEDNLRYFDVTIHGPAQ SPYEGGIFRLELFLPDDYPMTPPKIRFLTKIYHPNIDRLGRICLDVLKNNWSPALQIR TILLSIQALLGAPNPDDPLANDVAQRWKEDEPAAIQTAKEWTRTHAMA TSTA_026460 MSSPADSRAPTSAFESPTFGEDSSFHVEQPVGSASISPCGRDVV LASREGLHIIDLDSPYSPPRYLPHHTPWEVADVQWSPFAHRDSWVVSTSNQKALVWNL SMRSWENSIEYVLHAHSRAITDINFSAHHAEILATCAVDSFVHCWDLRIPSRPVVSFS DWFAGATQVKWNRQDPHIIASSHDKYLRIWDDRMGAIPLKSIEAHSTKIYGVDWERTR REGIVTCSLDKTIKIWNFEGKTDVPEKVIETPFPVWRARNTPFGCGILAMPQRGDNDL HLYGLSSAADNQSAHTMPLVHSFPGHKGQVKEFLWRPRGTVVNGIDQREFQLVSWGAD RELRLHRVDKDILRGVGYEKGKTVDHSLRLTRLGATYRTFRDEPVENLDDSLYAETVI SASTRPQAGAAFGSNNVSTRYPRASNHKIHIEPRIGMQGRSQFRANTSPIAWMRGVKI SVWDIETLGDEITHVGEKFSKVDFESVDVRQRKVTISLHGPWGAEGSSLFLKLDIKFP EDYPRVATPTFKIQKTSAMTNELSEKIVSELRTISETYMSRKRGCLEGALRYLLGECS LEESIALVLGENIDTIKSPIAGLEDDESSDEDEDVGQFEKQDLSMSSELLRPVNANVM VPVAKVCGATWSHDGRLVCFFPPKKDKAGSLFESLGFKDISRLTRNDRVFEGFGRLHT SSPSRLPSRKTLGTMSTTDDGSSDYSDDSDTASSSSSDSSGMILGLPNRYQNASMWRS VGTLGTYRTRSADNSQLSTVGGPAATIGTVKSSDSAHNIISIHDLSDLLPTKKTLASQ YQIFGRGAEVCAHNAAVAADSGYHHLAQVWGLLKLVLQNQSDLRPHNLDMDVLAGRLS HAHIHTHPGHTTDGPVKTKQPHRGALHRPSFSNSAFGGRWLINALFDHFERIGDIQML AMLSCILHEQSLRTRLGRRRDKEVSFSQQAHNPIALDFFSSRVPDSKSQAATPMTSYS RDSVNVSALQSPEIPTEQWNSIGTTPHSSSASPIATHSRLLGGRKTPALSISASPDDH STSRLGSGVGTALASSLSRSFTFGPSATSSPPAGTTKKKTSPIGSMNIPAAVTWGASQ LINRAVSAIPEYLNSSTVITSRSHSEADSEKKKEARKPIKVHVSHKNRTAFDSDDSPG SPFIDRRREALFQTYRNSYANLLFVWDLPIQRSEVLKIGACIEQNRTTKQPSSFERGD RKDTTSSRQITDETLDVQRHCTECGHALYRSVFSSVRPEDLSGKMVKPPLPRCPSCSA VQPLSAHVGCVICGEVVSGMFIPCLECGHVCCFECHQAWFSLQQPRTGEAQNLSDLVC PSACGCSCTEHSETVVMPSPIATPTYDEKGNLVGAHHRLRPEMPPRSRQSVMAGQTDD DLDAWRGGANFARGLGSGLSRVLTATANERRRRTSSSNRKPQFDRIETM TSTA_026470 MDTKEMSPSFSHGTADSTQSSPKSNLEAVPIIEEIGDSYDAPMT MKRFLGLTAMAFLWTGSQIPIYLFGGIPPYIYASIGGADRWIWFVLANLLALAGICPF VGSLSDLLSRRYVAILGAVLVCLGLIVSSTANTMNTFIGGMAISGAGAGINELTALSA TAEMAPTRKRGTYVAALIFTILPWSASVMWAQLIAYHSNWRYVGLLAGIWNGLGLFFT VFFYFPPKRDQSEGLSKKEIISRIDFVGGFLSIAGLIFFLAGMQWGGYQYSWTTAHVL VPLILGFFILVAFGFWEVYGARYPMIPGKIMQEPRTLGLTLLITWISGANFISVILFW PTQSFNQFGHDPVGVGFRSLPVGYGILAGACITLCLLSYMKGHIKTLMIGSSVLMTAG CGAMAVARTDNLSTLWIILIIGSLGIGGIVVPASIMTTIICPDDLIATIAALTLSIRV VGGAIGYTIYFNIFVNKFKTNSIHYIGGLMSTKLGITNITYIAEAIELTTASLLEELQ TIPGIAGNTTAYEMVVAAGQVAYAESYKYVYLASIAFGALSIGASLFLDDITKYMDDH VAVQYH TSTA_026480 MFHVLKKSYNTLLFRSVPIPLRRDILPAQLTSTPVRNLTTYVLN NTENTKRSRWRRRIILGLLSGSLGYFFGKSYIYEQCFPTEPDSVQDVKYVKLIGKLLD NLPATWEARSDPRFVEWEAYDGFSNGDKERRLTSGPLRGSRGLAVQKIFWNEEQKRCV NVVHFGKSLEGWPRIVHGGILATVLDETLGRVAIRSVPAHTGVTAHLDIKYINAVKSD RPYLVVAYLDKERSTDRKAYVTGGIFDPITKKVYCRCEALFVVPKGLSLRRIEERF TSTA_026490 MATIPVIVKHQGKRYDVELDPSSNGETFKFQLYSLTGVEPERQK ILVKGGQLKDDTELSTLKAKPGQTFMMMGTPSGADGSAGLARPKEAVKFLEDMTEAEA ARAEGATPAGLQNLGNTCYLNSTLQTLRGIPELQQELLRYSSTSSSDGASRLSELGLG GLGASSDLTGSLRDLYKQMSETQEGFPPLMFLNVLRNTFPQFAQKAQNGHGYAQQDAE EAWSQILTQLRQRLKIKDGETETSFVDKYMSGRFESTIECDEPAGKEAGEEPVNSSDV FLKLDCHIDKDINHLGDGIKAGLEEKIEKRSAVLGHDAIYTKKSSIARLPKYLTVHFV RFYWKRESQKKAKIMRKVTFPAELDVVEFCTDELKKRLIPVRDKVREIRKDEEDAERA RKRQKLAHRQEEDRKADAESGRPAEPLQKKKKAEDAETSKNTDKDGDTAMDDVFKSDE EYEAERRAAILKAKKELFELIDPSLATDDGTNQSGLYELRGVITHQGASADSGHYTAY VKKQGRLVDDARAPGGKRREDDGKWWWFNDDKVTEVDAEKIETLSGGGESHSALILLY RAIDLPSKDELQS TSTA_026500 MSQSLRPYLQCVRSSLTAALSVSNFASQTSERHNVPEIEAASSP ELLLNPLTVARNESERVLIEPSVNSVRVSIRIKQADEIENILVHKFTRFLTQRAESFF ILRRKPVRGYDISFLITNFHTEAMLKHKLVDFIIQFMEEVDKEISEMKLFLNARARFV AESFLTPFD TSTA_026500 MSQSLRPYLQCVRSSLTAALSVSNFASQTSERHNVPEIEAASSP ELLLNPLTVARNESERVLIEPSVNSVRVSIRIKQADEIENILVHKFTRFLTQRAESFF ILRRKPVRGYDISFLITNFHTEAMLKHKLVDFIIQFMEEVDKEISEMKLFLNARARFV AESFLTPVI TSTA_026510 MYPQYSGYSAGRGPFQRRGQSRYGASPFVLPSMRNHIFAAGSQF TQAGHTPYHSQPQPPQPIPNPLPNQVNHRQLYDRQVEQFLNEQRAKIQQQMEKRMAIQ QKIDQQKADHFELSKVQAKLGDGVCEVDNFPFKDLATAQQPKQYGVVKITNLPYAVTR HEIHQLMGRHAGIVGPELGGGIHIIMERSTAKTMDAFVEFKCEKDAKLAARRLSFTES GRYPRLGTRHVDITLSSHDELLHDVFPRAKCIEWQNGVPVLLQNTDPYSVGFQGFLTK EEIRGLVAHAKNPARSPFTERCRQRAYECLISTLWKFPWFATSMYTVADRDELYQATI QQVLELCEKVKQRRVIGLNEALLVDLLRAGVNCPAFNERQKFCLIDAAEMPAFWPLVH RNHFEFWPFDCLAKNKSASEYDVMYYTQLIRKALGTCDKDNLAIPNNWRNRVGLAKES PWGHLWIEWNFHNKTAKFEDAAKLELQLARDLIRAALNVQPYRAIQTIAGPLILTSPG PTQGFMKHQSDPLPDPWAKEVTKREALNHNSPTSQYTNQNPMTTVTSDDDSLALAFGG VRLRSGTSSTTTGPISHFGSTNGSLATNEADIYAVSLENKPKVPNDHRVRHQHSQSFS AAVPLRAHSDLNRPVPVRRSGSISIPPTIPSASGCSEDLDESLLSHRSSRLSQPAALF PTNVGTDQNTDLSGNSHNINTLMLQHGIWSTTPSDLVSYGGSGKNDFGSAEAENRGRS EHSSRHTSNPSVSSQQRGLTVLSEDEEDVFSKGT TSTA_026520 MIAPLQYGDATIPPGFVARKSKILSDLSVPDAEYTDLSPKGSVD EGIRDLIRDINALDGLVTTSSCAGRVSVFVEGSKKTKKKQKTDTISAADEESQAVNQA ASQVKGQFEDEKTENEDRDGREGGRMFAPSGGKGEGRWLYVSHDPVDNIKSSYHELFG LVPGDGVPKTSTSSDASIRLIRFHYDPMILHVMAATLHHAHPVLAAASHAGFRESGLQ SLRCLEVSHSSGPNQHLTDTASHSPIVAVRSSGLALESVIGYCEYVEDDSEPVMRSLV TEEYLRMLVALANDRFGVNKERVERFQNRLLDLYKMGLDGTPNTAHKRQPPDWEDPQA RKERKRIEGLKRQAETTRQKESALKPEFDETDIDMNIDF TSTA_026530 MPRRSTRLSLGESPATEVDTKRKRLSAGSTIKSTAKKSKYFEGS DTDEPASDVDGDSGSAYEEEAAAHEDEESDDENNAYDEESEEDKPKKRERGRPSSSAK AQKQDSDDSAEGVPASKTAKGRELWREGVKTGLGPGKEVFIKKPKARDLGGIDYKDDT IHANTMLFLKDLKENNERQWLKAHDADYRASKKDWDTFVETMTEKIIEKDETIPELPA KDLVFRIHRDIRFSNDPTPYKTHFSAAWSRTGRKGPYAAYYLHLQPGHCFIGAGLWMP EASKLALLRRDVDRNSTRLKSVLRNAGLRREFFKGIPDDERKAVKAFVDQNQESALKT KPKGYEHDNPNIDLLRLRSYTVGKPLPDEILLSPDAQERIESLIEVLVPFVTYLNSVV MPDDPPSEGEDDQEDSE TSTA_026530 MPRRSTRLSLGESPATEVDTKRKRLSAGSTIKSTAKKSKYFEGS DTDEPASDVDGDSGSAYEEEAAAHEDEESDDENNAYDEESEEDKPKKRERGRPSSSAK AQKQDSDDSAEGVPASKTAKGRELWREGVKTGLGPGKEVFIKKPKARDLGGIDYKDDT IHANTMLFLKDLKENNERQWLKAHDADYRASKKDWDTFVETMTEKIIEKDETIPELPA KDLVFRIHRDIRFSNDPTPYKTHFSAAWSRTGRKGPYAAYYLHLQPGHCFIGAGLWMP EASKLALLRRDVDRNSTRLKSVLRNAGLRREFFKGIPDDERKAVKAFVDQNQESALKT KPKGYEHDNPNIDLLRLRSYTVGKPLPDEILLSPDAQERIESLIEVLVPFVSGPVTVT FYSFPLLQLPLPLPFPYHIMIHRQSCKCNSPCASVGIGFEFTPRYSSRSTRSRSAFSV DNPSLFQFYSKKRAW TSTA_026540 MSNPSGQGNHPQQSWNSEKPSPQHPQHPSWNAEDNNNRPSSTRP SSTYPPPLSFQSHPPAPYNQQPYPQSAYPPPPSAYPPAPPSGMAAVHSQVQGSQDPYH RLPPPPAPGPYQRPDMYAQPPPGPQVVYQAAAPRQRTAIACRYCRRRKIRCSGFESSP DGRCTNCVRFNQECMFTPVSSQAQAFVPAHAAYPHLRSQAQAGRGRGYPGDNVVLYGA HGQPLPPQQAMPESTLPPPQGAYYQPPYGRPHVDDRIPPPPMQHHLPHDQGGRRGSGA GFEYPEPINLAPVSTGPPAAGYPPAPYYHAAPPPPPLDRRASPQSYSYDRPGYPPMPA HGTPPPIATPGSSRGPLNVRDILNNPPGDSTGANGGRSSTDSDMLNQLNRKV TSTA_026550 MDDAGTRSAQGRQPLTDAAARVNHGPTIITPGIDGTTPHHEHLK SFESPQPQTLHNSPVVVPDTARLSVATDSPAHSNRNSAISTTSTASGKGKRKTHVGPW QLGRTLGKGATGRVRLAKHAVTGQAAAIKIVSKKSAAMVQSESIAAMDRNACLLGDNQ ATRPMPFGIEREVVIMKLIEHPNVINLYDIWENRGELYLVLEYVEGGELFDYVSTHGP LPEEEAVRLFRQIISGLAYCHRFNICHRDLKPENILLDPSHNVKLADFGMAALQPAGH WLNTSCGSPHYAAPEIIYGRRYRGDKADIWSCGIILFALLTGFLPFDGGDLSNTLQLV KKGNYHIPTWLSVEAANMIQRILQKRPEDRISIQNMFNHPLLKKYEILHQAMSQHPLG PPPPLSAKDCGPAVASRAEIDLELLRSLQTLWHSANTETLIERLLSQEPNHEKMFYNA LIKFRDEQLENYHGQPLEYSASDYHHISRPPAATRAVAKHSKNGRIQGHSRRRSQFSI LTESSRRSNSGREPKSSASYDPFRASRTPVATATAQYASVTIHREDNVHKTPEDIVVE VEGSLPSSPPRADVIPSSSVEIIQWNRKKKSQLSFHSRSSLATSRRGYSPAPQYRAGY RRNVSFRHIRNRSGGGSSAKSATEHSKSQSSIAHLLANDKSSNLLTGTQSPGRMSSPV LPAQPAVVRTSEVVASLEQDILVRKIRDNFWGEEARKVSHELSQICEEAFNRSSVSTR HTAVSTETTATSMSIHEEEAEKSRAEKSSDELDKIGDLPASYTVKELAETRRKLIEHS TKAEAAGLPDYLCEVIAHLDRLIEQDIARNKAKTEFVENPNRRTLSEPLTKPVDTGYL PSISEEIFTPLETSSRYDLHRVDHHATPDPSPRVSQNLEAKSTIRVVPKDSSLPSIDD IKPLTIRKRNAVTDAPSPLSKSRHSSADSVIHIRKQGVSSEQRTSSDSVATSLRYNSR APMVLETISESSDSRRSSAKSSSNGEKKWSWFGKQKSYVHEENIQEVTLPSVKTAPAG SVSNTETSTDAGEKKPPRKASGEKSRPSFLKMFTKKKAERVDSDSLTGDSSQDQDDTL RQVESNDTAISHVPVHYSGRRARRPSGASQNWFARFFHVKPALRVMAFNVPKAKIRKE IYRTLRDWKVYGMNDVYLDRAENVVRGRVCEKNFLHLRPVEFSAEFFTVLEHSRHANL SLVRFRQERGAASSFHKVLDHLESFMKKKGFIVQDPVRSKKMVKILDNVP TSTA_026560 MADIKPPFTAESAHKKVKAAQDLWNTQDPERVTKAYTPNTIWRN RDTFLQGTDEAIAFLTKKWQKEKSYRLRKELFAFTDNRIAVQFWYEYQDSHDGMKWKR CYGLEDWTYDETGKMRKRQMSGNDVVLGPDGNGEGRWFVEGVDVNQVEITEQHW TSTA_026570 MSALKPGDKFPDGVVFSYIPYVEEQADIKSCGIPINYNASKEWA DKKVVVFALPGAFTPVCSAAHVPGYIENLPKLKEKGVDVVAVLAYNDAYVMSAWGKAN GVKGDDILFLSDPEAKFSKSIGWADEEGRTYRYAIVIDHGKVIYAQKERAKNVLEVSK AEAVLEAI TSTA_026580 MGTNPAESVAAAINDGKTHLLLAASGSVATIKIPLIISALRRHT NLSIRVILTKSASFFLQGQSAEQPTIASIAALPNVDAIYQDEDEMTESWVRGAGILHI NLRKWADILVIAPLSANTLAKIVNGLSNNLLTDVIRAWDTSGLVDGGARKRILVAPAM NAAMWLQPITKKHILVLDKEWGVEADDGTLEHQGWFEVLKPIEKSLACGDVGVGGMME WTNIVKIIEQRLGLVAPSE TSTA_026580 MGTNPAESVAAAINDGKTHLLLAASGSVATIKIPLIISALRRHT NLSIRVILTKSASFFLQGQSAEQPTIASIAALPNVDAIYQDEDEMTESWVRGAGILHI NLRKWADILVIAPLSANTLAKIVNGLSNNLLTDVIRAWDTSGLVDGGARKRILVAPAM NAAMFVPLAIRILKTYRADWIGMVRSAKTY TSTA_026590 MATNIPSKRKYPIIQPTYNPKSANASRKRAKYHDARSLAVQSTD AALSATGELDVAAYVGAREYEIRALETGIQKSKGALATRAFQKVPRALRRRTASHNVK RVPKRLRARAKRETLVLTQNKMIEDNTPTVTARRRKPTKILRIRLETARRLQSLNKKT KEKRAALKEKREKEAQSKSALEGSHTHTIAPRVPKVKKNKLSRPSPPVSKYRKRQRSK TWLPTHMFHAKRAHMTPPGEPLWRFAIPLTPTEKSYRPTHRAAGSRGAIAWDVSYMST VQLEGKFAALENVLKSLRVEGDECWGSKGRKWRQGTRVLQKWVYEADENRKPIAPVTL IWCATAPKIEEDQEMSNAGDTSASRTQVRDKVFIRVHPSAFLQLWNVLLQVCKKQNPP VTLQDLRFDIGSIEIAGPGSTEALLATMRPVKLNEPDNEDNCHATTWKSLLGVTNPSS LPNGALLSFSISDPRLHYPVKTLKPSISESDMSNLATTLSSWAPDRYRNPPALFNRSL RLTASRRLPSQKAINRRRTLAGPGVSLKPQSTDPQIPVMVFASRLETGSKDSNSHGSW TVLLPWECVAPLWYVLMYYPLSSGDTPRFGGIKEQRQLTFEYGHPWFPGDYPGTRAGW EWNIREQEERKKEWEKRPKGKRVEFDSLDLGNGQKGEVGRGWACDWERIIQKMQSTVV DETQDTDSSGMKETTEPTESTQDVVPPLDIEYLRFDARTAAQLNANTRPWKFQKFPAD KPYLATVKVTLMGRGTPNPCARIYRLPSKNDKLRKQWLATRSTPAKGSSNKKVSGANP STKGKPKQNDPKDSKAQGEEFLQNLAASLVETSTDKPTSEISLPLEDDLIGFISSGNY NLTEGKGTGIGSIFVSKVAESHHSSTEPASKSYSQSTREKDLLERRVCIVRPAGERVG RLGVWEFV TSTA_026600 MGAGASKPEASAGSKHIFASETPVQFSANLVEALQSSKETDSSR AKSLELHIQARVAEELERLRQREKQTLEEIEKRVAAELPDRKVFTAPASSPSYAAPAG SLDLDAPRIPFAGREFDAPLFPIDPATVTPPQASQPQSPAVVDPSREAVLKDIERLRS KLESRKKLATLDDNVEQAKSEVVNCLRINDRRPLDCWKEVESFKQEVAKLERAFVDKV VG TSTA_026610 MTTKLPRSEPEPEPEPASATAAWTKLSKAGRGFLFEVTGKPWTA WLGVLAATIAVLLGLAGMQDDGMIISHSRARESENLLPFTE TSTA_026630 MAPGPSLDAALRVAREFDLPSDQVQRGVDEFLSQMAEGMAKNGT NLSQIPTYVTSVPNGTEKGLYLAVDLGGTNFRVCSINLRGDSTFDLTQSKVAIPRDLM VAPSYKELFKFLAKQVESFLETHHNEHFEATVRRRRLTGTTDEYRREEIFDLGFTFSF PVHQTSINRGTLIRWTKGFDIPDAVGQDVCLMLQDAINELHLPVRVAALVNDTVGTLM ARSYTSPGKTETVIGAIFGTGTNGAYVEKLNRLTKLNTKGEPAADATQQMVINTEWGS FDNNLSVLPNTLYDQQLDAASVNPGIQMFEKRVSGMFLGEILRLAILGLAKDATARLL RGDGTLIPTDSPLYTPWGIDTSFLSVIEADTTSDLAETKAALFEHFKVSNASTDDAQA IQAIGHAIAKRSARLSAIALAAILIDTKRIDTDETVDIGVDGSLVEFYPKFVDYIREA MREIKGIGEKEKKVRIGIAKDGSGVGAALIALVAHKGYAIESR TSTA_026620 MLSPLTVTLIQSTFFNAISNILAQIIDQWHNEEPFKFNIPAFIQ FITYCIIIVPINFYWQRWMESRFPGFPSFSWSSSTTGNTTRDAAGVIIPIEDLAVKDK NIADIQPPASSSSSTLRRYWAPKKSSSTRHGSKGISNFFKKFLLDQTIGSVVNVWLFI VLINLLKGKDWAYTSMRVSEDMPDVMIARLKYRPLVAALMYTIIPVDRRVVFGSFCGV IWSVYLSLHSLSR TSTA_026640 MISESLGLTQFVATVGVVGIVIATVGYVAYNRLLHPVRHVKGPF LATVTPWVQLYHGLKGDRHLWLHNLHQQYGSHVRVAPNFVSVNTSQGLRDIYGHGKKF KKADFYHGFAAIKGVYNTHNAIDKTIHGKKRRVLSHAFSDQALKEMEDVMLIHIRQLC AVLGEEPRYASDTILPNEYKTEKGQIVRNMSNWLGFMTYDVLGELCFGKSFNLLIDGA RRDMVHLVDRAAYRHYVCGLWMPLDRWGLDKIFIRKLTMDRWNFIMKSRAEATERAKE RVEAGHEAKKDFFYYLLNAKDPETGEGLSMKELWGEANVLMVAGSDTTSTSIVATLFY LVRHPEVMSKLKREVRSSFTEVEQIVSGPGLNDLTYLKACIDEAMRLSPAVPGSIPRE AMEGGAEVDGVFLPAGSECGTPAFSIHRSPDYYREPLSYMPERWIEGATCQTQSGQQS WKTTKNEIEVARNAFCPFSIGPRGCIGKSMALMEMRITLARLMFLFDIELADRTGEDT DGFLKLTDHFVSAKTGPNVFIRRRQDV TSTA_026640 MEDVMLIHIRQLCAVLGEEPRYASDTILPNEYKTEKGQIVRNMS NWLGFMTYDVLGELCFGKSFNLLIDGARRDMVHLVDRAAYRHYVCGLWMPLDRWGLDK IFIRKLTMDRWNFIMKSRAEATERAKERVEAGHEAKKDFFYYLLNAKDPETGEGLSMK ELWGEANVLMVAGSDTTSTSIVATLFYLVRHPEVMSKLKREVRSSFTEVEQIVSGPGL NDLTYLKACIDEAMRLSPAVPGSIPREAMEGGAEVDGVFLPAGSECGTPAFSIHRSPD YYREPLSYMPERWIEGATCQTQSGQQSWKTTKNEIEVARNAFCPFSIGPRGCIGKSMA LMEMRITLARLMFLFDIELADRTGEDTDGFLKLTDHFVSAKTGPNVFIRRRQDV TSTA_026650 MSNELVTWALPKLSNFLPLDEESLKQIITYSAGLSKEESAEHLK NLLGDAPPVLEFISSFNSRRVDSGTSTPQSGASTPRTARDDSGLFERNQVKTHKRTKA PLHNAGPPRRPENYGNVSGGYKKADLEQDYMAASTKLTILLQPELGAGSGSSSANSRN PSPTPKSNNTKAPPSAAGPLISDYLPNVKSKTSKSHRPAGSGSGSGHSTPGKGSVTTT NIADLTSAIAALEEIQSMIKELRAERGQEKMRAHNEGMHHTGGPGMTDAGGPPSKLDA AVAHRNKLLAFQAQNAQRTRVVDEAADFETPNIGSTQWMSPAQRALALKKQQKILREM EEKAKPEWEKKKTFVSLDIKGGRVVRSYHTAPSGPATPDEDEGVTEETAMVNNQTETG SSGAAFSKNPLLASGGLMRPIWKGPTDGEVGGVGKNKEVRRTWRRVQDDKDDNEQWIL DGGAHGYSNDSGA TSTA_026660 MSSKPVLHYLDINSLGRGEVVRLFLKDAGIDFQDIRYAYDDTWP ATSAKLQAQGITITGKVPALEYNGTILTQHISILRYLARELNDYDGQTSLEKYVVDAV ADIYIDWRSQWVANLMNASEDYKNKSTPKYYSILSHYYSQHDGPFLLGDRITYADFAV YQSIDNDEKTGTLPETLPSVLVAFKKAFESRPGVKAYLESGRSTNTQ TSTA_026670 MAGPDSDKTAEISSRVCTAASFSELSKEILNATFYNIIHDIVAK VHRDEKVARMRSAVVLARQKAEAEAEAGGIPEKKVQVETEGAICENGKVYLKGNPLAT TKDIVCPFCRLPRLLYPTMGIGARPPPDPSKEYCTKHPLVSRPGYDVHGNPFATDKTK KKKKNTNTNTPISSPPSTPDANGTSKQNAPDYPTIKCPNCPRYCQTNRVAAHLDRCMG ISGRTVTRNREGGSATPATTGPPKRPFPDDDVAPMNFKKKKPNTPKKLGTNKPAPPSK LKKAATPDTMLAEEAAEAAEAAESAESFHDPSVDGEEKVEA TSTA_026670 MAGPDSDKTAEISSRVCTAASFSELSKEILNATFYNIIHDIVAK VHRDEKVARMRSAVVLARQKAEAEAEAGGIPEKKVQVETEGAICENGKVYLKGNPLAT TKDIVCPFCRLPRLLYPTMGIGARPPPDPSKEYCTKHPLVSRPGYDVHGNPFATDKTK KKKKNTNTNTPISSPPSTPDANGTSKQNAPDYPTIKCPNCPRYCQTNRVAAHLDRCMG ISGRTVTRNREGGSATPATTGPPKRPFPDDDVAPMNFKKKKPNTPKKLGTNKPAPPSK LKKAATPDTMLAEEAAEAAEAAESAESFHDPSVDGEEKVEA TSTA_026680 MWSGSYCRGVKEGDHFGQESMAYQVFAKAQAQNQRAASATSATT ATSPPVSPPSLLVKQPPLHPAPLVLPQSAASSSLTSNKPPSIGDPNFDPEPFSEPLTS YQTPHPHITIDPVRTAHIASLSRITGLLLPIRYPSSFYTACITDPVIASLSRVAVYHD HPVPSGPSTETTPAGGLGGTDKVIGGIRCRLERLFPEFLGLNRPDGKQRPPTNLYIQT LHLLSPHRGNGVAASLLNSLLFTKPPSESSKLYRVSPLVKHYNIHTVTAHVHEMNDEG LRWYVARGFHVEDGVVKGYYRRLNPSGARIVRLDLDWDNEDEEEETDEHQHDPEQLQS SHVSNATKPGTDEDSAQHHDDDGEDDDDWEKLEAEEDDADDHGVVHLTDSQILDNGDS NSPISTTSSSGKRKRDVEELHKRHK TSTA_026690 MAGNVFGSLVSLAKRINVPITASTTSPDQAEMQRDPWSQSGKYG LGWVYFSVVIVVLTSLVRVDNNVTSPYGNTMSASDQELTSAATDSSTRKFFPKTGPLP SSSRQHESSLLAPASFVVAAFRWIFYRPIPTLSIGKIRIVFPALSVVALIATGLVFVT LYCFVPQPLYYTSIALGSPPLAIRAGMIAVAMVPWITALGTKPNILAIVTGISHERLN VIHRWLGYLCLFMSLVHMIPFYITPVWEDAGAYTLFSQNLVPPGAHMYIYGSGIAAFV PLAVLCVHSFSVIRSKAYELFAYLHGPIAVVFLGMLIWHTKNFLLSWNYIWATIAVWF FSYCVRGIYLNWFSPLRFGWLIGEECSVTILPGDAVKVTIPTEMRWRPGQFVYLRMPG ISPLENHPFTIASLCSDDFPSNYGPEFRDMVLVFQPFGGFTKKVMKTARRKGPYKIYR AMLDGPYGGMQRELAAFDDVVFFAGGSGITAIASQLLNLIKKMRDGHAVTKTVRVVWA LRRPETMEWFKEELRICREYAPPSSVFCNFYLTGTDKDKAYATETVNEILHGVSNKRN SAWIREAAGGDLQQEKELRRENEDDIAPLPGVHLAGGVGSSSYPPPTAYTHYDPYAPY AYVNPAQAGPYGAPQAQCQGFNFGFQQNQSPQQYNYDHYQQQSQMMQQSQQPPQRQQP PPISTTTSGTRNTLTRFAFLPRQKQDSWRTEYGRPNLSKMLQEQSKSWGRRTCVFVCG PPSMRVEVATAVARLQHLVMTDPSKDEIFLHAENYAI TSTA_026710 MSGSPSLSSLVLPPSQFARLQPHAYLLAHLSPPPSSSTQQQSPI RPNGRAPLEFRATSANLGSLSHTNGSAVVRIGDTAAVCGVRAEILHTEDIASWEVSTS SGSRKRRKINSDPNDSKQPTGEGADEDDDDYIKNLNLIVPNLSLSTGCAPGFIPSAPP SALAQGLSHQLLNMLHTTRLIRSEDLQIWHNPPDLDAIAKKEQGEDAMDTESASQAGD EGSISSSASEIKGFWVLYIDIMMISLAGNPFDAAWASIVAALHDTKLPKAWWDIDNDM VLCSDKVSESRKLNLRGFPVSCSYSVFEADAAVDWRAVVIPDADENGGVNKKTSGQQQ ERWILADPDGFEESLCRERIFLIVDSDEKSGKTKILSMQKNGGLSVGREELRRLVDVS TQRWKHLKSIYDGLH TSTA_026720 MADSILESLQPVVEQLKDMRPFLPTYAHLLVSALIPIYTGAHAS LSRPSSAAKPTKKSRAESESEDEEEDEGKDTGNKMEGLEPSDALMFPLIAGLTLGGLY LIIKWLKDPSILNKILSFYFSQMGLFFTGALLRDGLKVLRSYVFPSTYYSGNRQWRVN QFKCLASAIENEESSDTAIHVERKSPLPGFLGAIPLPVTIQDSLWTLRALIYQKLQLR VKALHLFNIKIRYDMLDVTAAFTSLVAVTYFAFYAKPWWLTNLLGFSFCYGSLQVISP STFTTGSLILTSLFLYDIYFVFFTPLMVTVATKLDVPIKMVFPRPAGPNEDPNELSLA MLGLGDIVVPGMIIGLALRFDLFLYYKYKSILKSRKESSAEGAEKAIYQRATGGWGER FWTRSKPSKSLSLQPPYPDAQSFPKPYFYASIVGYIIGMVATLIAMQFSHHAQPALLY LVPGVLISLWSTAYFRKELDSMWSFSDMMEDEEPKANIQEDQGREKKEAAVRSGLFAR IWSGDLKSQFDNVEVHKDDEEEKESEVESLSDSQKKSDTQPGDAVPSKAEIEGEICLF SMSVSVLQKKCLIDGSGE TSTA_026730 MATEIAIDTTMGSFVVELYNDHAPKTCKNFATLAQRGYYNGVIF HRIIPDFMIQGGDPTGTGRGGSSIYGEKFEDEIHPSLKHTGAGILSMANSGPNTNGSQ FFITLAPTPWLDGKHTIFGRIKSGMRVIQRMGLVKTDAEDRPQDPVKIIKARVREEGE ED TSTA_026740 MESLTETPWDVTISGTGLAQSLLALALSRSGKKVLHVDKNIYYG GPEAAFSVQEAQEWVDLLQKEPGREPFEDVSIYAPSNASDDGKKLSFSRAYTLSLSPQ LVYTRSKLLPTLVSSRVYRQLEFQAVGSWWIYGNSGAADDDASRKLRRVPSSREDIFA DDMISMKAKRMLIRFLRNVNQPQQTETDDEQSATDEGNSSLSLSKYLNTKFNAPSELH NPIHSLSLCQQSPEHTPTDIALPRIKRHLGSLGVFGPGFGSLVAKWGGGAEIAQVGCR ALAVGGGVYVLGRGIDSVDTSKDDQGFYQLTLSSGEHIRSKYIAGSLWDLPQDAGGPS ISTEKVARSISIVSSPLKRLFPITAEGGPIPAGAVVLVPGEVLPDGTSNHAPVYLLVH SSETGECPDGQCVIYGSISVSGQEGQHLLEIAINRLLDSFIEETDKPAVLWSLRFTQI GTLDSTQGSPVLYKSTKSDQILYFAPPSLDLAFDDRVVESVRECWKAILDEEAQDDMF MKFEDRETYDDDYV TSTA_026750 MPFFRPKTLFPLVAILSIGYDRAALSKIKRPIEQISNTANTITS NKNPPAQVQLPPAHGTFGNCEFDTASAPPLPFDEWLTRKNFTRAFIRPNRVSASTQFR SLEDINTPVLPPFRALERGLILSDENDDKTIPCPTVANVNVAIDHDVEETSKLLFGLA TTVDRLERLLPSLLYSFADTKASLLVLVPESDDDLAAQERYFRSRGLDITLESSPLEF TARYFGLVEAFSLHIKQKRPHTEWVGFIDDDTFFPSLPSVALQLKQADSSKKHYIGSL SEASWQVDTWGHMAFGGGGIFVSKPLLNILMENYDECQSWGEQPGDQKLGQCIERSSN TPLTLWPSLHQMDLTGAPDGILESGLQIDSLHHWSTWYTKDVVKMGAVAAVAGKRSVL RRWVFDQQEFIDQSTGESYRMFWVLTNGHSIVRYTLMPGTPNDAINFDHVELTWNEDM AGYTQRFGAMREKDQEGVIKERYLLTDAIVIGDNVHQFYTREEENSHNLIEIVWLGPK SSSNSNSNSNSNGASSGGSRNPH TSTA_026760 MDKQRRDTMDTEIASSEAAAGGAPRWRISKACQQCRRRKIRCDG GEPCQHCKQKKLECEYRGFVRQRKRKHELLKNEDAGAGAGEFDDDDDDEGAERDAQAS ASGRGKGFAGSRKASRSISGPGHGTADGGSGRRNDMVDYSVAATHVASPSCVIQLYYG PHSNFSLMQLMYRQLVDGLASESNNNSSSTSRRDEVEEVGPGLDLFSFRRLFFGDLAG NQDTSLSGGLGLGSNSLFFMHPSTASKYLERFLSTIYYLTPWKPKDRYRQMLKDLYQN KNDMSSDSPQTTSLILMMAIGAAEVKDYEMGDILYKKAKANAVAFDEIVNVQTIQVPI SEKARPNSAFLCLGTAIRKALAAGLHKNTRFKVEQTTEDAEEKRLTFWSLFFYDIWIC FGLGRSMTIPAHEITIPSPKENPMLLALVEIARIMARATRDMYNQRHDSLLLMWKSAR EIRKEGQAFARRVQGVLNFGIDASPKTGEVGVCQIVLQLLYHSTMLLTFRPFLVFRAR WRRDGIMKQGETLSSGSEKGGNIPPWLDEACESCLEAARCMIRNLSGACQINQYVREI TYHGFMLETSCFTLAFDMMHSTPQAAEKHLPWVRSGLRCLSTLLPIDNYQGQIHMTMN AIQQMLIAVFPELSTATGPDLSSPSGVYDRSTWEPDKLSGNLSEHNYHLLTRHSIPNN TNTTQFAPNNDPAAQQAQSPYTHQRVYNSTPSSPTALRDNSIYTNPSTFFTSLGQNLM ATPGSSADSNNANANSISADDNIGMVDFTQDDIPIDWKDFDLSTMDLETFMSIDPVHS SAANINNQPVDYFNGGPTASHGVHGHGHGGWPGNDFGFGHGR TSTA_026770 MKVDTVLLSYAGANFLYMAGGIMLLVGSLLFSQTINSTPTLDSA PAIVLLEMVPEKVALVNAIFVFATFVLVLPTMILRTSRIWLKLQGWMVIVSGLFTLVI GLIIWIETLRTRATLNTSWGKQTPEVQSLLQQRFDCCGYYNSTSPPFVTDATCSTPLI AAEKQGCVGPFSSFVNSTLDAIFTGIFGIVAFDMILLISVAVVSKDRKEKERYRLIDA KIGVGAI TSTA_026780 MADLSGNIVTDEHASPSQDELQQAGNGANVDRKRSRMSAAGEED DDDDDKPGRERRKIEIKFIQDKSRRHITFSKRKAGIMKKAYELSVLTGTQVLLLVVSE TGLVYTFTTPKLQPLVTKPEGKNLIQACLNAPEPSAENGVETTDVPAESPEDVSHNNV NAQQANIPRQAGIQPGYMTHEQQQQIAYYQGLQQQQAQAGGQYPGMPVGGRMPPQHPS TA TSTA_026790 MGLLGDLSPGGSIAIGVIVGVISTSLQAIGLTLQRKSHLLEDEK APYELRRPPYKRRRWQLGMLMFVVANIVGSTIQLTTLPLPVLSTLQASGLVFNTISAT LILGETFTKYSFIGTVLVCIGAVLIATFGAVSEPAHSLDELLELLDQRPFVVWMVMTG LVVLLVLFSSRIIKTISTPGNSRIFRSIHLSRPLISPTHGKFYRGLAFAFSSGILSAH TLLLAKSAVELLVRTIVDHVNQFNRWQSWMILIGLVLLALTQLYYMHLGLKLCSTSVL YPFVFCIYNVVAILDGLIYFRQASQLTGLHAGLIALGTVVLLGGVLCLSWRLEANESH PSLTLPNTAQTPLGTGMGIVEEYAEPVEDEELMVGERQPLLSKHVKPTDTSHQRAPSL PLVPTYRYRSHTVDAHTLNNESAQIWAELDDSENVGGSRRRPSIEFPTSPATRKRIMQ YPHRRTFSLLPSETREQRRPSLGNNERQPYFRISKTRDAQQQQVDSPSVLSRWSPRRL QRGYAGSSRHSSSPVSWGKSPGFGSTRTPYQNTGNNDDEFPRNYGTTTSLHTEQGGNI NSVIDDTDTQNPDSSSVIRAGWKRGLGLVQRWAGISWFERNRGDSTHSGV TSTA_026800 MSQHHALSDDQVAGELRKMTAFIKQEALEKSKEIHIKADEEFAI EKSKLVRQEIAAIDALYEKKFKQAAMSQQITRSTLSNRTRLRVLSARQELLDELFQRA REQVSTASKDAKKYQTILAGLILEGLYYLNEDQVAVQVRKKDNDVVKKAIEDAKKEFK DKVGRDVTIDLDEKNPLPDESAGGVCIVGGGGKIDINNTFEERLRLLEIDALPAVREA LFGKNANRKFYD TSTA_026810 MSQSRTIKDYFKRPAFAQDNKLPTNASTPAFSQPSPLSDPPSDL PSHFPSSQHLPDPIVEPQAKGSARSPNRTVPSYNGGYTSFQPSSSLNANFNSPQRIVK NGKEIVIDSDADDASSDEFLEADELLKKFLGTASSPSRKNATDSHNARPTSPSKLSNR KRNDARNFRAKLKLEEKKYRFSMASLVTSAVDDDEVETKVLNAKALLDSQNETTSALA ETKSGALREDILASALSAKNEEVDFQRLVNAVKRTEALEQEKSWRFFSDDSKKQDLPE FPRDSILPSSREAFLRESFTRERAFFSGIIDFSLSRNVLPDEVLIWIFQSVSLEPRAE LRYAYCRAIKSTKRERIESLIGPEDIDQIFFSLGGHSTAVNASEIIPEILFNQGETSR NWGFLLSVLQMLRDIADCLRADTKEHTLYVLFRLTLDTTISYNDMVIASLEATMDYLL QSIPQENFGNLVQPIARTLFRTVKDVTFQSKLLNHILPSIRQIAILRCRLAMAFLTKD ESCLDDLNEQIIPLSRIIDHLRATRLDAQLHKARLDSDYDYTEIKAITTLLNIVIDTG RTIQVFPDKEAERQFNADVDSLAEQVKRIFSAMEDSGASHLKRTEAKQSLEALQYRII YSIRTKRRIKSMFGHNSPMKIRKYFDAQQPRKDRPDE TSTA_026820 MAQAETPAGPDNKTTRDGYREKTAAINPEARSLLQSYSNLAPEE ISRHVLTLRDEAFAVYKYSCIGQMRFLSFNLSRMPFYPRLLELLKSSPGGGFLDAGCC FAQEIRFLANEESVFIDLGYRLFLDKGKLGATFITGDLTELDEATYQSRPLARELGNK MIAIFASSLFHMWDYEDQLLVAGRMVAMCVSKPGVMITGRQLGSHLGGRYPMTGMCKD GDKFKSYRHNEQTIRGFWHEVGEATGTQWTVDAGTYSGEEMEQVRNAPFAEPNMCMIW WSAMRK TSTA_026830 MSRIQFDDGGDGNDNSLRPITSEISKPTNRQRWATRRLQNSSGL KKRVSIVDRFHKRNTSAEEKRNSAGSSTGDGNDGAPNEGAAAGGAQATRRIYFNMDIP QEERDEEGNLKAQYPRNKIRTSKYTPLSFIPKNLWLQFQNIANLYFLFIIILGFFPIF GVTNPGMNAVPLIVIIVVTAIKDAIEDWRRTVQDNQLNNSPVYRLTEWVNVNVSEESI SAWRRFKKACTRFIVTSYRAVKRNKNAVEEDSADEDDRRYSMATYRQSVYSQRQSYYG NGAEDGIQMTPVASPQPAPHVEGNGWPDGPNDATEHLQAGKAMRESVAVGGKRAGTIV NHSKQINHTARFKREYWKSVKVGDFVRLYNGDQIPADIVILSSSDPDGACNIETKNLD GETNLKLRQALNCGQLVKHARDCERSEFYIESEPPHQNLHNYSGAIHWRQRDENDPNG PLQDRVEPIGINNLLLRGCSLQNTEWVLGVVVFTGPETKIMLNSGETPTKRPALARQM NFNVIPNFTILFILCLVTGIVNGVAWASQGSWTYFEYGSYGGSPPVEGIVAFFAGLIL FQNFVPISLYITLEIIRSFQALFIYFDLDMVYERLGMACVPRSWNISDDVGQIEYIFS DKTGTLTQNVMEFKKCTINGVMYGEAYTEAQLGMQRREGIDVEAEAAKARQAIAEGKV RSLEILRKIHDNPYLIDDNLTFVSPDFAVDLSGESGNMTQKKAIESFMIALALCHTVI TEHTPGDPPQIEFRAQSPDETALVATARDCGFTVLGRNGDDLIVNVLGEERAYTVLNL LEFNSTRKRMSAIIRMPDGTIRLFCKGADSVIYKRLARGKQQALRKTTADHLEEFARE GLRTLCIAERILSEEEYRVWNESHDLAAAALVDRDDKLEEVANIIEQDLMLLGGTAIE DRLQDGVPDTISLLANAGIKLWVLTGDKVETAINIGFSCNLLNNDMDLVVFNVPADKP EAAASELQRYLDQFGIQGTDEELLVARKDHTPPSGTHALVIDGETLKLMLEEDLKQKF LLLCKRCKSVLCCRVSPAQKAAVVQMVKSGLDIIALSVGDGANDVAMIQEADVGVGIA GEEGRQAVMSSDYAIGQFRFLQRLLLVHGRWSYRRLGESTANFFYKTLVWTFALFWYS IYNSFDGSYLFDYTYIILINLAFTSLPVIFMGIFDQDVNDRISLAVPQLYMRGIERRE WGQVKFWLYMFDGFYQSLMCFFMPYMLYAPANFQRGDGLVLDDRQQFGILVASAAVIA SNTYVLMNTYRWDWLTVLINVISSLLLYFWTGIYTSSTASAQFYNHGAEVYGSLSYWT VLFVTVVLCLLPRFAIKAFQKVFFPTDVDIIREQVIQGKFRRNDTNDKSAYDSSHVNA SGSPAGSDVSAVSSVVKPIEPQVSRDPRVLEDERPIYPPSIAPTNTTHNPRSQNGSNG TTFTADSFDFGGAKQPVVSSWERAPEAGLSINHRQQRSSVTSNDFPNGTPLLRIESSN GKPQTPHSPLRTPHDLHAV TSTA_026830 MSRIQFDDGGDGNDNSLRPITSEISKPTNRQRWATRRLQNSSGL KKRVSIVDRFHKRNTSAEEKRNSAGSSTGDGNDGAPNEGAAAGGAQATRRIYFNMDIP QEERDEEGNLKAQYPRNKIRTSKYTPLSFIPKNLWLQFQNIANLYFLFIIILGFFPIF GVTNPGMNAVPLIVIIVVTAIKDAIEDWRRTVQDNQLNNSPVYRLTEWVNVNVSEESI SAWRRFKKACTRFIVTSYRAVKRNKNAVEEDSADEDDRRYSMATYRQSVYSQRQSYYG NGAEDGIQMTPVASPQPAPHVEGNGWPDGPNDATEHLQAGKAMRESVAVGGKRAGTIV NHSKQINHTARFKREYWKSVKVGDFVRLYNGDQIPADIVILSSSDPDGACNIETKNLD GETNLKLRQALNCGQLVKHARDCERSEFYIESEPPHQNLHNYSGAIHWRQRDENDPNG PLQDRVEPIGINNLLLRGCSLQNTEWVLGVVVFTGPETKIMLNSGETPTKRPALARQM NFNVIPNFTILFILCLVTGIVNGVAWASQGSWTYFEYGSYGGSPPVEGIVAFFAGLIL FQNFVPISLYITLEIIRSFQALFIYFDLDMVYERLGMACVPRSWNISDDVGQIEYIFS DKTGTLTQNVMEFKKCTINGVMYGEAYTEAQLGMQRREGIDVEAEAAKARQAIAEGKV RSLEILRKIHDNPYLIDDNLTFVSPDFAVDLSGESGNMTQKKAIESFMIALALCHTVI TEHTPGDPPQIEFRAQSPDETALVATARDCGFTVLGRNGDDLIVNVLGEERAYTVLNL LEFNSTRKRMSAIIRMPDGTIRLFCKGADSVIYKRLARGKQQALRKTTADHLEEFARE GLRTLCIAERILSEEEYRVWNESHDLAAAALVDRDDKLEEVANIIEQDLMLLGGTAIE DRLQDGVPDTISLLANAGIKLWVLTGDKVETAINIGFSCNLLNNDMDLVVFNVPADKP EAAASELQRYLDQFGIQGTDEELLVARKDHTPPSGTHALVIDGETLKLMLEEDLKQKF LLLCKRCKSVLCCRVSPAQKAAVVQMVKSGLDIIALSVGDGANDVAMIQEADVGVGIA GEEGRQAVMSSDYAIGQFRFLQRLLLVHGRWSYRRLGESTANFFYKTLVWTFALFWYS IYNSFDGSYLFDYTYIILINLAFTSLPVIFMGIFDQDVNDRISLAVPQLYMRGIERRE WGQVKFWLYMFDGFYQSLMCFFMPYMLYAPANFQRGDGLVLDDRQQFGILVASAAVIA SNTYVLMNTYRWDWLTVLINVISSLLLYFWTGIYTSSTASAQFYNHGAEVYGSLSYWT VLFVTVVLCLLPRFAIKAFQKVFFPTDVDIIREQVIQGKFRRNDTNDKSAYDSSHVNA SGSPAGSDVSAVSSVVKPIEPQSKR TSTA_026840 MEVLDTIRQRRDDYGRYDDTDGENETQRAMERRDKALSCLPILA FSQDGLEQLQDWLWRRFDDTLESCDFCIRMYHRGKVTLAENLKESYDEEDIEKLMRLL EEWDLKRMKKNLTTALEELNAVPPEKIGLDALRTSSLLAIFECLSSDAMQKNEGLLKE YFDAPFRMIQTKRTLKLTDYVPGLTNFLFDPDLVRNHWATHGWGRFRRPPTTDEFEWA VKDGLTRTLISTSTLQPHLDIAAVYRLWTGLFIIIKRLDKDQITHHLRALDIDPLRLS VEHLPIQSPVLRVLLNTIQLLLEKAPNDFWDAMQTISPQAIAEQVFNNPQFDAYLMEA TNDALPSKSPLNDMLSWITPFMSSLKSINQTPACRYIVSQLFKRLQDKRLPPVARFEC FHAGIQVLLCTLRTFTNNESSRGSVARVVLSATLEIVKEHINIIVTPEVEGAGDRAQL IINGCMDVISNTLALECQSLKTDYEVLLNYSSMEHGVSTYSPAIWDAVIARLSQTNRD LSTAALKGILELVGLEKFPTKGEDSQEKTHYNVIYGHLTHLSAQIFERLAEFDPEHLD ALFGSQDTSCALISALFSADLILSAAVESSKRERSVRERDAILIF TSTA_026850 MTEAWHNRGNDKKVMLEFCRDTIQFADVLFAQYAVFASAMSGGD VSREDSVREALLQYPTGTMKGMVKWLRLKDEYLATTLVGLVSKILRRLGELNITTVDR SALQIIENVAVTGLIKTMLTAREKAELVRALEAYYKKPVVTASTAALKKQSSITAFAK QADSSGRSTPNRSTSEDDYGESSVLDETLLQLSRSVESNKARIASQPQKKISTTTKSP LVLPKPPSRPAAVSVESFREKREKEREAKRKRDLAELQRLKKNIAGVGVAEQTAGQGS GVQGGIKGKDHTPVDGMMVGSDSESDEGSDDDDEVDAELFGKKSASTPAAVREYQESK RRALKQQGPVKKVRQWRSAKDMRARLVPDLTSLHRTLLEWDFFANGDLPPNSGRTDYS LITSTFRDPVEYQRTFEPLLVLEAWQGFQSAKEEANFKPFEITVATRLSVDSFMEVST SLKSAADLKDFGLGEADIVLLSKSRDPANDKSAPHCLGRISGINRKKGNVEVSYRVNP GSAMVSSLAPGVTLWAVRITSLTPLEREYGALMALQYYDLCEEIIKAKPSPILKYNES SLKPLVDNYNVNQAQAKAIKSALDNDAFTLIQGPPGSGKTKTIVALVGALLSGTLGNQ HSVAISRPSAPQTKAHSANATATKKLLVCAPSNAAVDELVMRFKAGIKTLNGQSEKLS VIRLGRSDAINTNVLDVTLDELVNARLNQSVPKPSEDNNPQKIFEDHKSTDTAFKEAR SKLDQFRAKGQTPPDDLLREFELLKKKRTQLSQEIDNARDRNNAIARNNDLTRRRIQQ EIVDGAHVICATLSGSGHEMFQNLSIDFETVIIDEAAQSIELSALIPLKYGCAKCILV GDPKQLPPTVLSKEASRFQYEQSLFVRMQSNHPNDVHLLDIQYRMHPAISRFPSVTFY DGLLQDGPDMAKLRARPWHNSQLLSPYRFFDVQGLHQSASKGHSLINVAELNVAMQLY ERLLTDFKSIDFSGKIGIITPYKGQLREMKIRFAAKYGNSIFSKVEFNTTDAFQGRES EVIIFSCVRASNKGIGFLSDIRRMNVGLTRAKSSLWVLGNSQSLIQGEFWGKLITDAR QRNLYTDGDVLRMLQRPQFTGYQNVDMVDADANDSSTSTVQTPTETRSLNEMESVSAR NTPTPVLSGPSGGFNGLDDTKTCAYCGSFDHFTRNCDNAEALELAKGMCFRCKQPGHT KIRCTAPRCLMCGEVGHVSEGCQSKELLPQREMNRIAHEETRLKRAQQAKREEIKQKH IQAHDPGIPIIKSTKSPSPPPQRQQQTGKRKLPPSGPFAKNSKRKVDAEIPQEVPKNL VKPSRDGPGYQRIAQDRNPMNPNGSKAMVDNNMIQAPRGPKGARPDNLPPRPPMPMRK KKEADPFIRPKRR TSTA_026860 MPTVAITDYEQFPRKPKTRKPRPRSAGASSRPMRNENIADLVRF FQGQEDTEEDKSPDQPTNENMDLFKAGQRRLRYLNQNKFERTSVKGSDGASSSSEKRN TQRQHLTALQREGLLPGLDDDRSRPSRTKQDVEAIGRPWLDDALGSISSGDGRSISQT TLPLPSLRRESLSLGDLAALVEFSISFPDSYLDSSPPPYQARAQSESRPQLQLHQRPS SLSNELSRATDCQHPQANYNGNENDQPIRNPGGGQSRESALNKPAQGHRISGSFSPGT ARHAPCKPNTDKDDGDSCCGSNCDCDDAKSLAVLLRATERLGAEKQQNNAQELPADPH TSTSTPAATSKPTTIIKINSISTTNSAAGGNDQLAHSLNQGVTDTPFHVQKLVEEKSD EIQAAQKLGTEASTSRGTDQPVSLSKAEARLSRPFSSGPIPLRLVAECTSPTVSKPVF SPQQPLNPQEPQLHTESQGHLALKQRRSASSIGPSLSIFPRARPLLSPVPIQSRRARS VTPDVAASNTLGKKGKKKVKKAPLYVMITDSAPLPPPAKPLPLIPRSAGANNDSKPVE NDRNISTPEHPFSAPLEYRGKDGDSPILGMNTQKLRLRRSWIGPRPSSRYSNRSNDPA DGTGAMSDGQSSRTASPSVSRPESRRGRADQVHAIRMRDLDASKKKESPVAKDGDLNT TPIKPCDALQTHSSSSPIQNDLRKASHGHRVSAVPEIPLPRDPPVSAQSSTHCRKIST ASLPGSVATTNGYEGGLSRSASVTSGSIESRSIRYTKPTSASKDTTKNFIQQRIRPDS SLLPSSDEETHKSRSCPHKDHSHVIEGEANARTQRSSAGKQKVVYNHELLSSPSGATR DAGLGDDSEIQYIYLVRSLQSRVATLERQNKMLQAALLAALDVGVSHDAESVHSRSAS PSLSSMRIPTISERSMLSSHSFSVDNAYHAQGKSSQGHHKSRNASYAHDNTSQGSRGS FETASSHSDSSVRAVEVMLSDADVRDSARRSRQ TSTA_026870 MTTTEERPTGEYRQYLPDLSIPRFMTMRTQDAHEYAHAFKTKHI PPWLYALFEHWRDLYEEPYQGISIDGTIRQNLFSIQDEELPVEDIVASVQDLFRLLND EQRKALSYHIDSPEWRTWSNPEFLLTPKGLRLDEVEVLIRDAILRVLEKTLSPEGYDK AVKAMRINHFLGELVEAPKVMNEYSYNFAIFGRPSTTRPWGWTFYGHHLCLNIFIYKR QIVASPWFTGAEPNEIDSGPYKGTRILQVEETLGLQLMQSLPTEVQQQAQTYKKMNDP EMTPGRTNKDDQRHLCGAYRDNRQIPYEGVLVLDFTSRQKELAYGILEHYLLYLPRRS RELKIEQIKKYETETYFSWIGGFGNNDPFYYRIQSPVILVEFDHHSGVFLTNEEPKKF HIHTLLRTPNAGDYGYALRPLIPPVENTVAKPITWD TSTA_026880 MLVSLTVGKVDAGVAVLLTQDNRLIEFPSVLLPKNISSGSIVDI DVSRNHAAEAKSIANFQALQKRILNTYGLETPSPPVLRLRNATQTSLVLEWDPIKLAT ASLKSLSLYRNGSKAGSIPRPLEMQSTKISGLAIHAEYTFHLVLRTTAGTYHSEKLTC RTHKMTDLSGITVTPGIMPQPQREALERALDRIGGKLVDTVRIDTTHFVCTEGRGPAW EKAVEMNLPVVRPEWVDACEAEGTIVGVRGYYLNADPKHRQLGPRQVPHQQQQQQPPP QQQQQAPELVVSETTTPPTSNQSNLPVRAPEPISEGPPTPFPGGPTEVTSTEVPEEHE ADRAEPPSPPPKDEPSNELKDDGEEGPDESDVNEEIEDENKKTADKKGSQEEPAVEHE PIKKIDKAQPEGEGELEEVSL TSTA_026890 MPKNKGKGGKNRRRGKNENDNEKRELIFKEDGQEYAQVVKMLGN GRLEAQCFDGEKRLAHIRGKLRKKVWINQGDIILLSLRDYQDEKGDVIMKYSADEARS LKAYGELPENAKINETDTYGHEGFEDNVEFDEDRDSSDEKEIDVDEI TSTA_026900 MTERPNAPVKLSLPLKYQQDLFNELRNEDELVILARGLGLLRIV TNLLHAFDAAGNNLIIVVGADDRENEWIGEALAEHYAISKTPLARGLKVINTDRATVP MREKIYNQGGILSVTSRILVVDLLSKLLDPEKITGIILLHAERVVATALEAFIVRVYR QFNKNGFLKAFSDSPEPFTTGFAPLSNGLRNLFLRKPSLWPRFHVTVAESLEGRYKAE VIELEVPMTDKMRDIQSAVMECVETSVSELKKANSGLDMEDWTLDSALHSNFDVAIRR QLDPIWHRVSFRTRQIVNDLTVLRAILHSLLTYDAVSLLKYLDTVVAAHSAPPGSTRH SFSPWLFLDAAHVLFETAKSRVYRGKITGAFSAALQPVLEEQPKWQVLAEILQEIEQD AYLNPTQRGDSNSTVLIMCGDQRTCRQLRDFLSLMHTRPVAETDEDEEGFDEDKLSEE STSAEIMMRRKLREYLNWKQNFSRVKDNLYAPKPNSNEAPNRGQSSSSASGRAPPNKR RRVRGGGSSSLVSSRAPNTTVQGQDEAPIQVSKLLDDLQPCAVEEALKEDNVIDNLED MEDFYELYDMNDLVMIHPYDGDMDERILEEARPRYIIMYEPDAAFIRRVEVYRSSHND RNVRVYFMYYGGSVEEQRYLSTVRREKDAFTKLITEKGGLAVTLTHDKILEDPEEQFL RTVNTRIAGGGRLAATAAPPTVVVDVREFRSALPSLLHGRSMVVVPCQLTVGDYVLTP DICVERKSVRDLISSLKNGRLYNQAETMLKHYKNPLLLIEFEQNKSFTFDAFASASLP STSFLSDYVFTSSGNPASAANSSLVNPSTPKSAQHMLVLLTLAFPRLKIIWSSSPYQT AEIFAELKKNNPEPDPIKAVQIGLNVDIAASSHPGDVMAAAGIEHRVFHQLPQDMLRA VPGVTPVVLERLSLETNSIHEIANMDVEDLDPLVGKETARKITRFFRKSVFEDETEGS TSTA_026910 MSVTNPPSSTGGPLTTSSSIRPNVSSANLNLKRSVQAAFDDSGR SGSGYTSKVHIRERYHIVGFISSGTYGRVYKALGKNGKKGEFAIKKFKPDKEGELIQY TGLSQSAIREMALCSELNHPNVVGLEEIILEDKCIFMVFEYTEHDLLQIIHHHTQQQR YAIPARMVRSILFQLLNGLLYLHTNWVLHRDLKPANILVTASGAIRIGDLGLARLFYK PLNSLFAGDKVVVTIWYRAPELLLGSRHYTPAVDMWAVGCIFAELLSLRPIFKGEEAK MDSKKTVPFQRNQMMKIVEILGFPRQETWPGLAAMPEFNQMQSMMQSMKMSSRGALHY NKPSGLDTWYQGCLKTGGYSASNSVGTPGADGFDLLSRLLEYDPAKRITAEEALEHPY FTNGGPISANCFEGCETTYPPRRVSQEGNDIRTGSLPGTKRSGLPDDSLMGRVAKRLK E TSTA_026910 MFGKNYSFLNSLGGTYPRDSGRSGSGYTSKVHIRERYHIVGFIS SGTYGRVYKALGKNGKKGEFAIKKFKPDKEGELIQYTGLSQSAIREMALCSELNHPNV VGLEEIILEDKCIFMVFEYTEHDLLQIIHHHTQQQRYAIPARMVRSILFQLLNGLLYL HTNWVLHRDLKPANILVTASGAIRIGDLGLARLFYKPLNSLFAGDKVVVTIWYRAPEL LLGSRHYTPAVDMWAVGCIFAELLSLRPIFKGEEAKMDSKKTVPFQRNQMMKIVEILG FPRQETWPGLAAMPEFNQMQSMMQSMKMSSRGALHYNKPSGLDTWYQGCLKTGGYSAS NSVGTPGADGFDLLSRLLEYDPAKRITAEEALEHPYFTNGGPISANCFEGCETTYPPR RVSQEGNDIRTGSLPGTKRSGLPDDSLMGRVAKRLKE TSTA_026910 MALCSELNHPNVVGLEEIILEDKCIFMVFEYTEHDLLQIIHHHT QQQRYAIPARMVRSILFQLLNGLLYLHTNWVLHRDLKPANILVTASGAIRIGDLGLAR LFYKPLNSLFAGDKVVVTIWYRAPELLLGSRHYTPAVDMWAVGCIFAELLSLRPIFKG EEAKMDSKKTVPFQRNQMMKIVEILGFPRQETWPGLAAMPEFNQMQSMMQSMKMSSRG ALHYNKPSGLDTWYQGCLKTGGYSASNSVGTPGADGFDLLSRLLEYDPAKRITAEEAL EHPYFTNGGPISANCFEGCETTYPPRRVSQEGNDIRTGSLPGTKRSGLPDDSLMGRVA KRLKE TSTA_026910 MALCSELNHPNVVGLEEIILEDKCIFMVFEYTEHDLLQIIHHHT QQQRYAIPARMVRSILFQLLNGLLYLHTNWVLHRDLKPANILVTASGAIRIGDLGLAR LFYKPLNSLFAGDKVVVTIWYRAPELLLGSRHYTPAVDMWAVGCIFAELLSLRPIFKG EEAKMDSKKTVPFQRNQMMKIVEILGFPRQETWPGLAAMPEFNQMQSMMQSMKMSSRG ALHYNKPSGLDTWYQGCLKTGGYSASNSVGTPGADGFDLLSRLLEYDPAKRITAEEAL EHPYFTNGGPISANCFEGCETTYPPRRVSQEGNDIRTGSLPGTKRSGLPDDSLMGRVA KRLKE TSTA_026920 MATPSGGDQPTEAQNDGKLEQISTRRRESFASQDSQTAKDFIES QLQLEADAREILPYSFDSCTQELGPLRQTLFSCLTCNPPPQGDDDPYTPAAVCYSCSI SCHGEHTLVELFSKRNFVCDCGTTRLPKTSVCTLRVDQKTKTKGVHSQPPADENKYNH NFRNRFCACNEEYDPHQEKGTMFQCLGLGTLETGGCGEDWWHPECLVGLPRGRQDAVK DSETTPKNEEVEANADKAPEETADGDEIPLPPGFPDEDDFETFICYKCLDSNPWLKRY AGTKGFLPPIYKQASKEAHETGKDDKSKSQDPPQSRKRNADAYEIGENQDTKRIKLDR QDGLEKIKEEKEPTEVSKHKHELLPSEHPSGTFSLFLKEDFREHLCHCPDCFHHLVSH PQLREEEETYEPPLSEDGEEGANGAGSQGTKSLLDRGEAALSNIDRVRAIEGAMVYNH LRDKVKSFLKPFAESGQAVSAEDIKSYFEKLRGDDMAIREAGGQASAFNRNGGSGGDD NSEGGGANRKEQSGY TSTA_026930 MSSPLRPSNSAANRGLGNLGRRKRDREPDDETSSVAPPSSPPPS SPPMLPFDDELDRDEEDEIVGDIDDIEELAEDEDGIDLFADGFERDYRSEQQEQYRGE YIDDDEDQEELDIATRRQLDARLNKRDRELARRRRMPAAFLQDDDEDTNMDLSRQVRR RRHHYDEERDDMDMREDIMEEELSLDELSDVKSANLTDWILQPQVMRTIGREFKAFMT EFIDASGRSVYGERIKTLGEVNSASLEVSYDHLVAAKAILGFFVANEPTEVLKIFDQA ALETTLYHYPHFADIQNEIHVRITDLPVVYSLRDLRQSHLNCLVRVNGVVTRRTGVFP QLKFVMFRCNKCEVTLGPFQQEAAQEVKISFCQNCQSRGPFTMNSEKTVYRNYQKLTL QESPGSVPAGRLPRQREVILLADLIDIAKPGDEVEVTGIYRNSYDAQLNNKNGFPVFA TILEANHVIKSHDQMAGFHLTEQDIEQIRLLSREPDIVDKIVRSIAPSIYGHEDVKTA VALSLFGGVRKEAQGKMAIRGDINVLLLGDPGTAKSQVLKYVEKTAHRAVFATGQGAS AVGLTASVRRDPLTSEWTLEGGALVLADRGTCLIDEFDKMNDQDRTSIHEAMEQQTIS ISKAGIVTTLQARCAVVAAANPQGGRYNGTIPFSQNVALTEPILSRFDILCVVRDTVQ PAEDERLATFVVESHSRANPPKPLRDAKGRLINKEGQLIDEEGYRVTDEGVRLPLTPE EQQAREDARRKAEEEKEGEIPQELLRKYILYARERCHPKLYQIDQDKVARLFADMRRE SLVTGAYPITVRHLEAIMRIAEAFCKMRLSEYCSSQDIDRAIAVTVESFIGSQKVSCK KALSRAFAKYTLARPKPQSKRKAGIPVAKPYMHREIHAPIDDDE TSTA_026940 MSLHATADINRIEAPVTWKAYLLCAFASCGGLYFGYDSGYINGV NGIKMFVDMIDPGKNSLSSSHSSLIVSILSAGTFFGALIAGDIAEKIGRKWTVITGCV IYSIGIIIQMLTGVGGSALGIIVSGRLIAGFGVGFESAIVILYMSEICPKAVRGALVG CYQFCVTIGLLLASCVVYGTEHYSNTGSYRIPIAIQFIWAIILGTGLLFLPDSPRYYV KKGRVDDAINALCKLRGQSRDSEYIESEIAEIVANEEYERSVIPDANWFGSWKNCFTG SLWVQKSNLRRTILGTSLQMMQQWTGVNFIFYFSTTFLQSTGAIKNTFLMSLIFTLVN VCSTPISFYTVERYGRRPLLIFGALGMLICQFIVAIVGITAGFNKTHSDGNGGTIANN IPAVNAQVAFIAIYIFFFASTWGPGAWILIGEIFPIPIRSRGVALSTASNWMWNTIIA VITPYMVGEDHGNMKSSVFFVWGGLCTCCLVYSYFLVPETKGLSLEQVDRMMEETTPR TSAGWVPHTTFATEKGMVSAEHEEVKQATV TSTA_026950 MVDIEKAASPERSEGNQTLDNQSAFHTAPRGDDDKDLENGTSSG SDQEDALEPTQTARSQRSERDPKVVTWKGPDDPENPKNWPMKRRWAAVFVVSCFTFIS PVSSSMVSPALTAIAKELNITNEVQLEMTLSIFVLAYAIGPLFLGPLSEIYGRVIVLQ LANLFYLIFNIACGVSQTKAQMIVFRFLSGLGGSAPLSIGGGVMGDCFKPEERGRAMA MYSLAPLLGPAVGPIAGGFIAQQTTWRWIFYSTSIVDGAIQLVGLFLLQETYGPTLLS RKAKKLRKETQDPSWHTEYEVAGRSLTTVLSTALRRPFVLLGTQPIVQALALFMAYIY GTQYLMLASFPSLWTGHYNESVGIGGLNFISLGVGFFVGAQITARLMDHIYRRLKKRN GGVGVPEFRTPLLVVGALFIPAGLFIYGWTAQYKVHWIVPNIGAAIFCVGSIMGFQCI QTYLVDAYTLYAASAMAAITVLRSLAGFGFPLFAPYMFDALHYGWGNSLLAFVAICIG IPAPLLLWKYGAKLRAMSPFAAG TSTA_026960 MTDLSLRRAVWLLVWSLLWSPSLQQDSYIFTNPPPDSSSIPNPT YNVGDDINLQWVGPNAFVSVRLVHVLPSNNFDEFTSVFTNVTNLGGHYTWKIDLGSMN LTTSKEFFFNIFVEGETSPRAITHYFNLTRDSTSTTAPASTSFTTSASSSTSTSATSS TTSSPTKHTNGASATNTVVPSSGDGLSSGAKAGIGVGVAVGVIAFLAAATLFWRSRRG KNGGGAGGGAESGPAGGPPYQPVNQQGPYEYYKTPGAPTELSEAPANHVTPELSGNGP TTLEMADTSRPQN TSTA_026970 MQLPRLPQGVPRYVLNTGIAIFVLSLVLWSINALNIASIGSLRG RLTQPLHLDEHKDGEHNKGQLPSKLDATTDRRCHAVPNPPDVAVIVKTGANVLYDKVP LQLLSAWRCAEDPLIFSDLATTLGSYQVYDVLDNVTEAVKNSPDFDYYRKLQDYKKNG QDVRELRNEGEAGWKLDKYKFIPMLKKTWTMRPNHDWYVFLEGDTYAFWTNMLLWLQQ FDPNGLYYLGEQTYVNNEGFAHGGSGFIISRGAMAKVLDDDPDITIRYDSIAQSEYYG DYVLMKALKEKGVELGLYKPMLQGEPPSSLRYGPGRYHEERYWCQPLISLHHVTPLDV DTVWQFEQHREDLSKPILFADMYQHFMAAHLPEGSDELEDWYNYSDDVHIRGPDEEDE DRPIPPEEMTPTQEQAYLSAEHCAAACAEYSRCMQYTYESSEQKCSYSFSYRFGEKRP PKSDGKRYKSGWIRSKIEKDIEENPCQSVVWKGFHTA TSTA_026980 MPNAMHVQILSPRSSLFIPESPDPDQLVIVCSWTDAQQKHIARY LQLHKLVTPRAKILLIQTTAVTLFKPYAWQRASLKPAAQYIIENAVADSQKRDSSPGR EPNQHEHCRNRRSRTKILLHSLSNGGSLTATQLLILLREVTHAPLPLIGVIMDSSPDS GSYKQSHNAMVRTQPPSKPRRAAVSLLAHAALIPIWASYIIGARENSQLEMRRIILDR HYVDTLNIRYVYSKSDKITDWRDVLVHAEEARGKGWLVEEYKLVESAHCSHIRSNPEI LCHDLKIIHDARRLLKFNVPAHLA TSTA_026990 MLVVPSLKPMAPSPNLLRFLRSQSDTLFFTSNPTNLNANTASNQ WNNGKYRRINWIRLNSAPCLAQIESSFLLVWPSVKIPTSRKSSQLRTSLTTRPEAIRS SECVNYPRYAASRNVSTNHKRWWNNWFKKDAYQKWKNSTSPPITSFTDEGAEGNLFNL GRTLASKSLDEPRLRCTEFDENGNVTLVNGEFRKSELIAKYGLLNRDLRKIDSSVLPH ILVRPTTILISLLHLRVLIKADRVLVFDAYGSADSYTQSVFMYDLEGKLRQRQAPGSG ALPYELRALESVLISVTSGLESEFEGVRDPVVRVLRALEEDIDRDKLRHLLVYSKKLG SFEQKARLVRDAIDDLLEADDDLAAMYLSDRAQGKTRAENDHQEVEMLLESYHKICDE IVQASGNLVTAIRNTEEVVKAILDANRNSLMLLDLKFSIGTLGLAAGTLVSALYGMNL KNFIEESDFGFGAVSATCFVCSILVSLYGLAKLRKVQRVRMWGEGVDSAGIGPLQNRS LALSNRGNWRSDAIEPMLAGLPGEGRSERLRRFREAVKSTAAKGHAAADAARRKAVSQ ARHTASRLHGKNDRKTEESTSGETSPKEQ TSTA_027000 MPSILSDADKETVKRTVPKPGNKILAVGVARLYVAHPNPQKWTY TGLQGAAVLANDLVGHTFWLKLVDVSPANRGVLWDQEIYDGFDYNQDRVFFHTFELEE CAAALSFADEKEAKTFLKKMHDREKNASKETKATPFGSTRGQGPSHVSSRKSGHSLFS GLLGRSSSTATPTIPASLPPVAAPVQPPPPPAPAPAPVHEQPSSIDLNDPEIQGVLAE LRQMGITDEQIKDNEGFIKSYIQSQAAEASHRNSPSAEDQRKAKAPPPPPPASAPTPK VASISPQNTGGSGSRRGPPPPPPSRRRTEAASTSQEPETPAPPPPREPSPPRPRFRAP PPIADAGKFAQPVTAPGRPRASSSAMPGPPPPPRPPKTPMDEGLSSAPPLRAHGVPPP FPGERKVSAPPAPPAPPSRSPVHAPPPPPPPRETPQLPPKIPHTGAAASIPPPPPARA PIPPPQPSPSNTRPVPPPPPPAPSSGAPPPPPPPPPSGIPQPPPPPPPPPPSGIPQPP PPPPPPPSFGAPPPPPPPPATGSGAPPPPPPTGPGAPPPPPPGGAVPPPLLSVGGGGR DDLLAAIRASGGKGGSGLRRVNDSEKRDRSAAMVPGTSNETAASTPSAAPAGGIAGAI QNALDKRKQKVRGSDDEGEDDDDCVRLTRDDRIRVQTLREAGFTYEQIANQLNITHNQ VQYACQATQVTPKKARGAAPKLSEAQMDEIIEWISSSKKNRRMPYHKVIKELNLKVTP TTLASSLKKRGYTRCKALCKPYLSPENRRQRLIWALEHVLWTDETWVTSGSHTRIYVT RKAGEELDDICVRSKISRRRGWML TSTA_027000 MPSILSDADKETVKRTVPKPGNKILAVGVARLYVAHPNPQKWTY TGLQGAAVLANDLVGHTFWLKLVDVSPANRGVLWDQEIYDGFDYNQDRVFFHTFELEE CAAALSFADEKEAKTFLKKMHDREKNASKETKATPFGSTRGQGPSHVSSRKSGHSLFS GLLGRSSSTATPTIPASLPPVAAPVQPPPPPAPAPAPVHEQPSSIDLNDPEIQGVLAE LRQMGITDEQIKDNEGFIKSYIQSQAAEASHRNSPSAEDQRKAKAPPPPPPASAPTPK VASISPQNTGGSGSRRGPPPPPPSRRRTEAASTSQEPETPAPPPPREPSPPRPRFRAP PPIADAGKFAQPVTAPGRPRASSSAMPGPPPPPRPPKTPMDEGLSSAPPLRAHGVPPP FPGERKVSAPPAPPAPPSRSPVHAPPPPPPPRETPQLPPKIPHTGAAASIPPPPPARA PIPPPQPSPSNTRPVPPPPPPAPSSGAPPPPPPPPPSGIPQPPPPPPPPPPSGIPQPP PPPPPPPSFGAPPPPPPPPATGSGAPPPPPPTGPGAPPPPPPGGAVPPPLLSVGGGGR DDLLAAIRASGGKGGSGLRRVNDSEKRDRSAAMVPGTSNETAASTPSAAPAGGIAGAI QNALDKRKQKVRGSDDEGEDDDDW TSTA_027010 MPVVPSKPEDFPVATSSRDQKQTEGAKKASAQDHVSKGPVIPNE MPPKASREEIEARMKELNQK TSTA_027020 MSATSRQSNVTAYLIYLVFISTLGPLQFGFHLAELNAPQAVITC EKKSISSISFSVQLPQCLPMNASQFGLVSSIYTLGGLVGALVSGPVATRSGRLLVLRA TTAFFVVGSIAEALSPNIAVLNIGRFLSGVGAGASIVVGPIYVSEIAPPSSRGFFGAF TQVMTNVGILLTQSLGYFFSRGSLWRIILAVGAGLGLAEFLGLLLVAESPSWLAEHHH ADRARQVLQRIRGKNADIEEEVQTWKIGSAESNGDGEQESLLSQHTHQPSPQESRVTI LTVATNPQYRPAIIAVVIVMLAQQFTGVNSIIMYSVSLLQSTLPTAAPLLAVIISALN LVVTLACSPLPDKIGRKTCLLLSVGGIGLASVLLAIGISVGQKIVSALASLLFVASFA VGLGPVPFILASELVGSEAVGAIQSWALSANWIATFIVAQFFPILNGALGGKGQIYWI FAALAGLFGLLIYLRVPETKGKSSPDEVWGRVDERRID TSTA_027030 MVRLPLQRFISSKSTTPIQQSRNPSPLRSPMESTLILRVNVVKG RNLAAKDRGGTSDPYLVVSLGSARDSTPTISKTLNPDWNVTFELPISGVPLLECVCWD RDRFGRDYMGEFDIPLEEIFAEGETQHQPTWYTLESKRRRGKKKEHIVSGEILIQFSL LDTANPNASPADTYRKFKSIVCAAEEDDDDTTLAAPDQDDNDKDEETSDETDDPTKPE IVEKRRRRLRIARLKRKSLAARAYQFSGASNGVQGVIFLEINKITNLPPEKNVTRTSF DMDPFVVTSLGRKTLRTRVVRHNLNPVYDEKMVFQVMKHEQSYTMRFTVVDRDKLSGN DLVATAELPLQTLIAAAPEADPETGLYKLQEPSRPPLQSQKSRFRLPMSRSNSATSLS KSSKPTLVSQSSNPSLITTQSSSDKSPPTPPTSVPSETTNSSLLDVPSVIPPKVEETT PPPQSSADGEDLKTYTIPLLLKNKERWEDKYSPELYIKAKYMPYKALRQQFWRVMLKQ YDADDSGRLSKIELTTMLDTLGSTLKESTIDGFFSRFSAENESSEVNDLTYDQAVICL EDALQKVQKNNFGGIDSKLRNLVPSSLSSTDDRSPDNSSGADETIDAEGTNDQPQQLD LSEFPEGEQPSPGYGELAPDDLADDQGEEHVIEIGECPLCHQPRLSKRSDADIITHLA TCVSQDWRQVDNLVMGGFVTSSQAQRKWYSKVVTKIGYGGYRLGANSANILVQDRITG QINEEKMSVYVRLGIRLLYKGIKSRDMEKKRIRKMLKSLSIKQGKKYDDPASASQIES FINFHRLDMSEVLEPVENFKTFNEFFYRKLKPGARPCSAPDEPKIVVSPADCRSVVFD RIEDATKIWIKGREFSIERLLGKAYPEDVARYKNGALGIFRLAPQDYHRFHIPVDGVL GTPKTIEGEYYTVNPMAIRSALDVYGENVRVLVPIDSVCHGRVMAVCVGAMMVGSTVI TRKTGEKVTRAEELGYFAFGGSTVVLLFEPGKILFDSDLVDNSKGALETLIRVGMSIG HSPDVPQFEPDVRQKEHEISQEEKEEADRRIMG TSTA_027030 MVRLPLQRFISSKSTTPIQQSRNPSPLRSPMESTLILRVNVVKG RNLAAKDRGGTSDPYLVVSLGSARDSTPTISKTLNPDWNVTFELPISGVPLLECVCWD RDRFGRDYMGEFDIPLEEIFAEGETQHQPTWYTLESKRRRGKKKEHIVSGEILIQFSL LDTANPNASPADTYRKFKSIVCAAEEDDDDTTLAAPDQDDNDKDEETSDETDDPTKPE IVEKRRRRLRIARLKRKSLAARAYQFSGASNGVQGVIFLEINKITNLPPEKNVTRTSF DMDPFVVTSLGRKTLRTRVVRHNLNPVYDEKMVFQVMKHEQSYTMRFTVVDRDKLSGN DLVATAELPLQTLIAAAPEADPETGLYKLQEPSRPPLQSQKSRFRLPMSRSNSATSLS KSSKPTLVSQSSNPSLITTQSSSDKSPPTPPTSVPSETTNSSLLDVPSVIPPKVEETT PPPQSSADGEDLKTYTIPLLLKNKERWEDKYSPELYIKAKYMPYKALRQQFWRVMLKQ YDADDSGRLSKIELTTMLDTLGSTLKESTIDGFFSRFSAENESSEVNDLTYDQAVICL EDALQKVQKNNFGGIDSKLRNLVPSSLSSTDDRSPDNSSGADETIDAEGTNDQPQQLD LSEFPEGEQPSPGYGELAPDDLADDQGEEHVIEIGECPLCHQPRLSKRSDADIITHLA TCVSQDWRQVDNLVMGGFVTSSQAQRKWYSKVVTKIGYGGYRLGANSANILVQDRITG QINEEKMSVYVRLGIRLLYKGIKSRDMEKKRIRKMLKSLSIKQGKKYDDPASASQIES FINFHRLDMSEVLEPVENFKTFNEFFYRKLKPGARPCSAPDEPKIVVSPADCRSVVFD RIEDATKIWIKGREFSIERLLGKAYPEDVARYKNGALGIFRLAPQDYHRFHIPVDGVL GTPKTIEGEYYTVNPMAIRSALDVYGENVRVLVPIDSVCHGRVMAVCVGAMMVGSTVI TRKTGEKVTRAEELGYFAFGGSTVVLLFEPGKILFDSDLVDNSKGALETLVSAIKTKM HNTWKSLLTTSSVDRFVWACP TSTA_027030 MVRLPLQRFISSKSTTPIQQSRNPSPLRSPMESTLILRVNVVKG RNLAAKDRGGTSDPYLVVSLGSARDSTPTISKTLNPDWNVTFELPISGVPLLECVCWD RDRFGRDYMGEFDIPLEEIFAEGETQHQPTWYTLESKRRRGKKKEHIVSGEILIQFSL LDTANPNASPADTYRKFKSIVCAAEEDDDDTTLAAPDQDDNDKDEETSDETDDPTKPE IVEKRRRRLRIARLKRKSLAARAYQFSGASNGVQGVIFLEINKITNLPPEKNVTRTSF DMDPFVVTSLGRKTLRTRVVRHNLNPVYDEKMVFQVMKHEQSYTMRFTVVDRDKLSGN DLVATAELPLQTLIAAAPEADPETGLYKLQEPSRPPLQSQKSRFRLPMSRSNSATSLS KSSKPTLVSQSSNPSLITTQSSSDKSPPTPPTSVPSETTNSSLLDVPSVIPPKVEETT PPPQSSADGEDLKTYTIPLLLKNKERWEDKYSPELYIKAKYMPYKALRQQFWRVMLKQ YDADDSGRLSKIELTTMLDTLGSTLKESTIDGFFSRFSAENESSEVNDLTYDQAVICL EDALQKVQKNNFGGIDSKLRNLVPSSLSSTDDRSPDNSSGADETIDAEGTNDQPQQLD LSEFPEGEQPSPGYGELAPDDLADDQGEEHVIEIGECPLCHQPRLSKRSDADIITHLA TCVSQDWRQVDNLVMGGFVTSSQAQRKWYSKVVTKIGYGGYRLGANSANILVQDRITG QINEEKMSVYVRLGIRLLYKGIKSRDMEKKRSKPNQTTNSRLSLNRRKQFGKCLSRLA TSTA_027040 MTTTVVTATLTRTVRREPLKVIDMAASQGRPASSSSARVNGEKG RGTRASSRLNAKDDGHDESAAAQKSAQGRPTRNASKRNAAEYDEDIGGFQFSRATIKK LKSAPAVNEDTIDKPVIHSPRRGRPPKSQTFQSVEDATLEVARASTRTTKATRGRQKR VSLESEVEIPKQQTRKRDDEPPFPIEKPAKTGRPPKRKSVDISLQSPELSQGATSTIA LPVADTPVIRRNKEMREGRGGKGQRRNSLGMRGRRASSLIDSGASNALPHKEVPTSEF YKHIASDGLPEPRRMRQLMTWCATRALDDKPSGSRSDDDSARLAARVIQEELLQDFSN KSELTNWFAREDAPAPAVIVKKPNPKNVQNAEKIKELEEQIRKLQNERHALNALLRPP PIPALDSPPREQTTKDNAASKNISVIDESILDPSQRSIMTALAPEGLRIHREGGSSEE KLLPTSTTTPPLPPAAVSRRLSRITSSLAPTLDAFASGLHDIDIYRSTADRLSHQVLK FCSERLEERDNQIASRNGILESDTQGKENAYKDDDSKGSRKMALRTRQARQQKEDISL ILGALSRVERR TSTA_027050 MAGGFPAVSFLSSDYVDDAGKNSLKRAPRELFSTTSISSQSFSH TSALNSPNGVTSTKETPGPGGAQSKPKNVGILQVTNSVNLQIEKKQQPMSTSVSHIQE GVSHLQGPTLAASIPYADSLRSDSSHRLSSDDSTLSGSTSSSNGCSTEITDDDYDDGI GAEDKLKSANHAAQEQARWPHCLCFTKSKFLDVHGLSVIIPAGTPIVSKVREDLPLVV ISEKLYDLLNGDEEGSAAEERGKERSIKLPQAFLRVQQMARRGFNALSRVRASSPGLH SGRITPTEDHNPNDCLDSRSHNNFGVLANLLRLHDSRNAASNYGPSPEYISYPDTLHL CNGTFDNPTSSERSLSQDSGIVKPTKRKWWEKNSSQSSPPLSWTDSSTKSSFSNPSAQ RPPLRRSRSSGGLTPAIQRLVKLRAQENARDEMCITVQLAETLSRQRYLLRLCKALMK YGAPTHRLEEYMRMTAKVLEIDGQFLYIPGCMVISFDDAATHTADVKLVKAAQGVDLG RLLEVHQIYKEVIHDVIEVAEGTRKLEEIIRRPPRFNVWFLILMHGCASASVGPFAFG ARPIDIPPAFLLGCLLGTLQLVLSPRSYLYSNVFEISAAVLTSFLARAFGSITYQGGR LFCFSALAQSSIALILPGYMVLCGSLELQSRSIVAGSVRMVYSIIYSLFLGFGITIGT SVYGLLDPNATSEYYCPPSPIQNPYLQRLPFVIMFTFCLTTINQAKWRQIPVMLFISL CGYLTTYCSTKRFGSNTQVVNAMGAFVIGVMGNLYSRLGHGLAAAAILPAIFVQVPSG LAASGSLVSGITSADEINHNTSFYSIINNGTQGFLEAQQNMTLYGSSRMYSGVVFDIG YGMIQVAIGITVGLFLAALVVYPLGKKRSGLFSF TSTA_027060 MATGVSVNPAPIGPPPAMYPGPPHQTYPPPTMSSIPPRLPSHSN PPHALRRSMDLDQEPSPVQPARQSLPSIHEALGNDNPLPYPGPSPSGPPSHPHSALSR PSTEGPAGPPNPFSSVGTSNPAPYLREPQYNSQQGSAAAADQQRISMVSLSTQDSRKQ SLQSLSGKSPTSSSKTAPTSISSPNSYGSYPSQPYPWPSQPPAPYDSRAYNGGPWKPN LEVMRNDEKTGILGSRPGMALSQPHGDSVKRQFDSYDVESSLAEIIDGSTKTLDFSRH YASIAHQNQRSGPILGTLPSLHELDDLIQQQQRNHEALLRIRNAVVSQEHALAEQRIQ QRHGKVENGYDDEHSGLYPDGFKSPGGFNGGDAKKRRGKAAPPGRCHSCNRAETPEWR RGPDGARTLCNACGLHYAKLTRKMGQNKAAALSSTLKPKSLDPSSPVHR TSTA_027080 MGLLQLGTALEWPEAKKNADKVRKWGIEQLLAIWNRAKGKERDV LLWGDEVEYLVVAFDDGNRKVRLSLAQADILKSLARDTALCKVGGDVSDMTAEHEDEA LPTFHPEFGRFMLEATPGRPWGIDFRDLLKVESNMRWRREIAKGHMANCEYPITLTTF PRLGCPEDYIQPYYPPSGPALRSQFVPDEIANPHIRFPTLAANIRARRGRKVEINVPV FRDKNTPWPFNDPTVNYDLHKWPEDDDVRNGAAKEGHVYMDAMAFGMGSCCLQITFQA KNITEGRTLYDQLSPLGPILLALTAATPIYKGFLVDTDVRWNQISRSVDCRTPEELGE KPLKKDRWRIPKSRYASNSTYIAQDRRLRKEYMDPNLVIDEDIKKRLIEGGMDDLLAT HFAHLFIRDPIVIFSEDLKELDLNEVNHFENLQSTNWQHMRFKPPPPDKDIGWRVEFR SMEIQMTDFENAAFSIFIVLVTRAILSFDLNFYIPIQRTTENMETAHARNAVLEKRFY FRKDPFPPRTSRQSHIHTSGTESSFAGSSATVSAPPSPPLGPVDSEYALMSISDIING SADGTFPGLIPLVESYLNSVNVDVETRCSLARYLDLIRKRATGTLWTGAKWIRDFVAN HPDYHGDSKVSESITYDLIKAVQAMEEQEGKAGSIGWQMLTGKK TSTA_027090 MTVVESVKSLAGLSDSATQKEMSDARLPLAYRDSCAHLLIPLNR CRRDEYYLPWKCEAERHTYEKCQYEEFKKRVAKMDELRAAKGGERSN TSTA_027100 MEGECLMRRRADDWINATHILKVAGFDKPSRTRILEREVQKGVH EKVQGGYGKYQGTWIPLPEARLLAERNNILDKIRPILDYVAGDRSPPPAPKHTTASRP RAPRGSTANKRAVQEESFNMRAPRMSVPSFSQDQYDMPPPTFEEEESIEHTTLESSSM MAEEDMVPMSQHSNHSRKRKRTTGEVNVMSTTEQEHILYGDQLLDYFMTVGDAPEAAK VQPPVPPANFQVDRPIDDQGNTALHWAAAMGDLEIVQDLLRRGANVHAVSVHEETPLV RAVLFTNNYEKKTMPALVNLLQENLTFRDWFGATVFHHLAETTRSKGKWKSARYYCEV LLQKIRDTFPPQELDMLLSCQDSNGDTPALVAARNGNFRLTSLFLLHCRRAGDLVNQK GDTAVGIMDQANARRVAPPPPSSVTYVNERADIETLDVMDTDDKSLNPPPASSPAASV VARKISRLMEEAQRKLSLAYGNAKVGQQSAGDVTSPQELFEQLEKDRQALQKEMAALM SQEGANDSLALQMDRYKELESRCASLIEQIHRKQLSDRFDAGAPKEQAPPSHSDPPDT QELLQRYELVRRLVSAQEARREAVRELLQQKADAGVSTKLDMHRKLVALATGLKEDEL DPMAAELADTLEFDRINGKESEDVSSARLPFPSHAMHSGSTVPVDA TSTA_027110 MDAGGLAQMTQNSSFNGASLGVPGTGFASRGKGSHIKRLSVPPQ ISTINETGPATSIATPRTSRSHLLAGLRTAPKSATVPPTYSQQFQGHGRVGLEGSRYA TNRTGDRIPQTATGATFPQYSYGLDSQSTNMGYNTGRPLYSLPEQVLAPPAIDLGDAD GMDEKLYAELMTTNLFLAAQQQRLQQQLLSVTAAAQQFQNLSLGANHLNQQFQQQFQQ QHVQSHQQGQLPSFVPGMSLYQQQLQQGMQPVVQPVSGQPGLYSVYNPMTGQQSFVFD NSTSSPQEEDPLPTYQESVQSPIGYQTAPFRAEVSPPPESARSSVHASSRSESPKDIP SPPTNTTSLSTLSGNSMRRIHKKSHSFVPGLRTNTELARTGGSGPRSAVLPQTPLTGT FGPGQGRAGEHPSRQPRGPPALEELVAKPTSKHEGSKNFATRQRRRAVHNLVRAGIER RGESRSNHGSGGSNTPASETEFVFSVSDGDDSRRGSGSLSSKPSLGSLRAFANGAIGS ELKEKDRSSRERVSAENMYILSAAGGDEGFPSALSPVGPPSTASIVAGHRSANQTTER RKTPMLVLSSAEKRKTPIM TSTA_027120 MASNIVTLAQIPTLTQLYRGRLLSPSQQPGSNSPSKALNDILSH IQYDITRLQVDGIVNAANQWLQGGGGVDGAIHHAAGSQLLAECRTLDGCNTGDAKITN GYNLPAAKVIHAVGPIYEERYHLTLERLLRSCYRRSLQLAVENNLRSVAFSAISTGVY GYPNLEAAQAVLDEVGKFLRKDDNASKFDRIIFCSFMPADVEAYQRFLPFYFPPMPED IGNANTSPETKADNDTEFVDVNDESFKSVTDDEQDWEELSEGANHHDLDEEPVELNSQ AASVTDIQSMQSSSAEFDELSKSETEHKS TSTA_027120 MASNIVTLAQIPTLTQLYRGRLLSPSQQPGSNSPSKALNDILSH IQYDITRLQVDGIVNAANQWLQGGGGVDGAIHHAAGSQLLAECRTLDGCNTGDAKITN GYNLPAAKVIHAVGPIYEERYHLTLERLLRSCYRRSLQLAVENNLRSVAFSAISTGVY GYPNLEAAQAVLDEVGKFLRKDDNASKFDRIIFCSFMPADVEAYQRFLPFYFPPMPED IGNANTSPETKADNGW TSTA_027130 MADSKAKQTAARITSKLPGTIQSSSNPRVLSRSELKHRGSPNNN RNPSIDISDFTAYKERPSTWSSFRSRLRARYAALPPSVRWTARVLRVIAPIVPIGIFF SEHVLQIMWVSGPSMTPYLNENYEQTHTESDVILVNLWPWGSMWPWNMTRRLERGMVV TFRSPANPSNIAIKRVIALPGDRVTTREPCPRPSQIVPFNHVWLEGDADDPKKSLDSN TYGPVSINLITGSVVAVLYPRMRRLKWWEWDPPAEAKSGSDTQKELGEGYRSSVRDRV VKQAVKIEVPGLD TSTA_027140 MTSSLDTQHPDEEATIQDEQEQYRGLDATTYTARRLHHASARHL YLTSRRHFIGPIPEDWIHSHRKAWYQSRFSFKNYTARTLTFSAKTTSFNEAPRPSLPE PPTDSPNMNDALDDHNENDGQPDSSTSRGEHSSQDHDIENEDVTTEEDEPRLSDAGTG VASTFVTARETLTREEEPLNSPITQTSTLEEPRTSRDSGAASRWMNTNVSPGRASHVP SILQSTTDAGSTASLLPRRRKKESTSTTSTKTLRPQQPDPHVVQAAKPVPSPQERVRA KITRFNLDDNVLNHQERIRSRIERAQDKVSANRPHWNKESEGEMIRAQKMLVRVEYAS QELPPDYSENSSMRTETRELKTWREYVVVCRKALDDDALFTLKMYKTRVIQDVEKSRK SAYYEVSLTRKTTKINLYSSLDKTWAIWHPYKRGTRIFILRPRSSAHAMEWYTFIRQT LGWNRPTSLLINVPDLNVSLVFKNPFEQSRLGNEVSGERGTLIARTIVAHCLNVLKSR NEWSEALEGWSKTSKMGLAWKRFDRLEWIYGAQEAQMYGTIAMQTSHDLELRPKHHYP STIRTDGEEEEEPAPIEGFLIRLTSQKGVQQRLGREFSKRQYYFSQDRFLCFCKPSKA LPPHPPDLDYTDASIPSYEEIAERNPLQYDILPYPVQGGNITWLASGNAEFLRRHDEE ATAQFGRNIANLQHAEGLIDICQVDSVRVSGDPNGSENTGGRRISGGDIEENRFELVL GNGLVVRFKAYNYETRNEWIKRLTGLVKYWKARVKADARELKTIRQHNLENLGIDERM ESLFGQFASKWEVRRAEASPHLYNMCHLSECRPVKMSGYLYRKPRRRSTFHRCQVICT SGQLLIFQDTLRKFNGVEIPHIHQERVATLDLQDCYIYSGLLTENDLLHTNQTFDNNY PGHHALPRIYLAQDGWTSQDEDTSICFVIWHPTRKSLFRASEVREGKTNRMLRRVSAL GVPGRTVVFKARNRLERDRWVLCIESEINRLQEERGEDVRIV TSTA_027150 MSAPVIPPGKTWLDTLNKSFVDVHVDAANDNAVSTSDFLEAAES FTTLFDVIGVLAFNTVKSDLVGNIKKIRERQLAAPAESETLQALVINELKTKKHTATE GLLWLVRGLQFTGEAIRDSLDAPDKELADSFRTAYGSTLKPHHSFLVKPVFTAALSAT PYRKDFFSKLGFDAGAEPALKEYVAALQNQVKILQDFQSRKEAQWK TSTA_027160 MWLLRQLRVIEKRQDLRVARYSQIESASLHNPLPLQLHAYWWPF LIAWPIFFSVYLSPELYDTYIQGQEWTWVYSGSIITLQSLFWLMTKWSVNLNTLFTAT RAKSVETAQLIKVIPVTNAGAPEICKLLRDNTRGKETTSFLFQKRRFLYYPEEKKFAP LTYTLDEEPKPAIKTFQKSRGLQSKAEIERIQHHYGDNTFDIPVPTFVELFQEHAVAP FFVFQVFCVGLWMLDEYWYYSLFTLFMLVTFESTVVWQRQRTLNEFRGMSIKPYDIWV FRENKWQEITSDKLLPGDLVSVNRTKEDGGVACDILLIEGSAIVNEAMLSGESTPLLK DSIQLRPGDDLIEPDGLDKNSFVHGGTKVLQVTHPNLGDLSEKAANATSGVPTPPDNG ALGVVVKTGFETSQGSLVRTMIYSTERVSANNAEALLFILFLLIFAIAAAWYVWQEGV QKDRKRSKLMLDCVLIITSVVPPELPMELSLAVNTSLAALSKFAIFCTEPFRIPFAGR VDIACFDKTGTLTGEDLLVDGIAGLTLGQPGAKVDKDGAHTELVKVNEVGNETTLVLA TAHALVKLEEGEIVGDPMEKATLSSLGWVLGKDDVLSNKTSTGSQGAESVQIKRRFQF SSALKRQSSIAHVTTTDKSSGRKHKATFVGVKGAPETIRTMLVHTPPEYEETFKYFTR NGARVLALAYKYLSKDADFGQGRINNYSREDIESDLHFAGFLVLQCPLKEDAIKAVRM LNESSHRVVMITGDNPLTAVHVARQVEIVDREVLILDAPEDDNSGSRLVWRSIDDKVN IEVDPSHPLDREILQTKDLCVTGYALSKFKDTPAFSDLLRHTWVYARVSPKQKEDILL GMKAAGYTTLMCGDGTNDVGALKQAHVGVALLNGSPEDLTKIAEHARNTKMKELYEKQ VQMMARFNQPPPPIPVHIAHLYPPGPNNPHYQKAIEREAQKKGQPVPAPGAVAAPFTP AQQALTPQQLRQTQAQATAAGLADKLTSSMLEAELDDEPPTLKLGDASVAAPFTSKLA NVMAIPNILRQGRCTLVATIQMYKILALNCLISAYSLSVIYLDGIKFGDGQVTISGML MSVCFLSISRAKSVEGLSKERPQPNIFNVYIIGSVLGQFAIHIATLIYLSNYVAKIEP LTGEVDLEGEFEPSLLNSAVYLLQLIQQISTFSINYQGRPFRESIRENKAMYWGLVGA SGVAFSCATEFIPEINEKLRLVPFSDEFKFTLCVLMILDYGGCWLVENVLKQLFSDFR PKDIAIRRPDQLEREQARKLEEQAEAQAEAEKNRKV TSTA_027170 MPKEKTTTRKTKPRSEKRKKDPNAPKRGLSAYMFFANENRERVR DENPGIAFGALGRKLGELWKGLSDAERKPYEDKAAADKKRYEDQKASYLAGGDEEEES S TSTA_027170 MPKEKTTTRKTKPRSEKRKKDPNAPKRGLSAYMFFANENRERVR DENPGIAFGALGRKLGELWKGLSDAERKPYEDKAAADKKRYEDQKASYLAGGDEEEES S TSTA_027170 MPKEKTTTRKTKPRSEKRKKDPNAPKRGLSAYMFFANENRERVR DENPGIAFGALGRKLGELWKGLSDAERKPYEDKAAADKKRYEDQKASYLAGGDEEEES S TSTA_027180 MASNDKDQNVSITLGLTEAETALLDLAADDRREVLSLSSKEELL LQLYDQIQELELERAILEQDPEETGTEDAEEQLSVAERELLEARATFTVRRKATESIL ITDPVLKAVHMKAATPAERALLPLTNRRDVLSLVYENLANARTATVEALSNAEVENLR LMEQNKGLASELLELTAQETSWRDRVDDEALIARMEEIEQEYKKTRAQRDTIKSVLSA LVVGSGVDWARDDRLRDSVLEELD TSTA_027190 MSLHIYIAHTGEHLLANPVSFASPDALRSWIARNTSIASQRQIL MTARGKNVKLQTLATEDEIFVYDRQLVSDAGSVELPDLPQPPPFKPKNPPDTLTDQND LQSWRNLYMARRTWALALAEECVPVNQALQEHNERIDIIYRGVGVALENLKSHVASLE NRFQEAQTWADDLLKEQRSALEGWQTALDKLKAIPARKDITFLGRPSTPKKETKNRSS GTLQDFLDVDEVRRAASRGTTVSQSFSRRVDDVQAAVRSISSDTQALLQQAQPPIIDG SHGLLEEVETLAKKVSSDYEHVLSLPSNQKSLANISRMALGHTKELLPSLREISLELH TALNQAVQHRIAAMKAAVNHMRTVSSLESRLADVQAQIAKLDVDADVFDIVFEVYHLP VAYGSVLVEAVRRREWSEKLKSDSLTLAEEIAVFRDEEQRRRKKWAKSMGELLVLPDD VTPGLEINMQGQGQDWPEVHRKEIEVYIDTLKAQNDMTSIVEEVSQLYADLDKPTRQQ KRRAKAFKHGSVIDMGRSSLLIRGDDMVRSLQDEKFKLEEKVKTSESRIRKLEDLLHR QSHIARPLSGNFSTDFPMSPASPRPDTLSRRSSVSSRRMSSNQTAEDKALVQRIVGLE ADLATERETVQRLQKEARMERQSSTDRMQEVQSTKEDLIKNLESRRREFEDERKFLEA DAKQLRHRIEELEEELFNVLDRRDHETKELEERSQKLEEQLIAAETRTTKELENAQTE LQALQDKFSREKHRASELESAISADKERLSNQEAKISELQVQLETLHSQNRENLNTLQ AAHAILSPERSAPSDFASLVKAIEILAEGLTIHARNTEQSHASLLQEKKDLEEKLDLQ QLEVDGLRGTIDTHRAEMAKVQNELLQEQSQVSVLKSELADERSQLHHLRTKFSAGET GSEVLRERLAEEEGKVASMSQKLIQLNAQAHTAEEEAREFREKLELAQESEKQLTAML NARGNKAQEVSQRLLAQAEGIGRMLEQLGFAIVLKDDQLIVQRASKVNSASASGELLA SSTVASLRPDPTLSTWIQDKADEEDAKFKEFMDSMAKFDVEVFGESVVKREKDIEVLA RKWQKEARGYRDKYHRMQSEAHEKIAYRSFKEGDLALFLPTRNQAIRSWAAFNVGAPH YFLREQDVHKLSTRDWLLARIGKIEERVVDLSKSMNGGNQDRRSITSDGTSFDDENPF ELSDGLRWYLLDATEEKPGAPSTPGLGKSTVASAPIDAKGSIRLKRSAAGGNVAKTLT KSLDSRRNSSASKKGAPVPTLAAAESIGDNVQPADADTGLQPREFDPIFDEASEQLQG IPSRSPTVRPGLDDLHSSASSPNKRPSRLRPWEKIWSLDYRVEGHRQ TSTA_027200 MLLSWCSTTGALSKLPWRAAISDAAIIYIKSTRTGERLTLYAQH ISDLAKTVDYHQQQQPNPSIAMARDPITCHVLNTLTGTPAANLPCTLTLLSSSSGNTS KNTPTERTFTAITDSDGRIKTWSPSSSPSSSPQTDTVSSFLESLPAPASKTNWSIRFD VGPWFEAQGVESFWPEVEVKFTVRGRGVEGEEGWRHYHVPVLLGPWNYSTYRGS TSTA_027210 MSSTALIHDDEALEPTLQSILDQKTLRWIFVGGKGGVGKTTTSC SLAIQLSKVRKSVLLISTDPAHNLSDAFGQKFGKEARLVDGFTNLSAMEIDPNGSIQD LLASGGEAQDDPLAGLGMGGMMQDLAFSIPGVDEAMSFAEVLKQVKSLSYEVIVFDTA PTGHTLRFLQFPTVLEKALAKLSQLSGQFGPMLNSILGSRGGLPGGQNLDDLMSKMES LRETISEVNTQFKNADMTTFVCVCIAEFLSLYETERMIQELTGYSIDTHAIVVNQLLF PKKDNPCEQCNARRKMQKKYLEQIEELYEDFNVVRMPLLVEEVRGKEKLEKFSEMLVK PYVPPE TSTA_027220 MYMACIRDKRYQHHQQTMSGAREQQYWLPGYGLSRHIVLGHIQY FLGPSASARPFTYQGREGYLINGMPLTREQINDLAVMSREYERQEAARMTTHAGLDTS YSGQASEPYINEPIPVHQRPQARRRPHRIDNRRAR TSTA_027230 MLPYTLSLLMLVASVFADCATISFTHCADNIVHWYNPNTGEICD PLDCGGGRAPPKTDVPGCPLYKGTETRATSASYLPCWTPLNAAPATVAVAATTSTSVA EAATSATAPLLASSATSTGAPVPESSTTTTTTAAVSSAGTTTVPTTITSAATTSIPST TAASSVSYAAPSVSSAAGDTGAHSTSSAAVPPKPTGSNAGNILDGSLMAVAGAAIDQI YPHYYTVNSKRSCNLKPRRSEVTPFMSWILSIQTALGGSAEDFNISIQATRCSAMISE GLRLDPGLRACFPDKIRSKAYCSGVSIPVAPHGLYSRLESSNVDPVFEDLDSLDEVQV FFETAENPDVPDIDPSPIYSVTHVDISPEFKRRLVKANSPDPKLSKAFQDSDLNDDKE ASLPSVVHDE TSTA_027240 MADNAPPSAETLLNGAAAHPPKTAEEIAKEYDLLPKLIPYLDRH LVFPLLEFISGQDDDNLELTRSKYELLKHTNMTDYVANLWQEINNSDTIPEEFVRKRE GVLAKLQQYQEDSEKITELLQDDAVVGNLRSDKVANLKFLEEQHGVTVDMVNTLFDYG RFQYSCGSYGNAAELLYQFRVLSTDNDKVSSATWGKFACEILTTNWEAAMEEVQKVKD LIETRLFNNPLGQLQHRSWLIHWSLFPYFNHEPARDVLTDLFFSPAYINTIQTNCPWI LRYLAAAVITNRSRAHKNTSTYQKQLKDLIRVVRQEGYEYSDPITDFIKALYVEFDFE EAQKKLGEAEELLRCDFFLVSAADAFVDAARHLISESYCKIHQRIDIKDLSTRLGLGQ DEGEKWIVNLIRDTRVDAKIDYKEGTVIMNHPPQSVYQQVIERTKGAFFRTQVLSAAV AK TSTA_027250 MASITRSLTTMSTVRHQLSTVNSTRQFSILHNHTQRLLSRNGIA NQLSHNPTSSRSLLPVQQYRTFLAKLARRFPASTQFTRPPISPVETEAPSSTQAQTAP LQLTNLPYFVRRTPSNKLPVYLETKAGGTKRLTKIQKTEGDLEALKSDLAKALNLETG SKKPEITINSTTGHIIVKGWRKDEIQKFLIERNF TSTA_027260 MNAWLTDASNIPNHDNGTFNGTIDPSMAFLQPSQAPQDPTQFQR MFQNGVPRNVSPSFHNPNQVIPSKRPRPEDGISMSPRQAPGGLPVSRSQTPQVPYPGY QGPANGNPQFAQPSPYQHLHQQQQRGPLNPSQSPGLQDFDQHGVQKMHTSSPSPFSPA GPVANQISTPQSDHGSRVNTPQNNTFMPGQAFPQGITPQFAQQAAMTSGATSASMQAQ LGNMPQGFSPQAMAAQQQRLYQMQLQNQARQMQVNNPAMVGRPAGAMNPMVNPQMAAL RQMQHNMSQPNISKANSPELFLKTLQKFMMNRNLPLDLNPVVSGRPIHLMPLYGTVMK MGGSKKISATNMWPTIAQALQFPVQQYPNAAAEIREIYAKNLAPYEHAILVSTHQQKQ MDSMQQNALQRQSADPNLMQFQQSPVKAVPEFDAQGSQQFIPSPQVTATMSSSMSAAA TNGLANAQQPRQPKQPPQQPQLPHGQSGQRTVGQRQAQVPASLADSSLQSQVAPQPGA SAKPAASTGKLAPDSTPNKPIKHHIDETFKATVLPDNHKHGPVVIDEIYQLGEEIARL KPNVPSFPELGVIDIHALTMSIKSGIHAEVRLALDTLMTLSSEPAVLSLDNCDDLVES LVDCAEEQLDLLAENAAEVSDDMLLPSYEEVIRGCHVEMASLLDVPEFGSLGYELDRA ADRVLCITAIIRNFSFSELNFGILGSAPVITMFSTIIRYLGTRNMLLRTNQNILDFMK DAVTYLSNIAQTVNLPGKEEAFCLLHFLLSFSPLPTPVLGSEGVTFTQYVPSIHKYTP AATDSLAKLLARDEPNRMYFKTIFQESQCSPQNELLTRAFGMAICAVPDQPRKSLAFS DSRKVFLMQGLLAAEILTGLADGITARTWLQSTDGTDRIAMAPTPRHPQARGQPDSES NPFVSIVNRGLSILRKLAERSKQEDSISEQPFPLCVLPKRESLLGAMLTPHLDAAIVK QLIAYAGLGN TSTA_027270 MADVAVENPVNSVAIHKRPAPADSVPIIDSLEGGSDSGDEYATL KRLQRHLEYIHLQEEYIKDEQRSLKRELVRAQEEIKRIQSVPLVIGQFMEAIDQNTGI VQSSTGSNYVVRILSTLDREKLKPSSSVALHRHSNSLVDILPPEADSSIAMLGAHEKP DVTYADVGGLDMQKQEIREAVELPLTHTDLYQQIGIDPPRGVLLYGPPGTGKTMLVKA VANSTTASFIRVVGSEFVQKYLGEGPRMVRDVFRMARENSPAIIFIDEIDAIATKRFD AQTGADREVQRILLELLNQMDGFDQGSNVKVIMATNRADTLDPALLRPGRLDRKIEFP SLRDRRERRLIFTTIASKMSLSPEVDLDSLIVRNEPLSGAVIAAIMQEAGLRAVRKNR YNIIQSDLEDAYSSQVKTSQEADKFDFYK TSTA_027280 MKTASVLAAAALVGAASAKVHKLKLDKVPLSEQFDKRSMNDHMR SLGQKYMGVVPEGVYEDTSIRPEGGHDVLVDNFLNAQYFSEITIGTPPQNFKVVLDTG SSNLWVPSASCNSIACYLHNKYDSSSSSTYKKNGSEFAIQYGSGSLEGFVSRDVVTIG DITIKDQDFAEATNEPGLAFAFGRFDGILGLGFDTISVNKIVPPFYNMLNQKTLDEPV FAFYLGDSNKEGDNSEATFGGIDKSHYTGELVKIPLRRKAYWEVDFDAVAFGDNVAEL ENTGVILDTGTSLIALPSTLAELLNKEIGASKSWNGQYTVDCTKRDSLPDLTVTLSGH NFSITAHDYVLEVQGSCISAFMGMDFPEPVGPLAILGDAFLRKWYSVYDLGNGAVGLA KAK TSTA_027290 MLLRLPPTLHYPITVRSLLKRPGDSVERGDHLFWYVYKTTVIEG DGLGNDIEVLKEFPVKFESSVDGTVVEWKISKGDIIDEPVNVVEIDEPCAHEVQFGGM CAECGKDMTAANYNTDVMDAMRAPIQMVHDNTALTVSQREATRVEEDAKRRLLASKRL SLVVDLDQTIIHATVDPTVGEWKEDKNNPNHEAVKDVRAFQLTDDGPGMRGCWYYIKL RPGLESFLQNISKLYELHIYTMGTRAYAQNIANIIDPDRKLFGDRILSRDESGSLTAK NLQRLFPVDTKMVVIIDDRGDVWKWNPNLIKVSPYDFFVGIGDINSSFLPKKQELTPG KTKSEKPAVTAPAEHHVNGTTQAKEIGNEVSALEQLVTMGGGDNPTMLLEQATQQEET IMHQVEDRPLLQKQKELDAEDDASESQAGAESETSTSSMDDSQDLGKHRHHLLEDHDR ELFHLQDRLEKVHSEFFEEYERRRQRNLERGRVSTLRGDRTIRDRDIDLELLPDVKVI MPQIKQKVLGDVVLVFSGVLPLGTDLQNADISLWAKSFGATISPRIGSKTTHLVAGRN RTAKVREATRYPRIKIVTTQWLLDSLTQWKHMDEEPYLIPVHPEDRGEPVLGSSPGSK LEDSWLSSSDDDTGVSFTDDEDVNEEIIRSSGMTEHSPVGYTAAEQAAVHDELKEFLG SDDETDDEDDKDYVDDEEDDDEAEGNNNEEDPAATDTRKRKRDGDEETESEGTGTSRL AQRLKRSPGADDDEDMSKQGATIIPGTAKDEDDGSYNYSNETNDPTENADYDEDELER EMLAAFENGDYDENAEEAIGADNG TSTA_027300 MDPNQQQQQQQQQPPQDGAQNEDYLDKGLDFAEKKYGQGKIDPV KMRDTNEKITDGARNLFEKVTGKHVPEKFSN TSTA_027310 MPTYRRTTARRRYQWPELQLNIWLITVLAGSGTCLGIFAWFMAV QTQLKLGIPWLFPFMTVCGALGVIFCLIILILAAQRFLLPGIIIIGSFLLFTLWLTGL IETGLQLYGAQANVNSNCQNFVSNMPFSGNTVEALAWLTQNNICNCWKAAFAFELVNT VFYFWMMVMSWQVHRDAS TSTA_027320 MLKAKSEFKMTAPSTIKQRFLSQPNDLGVVAVGFSGGQCKAGVE AAPMALIESGLLDQLQEDLTYNVHYDNVVHDYADLIPASDPDHRGMKKPRAVSAVTQK LSQQVYEHAKQGKMVLTLGGDHSIAIGTISGTAKAIRERLGREIAVIWVDAHADINIP EESPSGNIHGMPVAFLTGLAKEEKKDIFGWLEKDQLLNVNKLVYIGLRDVDRGEKRIL REHGIKAFSMHDIDRHGIGRVVEMALAHIGNDTPIHLSFDVDALDPQWAPSTGTPVRG GLTLREGDFICECVHETGNLVAMDLVEVNPSLEATGASDTIRTGCSLVRSALGDTLL TSTA_027330 MPRLWYSRYLASARLRCRPLLISEQYGARIYQHLLRRTINTEAN VQRPNDIVPLRKQLKEEAKRSKSQSRNGRGKKQVAANNEWELTVGIEIHAQLNSEAKL FSRAPTSTVAEPNSNVALFDLAFPGSQPEFQAATLLPALRAAIALNCEVQHTSRFDRK HYFYQDQPAGYQITQYYEPFARNGFIKLYDYDGIAPEDGKFVRIDIKQMQLEQDTAKS HEHPPSAHFLDFNRVSHPLIEIITMPQIHSPATAAACVRKIQAILQATSAVTTGMELG GLRADVNVSVRRRDAPPGAGEYYGVRGLGQRTEIKNLSSFKAVEDAIIAERDRQIRVL ESGGTVEVETRGWSIGSTETRKLRSKEGEVDYRYMPDPDLHPLFIDDGLVSTLKENLP TLPDQLLAMLVGPMYGLSLEDAKPLVELDDGARLEYYQDVFDVLQALHSDDRDAAKKG LGRTAANWVLHELGALHTKAEVAWHADRIPAQTLAELIDQLIRKKITSSVAKQVLVMV FEGDQRPIQQLLEEENLLLRPLCREEYIALANSLIEENPQMVAQIREKNQLGKIGWFV GQMVRQGEKGRVEAQRAEEILRELILKRN TSTA_027340 MCFRSKSDQPQDDAPQEIPKVIITRASTDRAVFGEDVELRMEHV ERYESHVRGYLSMLFLEPTLVFAVTISQLTMGPPQIFQLPVASVFSALDEKQELYAHY MVDIVFPGINLSNHNDIRQETSYKNIIFSNRMIAESQRSRGLHMVDEAERHIFKEHRP HAYYICALHEILGHGTGRFLAEPGQTWTGVLGDLSATVDKCRAELVGAFLIDEPEILG LFGYTQDGDIKPDDVIHNMYLQLGADGLRGLENYDPHTKIWGQAHSRAHYAILRHLLR NTKDLYTVLYDSHNGNLTVKVNGNNVLLQGKPSLGRMLLRLHIYRCTADIAGCREFYE DLSSVDGEAL TSTA_027350 MSASVSSRRKGSRAARSKKPSSTPSKVPASHVPSTAVVGANPNV QLKSQTESGVQKKTRPDIQVGSELVKTQNGASSNKPNVFQFLQEGDSSSTSSDNSDSD SDDNDQDQEGLPALAQERPQPDRDTHSSYLISPESSFRASSPEQTFSVTSRDSIATDG GSVTSPDGSPATAYLRLVNKHNLQKAAQARSRRDAVQRQSPHRTDDEDDGHSDYSAPE DFYLAERMHYHQQKQQQQQQQHRSHIHRPHVHRQRNNRDHSGSRRGPSLSPGRSAGQL VTTETRDKNNKLVKTPTTKPRLSSGYALLASKLDSSTASPSQNPKYGDNSLIPVYRRF ENMNHRILLHLQDEISQLEEELQMMDEYEAKHRASIAEKEGSEQLEPASRRMDVEAGR YSAFHARRLELVDRLTYKVNQYNDALTSYTKLSRMLPKASPKDIQTYRNWMNENTPIA KNETRFLDHDLDLVALNMAVPAVAAVGGQNQNNNSILYFIIGVLSTALLLPLLAY TSTA_027360 MASEIAGEAATIPLTNGRHPPSNESAVPSPPGKRKRASTPEGKP TNEKKSAVKSSEEEKIELNRNLRYILQIAAQDDEHLSVFKTSLTPASPSKPRSKRPKL SDDSNTLDSIDACVDANQYLSYQDFLSDVNKASAAVVERQTALGNEDVSLIQMKVAAL KDRLKGLVQQALVQGSTQIKVEPASDESPQDVLRRDRKVLTLYGGSSSNAKQLFSSLQ KTDADSISDKPATFPEDRLPNGITTTRAIPFNLEMEEKSVKTFGEVFAPRSTLPPLEP PRRSRPWARDPSSTWIDPFDAITNYDAILGQRHHYSFAKLPAGYWLHYGGDSAYASYW NRREKQESQNEEETTTQLPRSIGEEKGLLFGAYSSFAPSYDSSYAVVASDAKNSMWWA KRGSRRFNTMVAFHNAAQTAEDQTLSGLDETTLEEDVKSFIEKGTLEAKPEETSETPL KDKDVDAVLQDIAELLQTLDSFRRNRNLEPSSQGETKTDDKAGTPDSPSEAELLTYET LKSGLAAIISNLPPYAVAKLNGDQLADLNISQKILVEMPNYNGTMEEDDYTLMQRRIA ASAPAATPSRTGSYQATPGYNQRLYSANPRPQQSAVGTQGYYQSRPSSSTPYTPGAST PQQNYAGIRPQASPSQRPSSIPAYSPGQYHPRPTSNSYLPYTGQSTTSPGPGYQQNPA YAAGRSASPHKAHSYATPQSAARTAYLNPASGNAQRYYSQHTYTNYPSSATATPGQYA MSPNVAAAYSRNTAAEQAMALARQKAQLDAARQVSGTPNPAQSIAQASSQERSESPAM KHSATPTPATS TSTA_027370 MQKHIKSDSVAASRDASHYDTSNVTRDPLAFWKDLRVRAVNSFV SNAAEASTKSIATSISVTGQWNGIKQPAYGHVDAPGDSNSTDVSDIGRRAVKDQTAAL SPHALEETSPWEDSNPWEEAVLEKETRTSEETHLDDEADLEEEFLNTLTESLPHIRHG GYVNTNSLSRILQKYLLALRSQTPSTNTDIQELAGLSNIFDTETLRTLSKKGYFPEDV AAWAWILAPKGSSRAAMRLFLWDSRNSVRRRTPLFVLKILLHRPHLDVRAYRILLSYS LSYLLRQPINSTILEGDSTVASTQFESDVATLTSKEAGPLVTILLKRAREMLPAAQFN IAQAYAFYLIHLLPLSESKRMVWEINRLRAEGFNKCLRLLGIPSRVQPYISASIQQQA QFELLKAMAAHNPVIPVSRLGYQAIMAVQLAHVKTPAERIFAEQKALSWPPWKEARMG IDSERGNEGMYSRAMQVLSQMTEAGYGRSSWDDVAAILAGWDTDGTPTIQTRSLARPQ KSLGSGIKESKVVSTIWASRIRATRTLREAWACFLSYESRCSIPSEPVYHAMARKLIY KKESHCITTKNGPLPGDGFEVYPEPSSARDVTYVPTEPPTLEALLERMILQGILPGPD FVALLLRFSPNFSTGLRYIAAFLSPKQTKAISTLYVGDLEEENFETLKAMKPSLFDSI IAFFCAQSQLPCPGHRFRKADLFPILTQRPSQLVVDTSTFFSDEDVLREGNGNGHPQT LSHAVELLHVTRPRRVTAWLPLLRALASPRGPTEERLVKPHVHWYFAWYEANELIRWM QELELEPGMEGFIVLCQVFAQAVGVGIQSVHAADESLHLAHRTKRHNDELYVSIPTDF ESFVHQGIRVLKSYFDRLVLPFHPMLDSSPSLNTSEPELNAQLGSHVPDMVQVPTPSA LHVFIRALGTAEDHDGILNLLEWMSRYASEIAEASDQRRGGRRMLRRTLTAVRVFLED LNELKIPQREPESTVGRKAPLDSYYHIGEEQEVKSESRPIFSDGRVEEAYDLIESTAV WGPWPSDDEVRAYVARYMHPDI TSTA_027380 MPPRGAIVFSIIRPTRSTATSSFSRNTTYSQIRTNSTATPSSRD GTATINVQQVPAPGSGYVRVLLLNRPKARNAISKELLNALRFQVDAISAEQGNGPTRA LVLASNADSAFCAGADLKERKGMSKEETNAFLTKLRGTLSRLEALPIPTISAISSIAL GGGLELALGTQLRVFGSTSIVGLPETRIAIIPGAGGTYRLPRLIGQSRARDLILTGRK VSGPEAYFLGLCDRLVEVTPEEEKQEGVARNKVLHEGIKLALDICEGGPLAIKAALQA VNQYEKGEEAENSAYAQVVDTEDRLEALLAFAEKRKPVYRGR TSTA_027390 MPAARKIRQYGLTELYISAPEKSVEVDVVLVHGLNGHPKDTWTS KSGDVFWPVDILPEFLGSSKVRILTYGYNANVAAFTDGASKDRIHHHAETLASELYAN RSLRGCLERPIIFVCHSLGGLVVKRCMIACRNHESDKLRHLRSIYVSTFGILFLGTPH TGSDVAKWGLLLQKICSAVFPKKFMDTSPQLVEALKSNNEVLQNINRLFNDFFSRFHV YFFHETKPLDMKGTREFIVDESSAAPEIEGAERMGIEADHSSMVKFEDDCSPGFEAVA EAIIRYSREAPPVVSGRWKEEKAHVQLQNRSKADELTRSSVHISQEAQQEADIRMGRT PQYFLTDSGGPPSLMTAYENEIASQYSQTTSNSVPNLPLAPIFADDHTPRMAQSVGIL PPPPQRGPLFVVPPGFHPNAIFVGMQKELNELHVRLFNTKKREERASAVLICGGPGSG KSHLAREYVHRYRDDFPGGIFWIDAKSALSMSTCYWDVAQAAALATTSTDANIFVEQV RHWFESREEWLIVFDGLNFDEDSQLNAFKKYLPFRKNTSIIYTAVDRTLAKKQRLFEP YRLMVRPLKTDHARELLFNDLDIEKPTPEQYKKATEIVHYYQGLPLAIHAIAHRLTAT RKPIERYHLNSHLTDQRLAEPFMGIMQDLRTHEHFEAMNLINLLAFFGHHVPVGMISW GRPALEGQNLPILTSSRPGEPGDLDTTLGVLIQYGLIERTSDSYFGKSLRHDIKSESP EGEYSGSESFTESMTASGVYQNAIDVVKIHSVVQAFFRDELKIVDGKNKQQVTDGDAS QQQTTKSDGKPAQHHNRGSQPVISFYAWWLLNATRVFCKSYEVARYRMFRDRTGCLFV KDLREYETHAERLMYHYRKIDNISIVKETKQLLKDTVKDIRVEIGKISPNTSEESFRH GKSIFDRSSSSSSGPSSLEDSMASHRSTWNEADWESIMVQSPLELTNPYPNPPRDPYH LTLASMYRQKLEEQNDGYLSDREADSRTKSRRSSADSSRYSHNTEMPPPAAPVVSVDG PAPVVVPTPAPGEGEGEGEAGWETVQRKKKGSKSQDSPKKRPRFRGRLRDLGSFRPSP ITKMSSAQGEGSMSRISSGSSDRRNPTPTNAKEMLASFHKPDARKQVEHTDQKSNQSP WILPVVSPPATEPSAENPSQSAPSILCRRNNSWSKPRPKSTIENRSDLQAELRSSSGQ SSSIPSPALVDIGRDHRLNRLSHSDPSLVRGERSSPNINSAPGSRNHSRNSSSRTLIP FNREYLRNSMPPPPPPLPSQSSKLNPTHPDFAPRLSTTNLSAGNLRHAPVPEAGDAQV RNNRIRQQVPEVYQASLISTSPPRQAMPGGYTSEPLSAAMSRDPSGQSRSSWQTDPVP VTHQLPPQPPVAYASQLPTSPTYTVVYAPQSNTAGQAAAYAVPIAAPAPLEYLTTRFD YGGEPDTANGGSIINSPAVNQSIFFGEHEVDVDEARRRVMDWNQHQSPAVMYDARTGQ PFLAAPRMQAQRSDPGTVLSLPVAAVPLQVPITNIAGRSRSGSSPDPVYSGIGLGLGI DPR TSTA_027390 MPAARKIRQYGLTELYISAPEKSVEVDVVLVHGLNGHPKDTWTS KSGDVFWPVDILPEFLGSSKVRILTYGYNANVAAFTDGASKDRIHHHAETLASELYAN RSLRGCLERPIIFVCHSLGGLVVKRCMIACRNHESDKLRHLRSIYVSTFGILFLGTPH TGSDVAKWGLLLQKICSAVFPKKFMDTSPQLVEALKSNNEVLQNINRLFNDFFSRFHV YFFHETKPLDMKGTREFIVDESSAAPEIEGAERMGIEADHSSMVKFEDDCSPGFEAVA EAIIRYSREAPPVVSGRWKEEKAHVQLQNRSKADELTRSSVHISQEAQQEADIRMGRT PQYFLTDSGGPPSLMTAYENEIASQYSQTTSNSVPNLPLAPIFADDHTPRMAQSVGIL PPPPQRGPLFVVPPGFHPNAIFVGMQKELNELHVRLFNTKKREERASAVLICGGPGSG KSHLAREYVHRYRDDFPGGIFWIDAKSALSMSTCYWDVAQAAALATTSTDANIFVEQV RHWFESREEWLIVFDGLNFDEDSQLNAFKKYLPFRKNTSIIYTAVDRTLAKKQRLFEP YRLMVRPLKTDHARELLFNDLDIEKPTPEQYKKATEIVHYYQGLPLAIHAIAHRLTAT RKPIERYHLNSHLTDQRLAEPFMGIMQDLRTHEHFEAMNLINLLAFFGHHVPVGMISW GRPALEGQNLPILTSSRPGEPGDLDTTLGVLIQYGLIERTSDSYFGKSLRHDIKSESP EGEYSGSESFTESMTASGVYQNAIDVVKIHSVVQAFFRDELKIVDGKNKQQVTDGDAS QQQTTKSDGKPAQHHNRGSQPVISFYAWWLLNATRVFCKSYEVARYRMFRDRTGCLFV KDLREYETHAERLMYHYRKIDNISIVKETKQLLKDTVKDIRVEIGKISPNTSEESFRH GKSIFDRSSSSSSGPSSLEDSMASHRSTWNEADWESIMVQSPLELTNPYPNPPRDPYH LTLASMYRQKLEEQNDGYLSDREADSRTKSRRSSADSSRYSHNTEMPPPAAPVVSVDG PAPVVVPTPAPGEGEGEGEAGWETVQRKKKGSKSQDSPKKRPRFRGRLRDLGSFRPSP ITKMSSAQGEGSMSRISSGSSDRRNPTPTNAKEMLASFHKPDARKQVEHTDQKSNQSP WILPVVSPPATEPSAENPSQSAPSILCRRNNSWSKPRPKSTIENRSDLQAELRSSSGQ SSSIPSPALVDIGRDHRLNRLSHSDPSLVRGERSSPNINSAPGSRNHSRNSSSRTLIP FNREYLRNSMPPPPPPLPSQSSKLNPTHPDFAPRLSTTNLSAGNLRHAPVPEAGDAQV RNNRIRQQVPEVYQASLISTSPPRQAMPGGYTSEPLSAAMSRDPSGQSRSSWQTDPVP VTHQLPPQPPVAYASQLPTSPTYTVVYAPQSNTAGQAAAYAVPIAAPAPLEYLTTRFD YGGEPDTANGGSIINSPAVNQSIFFGEHEVDVDEARRRVMDWNQHQSPAVMYDARTGQ PFLAAPRMQAQRSDPGTVLSLPVAAVPLQVPITNIAGRSRSGSSPDPVYSGIGLGLGI DPR TSTA_027400 MSFLTRVAPTRFAVTSTSFQAYRSFSTTLATQRGPVEATKDVLK KADRTVSDAAVKGIETGETLTHKAQETLGVKSKEAEGKAKEVAGEAKGKAHELEGKAK GKAEELRSGQ TSTA_027400 MSFLTRVAPTRFAVTSTSFQAYRSFSTTLATQRGPVEATKDVLK KADRTVSDAAVKGIETGETLTHKAQETLGVKSKEAEGKAKEVAGEAKGKAHELEGKAK GKAEELRSGQ TSTA_027410 MSPDTDNRDRRRDYSRSRSPRTSGSRRRRDYSQERSRDYDKEHT RRRRSPERDSEKRDDYRRRRRDHPVSFGKDSDRPRSREKRDHETRRRRHDSSELDERS TRRDKRHRSRSKETRGRRRSGDRRDRSRSPAAPVRSRGPLPSQNDAFESTEVTKTGSP APVKEKPNFANTGRLAAESNTIAVNGSTVVLKYHEPPEARKPPAKDDWRLYVFKDEDL LEMVQLGERSCWLIGREKLVVDFPIEHPSCSKQHAAIQFRYVEKKNEFGDKMGRVRPY LIDLESSNGSMVNGDVVPGGRYIELRDKDVLKFGHSTREYMVGTTTIATGKMITNNKP LSMQLIMV TSTA_027420 MLWKQTVFSHGSIQALTCQKSRARCLQLSWRGNSSDYMYSRHYA SVHSEHFNSGDHQWPTTPSFTPYEVLRQEPAAPYSKRYYYELVKIYHPDLQNEDRTTL KNVSEEVRLQRYRLVVAAHEILSNPKKRAAYDRDGSGWHTHPDHPDSKLSPKTYACSA DADSSIFNNGTWEDWERYRNRNNPKQVQMVSHGTFISFIVLLVLFGGFAQASWITQTQ LGLDQRVQEMNAKSARLLAKRRQQTDELKSREHRVQSFLMRRDPTGSGLKEEEENVYR QALDRQSRLPCPEDGAPGHTSKETIEELHSRGIYPIYWLAFSPDLNPIEAVWNWMKDW IQEQYPDDEQFSYDRLREVVRAAWDALSSF TSTA_027430 MDSKKQLEIAEDVSNPQTPSPELIVPTTLSFHAVNPVDVRVEYL TVQIDTTPPIWQASLQQTWRRLLRPKSETYDDISYKTILDSVDAHMPSCSLTAIIGSS GSGKTSLLNVMAGRMESSRLKTSGSTTFNGDSNIAHTRSSYVMQQDVLIPTLTVRETL QYAADLRLPPPITQAERKVIVEQVILELGLKECANTRIGSSAHKGCSGGEKRRTSIGV QMLANPSVLFCDEPTTGLDATSAYQIIRTLKGLASKGRTVIVSIHAPRSEIWSLFDNV ILLSRGSPLYSGPVSGSLPHFSELGYKMQTFVNPAEFLIDLAAIDNRSPELEAASLNR VNFLRESWRRKEKRGGDDGAGSHRMTARNDTDIGMVKKVPFSREFNVLTSRTFKTTVR DPLGMAGTIFEAVGMAVITGWIFLQLDKSQAGIRSRQGSLYTASSLNGYLVLTYETFR LTIDIQLFDRERNEGVVTVPGFLLSRRAARFLIEDLPALILFSIIFYFMVGYRVEASA FFIFLILSILVHYIAVSFAAVGVSIARSFSGAGLVANLSFTLQSFACGYFVQSNQIPV YTRWLKWTAYTFYAFGALCTNEFMGINSPPYGQFYDCPYSTDPLDPACKQYTGAYIVE SLGLPSNWIWRPCVILVAYVIGFYTLAGFLLQYNKFAMGIAQVRRSDGEEQSKEVAVT TRPAEENIRRVVIALEKYALEIKKRDYLWKLSRTIPILQPITTEFHPGQLNVIMGPSG SGKTSLLNSIARRLHESMGTKYKLRGTMSYNGAIPSESVIRSVTSFVTQDDDALMSSL TVRESLRFAAGLRLPRWMSKKEKNRRAEEILMKMGLKDCADNLIGSDLVKGISGGEKR RVTIAIQILADPKILLLDEPTSGLDAFTATSIIEVLNGLAAEGRTLIMTIHQSRSDIF PQFSNLLLLARGGYPVYSGRGRNMISYFGSLGYNCPPQTSPADFALDLITVDLQQENR EAITRKRVQHLITAWQSNDAVVTRQASHIATPAELGSLKKQMHPLRVTFPLVLHRSTI NFFRQPDLIMARTSQIVGIAIIMALFFAPMKNNYAAVQTRMGFVQEFAALYFIGMLQN IAVYPPERDVYYREEEDHCYSAETFILSYTVLELPFEMFASILFGVIAAYAVNMERSA LMLFVAAFNCFAIINAGESLGIMFCTLFDHVGFSVNVTSVVLSISTIMGGVMSLNLNN VLQGINHISPIKYAVANLAPYSMRYQVFTCTDAERLPNGQCPITAGTQVLDLYNLNTN PEMNVLWLGITVVVYRLVAYAVVKVVRSHGLWEKARQLIAS TSTA_027440 MSTLNNPMALLKAMLARIPLILKTLVFHGLQITPASKKQDLRTE MTVAIIRSFLDMSVSVSTQQRKSMNDPGIKGPMWISKVTLPAPEDAVAAAVLDVVKTL SEGDEAKMIVMPPVKPVEAEWTGYRGGVDKNAPLPDISEKEKYEALKVEAKSDLVIFY IHGGALYLMDPCTHRVPVAYICKLTGARALNVRYRLAPQNPFPAALVDILTAYLSLIH PPEGSYHEPIPADKIIFAGDSAGGNLSLALLQTLLTLKRTSSTVQFHCREVSIDLPVG ISLISPWCDITRSMSSVRDNAIYDYLAPPPHEPAETMYHPATNTPDSIWPTNPPRSDL YCNARLVAHPLVSPLACPKELWKGSPPIYIAVGEEGLTDEDLITARKIHHAGVPVIVE QYEGMPHVFGFIMIGTAAGKLFFQGWAQYLRDVLAKSIQPARGDVGKVIFHHAGWHST KVISLDDVHSLSDAEVEKILRDSRDHRAEWESKLNEEWQAKARL TSTA_027450 MPSCFLFEPLDRTLYSGASIDPITPDWGSLPYNIEKYAVESDQD EEMSDDDDEEDDAKAIEQDMDEDDKLIYYLKLAKWTERAIHEKFVAEGHTNYNVKPLE RALLACVVLSWRKITSVSRKALLFGSRMSRLATEQIEKGRLDLEQRKWKLVPECIQKR ESLAMYPAEACCERSEAMTSGGGSHGSQNSPDPKVARLRHERLQQVELLERFKRNENL TSTA_027460 MFKRSFTKYRVDKAVKPTKKTYRDKAKEKMEESLDSPPTGSPLT SPIVTIVVGHERRLFAAHEDVLSRSAFFEAALKDQFLEPNGKKVDLPDEEPEVLSCIL EFLYKGDYFPRLLQHKRRHTWELEDAQDVHKTGGRGSSMATIFHSGLGAELLRDTVVY CAAEKYGLEELKHLALRKQGLQSGIPVDIILRSARFAYEHTPDTESRLRAHYLALIIR SRKTFKRSGTMQLEMEIGGKLFFDLFVAMSNHIDDIVEIGNSRSPKFI TSTA_027470 MAHRIDLTPIPESTDVEHGIPFVIKWKNAATSHKMELWIGVHIP ENLAHGSSKPKANANPEKPAEATYLMYLPGCNTYRMIERKCIFPLSEYTPHEFDQDGR DYADVYNVAQKTSDIRFWSNYTRKEYQMKGRHDNTPSLDFGQDVDVDTDDASELSEGN KDQSIYPPGVPQIQKGLPKRTSAHGSGFTTRKKAKTTQEQQPDGEELVDIHIGSGDNQ HIKINKSSFLKGSIQTLGVAPYIFHPYLHGMTPVEFEPVYACLSHDDDLNSDLASVDN EAEDVLGDTEKIAKLYMLKDVHSIEDLKALIPRLGIMCSQSCLLGLAEMADAVIKKIQ VAWNVYGRMDQLPLLLDFIEDVMLRVVDTRYNQALLRSGPIQEFWIPSSLTEIMTLYV RELPQRFCNLMNKYPSLQAEVLAQRAALCCNDKLVRMEEKFRQREPLTEASFVIPQRK KELLKKNQARSMRSRM TSTA_027480 MALQYITNVASKASPWSSKPVLTDEDEAFLQRVTSNPDDSNNNN NTTSAEVVTPLGRDAQIALMDGAQDIPLPMSPSENVEREVPKEVNEAGKEKVELNNES AATTKKRRPWSLWMRKRSHDAKSKKDAKGKGPKVDADEISTKPTTADGNPLTDEEQKE EQEDLAIIMERLNLAAQNNRAFSISDETQQLLEKFKLIFKDLVTGVPTAYRDLEMLLM NGDKQLKDAFGHLPAFLQKLVQQLPERFTESLGPELLAVAGERASRSGVNLENAGKAA AAAQKIGFKTPSLKELVGKPTAIAGMMRSIISFLRARFPAVLGMNVLWSLALFIVLLA LWYCHKRGKEVRVENERLVTEAEIAKMNEEYEQHIRPTETLSTTAPRDAPISDVRDGV EQVQKMREQASSTDSNTDVQTPTTETASPATTAAAAASSSSNTEPTPTLTTPTTGRRN SERNRFSLLRTFSKRTKSEDTSNIQPYPGT TSTA_027480 MALQYITNVASKASPWSSKPVLTDEDEAFLQRVTSNPDDSNNNN NTTSAEVVTPLGRDAQIALMDGAQDIPLPMSPSENVEREVPKEVNEAGKEKVELNNES AATTKKRRPWSLWMRKRSHDAKSKKDAKGKGPKVDADEISTKPTTADGNPLTDEEQKE EQEDLAIIMERLNLAAQNNRAFSISDETQQLLEKFKLIFKDLVTGVPTAYRDLEMLLM NGDKQLKDAFGHLPAFLQKLVQQLPERFTESLGPELLAVAGERASRSGVNLENAGKAA AAAQKIGFKTPSLKELVGKPTAIAGMMRSIISFLRARFPAVLGMNVLWSLALFSKFRT WVWIGYVLTVP TSTA_027490 MPKTTSQSPKRSPLAMGKYRRSELSHLTAARNKGLKHSAGKKTV AASNRKRKPSFSSISTISSVSGFEDDDEQDEADSEASSDEDDESAHRTPSYGGKSRRG RKTGSKMESSTLSIKKRKLSYDEGYEGQQSSTGDSDSDYAAVDEIDDDEDMDVEKLEE QMILESEDESQMLKSVFSASDGPDLDEWAGFGSFDDHILFTEPLLEDNLYSAMETFGE TDFTSEAVETPVQRHVHFVVEEDDSDSSSDSHDGSTDDEIPGDFLQQDSLDPTLRRMI DNDYDPSNNQRYEDIFGESDISHPANIYHVESDAVSDGSSGYETDDGETTDEDLPPPA TITHPRSILRRDSTTSLTATGDSTSQRSNPPRRRGPIMGTFVADPKKPVALVDCTGKH LVIIPAYASSRHDWLESATNSMCGTAHNSPRATTMHLIDESDTDALTSPNGFELNPML SSGNLMMTALGNDATPGGQVMGPPEAFYPSQSFAADSSYDEDDDEDDERMLNVDDFID FGNGSSDEDEGMNKGSDDEEGLTTSPAVASSIQGLTTPTPNRTNDNDSAERFLNHLDK GIVTAFRRNHTRYQTLIRLPQHREFMPANSPSRAASVFKHSRYADPRTPPRKRRNPYS GGEAVRRKLIDGHRRNQLSF TSTA_027500 MKFSSISAVAAFLAVQQVSATWDTESCFPSPSKCDNKCTDTQHQ GWDWSWLNPGQSIQNFDGFGFSGFTCSERPGGKLKRSSDKCISGNISKGSHSSPPQIS CGKDIDAFSITHFSVYTDKDTDVDFNFGMPDGSKCKFTKKCGSEGTAVSNDQCGGATS VSWTIPDHSDVDNCGFGIYSIGFDCAPPTGGHTKTTPSPPPTASVSIPHSAPTAPVSV PMTKPTGPAGPSTTTPGGGVPGTSVPGTSAPGTSAPGTSAPGTSAPGTATTPGAPGTT APGTTAPGTSVPGTATTPGAPGTTAPGTTAPGTSAPGTATTPGAPGTTAPGTTAPGTA TTPGAPGTTAPGTTAPGTTGVPSGSSPVVSVPVVTPPVQSFSTSTVFTTTEITITSCA PTVTNCPAESQTVVTQTIAVSTTICPVTATETGVPGGNTSPAGPAGTPPAGAGTSPAG PTGPSETSPAGAGNTSPAGPAGPSETSPAPAGSTTPAGNSPTPDNCPSVVPKCINTWL PETCNDNTDVPCYCPSANATASIISCIQAWSSDSSELTTALSYFTGICSGYIPGNPGI VTAVPTTITLGPTPAPSGPAGVSPAGSSVVPSVPCTTITFASSTYTVPQVAFTTITPT GVAATGTPTVGLVGVTSVPVIPNQAAATTLATKTSAVPGVAGATGFQTPTASSTIKPF TGAAVPGALVSRQGMLLTGVMGALALFL TSTA_027510 MATIMDEMDTFPLLQQQGGSNRPAPVFHSANSRFSGSSHYDRDD LELRPVEPVSILHKSAFRPPPPSSNPSMATFQGSIDEPEPEAEQPENNQELENLNEDD FVTVDISFLEGPDARHQDALPIKAPQVDSTIPPKTGEMVVHNTAREYGQHLANQKNMI VAVKEATAIPAVDLSSLEGSERRASTFKTASVDEEASFFYPADPEKPDWKPFSMTSPY LFMLITVSLGLAGVQEYLYQQSKSLESQGKGLIQFNNVAEIPIPQFFAWKYLPTIVMV TYGVLWQIMEYNVKRLEPYYQLSQPTGNIASKSLNLDYTTLFSYFVPYKAVRNRHWTV LVASVGAVLATTAAPSLQNASIRPIHNPNCSEKEKCQGQFEQFVHIDATWSRLVSVCL GLVAVMALVLVFQLRRKSGLLSDPKGIAGIASMATKSHILNDFQGMDTATDDDIHKRL RHRRYVLYKSSIWQGEYIKYTEEHTDQPFSTASPHPIALRRLPGIVFLIYLTFLVAAI PVVTYTNANVVAVKLPWLPVLLAVIAKQIWTALEFAVKMLEPFYALSQGNARPENTLT LDYQGIPYGVLPFQALLNKHYLVSLIGIGSILSDVLTVTSSSLSIKDETEESFMTSSA ISTVVVFFLLCSAALALVCRRHYFVPRPPSTIASILAFVHQSHMLDDFVNTERFSSDQ MRAMLVAKNKRYGLGWFRGRDEKLHCGVDEEPMLSRYVHGISYKDAQAGPWDSNLYNP TSTA_027520 MVYSPGPASPITMADRSSGLHARNRSLSPGPYPGVNNNAPQSKR DKRRTQLQERLHDLTVTFNQNRDSQFRQQLHALQCDMTLINNADPYQEGPLPDSAEQI ARLIEMTVGGGKFGKEMASISGSWYARFVQEINEIKEERDADLVAVMNRHVEAVNRYN HDFLWRQHFAREEYKLLSGTLRERLVQKVSANKARLMREKEQMDISDTNALLLNPNQF TITNPSSPGGIGGNRKTRHTRHRIGMDEFGNGIGLEGNRRKRKAPDDDIGSPGRDGLS TPAERSKASAEKQQTDKIYSINSLFTDKELAMHANQAHIATAHFFATSRNQDGTGSAV NGSNSEEADDADSAAPGEDGTPAAADMARTASQNYHATRSTRNQGNTGLSLLAELSDK PATRPNLPYHILANHSSRANQNAPPLSSLMNEEIDDDISRMDRLQAKPQGWIDRGLIE NITEPIPEEIDGVPTNSDRFSLLHADFPVDMGVKWYSKNGAGYEMFPQVSERSTAKRR QITARRNCVLQKESEFISMSTTTTTTTTTTLTAESDPNYIPRGPISAELSFFQHPADG SIPYNYVEEPPSGQPRTNFERKSHLVTINDIRGHESDYTLDKDAFEVHSGVSSATTYE TFNNDEEIKRVYYPEVEKLLLDKVEGAHKVILFDHTIRRQDPNSPRQPVNAAHSDQTD RATEWRVKLHVEDPAEAEQLLKGRYRIINVWRPLNGAVQAAPLAIASAASGNPDRDLV PIEHRYPTRTGETMGVVYNPDIKWNYWSGVDNDERILLKCSDINPPAGKEIGKRCPHT AFVDPRTPKGAKPRESIEVRALVFG TSTA_027530 MTLHLTRNDFRLVCVESELKKDSKKQQQFMDLTDNSMFPPAPYF FPTTRSLSSSPRPRRRASQGSISTQHVHYPLSRFLASKEKTALQIPSFNSARASSKIQ MLVDTTVPGDSLRSLPLTPPADDEDDEHWAVPSVDPGMNREPKPQVAMDENRDRHNAS QSQRDGRSSLNAVPGRQSSSDDDVHMTDQDTHDQPPPENWLDNGIKTALSSLFDSNKS PDAVRLVSQTLPYPRSPERNVRLPTQSTVFGSIIENIQDRLRPERPPYIHVVHAVPED FSLSNLPTSPPSTPGHLMQMDNPFDATVFSSASTVPVYHDIYGSVRPVSWPHPIVPPY SIHISVLERYIPPSTRHEYRDMFCYGRPSILTDRLLELSPLGGCLLFIYPTRLGAMTF KSQYLGPILDPLLRQLVVVNGFSADVSRELGKLEAVNGMDDFDTMKMNVENLCQKLSN QAAKFTVVQAGKGRAPLDRNLWTEWFIQQERPRMKSLLSRSWQTSGRHTPKLNSPETS GGLSSAMLLSEIIEGIKRRQYETSPRGDIELGVFVIRRSH TSTA_027540 MKGQQQPSNGPLRFVITNPASNEGRAERIRDVRSHAGRWRWRQA RKGQYDKSELNEDAIVKRLSELSGPTDPSTRTSTTVADNDEDPLEAGSSFLWRIGQLV LISCAFRWAPDVTWCRHARSLSDTCPIASLWRNCLSVLWPILMPGTTNTADHPGVQAW FSLSLSDPALHSSMLFGACSHRWVQCIVKRLGNFSSKDARDLALAESDSISKINSAIQ DPSKATSDAIILSVLCLANNHSPLEQHPKPYPFDPPLRKLQWLDAYGYLSPNSVHQAG LAILIALRGGLDKLELPGLAAVISFSDVLGASRSLTRPRFPFIGLQTNTPSFWENIGW CNGGYTDAMDIDLLLSLGITPEMYRTFQAARAYMAFVELFLDGAHMDMQSALFCDSRN FVQWHIMSLLPASHLGLVNPMVIQVHEACRLGLVIFGIGVIFPLPPESAPFLKLVRLL QLELQMCAKDSMTWTHTVPEMKIRCWCLVLGGIAAIGTSEREWFVAELRLFKEKYSIS TWNQVRRIMKSILWLDVVCNGPGEELWREVVRLSSRGSDFSF TSTA_027550 MSLSTDISSPFKVPAEVMAVLEHDEAFQSLRQHCSESSSLCPFH NEECSEQQRAVFQLHRDVIYTLLLPLFEIHNQATQIATRVLGRRQGTEPERAFRGQAR GAFTWLHCILTEERDFCLAEGCPACIVLHVLSSEPTIRLVTVACLLCDFLSSTDFAVQ KLHHGHPDFGFWLEAMENAVREDPLWGEAFWPEIRHRAESLAVDIKYLIMQCVELRTG TELQRTSSTASSNSSAKSSCSVTMRSDRHAYRVHVRSIPVQPSALARRQLNMMWEEQE LVSKFLLQGYLNSLCWSDRHRKMLEFSKTGSLYLSPGTI TSTA_027560 MSLSLMRWASLFMLGMQASAISQISTVGAKFFNSSGHQFYIKGI AYQLTDDDPLVNTAQCKLDAALMKELGANTIRVYHVDPNGDHDGCMSAFEDAGIYLFV DLETFNTSIDQSAPKWNSTMLSAYEKVLDAFHNYDNLAGVLIGNEVLTRTNGSWAAPY VKAAARDVKAYRDSKGYRKIPVGYAAADIADLRPMLQDYLACSTNSSENVDFFSLNAY EWCGDNTFTGSGYSELQKNATGYSIPIFFSETGCNTVPPRTFEDQSAILGNDMSDTWS GAIIYEWIEEANNYGLISYGPSVAATATGTNIVGGFTRGGTPTPISPDFSNLKSVWAT LNPTGVALSDYTASASKITPLACPAETSGVWDVNPTSPLPTLGQVADATGTGSSGGGG SSSTGSTSSGTGSSGSAAATSSKGAAAGGIVAPGSAGMTGAAVIYGVLVGFTGFMAWW L TSTA_027570 MSEVSSLWWTDERIEATLCRQYVFSQLQPEHQTQLFEPLPWGEG LTSETYLEWILTKAGKLFLILNELGIPQRIFNLADDFVDDSDLPFAESRVSDLPLVRQ DDQSISNSAAAALHNKFFLVQWRYLVRGIKEGEHVRYTENEGVPVEVVKTGLVNSNNN KDGVEKVVLSGATCRVFLRTQVRINVAPYFSEEDEVLEEIRSLRQLAHEHVLSIYGSY TVDNSINVLFNGVYERSLMSFLSDTPQNFKRLPKPDRREILVNWPHCLASGLAWLHAN GHPHGSIRPSNVLVDSDYRIFLGHFEGLDTLLDSPKINDIEAYQYAAPERWIRTTAIQ ETNAARNAFHSGGRTTRIPSSSSSTSGSSSSASSRGTAFTPRSSWMTAGSSQSSESKG TVIRVGSRNSLASSSSSSASGGSGGSHGKSAHKLFRTKPILYTPPIHSSNLACDSRTR PVTAPENAASIPFVPHNTALVRTWQSQQVDAQLSDIFSLGAVITDIFTFLCERKISSF ASHRSAKNRTPGRGGGVADASFHLITNLPQVSSWLTLLTQDSKKRKGLAFRAVEPMLN VVRDMIARIPDRRPSAEEVEAGFAHAIRQLDGIIVAHCKQSPAAATTSRKPTTPSAPV DMPLPPSPDLHRREYESVLGGSKPRRQVIINYGVEDDDYPDPSLRTKEKDQQRRGLPR LDLGYEFDFGFPARPRDQSPSTPTSYSSTSTPPPSSGNSYSSFHHQHQQDHILKPRQY QHQQRQQQNTKQNKEKYEHKDRDGRTIDETILHLRKALEEKLSHDSTDDDDIDDEERK RRREKRRYYDDLAMSLNGSNLNLPSYEA TSTA_027580 MSSVSSSASSPDVLGPEGDALYLISSPVPEFHGRQSWVPPYTMH TPRRQERAPPRTSKQQSSKTMRFNDIILPTSPMRQRSLSPTKMQSEGNLSPWRIRVTV EAEQEDDENTRDGPRKRLKPSTITTKVPLKDEISNPPSAKKRRGRPRKSNVREKSLSP VKGSPGRTPKPQPPDSISRPRGRPRKSLQTETVVQSIEPVGSPARNNMMSSTLDGVVD RESPTPFMTADMGSPSVASSEPDPFLDMAGSGAFEEQPPGLNAHRFSPPGSTEMPNKS AKSVRVSEIATPLASVSPVGRLRGLTPENTLYAGHTPRRLRRNYPTPTSSSLLDGNGD KSTTVQSNGTQTITDPTDVHHEYDTIMESEDFSMVSLDTLPSAKQAGLSSILSSSKGD HESFSQKQNAVITERTTRRTTKSPAISNYVPASSTTPYHKSPELQNGFESVDDQIGSV DAVVMNAPVSEHSIRPAAEKARKRFLSLARLVRLGLSLHKTLSYRQDLDTENSDEEEE EIVSASERLKHIFGDLDMESRRQLQAGLKFGELVARGKMRSRRLRRQEEKRTSEARER NHSAEQEPTESPQQPDTFSDDDDEDENLPEQPEMSRSQRLEAEWQQERELVSQQINMA GSDNVIVLDSDEDVSEQGEDVQEQAHEGEGEDENLEEGSEEIEDDEDYGDVWQEEARN HDSSSARHQTFTSSVDGKQSMTDIPRQASSPRSVTHRYAWDPAKGEIPPLGKSRPAQL REREVDLADLLKPRDTPKSRQYYANSSPHSALSQRLQSDKSSRYGGISRDVSSPIKPS SLGTQLVDDDPEDMQDDNVDDGVSDDQQAEEQEEQEEVEEEMEEEDDDDDIYIEAGRE VTNEHTSFATSVTTPEQTISGPKQSWFRRITNNFTPGWWTASKEVPLDESQSPPFMTE EQTPSLKRSRASRDAEETREMEQVTSVTSTVQRRIKKHRLSSFSSPKLLATSGYFTDA HYVALKRLYWEAKRYPERFPYHPTPHRDEMLGDSLWTSDGEHGLPIAKIQFGILDRFV SELISADIRNGGRGQIGWTEDDLHKRLFSIIVGDEIRRERREQRLLQNY TSTA_027590 MPLATGQGRFLQLGSGSSFILYFKRLAKRHLSFVAMRFQNYVSA AALFALPALGGQSSHSSSDNSTDPFKIYNITATNITASFIGYGARLVALYVPDSNGTL QDVVVGYDDPTTYVQDSETNHTFFGAVVGRYANRIKNGTFTIDGNKYQIPTNENGGAD TLHGGTVGYDQRNWTVTASTKDSITFSFLDTGYEHFPGTVLNHVTYTVDALRTAVNPK GLPQLTCRIVSMSLTDKTPIMLANHIYWNLDAFQVPTILNNTLHMPLASRVVAGDNIL VPNGTIFDVDTAFDGAIDYTTAKQIGADFDHAGGVCGFNCTGVDTCFIDDRVPYYVDG AVVPIIHLSSPATGITLDVATNQVAHQIYTCNGQNGTIPIKASQKARNEAAGKGGVDY VNKHGCIVIETEGWIDAINQPEWGQQEIFSPADAPTVNFATYQFGTL TSTA_027600 MATTESRHASKPSISALEAARARIRKDEQSFEPRTPPHHRNRSM VSSFGSTSSALSSFRNEEDAIIIEMGARSLRAGFEGESMPMCTVGFGPEESRKAGDYR GWIKTKGGTPGETPRSLDAEEWARDHELWRMDLREVDLGLVEDKLERAVREIYNNYLL TDAGNARLVLVMPSVMPHPLLSVILETLFNRWRFPSITLLPSAAMVAAAAGVRAALVV DIGWAETTVTAIYEYRDITSKRSTRATKSLMQKMGRMLSQRDDTAKAEAENDSKVSVD FNYCEEVVSRFAWCKFAPEDENLNKNVSIPSPSNPDSGYVQLPFSQFAKPVEENFFAN GVDEHELDDDERPIHILVYDALLELPPDVRGACMSRIIFVGGGSRIPGIRQRIVNEAS SLVAEHGWDATRGRAVEEQRKKLQQLKISRESNNTSEDLQADTTDTAHEKSEEPEEPE ELDFVEQKIHRNRARHSKPLIQGQFRQVESLGAWAGASLVTSLKIRGLVEVEREKFLR DGLASATRDLDGHRHHVADRRSGVRSSVISDRSSWTLAGWG TSTA_027610 MGIPGYVGGTMSASLLIKANRLGKAIGNADRIALSRLAVRHLEV ARRPIRIAVDISIWLFQLQAGRGGQNPELRTLFFRLVRLLALPVHPLFVYDGKQKPPF KRGKATTGRSYGNAPIINLSKILIDLFKFPRHDAPGEAEAECARLQQAGVVDAVMSND IDTLMFGSGLTVMNYSKESSTGTTAATHVDCYATETQLDVEANVKLSRAGMVLFAMLS GGDYLPSGVTKCGPGLAGEIAKAGFGEDLFEIIYSNDDEVDAKLAEWRERLQYELDEN ESGYFQSKHKAVRIPDTFPDRQILSFYAKPVISNEYEIEQLRERLVGAWDHEINALEL RKFVAEYFEWKYRSGAKKLIRNLAEPLILTRLRLARSPIATFGYGSYVPNADLPILQR IYRSRMHFSTGCIPQVQVEMIPVDVVGLDLDAEEPNPPPEWSQATEPSTQPAEDEDEA DAEAVPADAQAPKYHIPKHPYNPFEPEKIWVFETVANLGIPDVVERWKKQEAEKKMPK KPAPKKATANRKKKVIDPSMKPGGILRYTTVTKPGSDMNSVKKAHILDAAGLSSSPQQ PSSQSTYNSQYSVLSDNGIFSSQSLRPSQKSMKSSGVAMDELVSQFSVTCSIGDGYTT HVRGPSFRRPPSIRGLNFDNVDLDTELESIATFSPSHSPSSTKFRISYTPAGNLSPLS AETTRRVQPPAATLSPTPKTRRSNRIKAQQSSSEELLVRAFESLRLSPVAQSLEPENL EDAKKVRKSRSKAAANKSKKPPSAASKPPEVQPELKRNSHVLRSQKDQSKANQTEEIT DLEAVPPVPAKAENEAKDPDQTQPQPRKTFTETIRTYNGFWTVDLREEDESSLETGET QQEHEEITEKGKGKKKRIARVSLLDMR TSTA_027620 MSDVDVENYHGRSFSADNDNHKQTANIQSLTPVPTLLSALLANS YSADCHPHSPSRLLHRAANGVIALPALPLLLTDAVEMSSPHAVPTTPRVISPSPTPSD ASEQRDGYLAPVTRSAARRQRFNTDTQAIPEEDQNNDSEHQSRSGPRNPSSRSGAAGT ARRRGSTRKTPQSASSPVVKSQPLVGANGKPSSNGYLSPYANLQDRWRDLSRSPSPLG LIPLHENYRTFIHKHEIPRKLLHVSIGFVTLEFYRRGVQTFEITPWLLSALIPIAATD ILRHRYDSVNQLYIRSLGALMRESEVKGYNGVIWYLLGAYIVLRAFPKDVGVMGVLLL SWCDTAASTFGRLYGKHTIKLRHGKSLAGTAAAFLTGVVTALFFWGAFVPSIGTFPND PEDAFMFSGRLNYFPESIRNLVGWTSSGYQSSVITGPLALGVVSVVSGIVAAGSEFID LFGWDDNLTIPVLSGLGFWGFLKLFG TSTA_027630 MAPKARGSTGRLWDKLDPPLSEWILEAMSAMGFARMTPVQASAI PLFMSHKDVVVEAVTGSGKTLSFLIPIVEKLLRLDEPIKKHHVGSIIVAPTRELASQI YNVLLSLLEFHGPSASVVKSSDETVEAVKKYPSSTLKVIPQLLLGGTTTPAEDLSKFL KRSPNVLVGTPGRLLELLSSPHVHCPQSSFEMLVFDEADRLLDLGFKDDISKILAKLP KQRRTGLFSASVSEALDQIIRVGLRNPVKIAVKVRGASGVEEKRTPASLQMTYLVTPP THRLPAVKKILDILDPRPQKAILYFSTCAGVDYFQHIIPLILGNEFTIIPLHGKHPAN VRQKNFTRFTNSVTPSVLLTTDVAARGLDIPSVDLVIQIDPPSDPKAFIHRCGRAGRA GRRGLSIVLLSPGREEDYVPFLEVRKTPVTLYENSAFSISDKDAVKATEIARKAVLSD RSYHDKAQKAFVSWLRSYSKHQASSIFRVADLDWEALGHAWGLLKLPKMPELRNFKGD KSLGVTVDWDNYAYADKRREKHRQEALKENAAAKLDENSMKKRPAASESVPWSQNLEK RKEREQRRERKRARREHERWGKMTEEEKQKARETEAMIQSLREEAEKRREAAKATQDA ESDDEFKGFD TSTA_027640 MSALVWALSIKCAAWSSKGRDKMSTVTNVEEQPTELFTSQTGED EPSVYAVSYPEGGRDAWLVAMGSWCSMTAALGMVNSVGVLEAYVSTNILTSSSTDKTG WIFGIYVFVSYFCGVQVGPIFDARGPRELMLIGTGFLLAGTFTLGLCTEYYQFILAFS ILSGIGNSFLFTPAMGAISHWFNKRRGEASGFAFTGSGFGGVLFPLMIQSLLPRVGWA WSTRIVGFVFLLLCTIGVALCRSRLPPRKGTSTSWKVMMPDLNIFFKDGNGAMAVTTA GVFLLEWAYFVPLTYLPSYYLTRQGLSDKASVTGGAAFAYQLLAILNAVSCIGRYVIG HVADRAGRYNTMIVSNLICLVSVASLWLPDALAETPPNKALLIWFVIVFGFASGSNVS LMPVCIGQLCPIEDYGRYYASAYTVASIGCLTGIPIAGNLITATEGGRRGFWGLILFT SISYVAAFACFLWVRVRAKGWNMRTVW TSTA_027650 MPRTRAQANAQEAKSPLVMLENAPRRRRKTTVESPTKPSADTKK ATEPKTKRGRKPTQKAEVLATETTAEENTRNKSEIETQEPKPIHSPTQNLQEPIRTPD ITAQIQQEPHIVQPPQETSTAQGTQAAKDNVSTPTPTFTTPYPGFDTSSLATLGSTPS FSSAAKKRSVKRAFLAVKEKLKKSAQALKDRFENAKDIIEQHGSPSQVPTTSHDDVDT TYYLSDDKIIEASLEVLLHRLQTDRIELNRFLQRSLRCPCCRGALKLECPKGHDVESW IPDEAKEELNTLLISLCSEALVAAQEARSERLRAEKEAEGKAAQEAESQLKTSPKEQN TGLSAKQKGKKRARDINDVSNNAPKRRRRPMASSVAQKKARAGRTLRNRRTFGRNLSY AETVRQRAMERKRARAQPSMFQLDEAQLQEQAKAAQAAEEAAGEEARNAATRAAMQQH MVSGSIPENMQDSFYIPALEDEEESEEEDEADEQNEENEETDVTEPIQTPSSNTWGIR TFFSSVTGSVRRRLPFVGRAPDQAVPFAAGGGGETPVQAEGATDGPTNREAGPSAQIN DTQNSQEIELTYSLFPPSPVLPTIATSASSSEERANVDEERGKTATTDQETVQAPETE TNTNVLNGEPENTDDQAEPSAGRNKRKRSPSPDVIPNPPGCSYGMDLDYFTYSDEEIA EQEEYERREREREAAAANSQASESTTQPPEKRARLSSNVRSTNTSTAPPAGTNWPPIP PGWERPTTTVYTGEMFRGMRHHQAPAFPSYPSQESQIALSPVDPGHMQLIPKTPPSPQ AFDLRRMAFEQHFRARALGENNYVNNSSGKHPSVQAPAQQPVSPPAQAISQPTREEPT LNLAQQPATPAQSNSVTSQQSHDRHNSIAARTNVSEETIHLPPIRPMSAAAREQPVTE RSKPKNPSRLRNRVRLSSSPIQRSPIRQPATHQQNQEDLMQIFGNDEFGLQSYEVFQS CPSGDLTQVQWPEFDPFVVDIPKQAIDITTMSEEQRRINRELFQMGAQELDDEIDQGL VDPQDILNELPL TSTA_027660 MPPRLSIQGLSKPFSGSSHISNPLTIVKREPCKIDIRAGSFETR QRRRHDPFLMAQSRQRRAANISRQQALDNERQAALGDPVKSEPTPFIQQIEAIQSGKD VTGTTSNVSTWNHFIKADEFELALEYSKALTEPLPPQDANIADPEAYKQSVQQHEIAH HNAREAMHRIVQLSSGNTADRTRVNIEACIETFGRHNTDKILPPKPTAVTTTQHPEKT PRAGPDTGSPEVQVAILTTKIMNLSRHLQSTNKDRHNKRNLRVLVHKRQKLLQYLRRK ERGGPRWQNLMTSLGLSDAAWKGEISM TSTA_027670 MAPSNLPPVFNATSQDIEMLLAAQCHLGSKNLQVHMEPYLWKTR PDGINVINIGKTWEKIVLAARIIAAIDNPADVAVISARPYGQRAVLKFASHTGATAIA GRFTPGNFTNYITRSFKEPRLIIVTDPRTDAQAIKEASYVNIPVIALCDTDSPTEFVD VAIPTNNKGRHAIGLVWWLLAREVLRLRGTLATRETEWDVVVDLYFYRDPEAEETKEI ADESKVPGAEEVGPAAIETGYVGDSWDAAAPGAAAPGSAFAAASATAGATWEAEAGGD WAAESAQPNPETKW TSTA_027680 MDEDATVTLLILGESGCGKTTFLSRLKNPNLRFGNGDSAGNGLL RDGDQPFLYDIRFARKSFKLEVYDTASPNQHWSSLNPGVVILAYDISDRRSLDALKGW RNDITRYFQRGTGERIPVMMLGLKRDLREENDQIIYPQEAYRIAQELHCDRYAECSAV TGELIKEAFEDIAKVAAMTTTEKGAQGAGGCTVL TSTA_027690 MSTTQPPSPGPSQGQDYSTWSTSSLVERIMELERQLNAQTNEFG GVPPAKSEAISAATPEFQVAETPSGINTPKKIPREMDHTKYHTRHIALKFAYLGQRYN GFEHANGNITPLPTIEEEIWKALRKTRLIFPTTSSSMELDFNKDDRARPFDLSWDGCQ YSKCGRTDRGVSAFGQVIGIRVRSARPKRIVETATATSTTATTATSGETTVQQVDVEA SIVDSTEENIGSAPSVEANETEVDDGWDDIRDELPYIQILNGVLPRDIRILAWCPNPP PDFDARFSCRERQYRYFFTQPAFNPVPGELGFLRSAAHHRGIAKKELRDGWLDIEAMR EACKQYIGRHDFRNFCRVDTSKQIENFERIIYHASIDLVDPNQHPLGFVGSKEFQPTR NLVDLDNEGNVNEERPSTPLVYTFTLHGSAFLWHQVRHMVAILFLVGQGVEDPSIVSE LLDVSKHPRKPTYEMASDAPLVLWDCVFPDEASGSREDALNWVYCGDPRQGNPSSGKG DGKFGFGGLMDTLWQAWRQRKMDEILAGSLLDLAASQGSPSFIQNELPKKPFKSQKIF FGGDEGKLGGVYVPVMSKRRTETVEVQNARYLASRERRAERLKLEGREKSPNIQV TSTA_027700 MSPRLTVQRPSPSTVLFTASNAPARCTWSSKLAFGIEVLLRIVG FLLVLLVNFAKVRHFISITDGDWGVSPELWATKVGSLACRIADYYTWPIVAIASAILT FAVWRKRYTEESLLVIRGLGVQTSTSSSMYWSSAATRFIPTTQIQDIVIHEAFKGFEV RFYLAIIVEGEGGVVVVFPNLLPKRMLLEEVWRGARQCLYESKTVPLPG TSTA_027710 MADSRSRSPRPERRRRSRSPDYDRSRRPRNQGGFRWKGKRPRDD RNRDDDRRLERGYRERYRPQSPRRDRSRDRYGERDRGRDTTAPARHDDKDEKQDGNEP KPAPKKPPVAAAPGEEMIIVHVNDRLGTKAAIPCLASDPIKLFKAQVAARIGREPHEI LLKRQGERPFKDQLTLQDYGVSNGVQLDLEVDTGD TSTA_027720 MVRNIVVIGGTSHPQLTQTICNHLGIPQANVLLSKFAVGETRVE IQESVREKDVYIIQSGGGKVNDHLLELLITISACKTASAKRVTAVLPLFPYSRQSDIP YKKSGAPLVKSSAHNQFTFDSTPSTPHPGKVESQGMNNDVESLQKSLARAQIESESNG SPVKQRPIANGGKRSDTLESARSESSLANGVNNDETASVSSGSLAKVSEFEPRPGYRQ WVAQAGTLIADLLTCAGADHIITMDLHDPQYQGFFDIPVDNLYGRPLLKRYIQRHIPD YKNCIIVSPDAGGAKRATAIADSMGVEFALIHKERRPTRISDRQNATMMLVGDVRDRT AILIDDLADTSNTITRAAKLLKKEGAAKVYALVTHGILSGDAIERINASALDKVVVTN TVDQTEHCKRCPKLEVLEVGNVFAEAIRRVHHGESISVLFQYD TSTA_027730 MEGLFFNVNGGYLEGIVRGYRNSLLTSQHYANLTQCDTIDDVKL QLAPAYGDFLAALPPNPSTSSLAAKMTDKMVAEFRYVQAQATGSFAKFMEYLTYGYMI DNVALLITGTLHERDTRELLERCHPLGWFETLPVLCVATNIEELYNSVLVETPLAPYF KGSLSHQDLDELNIEIVRNTLYKNYLEDFYNFINTNPDLKGTPTQEAMAEILEYEADR RAINITLNSFGTELSKQERKKLYPEFGKLYPEGSLMLSRADDVEGVALAVSGVGDYKT FFDAVGLSQGGAGGIGNMAGGGSSDGKSLEDLFYQKEMELCKVVFTRQFTTAIVYAWV KLREQEIRNITWIAECIAQHQKERIGNYISVF TSTA_027730 MEGLFFNVNGGYLEGIVRGYRNSLLTSQHYANLTQCDTIDDVKL QLAPAYGDFLAALPPNPSTSSLAAKMTDKMVAEFRYVQAQATGSFAKFMEYLTYGYMI DNVALLITGTLHERDTRELLERCHPLGWFETLPVLCVATNIEELYNSVLVETPLAPYF KGSLSHQDLDELNIEIVRNTLYKNYLEDFYNFINTNPDLKGTPTQEAMAEILEYEADR RAINITLNSFGTELSKQERKKLYPEFGKLYPEGSLMLSRADDVEGVALAVSGVGDYKT FFDAVGLSQGGAGGIGNMAGGGSSDGKSLEDLFYQKEMELCKVVFTRQFTTAIVYAWV KLREQEIRNITWIAECIAQHQKERIGNYISVF TSTA_027740 MTLFILTETSAGYALLKAKDKKLLKRDDIATEAATPEGVSNLLK LKSFQKFDSASTALEEVTAIVEGKVTPRLASLLDEIKDEKKVSLAVADPKLGNAIGKL PGLDIQPIADSSTADIYRAIREHLPTLIPGLVPEDISAMALGLSHSLARHKLKFSPDK IDTMIIQAIALLDDLDKELNLYAMRVKEWYGWHFPEMAKIINDNIAYARVVLKMGMRT EFENIDLSDILPEEIEAAVKNAADKSMGTEISPEDLDNIQALAEQVVGFSEYRQQLAS YLTARMTAIAPNLTALVGELVGARLIAHAGSLMNLSKSPASTLQILGAEKALFRALKT KHDTPKYGLIYHASLIGQATGKNKGKMARILAAKASLGIRVDALAEWDDDVAEEEKAA LGTEARFNLERKLAGMEGKPLKPRGVNIAPNGVSAQPKKFEINEARKYNADADALSGN EEPAAAKSKKEKKKLIQEEKESEDEDEEMEDAEDSDESSAEQTKKSKKSKKSDSKDET EKLAAKAGLSVKRYLRKLERGEIKFDAEGNPTAISKKELKKAKKEAKKATKEEGKKRK RDDEDADDKAEKKKKKKKSKD TSTA_027750 MKIVDPQTATLTNIEVLAYLTANPPRKSPEAPPGVRNYIPKPDL RDHCTVVTEIHNYVERISPHLLDYPRYTHDPNTNPTTHTLQQPSTDQQKPYIQPTEPT PLDNALRKVIHELAPYNLTKGEVMMLINLGVGIKKKRKTDDDGDQAMDVNGEQQQAGN GEEDDLFSDTVLINSIVEEMDDRLNEEDMRNIFKIMNDSLGCGL TSTA_027760 MILDYPPLTLLRPKPESRKGATAKQAAVEKVESMLTFLKTNKRI RERDLLLIVDSKDTVFQLPSDVLINRYLEITRRKNEHLKSKYGTKVIVDPENESNRWV VPKYTQKALFASRKDCSSNVTDGAACASVPQSPLPPDIYGPKTDKKRDGTLNRPRWIE SGAVMGQTSDLIPIYERVLEEMKKQPLRANEQLIFTNMFGAQEYSREIERRKTGSPLK DWVWDYMGILDSTNVTGVRAHIQPGSRHEFGMGLDYEGQLFLSTRKTLGDIEWLRYRD SRKVSSVQIQHGVPREVRLNLPLDIEQNPLNPFKKVKLSSSLSSKTANMTSIDVLPPS WNFTWNDLPLVTYIQTAAVPAIIHTSGETSVRQTFWLNSWFHPWARALLRNYLRSAPK IQPGRQISTLGATDLLKHPDRKGGMWTDNDEWMSFEDLCSGLETPMFDDELGPWGNEK PSALRAVYNLWGKLMAGKGRKYVKLGEMGSEAEEIERFILGVDEDEEEDPELE TSTA_027770 MAHQSQPSYAGATKNGALAQPQLDSPLQHFTESSFDSVEFLNDH LPPLTLSVSQPHASRAPDSVGLAELSSRSQSLVSQLGTQNLTEEILRSGGRLAYEVEV LRGEAISLADALAENLHEDIIKFAPEGQEVSEENKKAPDEEGENVEITPKLPNEPDFI TQLRILGQVRTRLEEIIQTFGDAMEWPLPPSELSITSSFISVSAPEPGTESHSREERG QEVAKRLRTEITELLDSNGGGDAGLAAATERLDRLRNLAIIWKGTAEEKARTRFLDGL ARIIEDRRKALENQRERASKKARSSMEQIAEERDSGPGGGLFRNLQRIRDEIYLE TSTA_027780 MPRKHFVQDLERVRGTGSFPYLHNIRKGDDDESICFSFSHPDDP LMTLDFQVAVSDLTEYPHSHQYLIFSTSTTVPPAVVDVLEVAQAAAAGSSVSDLLSTV NDALEKTLVSGSHQDTPEGGSGIDNSITDAVASPDSEIESDSETPWDDEFFDEDQQFF GSSQKPVAISSSLKPDLRETKAAGFKVGYLGDPNGSVILSISCRISRLGISEEAMQAW DVQGNQYLVLLLRFPQGYVNANHLLELKNSSSLVQMHVGLCASYKPNITDAHQAFTGT STTHLPVSGLITQSDSVEKLLSLFLEIPLNTLLNERFITILRLRHRYSLSWAGAESLF NDCQGLILNDQQAPQENHALYRCKDEWGDTVPHLVQDDHFSSTNDPECLSFPLLAMQF TLRHFVKCTQFCLVCHCKTDTNFEALKPYVCSKPLCLYQYMALGIGPSLEWEITRQPL VIDLLISFAYASACAGSMEELPVGLRMMVPPLYDPTGIQSGLLHRLGNEHGLESELTV NEPHQEILIKQGDWILIDKPNGDEKLGQYGFCQVLDTNKWPIVKIWEPVTQHTRVMES ATDPLFVNFTLHNVNFDDIEGRAHKQQIIVGLLQSLPDVESMKKWLEKAAPGSKNLSL WKNIPPAALDLLRWIVASNRSCIVQDGTAFGQSGGTPRHNLVTGMDGYLQFRFAQGSP DKEEKFVSAVSKNSFNSKYPTIFAWHGSALSNWHGIIREGLHFKKVTNGRACGDGVYM ARDFDMSASYSGRHAFVSNPGMRTGSKWPNSLLNMTCAIALNEVVNKPSEFVHHANGV YVVDRIEWIQTRYLFVDCQKSPVNQNLVGPSTPPVEHATGDEILYYAQHPDYTATGVD GKPINIPMTIFSRRRRNLGLDNDEQEEPKTAAKGKSKKEERRSQSRKRKLRSTEVLLN DATDDGDDTASVATLAEDLAILFSDADQEAESETEKSIKKLKLPSVSKGKTKAMTENT TVTLFEPGTLTESSITILPPPSYATSSATKALQKRLLQALKAQDQTPPDELGWYINPQ LINNPYQWIIELHSFDLSHPLGQDLQKAGLQSIILEMRFPPDFPMSPPFVRVIRPRLL EFNQGGGGHVTMGGALCMELLTGSGWLPTFSIENVLLSIRLALCSIDPKPARLASTSS WSWRLDKGDYSVMEAVDAYTRACRAHGWQVPKDFEKMAW TSTA_027790 MASSVTNPPSTNGHTSANGKAPQVPTNQASLVHVQPARVEDLQP RYAQQIKHDDDNPDAHGWYASMIHGLGECMGCLGAIPCCLLCPNPFKSVAQGEVGLIS RFGRFERAVDPGLVKVNPLSERLTTVDVKIQIVEVPRQVCMTKDNVNLNLTSVIYYHI ISPHKTAFGIADVRQALVERTQTTLRHVVGARVLQDVIERREEIAQSISEIIEDVAAG WGVKVESMLIKDIIFSNDLQDSLSMAAQSKRIGESKVIAARAEVESAKLMRQAADILS SAPAMQIRYLEAMQAMAKTANSKVIFLPAPGQTVSQQLSLAENLGEGPSKYQTLDNNW NTGNENDDGFQRAVNARVVENI TSTA_027800 MPHAERDTMGEPLVNGEKPHSQFLSHLASYPVVSDSITTFKNNK YGATSLKYADQGYERLAKPFLPYLSTPYAYVVPYLEKADSLGDQGLTKVDERFPIVKE DTEKIKNTIVDTAFFPLRLAGDARKHVIDTYGSEYKKCGGDGYVASGKAVITTGLVIS QESLGWLSNFLAEKKDRTKEMVNEKVNH TSTA_027800 MPHAERDTMGEPLVNGEKPHSQFLSHLASYPVVSDSITTFKNNK YGATSLKYADQGYERLAKPFLPYLSTPYAYVVPYLEKADSLGDQGLTKVDERFPIVKE DTEKIKNTIVDTAFFPLRLAGDARKHVIDTYGSEYKKCGGDGYVASGKAVITTGLVIS QESLGWLSNFLAEKKDRTKEMVNEKVNH TSTA_027800 MPHAERDTMGEPLVNGEKPHSQFLSHLASYPVVSDSITTFKNNK YGATSLKYADQGYERLAKPFLPYLSTPYAYVVPYLEKADSLGDQGLTKVDERFPIVKE DTEKIKNTIVDTAFFPLRLAGDARKHVIDTYGSEYKKCGGDGYVASGKAVITTGLVIS QESLGWLSNFLAEKKDRTKEMVNEKVNH TSTA_027810 MKFMKVGRVAIITRGRYAGKKVVIIQPQDTGSKAHPFSYALVAG IERYPSKVTRRMGTKKVEKRSRIKPFIKVVNYNHLMPTRYTLELEGLKGVISNDTFKE VSQREDAKKTVKKALEERYQSGKNRWFFTPLRF TSTA_027820 MASSDTEVTPHGGMDLVVKSHHQPSNSDITKLNIPMWDSSDPER RPPPLPMNPGAGSPKTRSSASPGIQAVTATLAEKMRENAPSPYTINPMPPKSSPEKSL VKGQYHRRMQSLQPTTDARAEFRNFIENRSPERKTRASTFNDDYLDKSPTRSGTSTSQ DSGRDSPSLYVSSRYLSKPIIGENTPPSATMLALQNMQLPGDDLKSLQVSKPATPTSL SEASLSEQIKSLTTIATNLQKEMTSLTRRSKDNATDLLSLKAATNARDEDIRKSIRDL ANNISSKLLDPESASTRSGRSGYSVDNKVFDSPPSSRKSFNLPRVASPSSFAAALERD LCGSPGPISDGSASIALLEKVLREMATKEAQEKLLELVDEVKKRPAKDGGTKEADQNV TKMLEEILNLVKENPTNRALIRSELAAESSPPEGTRSGPIVLSYDGAEQKSAVTEDIM SLLNRIKNSVAEGGGLTSEVKALVRELRGEVLGMGREIGRKLEEAAASKSDEDVPRPL GADEVAEIVRAGLAELKEQMHSMIEEHQETLSTSMTRSGTDATEVYSAVRSALDEFSS QHLAAPAPSTVGMDKEDILEAVREAWEVNKPEIELQNFGLEREEILECLTEGLKAYQP KEEAVTYDQVLAAVQAGMQSFVPPPIEAPPAITREEVIGAVKECLESFELTIPEPNIT KDDVFAAVSEAMDSHTQRALPDESDAPKLTRGDVFDAVAEALGRSTLAESLNSAGLTR DDVQHAVVEALTDQQHALFAGLTRDDVHNAVIEAMADQQRALLAEEDAPKLTRDDVFE AVSEAMARSTLAESLAGSGLTRDDVHGAVVEAMVGQRAITQDETGNGLSRDDVFNAVT EGLAAHFAAAKEMGESSVTREDVVQVINDALAAHTSALVSQEPALTREDIVSAIAEGL VSQSSISREIELNKDDLFEAVTSGLQEAAASSQLNVGDQVLDRLRDLVQEMKDEFKQY SAASGHDTEQVLDSMKDGLQVLRTDIESYVDKTADVTGKEEIISTVKEGFRLLQADLE HSINEAALRGSAARGNPDTPELLDAMEKEFEHLRSTLGSLLIRNEASGDKAEILDAIH EISTSTRNEVDTSKLVQAVRDEFENIRDSINMSLVKAEPSEKDEIISALRESFENLQA ENIAKRDGNESTFSNTSELLEAFNDGVDTIRADLETLIHKSDEQNNSGVLDALKEGLD SIRLEMEALRASQKEFEETSTTRGQELILAKESNISNDIESLKVLITQLQIKVEAIEA NPPTPPPSEDALKKEHLDEVLSAVRDVHGSVTEANSRKEESEIIETLLRDTVAKFDEI NIPSTDDLAKSEQVMTLEVVVSELKDAIAEVAARLETDSCTKADFGTLETLLKDLWVA VEESKNQAKDVPEVEEGSEPIVKSDLQTVEAMICEVKTSIEELKLPDVDTLPIKSDIE ALSELITSFREKVDAESELTAQAFEARKVEHGGLAEKIEEAKIVVADLRDELKGKLDG SEQGLSELKTLLEGLAVSSESFTTVESVRELSDLINREFERARGEQEATKLETEERDA AIMVKQDETRAAIVADLEKKVDERIDHMLSKYDELQGNIDKKFSETEERDAMNVEHLT STKGLAEDIKLIIGAMGNSVTESCERMGDDSKTLFTKLSESYDKMEVMHNEIKEFNEL SKAELEKTSAATDRVETQILEYHPQILGAIKDILMLVGQHYEHSQKSNEELSRNLSAI PATIPTLLPALPAPPEPREIIVPEKYDDTELRSKLDIIMGHTESTKNIIPEKYDDTEL RSKLDQIMSHAESTRSIIPEKYDDSELQSKLDIIMNHAENTSKTMAGMDKLDEIHEKV MHTSREITELVATQSRLVIEDYERKKRESEEAAYALERRLAQKEKVESEILSLNDEKE SLLKMIQAMKAEKEELIKQNTKLGKELHGLETALDIRQHEMELFEERAQGLEKRILAG VFDHARTMLLKESGRGKSRMSLRRIPSYGSTTTKTSRASTNSTAKDTRSLVSNGVEMV LKRRQPAKTPAYNGSTISSNGGKERRILSLSHVTGNRGPTDRHVTAPVGTGGLTNLKR SHSVRSNLPSRKTSWGTSRDFDANKENENLLEEEDEHFSATHSDAGTERTAETGHYTQ SVSHGSESTISASRQPSYASTTNGLVAEHTGSIIEGEDDEEGDEAQHNDQSYEQESEQ ESEHSDPEDPEDDDEKHDKQHEEDNEDEGDYQTTIGEQEEHPAVEEGLSELEAPPGIK IPGQTDSGLGSDIQCPINV TSTA_027830 MLLQPAAAAQPGGDAERPAFSIAVPERSDDTVQLLRLSFRDFLI DKHRCRDDDFRIPETVAHKVLFRRSVKIMSNILRHDICCLESPSTSISDVEKSRISQH IPTFAAENRTEPEYLVQDARRSALLFCPKVSIVRSLLRSQIPKLLLQIPTVVLQWDPL LQTLKPPGSANLVLSPDGKLVESSNGQIWETSIFSPDGACLVSASEDETVYFWNTTTG DLRRTLRGHQGGQLVASASSEDSSIELWHSASGVLLATILSSTGTQYLAFSPNSRLLA LTSTDQDLCLWETATRALLRRIDGDFSAPLFSRGGDLLTFMRQHPHLRQEFNCGTLPS RRTNPHAILTRTRNDAAMIWDVNTVGLLSTIGGRDDQFLNVVSSHDDSLMASSRQGAP ILRFYTREQTVEFWDLHLLE TSTA_027840 MLDPFPPPPTWLQELVTPWAERLHLYSLPYHVHEVILAFGFYQL IHSYISPWLSAVLFPRHYPQLPKRTQLNWDIHVVSFVQSVLINVVALWVMFADEERKR MNIDERVHGYTGANGFVQALAAGYFVYDLIVSILYLNLFGIGMLFHAISALFVFSLGF RPFVNYYAPTFILYELSSPFLNIHWFLDKVNMTGSKLQWYNGMLLLVVFFSCRLVWGT WQSIVVYGDMWHAFKQSRSMTHSPFLDGVSTNAPIFKLGEGGALCTDESCLRANAEIS QFAHYFTDVSLPQWLPIAYVISNLILNSLNFYWFSQMIDAVLKRFRQQPAAKKEAGPL PSKEPDYILDAAEVLEKEQGYFETGDGAELNIPATTTGAEIAEAGDSVKQRNLVVT TSTA_027850 MAMNAPMQPNYPRGYPQTAQRSPATPRRGGPVPGPGMPMPHQQM AHPQYMAAAAAAAAHQRNVPPVNDAQIRRSRKPTDKNMPDGVEDYVIGDGVQEYKKLR DLEKRLDSSMVRKRLDIQDSLGRAVKRYKTLRVWISNTAEGQVWQKGEQNGNGGPGSG RYKVRIEGRLLDDDSIDVTVPREDSDDEEEKEVAGGDGDDAEKSKSKTPQRSRQKLSH FFKSITIDFDRTHNAKSEDLAPITWTKPQIPPTAVSLPPTADFDTLQFSRAAQENVNI TLTLVRDETPERFKVSKELQEIIDVEEDTKSGILLGIWDYIRTMKLQEDQEKRQIHCD ARLRMIFGRDAVFFPQIPDAIAAHTAPLDPIKLPYTIRVDQEYHNDPTPTVYDIRVAV DDPLYQKMVALTTNPQYGATLRQIGNLDDQLALIIQAIQHSKARHSFYTALSRDPANF LRRWLSSQRRDLETILGEAVRGGGEDGAGPEFRRGGANSAWNAPVAREAVRYMLARPE NNNMYR TSTA_027860 MAFSLKNSLMERGPLEQRWSALEEERQTVCKELNGMVKAWRSIE QSDKSCSLNNQPLNDIFLSNHGDLTGPFHSDVVQKFQDGYDIEIDEDVSVDFTHSDLV PSKILTSSGPNPIIAAVLDWGQVGWYPAYWEYFKARRVRPNPEDFDDDLDEEWNTKYL PTIIDPVDETVYHP TSTA_027870 MASTSASQRIEEAKSLASKDPAKSEQIYRDVLSSGVGKTESASR DYEAALVGLGELYRDNKKAHELADLIKSSRDAFSSFAKAKTAKLVRQLLDLLSEIPNT IDIQVAVIKSSIEWAVSERRSFLRQSLETRLVAIYMQKQSYYDALTLINSLLRELKRL DDKVVLVEIQLLESRVYHALGNQPKARAALTSARTSASAVYTPPTLQAGLDMQSGMLH AEDKDFNTAYSYFIEALEGYSSVDDTEKATAALQYMLLCKIMLNLVDDVTNLLGSKQA LKYASTRLEAMKAVARAHANRSLEEYEKALSDYRYELGGDVFIRNHLRRLYDAMLEQN LIKVIEPFSRVEIDHIAKMVGLDTQQVERKLSQMILDKVIVGVLDQGAGCLIVFEETE RDKGYDAALQTIEKLNNVVEVLYANQASLLE TSTA_027880 MKIKALSRSAASQQAPGSSVARLQRNLDPAQHPFERAREYTRAL TATKMDRMFAAPFVGQLGSGHIDGVYSMAKDPGSLERFASGSGDGVVKVWDMETREEV WNTQAHENIVKGLCWTPDRKLLSCASDKTVKLFDPYNSSPEAPPLATFLGQTPFTSVS HHRDESAFAAASSVISIYDLSRPSSTASQTLHWPTSTDTITSVAFNQTETSILGSTAN DRSVVVYDLRTSTPVAKVILTLASNAISWNPMEAFNFAVANEDHNAYMFDMRKMDRAL NIYKDHVAAVMDVEFSPTGEELVTASYDRTLRLFNRNQGRSRDVYHTQRMQRVFSAMF TPDNNYVLSGSDDGNIRIWRANASSRAGIKSAKQRQKLEYDQALIRRYSHMPEIRRIK NYRHVPKAIKKAGEIKKEELAAIKRRADNVRKHSKKGSVPQRAEREKVVLATEQ TSTA_027890 MEKIATSPQPLIPRPPQKWYEHFNLDLIIKVLNQTFLHPFVAWI IVLCLRAQVTPTDHPAWIGAVGYAIFLTLLTVAGMLNRRLAYGLPRDVEPSHEVIVIT GGGSGLGQLIAQIYGMRGASVAVLDIKEVSEVEGWDELSGVEYYQCDVGNRKALEMTA KKIEDDLGKPTVLINCAAAAVHGLPLLSLTPESMKKTIQTNLMAPFHLIQIFLPAMLE TNLGGVIVNVSSVLGHLTAAGLSDYSVSKAGLSALHRSLEVELRASGHDDKIKTLLVE TGQLSTPLFNGIETPSRFFAPVLEPIQVAYDIVSAIDNGQSGVIRLPAFAKLVNWYAV LPASIQRLARYLSGIDTAVAKAYNRNVFRPESVPEDGSESDV TSTA_027900 MEQMPNTEESSSQHFSSSSAPESAEEQAVVQPSHASQKSESQRL PSTSPKSTEEQGAAQPPRASQIPEAQQSTSSFPPKSTEEQNVAQPQAPQIPEGQKSAE GQPIVQPTPSQIPETRGNQFVPIQVGKLPSTLPDTIDGSDPYQIFYLIFSDAFFQNVA FNTNLHAANARRENPSDGMRPWHDTTREEVKVYFGIMVYMNCHGAPNHETYWNRDQNI GPIHLVPTYMQLYRFQQMR TSTA_027910 MDLQNDRVSNPPLSQTADPSTSTSDTSYSQQSMPQSVPSFNDYS IYGGLANNTVSPFTPTIAHPSQSKSGLFTWPTDSSSSLPASANQIGGTPNLGSATHSR AVLRNSVVGYPALAPAPAPQKSALVEASTPSVMQVATGAATSALTNIIPQSNGTSSNH TVANFQTQPAPLPTPGLSIAPSITPKRQTPKSSPGKTSVKNRKRRNKRKRAQDSDDEI KAHDSSSDDESEDMLPSARQTKSGRQVNRPTFFAPSPEPIPISRQKSLPSGTATTGIP AKRRRKVYRKNGKEINITCRHCQRGHSPVTNMIVFCDECNDAYHQYCHDPPIKQELID DKDAEWFCRECRPGTGPRILLRLKLPPQQLNSPITESAVSSVPLVCGERFTAEEQRGY LSSLSHATLVDMLVTLSKTNPTLPVFPENLRDLQSSKFAVTAATATNVAGELTEAVTV EMKDVASSSVGGEDSDTDSDSGSEYEVEEHRLYPRPGNGFHLPPEEDDFDILLDDPAC PTFSYALHSYSPLNTLADTTPTVQVGG TSTA_027920 MKARAILSQGILANRAIRLSTTPKGGYGVQWRRYSAAAAAPGIL PLEGIRVLDMTRVLAGPYCTQILGDLGADVIKIEHPQRGDDTRAWGPPFAKYQDGSKK GPGESAYYLAVNRNKKSIGLSFAHTSGVEILHKLVKECDVLVENYLPGSLKKYAMDYE TLSKINPKLIYASITGYGQTGPYRNRAGYDVMVEAEFGLMHITGSRDGPPVKVGVAVT DLTTGLYTSNAIMAAIIARGRTGKGQHIDACLSDVQVATLANLASSALISGEKDSGRW GTAHPSIVPYRSYKTLDGDILFGGGNDRLFGVLSDRLEHPEWKTDDRFVKNSNRVANR VELDGMIEEITKTKTTQEWLGLFEGSGMPYAAVNDIQGTLNHEHVRARGMVTEIEHPE CGPMKLVNTPIKYSHAKPGVRTPPPTLGQHTDEVLQGLLDYSKDEILSLKQEGVIS TSTA_027930 MFTGLVETIGTVTSLEPLDTSASGGGGTSLTIGNCAEILGDAHL GDSISVNGTCLTVTAFGKDWFKVGVAPETLRRTNLGSLQTNSKVNLERAVSSETRMGG HFVQGHVDTTAKILSVTPDGNALTFRLQPKDKSILRYVVEKGFITLDGASLTITKVVD GEDGYWEVMLIAYTQEKIVTAAKKPGDDVNVEIDMVGKYVEKSVQAYFSQNTGGDFTV LERMVAKLVDEKLKQAKAI TSTA_027940 MARRRTKKRTQLHGQNDSANSKNAASQSPKSMVIRIGASEVGSS VSQLAKDFRLMMEPDTASRLKERRANKLKDYVVMAGPLGVTHLILFSKSSTGNTNMRL ALTPRGPTLHFKVENYSLCKDVAKALKHPRGGGQDHRTPPLLVMNNFNTPDADEDSKI PKRLESLATTVFQSLFPPINPQATPLTSIRRVMMLNREKVPNDDAYILKLRHYAITTR KTGVSKRIRRLDPTLQRHKDPKKSLPNLGKLEDVADYLLDPAAGGYTSASETELDTDA EVEITESTTKKVLSRREMQRLKAGEKSATNKQSVKPNVEKRAVKLVELGPRMTLRLKK VEEGLCGGRIMWHDFISKSEKEVKKMDDEWNRRRKEKEERKKQQRANIEKKKQDKAKA KANGQGGDNADDDDEDVSMDDDYEWDSDEYDGEAGVEEDSEGDNGSDDDEFMEE TSTA_027950 MPGFKKRKISHERVAEGENVSDDSRSSQEDVQESAAEEAESHND DGAAKAPKTFKDLGLIPQLCEACDTLGYKAPTAIQTEAIPLALQNRDLIGLAETGSGK TAAFALPILQALMDKPQPFFGLVLAPTRELAYQISEAFEALGSTISVRSVVLVGGMDM VPQAIAIGKRPHIIVATPGRLLDHLENTKGFSLRSLKYLVMDEADRLLDMDFGPIIDK ILKVLPRERRTFLFSATMSSKVEGLQRASLSNPLRVSVSSNKYQTVSTLLQYYLFIPH KHKDVHLIWLLNEHVGQSVIIFTRTVHETMRLTILARALGFGAIALHGQLSQSARLGA LGKFRSRSRDILIATDVAARGLDIPSVDLVLNYDLAADSKTHVHRIGRTARAGKSGKA ISLVTQYDVEVWLRIEKALDRKLPEYKVEKEEVLILSDRVAEAQRQAITELKDLDERK NGGRGSGPKGRRFGNGKRGRDDMDQEEG TSTA_027960 MASPAIHQAITEAAAKYRFPEGRVFEYGTAGQPRTANSDLLNTV VFAVGLLAGLRSKKLNGQWIGVMVTASHNPAEDNGVKLVDPMAEWETYATKLANAPLD KVADVYNELIKEIDIKMDNPARVVFARDTRASGSRLVGILNAALSATEVEFMDFKFMT TPQLHYIVRCKNTLGTPYEYGEPTEQGYYEKLGEAFKKVMRSVKVQGHLTVDCANGVG GPKLHELIKYLPSAEQGGIDIKVVNDNVINPDSLNFECGADYVKTKQRAPPSSKAAPL ERCASLDGDADRLVYYYIDENNVFRLLDGDRIATLAASFIGDLARNAGIAQKLKIGVI QTAYANGASTDYIEKVLKLPAVCTKTGVKHLHHAAMRYDVGVYFEANGHGTVTFSENA LKTIKSAEPQSPAQQQALECLVGLTDLINQAVGDALSDMLLVEAILAHKGWTTKEWLG TYTDLPSRLVRVEVADRSIFKAVDAERKLESPPGLQDKIDALQSRYNKGRSFARASGT EDAVRVYAEAASRSEADDLASRVANAVKEAGSGGDA TSTA_027970 MQVFRSLSYASRVSICIFILVLFVGQSAASLGDRLPDFKECVKI CKEENCQDGNSALPLYLRLMLWTCDAECDYTCQHVITDRRVNREFPMLQPVVQFHGKW PFYRVLGMQEVFSVLFSFLNFLAHYYGLKWIESSIPASYPLRKYYFGFGYFGYASWTF SMLFHTRDFPLTEKLDYWAAGASILYGLFLAVIRIFRLDQEQPNYKPALRRLWTYLCV GLYIAHVSYLTFWSWDYTYNMIANVVVGVIQNILWTAFSIYRYRKEPKKSWMAWPAMI VLWISLAMSLELFDFPPWWGLVDAHSLWHLGTVIPTAWWYLFIIRDAKNDLATERLKA TSTA_027980 MAEANVGGISSSADRMVGADHAEVRYFTSYDHHGIHEEMLKDDV RTRSYRDSIYQNKHIFKDKIVLDVGCGTGILSMFAVRAGAKHVIGVDMSSIIEKAREI VAVNGMADKITLLQGKMEEVTLPFPKVDIIVSEWMGYFLLYESMLDTVLYARDRYLAP GGKIFPDQATIYVAGIEDGEYKDDKIGFWDNVYGFNYSPMKDVALTEPLVDTVEMKAV VTDPCAVLTLDLYTVTPADLSFKVPYSLPVKRNDFIHAIIAWFDIQFTACHKPITFST GPHAKYTHWKQTVFYLRDVLTVEEEEAVSGYLENKPNAKNKRDLDIKLSYTLETRDQL RFAQGECEYKMC TSTA_027990 MSGPGAGFEYPRRAVTWTKRDALLFANSIGCKPDELHFLYELHP NFAVFPTYVNILPFKLTNTDVIDFYKVSTSTPIPGVPEFNPQRVVDGERKIIFLKQLP TSSEGKQFEIWTKIIGVYDKGKASVLETETSLVDKESEEVYAKMIGSAFYVGQGGWGG PKGPSAVNYPPPKDRAPDALFVDQTSEQTSLLYRLNGDYNPLHATPEPGTKMGFGGII IHGLYSWNATAHGVLKELGGSDPQNLREFQARFASPVRPGDKLTTEIWRTGEVDKDGF EDIRFSTKNQNGKAVLANGRALLKVATKRSRL TSTA_028000 MTLLRVRPGNLCRAHELILKNFSSPAISIRPVSSSSPAWPWKQV PKHSMDSGVPSASDTQPPLDVLEMARQAQTDVSTGNGADGDIDTKIKHVLSSIPAHSN QALSTEVTSSDNEVQLESVSKSILDKSNLDVEGNVTDLSGSAIKKIKSSEDVSFYNYV HIRPFKYPAYWLRDNCQCTSCIRPDTRQRMVSSFSIPKNIHAKSVFQASPGQINVIWS DGHMGEYSMAWLDSHQMPSEVTHSNKVLTFRNFKHRRQAKSHPWVNYEEVMNTGQGLT LWLQKVVDWGYCLVKGVPVTPEATKQLLERIAFIRETHYGGFWDFTSDLTFKDTAYTT EALGAHTDNTYFSDPARLQLFHLLEHTEGEGGETLLVDGFYAAQRMLIEAPHNVEAFT DYAHPWHSSGNEHISIQPYVYFPVFERDPTTARLMRIRWNNYDRAAKIDWTPYMAMSW YSAARHWNAILLRKDQTQKWLQLEPGTAILFDNWRMLHGRSEFTGKRRMCGGYINNDD FLSRYRLLKNGRENTLNQIGTYKTRLSV TSTA_028010 MSTLEDLDDLEREDQNKKQQQGGDGDGDGKNPNGEGDAEMQDAE PKKDEIDELLDAELVGASTDDIKKRRRLLENELRIMKSEFQRLTHEQNTMREKVKDNQ EKIENNRQLPYLVGNVVELLDLDVEAEAAEEGANIDLDATRVGKSAVIKTSTRQTIFL PLIGLVDQEKLKPGDLIGVNKDSYLVLDTLPAEYDSRVKAMEVDEKPTEKYTDVGGLS KQIEELVEAVVWPMQEAEKFKKIGIKAPKGALMYGPPGTGKTLLARACAAQTNATFLK LAGPQLVQMFIGDGAKLVRDCFALAKEKAPSIIFIDELDAVGTKRFDSEKSGDREVQR TMLELLNQLDGFASDDRVKVLAATNRVDVLDPALLRSGRLDRKIEFPLPNEEARAQIL QIHSRKMTTDDGVNWAELARSTDEFGGAMLKAVCVEAGMIALRKGMNKVNHEHYVDAI AEVQSKKKDTNMGIYV TSTA_028020 MSVITATSQRAPVTFQNASDYDQKYSVAIQDVPPRRSSSASVSS YTSNYSSSTYSTTPPTVYSPTSPKQASYRGFRQHSVDSQQQPPPTPTIPPVFLSLPSS SRQPNEPAIKFLPPAVYDCILRQLQDLHEAPENYQYGCTTCFQRDLHALALTSRTWER NVRSKLYNYIHIHGSDSPAQLKKYRLKRGSRLKLLRRTLRERKLLANLVLELRIPEVD ISPTAANGKMNPQWEDYRDLVASVVMVCPNLERLLGFNMSFHHEFDRLTYALSTRKRL KEHQWILGEPVDSTSSTANETPRNASPTKITRTPGKSLEPQQAFEFLHYHSCWSNLET LMLHSLNMGGVLEHGIFLRVFNRLPALRNLCISSFDADAFTDRTLQFLPPLESLRLEN LRGVTDGGIAQYVSRPEARTIRSLTLIEQNIGSLLVISKIFASLRTLEKFNFVQSTRL PILPPEAMIFQPILASASLKQLHWDVTGPDSAAGLGKLLDSLPFVMPHRSHDSPNFHL AQSILYSGFPHLTELRAPSDIEPPGILQSVCRPVPHGQALIPSDRYSLPRSSHGSVST RPLALPAGNNLTSARIRAQTFIDMAAKDSEAGMKVLVTDHSDSYVPDSALEGDESDDG SDDEDEASKDLFGGAYPGVQNPEFSTEPADNLDANGHKKVFEFRMPAIMGIVRAVMPH DKAGLGSIPRFNLQPDVRGIDSDGGLLGWKHLLGPVQMSSSNTAQHQQQQSFSTHNTS YSSSSSTTSPTDLKRSESDLSTTDSIVSPTTSTASRLASWSFGSRSNNNSNNNSTSSA SAAMIPSPTTPAFPTTPITYSFTPATTNSPWGRDTCNGAWNSGHPKDWWMHFERERQH SMDVIDVKRFF TSTA_028030 MAAPGGINPHSDADLMRQAVLQNGAAHEDDHPDNIIGSNGSTPS GIITPQPDPADKRLPSIIHYFSQVGNSAFPLSSLKARLSGSFTTSVANATTAESDMQS KVETVKPDVDGGSGDSPSSGANATEKHLENQDKTETTETFSKPTSLPTPPYSSACSLS QKDMEEMESTAPTTEKTAVSIYSALKDYMSSTSSNNNASELHSSRPPTCDPVSSISDD PVLASHFSNPSLPDASDLPPPPAVPLLDHEKPYHISKSSENLAKLTESAANPSHLKNT PPLTPRAMSNDNSMSSDRQSNGSTSAPSNSSNQRQSRTETPEDSDGKSSDGGSSSDGP AVAPLKGKLSVKISRARGLRPSTDPYVVCVFEWNEYISKGTQSAAQSPEGRAGDKDYL DNDSGRPMAIPMKSRQSSHNSQLDNVDLKGRAPVTDPQWNHEAVFDVMGEQSEIDISV YDRYNQEAFLGHVRMSLNLREERSSLEGWFPLTARGQGDFQVSGEIHLEMHFERTEKK QVGPNDFQILKLIGKGTFGQVYQVKKKDTQRIYAMKVLSKKVIIQKKEVAHTLGERNI LVRTAMAASPFIVGLKFSFQTPTDLYLVTDYMSGGELFWHLQKEGRFQEARAKFYIAE LILALQHLHDHDIVYRDLKPENILLDANGHIALCDFGLSKANLTKNDTTNTFCGTTEY LAPEVLLDEQGYTKMVDFWSLGVLVFEMCCGWSPFYAEDTQQMYKNIAFGKVRFPRDA LSTEGRNFVKGLLNRNPKHRLGAKDDAKELIAHPFFHDIDWQALSRKEVIPPFKPKLK SESDTSNFDPEFTTALDHSTSLNDRAAALANGLMPGSTPLSPGMQANFKGFTFVNESS MDHHLKDNSADRMDEDIKEDDSWHRPQRSVDSTDRRMSGVVKTGEGEGGVFNNFDI TSTA_028040 MRTAPPSLPDISDEEMVDAPPSRDPSAEVETAENQDDGPDVEPE PEQDPEAEPEQVQAQEQGQGQDPDQDQKQGQEQEGAEETGEAQPESNAEPKPEGVSSP AVQDEDTPSKFSGTPRGGGHLAGYPRKRRPGRPPKNPRPEWDLPDDGSEQKIVVTTPV KRRRGRPAASGGRWGRRGGYPHVTQVAVDKEGTMMDVVNDEVALPDIEEGEKKVDKMG RLLGGREYRVRTFTILNRGERLYMLSTEPARCIGFRDSYLFFQKHKMLYKIIIDDDAK RDLIERDIIPHSYKGRAIGVVTARSVFREFGARIVIGGRKVIDDYDEKAALERGDVEG ELAVPEDRLPPPGEPYNRNQYVAWHGASAVYHTGAPSVPLPVGKAVDPKKRKVAVTGD NWMIEHAREASRFNSVLANVRRENLEGVYDVHTNYMQYPKIMQPTHARWERVAPSDDS KPSNQLVEDMSALQLISDNTPRTDDDDGVDNNNENATESTGKQSSQTTPAASILPPVP EIFTRRFAIADIVYETPETSTLGRPGPDGDVQDVGYNGIISMANPQYPEFVSPEIFAE LPPECKEALVEAAMKEYTWKSKWRTESTDGLRGQFLQSYNWHP TSTA_028050 MPSFYNPGLHGLPPTPPHLHGNGKMELDPPFFVTGHTSFPPRYP QTGCEFIEQYSQAASFVKSASVNSHQMMPSVRGGRDYAAALHQQQQQQQHSLAQSQLG GPQSIYGAVSTNVPTLPPIRSSMHLPANSDTAIPPQYRRHDVHIPAETRVKEEKPTGG VAAHLDYEMDQMSDFVAETAQGIVYPGQTIVPPPFRKYVHQILSSTRLPSSTILLGLF YLATRMRMLSAKGIYATGTGQVYRMLTTALLLGSKFLDDNTFQNRSWAEVSNISVSEL NTMELDWLFAFEWKIHERIHNKKDGFGVWLARWESYRATMASRNEARQKLSPIDTNIT RQLTVPKPLMSPDGPIPPQYQRSNTYENTWLNPTACEYSPPSAPHSGPNTPDYYNGGT WMYTNPPPPYTRTWGSQAEYTYSHPPRSQPPSYHHTPVYTAPVSHSVWTGHGSSCGCT YCLKHHEHYFSCHTFGALQPMVAG TSTA_028060 MGKPRMIILIRHAQSEGNKNREIHQSVPDHRVKLTAEGHKQALE AGRRLRELLRPDDTLHFFTSPYRRTRETTEGILESLTSDDPSPSPFPRHTIKVYEEPR LREQDFGNFQPCSAEMSRMWQERADYGHFFYRIPNGESAADAYDRVSGFNESLWRLFG EDSFASVCVLVTHGLMTRVFLMKWYHFSVEYFEDLRNINHCEFVIMEKNPDNGKYVLQ NKLRTWSALRQEKEEERKREEASAPKGNTPGHLPEAEVPVLRKWGGCPNGCAHGDAVS TTWRPTRRDEVDVFRDDDGGETTDANGGRKHSPSADRKKSTFKRMPSLKDRKTITHID KSKLHLTIESHPDDIVSSPNQTPSYISLGGIVNPREPGESEALELSPAADQDTSKDKA HTPHHHLAVRDGGNSTIGVKNADISDSESDAMLPEKSESFPAIVGSNTNLKYNAHPEH SVQDAEDDGDDEGAGTSRRSRRSTKAIYQNHHHHQQHNHHYNNGTGVEKLSTYHAPPR KANALGDMADDDDDSNNMDNDNTNADIEGREAEVDKLRKEEESVSGSVY TSTA_028070 MADAILELLDPHLNSSDSSSLTTSRPRVSSTDATTTKYLNRLTS LSLEQIRNSEPQSISQSAHSTLLSLQALSNRSHKALISSSDHLSTLRNLIPSLGKDVK TLQERIPILDSEAVRFSTTYSKSSDNPNLDRRKKAMQLSRNVDRISDVLELPALLSTA VSTSSANAGLTAASGNYSTALDLYSHIKRLQTLYPDSPLIKDIISQAEDAMKTMTTNL IAGLRTQNIRLAAAMRTIGWLRRVAPELENPRRSRETGTGTGEGSLGALFLVCRLANL VSTLEALDPLRELADQETQRRVEAAAGERASSSKWSGGQQTERYLKRYIEIYREQSFA IVSLYKNIFTPEPVETDSKPITVPGLDLKSLNLKTQPPPKPTTKIDPLQALPPAVATF PLYLVRLLTDTLRTYLPNVRDKSSRESLLTQVLYCAASLGRLGGDFSMILTELEDEEQ GKEAKDETVVHEWEEVMRKHRALAGRLEQLTSGQAVTKSISRVTSPGEYPILLGDKLA KDDGGNDSTFINITYNHKSKSATANQRAKITRSPTSQDVYNLIITDKAGNAEQTTLEY KYKGSIDPSIPVQGSEARNLVLVFDPSRKAFILEPVSTSLNFNLRAAPGKNKEVIEQY EQLHTLGDDGDHASRDESDDEQPEDADQDNPYDFRHFLKKPESEKAKSNLSTTTTPDP HGTISTPAMQATKVEAKKAAPSRPKQQTNPLRQPKRAPKAKAASTNSSAKAKPQPKSA PRVDPEDDIVISDAEPSEKEEDEYQHPQSSQAIPSPSSNIIVEGDLIIDMGSPPTRPT FKVDPTHFSSSDHSVNGTDEEGNEEDDEEIEHFRLPSPARPAAAEEPAADEDENDEDE DALAAEMEAAFEEEASRTQSMQQTQRYVPSDDESEISEEE TSTA_028080 MDSTPQHRPRRPVPAPSNNVIVESQKQWYFTDEELTRTPSLLDG MSLETEHMQRSKGVNFIVQVGIMLKLPQLTLTTAAVFLHRFFVRHSMVDMPRKPGLHP YSVAAGCLFLASKVDENCRKIKEMVIACCRVAQKNNNLEVDEQNKEFWRWKDTLLAYE DMCLEALCFDLQLEQPHKICYEFLCYFGKNDHKGLRNAAWAFLNDSNYTVLCLQFYPR TIAAAALWAGARLCDVAFEDDEEGRPWWVQIDVDLSEVRRAVSRMVQLYEKNITVHRQ AHEYPIIPTDGDQESTRIINPNPHSVTESLSAGESNGRKRSREPEGEDRPSPARNLQP PESNNHTREPSPKRQRLTPEPNRTAMRSESPRSLANGHHQPSRSNSRIPSGALDSTYR RQQHHPLPPLPPPPIGADGRERAGPVDAVQQRIDEIVQQGMARNDKSGPSASRNDSYN GRHRDRDRDRDRDRYRDHDRYVDRDHDRDRGRDRDWRRSASYESADYRDRDSRSRDYY RDSMNSNDRNWGHSHNKDILPPRERRRSSTTNESLPPRPPPPPSSSQRPSEERRREEP NEEGEISEEGEVVATTTTNRNSNNHDVENHRHHDKNMDNGDDDGGGGSEEGEL TSTA_028090 MAETRSKKKLAEAQDSRGNTKRAQKGDCHILADKLASTRKRMLV YGGVTGTWRWLRNVLENKISPISMRFNVLTMIRTILQVSFLLSHRPHGISPAHSAGLI DMSNDTPPTIDNFLFNDPSGRIATFLPGLNFPAPPVHTLGRLKISPTTTAPEASSELV EGFGPLPPAVRWIPDSKRQGQIVWSQLWNSKNFNQQPPMEPLAPWGNPGAIAYPNVDE FPLFPTLNTTFYGPSMQIIENMRSRGSRGIQVDRASIITVFSTKDKTDGFSALFTGDA HDTEINRDIRGNRPFLSIFPS TSTA_028100 MPYNTRRKSLSLPSLGIHLPNASRSHRSPSASKSSTSGSTILDE HPSKKVKRSHDVADSSTSADKSQKVATGRIRNSRGGYEHTPPPSPGDAVDAAMKIDTE GINDDVVVAAIEQLEKTGNKPHLVRELAAVLVKINDTVANSANPAALLSSRLSTYMKR SWTALSPCPIGKELINVHPRKVFYYLTNTPHQPLPENLEDMVAMDEKRLTPSLSSGSV DQDDEDDAMARERSRLSPSPEIDLSSPEFEVEHDTFNARNGSGSHTNQNNGHHRLSHN HRAASPPLEGDEREFTQTASSLRERASEEKASRQSSLAALSMPTSDESHDAQIHAFPG SPMDEDPLTTSISEKSQYGDYLSQGGSNNQEQDLDDAAVATLFGTSPSPSLTSVATSL SSGTTAPSEAELADVPLALPTSDLARSNTATATATEGTSPSSLKRSFDMVETGFPTKS VTDFKRSQTFDFEMVIDSWADLRSPETVEVDELDEMFGDF TSTA_028110 MVQIKIHNSPIGVRTTRELSRFYSSRIQRVQRDAVSSKKPSQLK RPTRTDAFRDVSAATTTSRRAISPRMLTIFGVGILSISTYCGYLYASYRQAVVQSKTL EVPEDVSDRYNSTATRYDAEVELGEKFMRLGKRRKELVQMARGDVLEVSCGTGRNMEY YRLGERRALDERGHAVLQGCRSATFVDLSPQMVEIARQKFRKLYPEFTKVAFRTEDAK KVIPTSASNTEGWKYSRPHFDTVIQTMGLCSTTDPVGLLQHLGSITEPQRGQVLLLEH GRSHYDWLNRILDNLAPAHAHRHGCWWNRDIGQIIEQSGLEVVEIRRYHLGTTWRAVL RPKRPDMS TSTA_028120 MELPDNILIVGGGVFGLSTALSLSERHPNKQITLLEASPTIPNP HGSSVDTSRIIRADYSNPAYTKLAAAGIKKWRNTEWGHEGRYTENGLALVYTEGNFDS ENYTRKSYENVKKLLEEEGEGSESIAEKVVYLPDKAALEKVVPRYAAGMNISGGYFNR GSGWGDAEAGVRFAKKKLDEMAKVVVRHGEVERLLFDETSSSSSSASQRRVTGAILKD TANGTTSTITADLIILATGAATGRLVDLRGIVDATGQVLAYINITDEEQAQLAHMPTI LSFSTGMFIIPPRNNLLKIARHAYGYLNPSDVPVPGSSQTMRVSLPVHDLPIPAEGER ACRQALREMLPAFAERPFARTRICWYSDTPTGDFLITYHPSHPNLFLATGGSGHGYKF FPVLGDQIVNALEGRLDPELQRLWKWPDQQEQREGSMEREGVKFVVTRDGSRSGKVGM ILKDELARSSRL TSTA_028130 MDSLTTHPSNAQQAKAFTSPASLSFPGGAGDLTPPSSEKEGNLV GMNGQQQGANANGNGVTPATPAATPGANNVGSGIVPTLQNIVATVNLDCRLDLKTIAL HARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVVTGAKSEDDSKLASRKYARIIQK LGFNAKFTDFKIQNIVGSCDIKFPIRLEGLASRHHNFSSYEPELFPGLIYRMMKPKIV LLIFVSGKIVLTGAKVREEIYQAFELIYPVLSDFRKT TSTA_028130 MDSLTTHPSNAQQAKAFTSPASLSFPGGAGDLTPPSSEKEGNLV GMNGQQQGANANGNGVTPATPAATPGANNVGSGIVPTLQNIVATVNLDCRLDLKTIAL HARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVVTGAKSEDDSKLASRKYARIIQK LGFNAKFTDFKIQNIVGSCDIKFPIRLEGLASRHHNFSSYEPELFPGLIYRMMKPKIV LLIFVSGKIVLTGAKVREEIYQAFELIYPVLSDFRKT TSTA_028140 MASKGAARLVLKREIRYSSRTWPSSSRSSISAVNSGRRVSALTP NNRRQYCNVVEPFNARLQPGQNRAFSRSARRRDAEEAIDPSQVERESDEVDVCIVGGG PAGLASAIRLKQLANEAGNEEFRVVLLEKAGELGAHIVSGNVLQPNALNELLPDWLDE NNPSRFQNVTPAKSDKMRFLTKTMSIPIPAPPQMHNHGNYIVSLSELTKWLGERAEEL GVEVYPGFAASEVLYKSDGSVRGVATNDLGIGRDGKPKDSFERGMEFTARVTLLAEGC HGSLTKQVIKKYDLRRDSQPQTYGLGIKEVWEIQPEKFKPGEIVHSMGYPLPSDTYGG AWMYHFGENLVSIGLVVGLDYPNPWLSPYQEFQKLKHHPLFKEVLEGGKCISYGARAL NEGGFQSIPKVAFPGGALIGDTAGFLNVPKIKGTHTAIKSGMLAAEAAYSALQGSDNG TVFLYDYEKSLRESWIWKELKEVRNMRPAFGTAGLLGGILYSGLEAFILRGKAPWTLK HHSTDSGATKLASQCNKIEYPKPDGVISFDILTSVSRTGTNHEEDQPVHLQVKDWDAH TAAAWPKYRGIENRFCPAGVYEYLEDPSKEQGVRFQINAQNCIHCKTCDIKVPTQDIN WQTPQGEMYANPTGLASRTMELLTCSPSALISILIVSISSSKAQMQCDTKCKTRPSAQ PERGHVDRRRGQALSRPPFTSSVILILLDPGSEDSPLFWCCGCGPLTSTSSPTNSPPR HLSVSLHSYWVSNGPENSPRRVRQKRSPCRRCPPSPVSGQFLQGLSKYSSTFQSAMSE VSSTRLYLGNLPRNVTKKDIEEYFGSHGTGKITEIKLMNGFGFIEYEDAMDARDVVPA FHGSDFKGERLTVQFARGPRHKETFNGPSDRPAAPRPRRTVFRMQVSGLPTETSWQDL KDFARQSGLDVVYSETTRERDGRGFVEFESHADLKTAVEKLDGRELKGSQVTCVADVQ PAEERAPYRDPYRSRSPPRRGYPPMDDYDRRGPPRGYSPRQHYRERSPQALRRDYYDR DGYGRRSPPRPRMEDYPPPRRPYDDPYDARPPPPPPPRHYDDPYFGGRSYGRPRSPPR GDYPPYDRRPYW TSTA_028150 MEDSMSSIQEKRRSEGHSDGILPEEGTSYPDNEVVVVDDDGTVV NASGWQDQLDRQYGLLSLCGIALTVDNAWVALGSSISVSIANGGPPGLIFSLIVAGAY YSVIGLNLAEFASAIPSAGGVYHWATVTGGPRWGRILGFYAGWINFFGWMFDLASLVQ ITANITIQMYTTYHPDYVGQPWHIYVTYLLILWISAFVVIFANRLLPYSQYAGMFFVI VGGAVTIIVLAAMPKTHASSHFVWGSFDENNLTGWTGGVAFLCGVLNGAFTIGTPDAI THMAEELPHPKRDLPKAIGLQIGLGFLYAFCFAIALCYSITDLNALLSGVNGYPLATI YSQATNNNRGATFGLLFIIFWSSFLCTIGTVLTNSRIYWSLARDNAVPLSSLFGKVNE SLSCPVYATLLCVIFATGLGAIPLGSSTAFIDLTGSFIILTTVSYAIPIVTNMLTGQK YLPKGPFKLGKTTSTIVGWAAVILISFFNVFYCFPFSIPTTTASMNYNSVILVGVIAL TSIWWMAHGVKHYPGPRLTHLYIHEGTEQISHVPDIPVQSQSEKTVPGSD TSTA_028160 MYASLLTLTALSAIASAHGNHGQSPITGPHKQLWYNTLPGDGGT QADSVFSGISTFGRLPFFPCLASEDEQYDIAFLGAPFDTGTSYRPGARFGPSGIRQGS RRLNLYGGYNVPLEANPFSSKMRVLDCGDIPVTSYDNTYALRQIEEGHNSVMMRKPFT DADKKGLSKAGKTLPRVITLGGDHTITLPLLRSINKAYGPVTVIHFDSHLDTWKPKVF GGAPSETASINHGTYFYHAAMEGLLRNDTNIHAGIRTTLSGPSDYDNDGYVGFEIVEA REIDTIGIDGIVKRIRDRVGTTNPVYLSIDIDTLDPAFAPATGTPETGGWTTRELRTI IRGLDGLNFIGADIVEVAPAYDTNAELTTMAAADVLYEVMTIMVKKGPLSLSNSEL TSTA_028170 MANRIATTESIPNESTLLIPKFPNLEREDGQYSKKSLILAEFGL LLKGSTPVILAYTLQNSLQTISVLIVGRSSPENLAAAAFSYMFAMCTAWLIGLGGTTA LDTLASSSFTGSANKHDLGILLQRGFFVLGLFYVPVAILWALSEPVFLFLGQDPNLSR DSARFLTCLIPGGLGYIYFESMKKYLQAQGIMRPGTYVLLITSPVNAGLNYLFCYTLD IGLLGAPIATSISYWLSFALLVLYTCFIAGSECWGGWSRAAVQNIGTFARLAFLGVIH VGTEWWAFEIVALAAGRLGTIPLAAQSVIMTADQVLNTIPFGVGVAASTRVGNLLGAR NAHGAARAAHTAAFVSILLGGIVLAVLMGTRHSFGRIFNDDDNVVRLTAEVLPYVALF QIADGLNGSCGGSLRGMGRQHIGALVNLISYYGGALPLGIWLAFHGWGLKGLWVGQCI ALYLVGALEWAIVYLSNWDKEVDKAFQRMDVQARLEDGVVDTSVM TSTA_028180 MAGIANLRPATRPSIVDNVSLRNINLHLPAAPDPWHRSGKSQPC TAAVKLSYSSAVAAANADDVSLSLDYGKLYRRIEAAVRDSVKPTSGGHLSQAAETTLS NDVRVIAGLIANCGLGLLDETIAGVRRMDHVQAHPESPSRRRASQASRRLSGVSSSVP KELQTATSPEVLNGMFGECEVLLHLPNAHLRAEGGLSFRTVQTWVYADDSASLEIAVE SSRQVAIVEQEFRVEGIRCHCILGVNSHERIEKQAVIITLDFRGSGEASWASTFLNTY QQMVRVIAERVENTSYQTVEALATFIARTATMDFGNDSVTVLVEKPSAMAFVERAGVQ ITRSKAFFAEQDFLKARGGM TSTA_028180 MAGIANLRPATRPSIVDNVSLRNINLHLPAAPDPWHRSGKSQPC TAAVKLSYSSAVAAANADDVSLSLDYGKLYRRIEAAVRDSVKPTSGGHLSQAAETTLS NDVRVIAGLIANCGLGLLDETIAGVRRMDHVQAHPESPSRRRASQASRRLSGVSSSVP KELQTATSPEVLNGMFGECEVLLHLPNAHLRAEGGLSFRTVQTWVYADDSASLEIAVE SSRQVAIVEQEFRVEGIRCHCILGVNSHERIEKQAVIITLDFRGSGEASWASTFLNTY QQMVRVIAEVSLYKLRFPCRIESDSS TSTA_028190 MGCIPATESSTPAFPPVTNVFTVTPWDSLFSSACYSPASVRIDS LEDTRSASLLWSGASVCDLFWVGVQDKMFDTIHRPSSAQRLAHQMEQLNQRSAPNCTM EDLSVGDVFTPQMDIEPENEGFKDNTAHGLGHAQHDVTLAPNHTDFLTAEPQVLQLHE KAATRIKKKKGRRVADVEVGRGTPALSTVRGFTPMASGDEDSDMSTAGSRVMSPQLHA VPPANIPNGISALKLQLDALSLSEKMPRSGDSVMSSNASVSSDSDRTEVMTSYEVPLE HDFVSEEAAAEEKLSTSNGNNLQSDVCRKMTSRDFEQLMCLGKGTFGTVLLVRHRPTG RLYAQKQFRKASITVHRKLVEQTKTERMILESVNRHPFVVKLYYAFQDHEKLYLILEY AQGGELFTHLAAERMFSEDVAAFYMAEMVLALEHLHQNIGVVYRDLKPENCLLDSEGH LLLTDFGLSKVAVSDDDRCNSSLGTIEYMAPEVIQRKPYGKACDWWSLGALGYDLLTG SPPFKANNHAKIQEKIVRQKLVLPYYLGPDAKDLLIRLLRKEPQKRLGYHMPKDLDII KKHRFFRKIDWKALARRELEAPIQPLITDPALAENFSTDFTGLALSPALTDDVHIGDM LKNAGISGDPASGVNEADPFHGFSFVASSSLLNSGFGLAT TSTA_028200 MDPLSESSQLNQQAGNRLVPIAENQSDNNNTTQFSSRTTSRSQD AHSTPEGVETVTIPVQATHQGYPVNAKVAIPRSRAGASYRYSRRVAKACESCRQRKTK CSGDTPVCRQCRELRVKCQYPDGMREKTKRYMEELSHKVNDYESLLKELRFSVNEALG DRITATLSKYAADGEQNSIDHPSSSSRTPLDDADLEPSSPSSVGSLEAIDRVEEDLNR GENVRATGFIGKNSEISWMQRVQRESVQRARKEPGMYEGEPQARQYEDFSINSVSYHL DDLDINVSGPIDEYQMPSRKHAERLFEDYLITVHPFFPIINRPLFSSQFKYFFDNSAQ PGDRWLAILNMIFAIAAKHSHLVQAPWKSNDTQDHLIYFARARYLSMTADELFSHPDL QQVQVEGLMAFYLLSTDQINRAWRISSLAIRSAIALGINMKSSSETTPNTSKESRYRV WWSLYSFEHLLGVMTGRATCILDGVCTTPMPIPFEEERFSEPAVQEMLGNFTLREERI QSVVASHSIRLMPQNPAGGQNVDRREKTDRFAWIRSIPFNPGLLFLLYIDLTVITQEI VNKVYTADAVRVPWSHIENRIGELKSRIDLWFNQLPEAFKFTQKESSSPEQVRAKLGL AFQYYSARITLGRPCLCRRDARANKQTSFSHKMALVSLEAATRMLDLIPDAPDPFQLY QLAPWWAILHFLMQSTTVLLLELSFANVHAPNEEKNTFHSAKKAIRWLYAMSEHSTAS FRAWQLCDSCIRRIAVGMNYDVSDLPPIPSDAILEQQRQYHAQDQLQAYGNTGRMQAQ LSTTAARGSDTQQQQPEPFDWSTGMEPITTSSTEAARRRELLGDLDLEHNAVVTTAEP VTYPMSFSPLMVNSGSSGPSIPDSHFPYDPISGEFMGSFFPNPGADQNNWDN TSTA_028210 MSTCCIFLRAEIMEQLTTIGRFVESEQKSLRHPVRDGQSAAVWI QQLEPYLPSDLRDGATSKDITTNPPKNTETLDSEGEGESIATILGRARRLQGWDLLAY LGFTLQRWPAVYALITKMLDATERLQETLQSLEGTPSNLQWDLRDAKLGGKGSVVTGF KKSATSISMEAFTDEPRHSMANSAIMGEIWQSLGFIVLAAANKTAQESGLAMSYVYRT LAHLHESGNIPDAIYKFTSRSDNGSLYRPPAMYLLSTHIMNVLSDAAWLVHEAEVREK AQAAGEDSPFRSFKIGIRHLGPEIWLEFILWACVEQGHIKEGLWILKHLQGSNYGAAW KIVSWSPLLDHLKIINNTNIDKHDFWPHPDIPRTPEELQSKSGFFHGLGRLTISAEVF TALTTQAASYVNNDLRSDNSDVEDLIQQLASVNKMKPSYIDKEAASQSTGTYHIVPLL ESHISESGMRPEWLEHVLTVLPPPIPPWDDAIPTDHQRLSALDEHDIRGASSLYAGLL QQNLRLYTQHQQVEKAMKTFNSLLEIIDSSKIDRIQEFWGKEKENEEAHQSESILPET SVLIDQSPIPTLSNGTLANLLDLIRVSKANDFGEWLLFSEDPDGPIISFESYGDQSLA PSILRFATATGNQGLGDLVVQRLQKPISRNTFNAIANFYIAFERWKEAEDILELLTQH RRKAWGETTLATLTSALLRLEQQIWEKPWEQELERSLAHVRILLRRFLLGYYNPERVF HEDVNMYYKKTIYRWHGLLLSIPGAVAQVANEVKPAYTNPQKRDILPFIPCVAFNLVL SAVVETRGSQAGMDMWKNWCIDIERSHAARLAEDETYRLQKSGDPITEELDIEFDWVW HRERQRKAVVPNLNTLRIISRAAVKELKESQADAPDKRTMSPSQQQTRDQIYKVLDFC VERYQRFNRDKYEIGRETSGHLKRIRMWQSRKRKNIVRWVYADIGQENDHHNMEDLLA DNEDEEKDESD TSTA_028220 MSQDTGLFSIKRPRETLGSVQNFSALPQPSSALKRTSMIGGLQN PPYTSQHSRSTSLFNSHGRPQQPNFQRSSSGASFGPEAGLSTVRRSVSNNLFQNTAVG RLSYAPNSFPANSASQQNLQRRSSVFSRPSVAGGPMSHQSFFTQGPVPAGAPKDPRPL RDRSFQARIGQELLEYLTHNNFELEMKHSLGQNSLKSPTQKDFTYIFQWLYRRIDPSY KFQKSIEAEVPPILKQLRYPYEKNITKSQIAAVGGQNWSTFLGMLHWMMQLAQMLDHY SVGEYDDACAAAGVDITGDRIIFRFLTGAYHDWLQGGEDEDDEVAEKRLIPHVEAMAA EFEQSNEKYVRELEVLEAENRALRDQLEDLEKNAPDMAKLDKDFRILEDDKRKFEDYN SNVQGKIDKYKNRIQFLEEELKKSEDELQTAEEERTSLQASVDSQGITIQDIDRMNTE RERLQRSLEDTAGRLEEAHARVMEKEIEANQKLEDLEQVVKTYNTLGYQNSLIPESAV NANGEDYEIRLNVNEASFSSSQMGGLQNQSSPEGYRLLADANNGYQPVHLVKLDLRGV VRANLIALRKEINERRKMTAEKDMADRDLLNDIKEAMDEKRSEIEALEHRRRAAEEEF ERTKEITTTQKVQSDAQIEKMEKELAKMRASLTESVQLMEQREMNTDVEYEQLTLRAN QLREELHTGVESMLNDIIRFKVHVQKGLEDYESFVVEEVEQELGGELQSVEDTEMAEA GEV TSTA_028230 MAPREELISSAVTFLQDPSVASSPLEKRVAFLQSKNLTQEEIEI AIARAGEESVQTTTTTTTSPVGYNQQQSPVYRPTVPQSGYGPGPYGQWQPPPDVPRRD WRDWFIMATITGGVGYGLYVLAKRYVVPIIAPPTPPQLEQDKQSIDDEFARAFALIDQ LSTDTAALKSAEEARTERLDAALREVENVLSELKSSTRRRDDETRRISDEVKNLKDSI PKALEGAREGNENKLKELGTELRSLKTLLNNRLSAGNSTSTPATGRPLGSTPEPASSP AIGLPATTPSTTVPSNATISSASNSQTSSSAASANPLSQLGKSASIPAWQMAAANRSK TPQSAAPTHGEESQSSAPAS TSTA_028240 MDRELAKMRFTERHHSHRSHTRSRSPTRPSSSPRGSHRDRSRSR RHHRRSSRSPARNSRHGERHGERKSRHHGERRELSPVSAAPPVLPYNARQLSKRDLST LEPMFAMYLDIQKGLVLEDMDEKEVMGRWKSFIKKWNRGELAEGWYDPATFEKAKGSL DNTRTARDRNMIKLSRSSERPSSNDDTPDYRRNDVPMAANDDDDDDEDYGPKLPSGAV ASRGPLSGPTIPNLQDLELQHEQARADAEAARSEAHAHYKQEALSHKKHLRAFEDEVA PRAEPGTHERKMEKKREKAAANREFASGRRGGSPTMDDAPDSELMGGGGDDDLSELKK AKEQETRKKNEREMRREEILRAKKVEREERLGEYKKKEEETMGWLKALAKQRFG TSTA_028250 MSLPSTTDWRVRVSDDVQSLLPLSTGIFYIVASTVDCVVSRDRK SRSSPLQYAIRNATISSMVVLLTSYCITAALLSYEAFSRRQHVSEDPIVFSLGSILLW AFLISRFSSGVPTLGYPFWSCCVAYLAGESALLCIQLSAHVPIHTSVREGYEHDISSA EETRPLLHGLRDNTSYSAACNNHSSISESSQDTTSNEEISTLSQSLEVLKFAVPLLWP KDRLALQFLFIGVGLCLLADRLLVVYVPIQLGILTDILAKEKTLPWQQITIFVLLRLL ESSGGISALRAYMWLPVEQYTYQRITVTGFNQVMDLSCDFHDDKSSGSVWHAINRGTI VQNVVRKVLFQFIPMISDLFIAVAVLHAMFGPYMGLITAAIIGLFIWSSSTIAELQKV NRKRYIASIRKEVNTRCEATNNWLTATYFNRIDYEKHRHSFAVHDRLDSELSVRRWSR TENFVQSLILSLGLIGACFIAVYEVSNGERPVGSFVMLLGYWAQLSSPLELFSSGLTS ITLDLVDAEEFMALLKKVPSVADKPSASELQAQPGNVSFKDVSFSYDKRREVLNGITF EAQQGQTVALVGETGSGKSTTLKLLLRLYDPDRGTIEIGGQKISDVSLESLRNAIGVV PQDPVLFNDTLLSNLKYANQTATIEDVHNACAAVKLHDRFLSFPDGYETIVGERGIKL SGGELQRVAIARVLLKNPKFVLLDEATSAVDSETEAHIQHSLAQLTAGRTTLVIAHRL STVIKADHILVLKDGSITERGTHESLIKSNGYYCRLWKQQVGLDMKTNGSSLKPEAPE FVPKGVMK TSTA_028260 MHILVTNDDGPPSNQSSPYVHSLVHSLQSSGHTVSVILPNQQRS WIGKAHIVGASVRPTYFRPGTLHHDDGTIHPLPRGSEEGDEEEGDEWVLIDSTPASCV QIGLYHFFQNRGPIDLVISGPNYGRNTTALFALSSGTIGGAMEAAVSGKKAIALSYAF SSRHHDPVVIAEASKHSVRLIEYLYNNWDDNVDLYSVNVPLEPGMSESKILYTHMLHN RWSTGSCFEAIDASASGDEPGLQEQSLREGSECQIHKGSSGSNNKKTLLQHKHFKWTP KLTDVYRSVEESKDGNDGWTVKEGMTSVTPLKANFMHAAGIQGEIKLGKNDTFDFYCI LDYEDKYVQPLLEELFEELERNKYCKIIKSVADLPDPSQPVLQYRAYEEIDFDHIMSQ PSTSLTNSFIIRKALIRKHYLSTTIANWISKYPDSILKNHFKPAFDFELDFAEFLDEA LLEAYELRESLEQNESRPADEKEWWILKPGMSDRGQGIRLFNSEAQLQAIFEEWEVDE SDIDDDEGSDEGGTHDESGPRLNPKNGQGVVTSQLRHFIAQPYIHPPLILPSASGRKF HIRTYVLAVGSLKVYVFKEMLALFAEKPYKAPWEEDDDIRDLSRHLTNTCLQENGSST EGTVRRYWSLDDDGSGLEAGWKDRVFDQICAVTGETFEAASRGMLVHFQTLPNAFELF GLDYLIDAAGNAWLLEVNAFPDLGQTGDELKHAVVGRLFKAIINVAIKPFFAKTPVTT TDPDLRLVADLNLGRKA TSTA_028270 MGDKDSYSDDEDEYEQEESVIWKAAVSGRLPEPTGRSKANQREK KAVFTPFRALFSKEAQKTYLGAILFISTSIVLFCVAAVAYWAFYLNYVPQIGLKRVVH LQFGEYPWGIATLGSDLASLQQYDVSVSLKLPRTPANLATGNFMLDLALHSPPQDSII GANTSTSLIAHSRRPAILTYTSPLVDTAHTLSRMPLYVIGWQREAETLDVPMFEDIEF ARGWRNVPASLRLEIQSREQMQVYGVEVNFRAKFRGLRWLMYRWRFLSFFAFTSMFWS VSMASSSIAWLLFSQYLSSATPDDQRIKKEEPEDNNTTTIKKEFGESQSVKQEEIEET TNIPPLGTQSGNEVEDVESIRNIHDNNNSGRGTAFDGHDTLGAQRRRSHFPE TSTA_028280 MTFRPPSPSAQSSIASGTEKSSSVRSSSRSQHAAWGAPSSQSGQ RRGLTPLSTTNISSSTIPSLTSPFQGIFNSSIRSTRKPPSPATTPSPFAFQHPGSQPL SHTAHSLSSPPKSRAITPSAGAHLASAAGNVSGGGGSGGGGGLGSSRGVNFSPLLSGP TVNSPTGFASDKPGSAVSSSQSSLTKISIAQVFLLLDSITEKEGKEKWEVKAAQIYKL VESNGMEVFSKYFRRLLTGNAPQIFPGVNKAVENAGNYPLLVQEMQKVSQDLDQAQKI AETIDTSEGDIFRDFDLSTFLDHFKLDAIVKVSLALAFKFASRSDLRAKADAILTNAV PHFLHSLLNPTETTKDFSAAYIGLTIERFILFPPRNFDDEVKSRLVQAATLRYRNQGL ELPLDVFNALQMFDLGSSRMAVIRQLHSRGPKATASVEAVNELMVSCGSESWGEEHVG NALIFMLLSQYAQQYSLENFVRAVKSHYSDKAVNWSLVFRAFDRESLRITPGQFSKLY SALLSIAGDDTSLDVQKLWGGDWKNRQTQISFLTAYLNSHTDPTEIPNFRASFPQDLF EDVPDALRMQAERALKSPLRSVDAIKAIFDLALFSQASWNSPESAPLIKIILSQELPV FLLSSMAVPQPWTAIQQSIVLRSVAFFVLRQEEGYQLALYGVWKQDRQWLAEQLFTMF TQDPTSTAAIYEDAVEYGWLDYLLSFTNGLALDLASLAHRKGDFDLEAWVKNAAQKGS MDMGSLLSKFLRIKAEDELQAHRPEQGGPQMVSLAVKTVYTLLTILEEYVGDRENLTP VQRICVQTYPRLINYGEGFDDIIDANGEKSNAIPKAIDEKMQELFGRMYHEELSLREM LELMRRYKTSRDPAEQDLFTCMVHGLIDEYHCYHEYPLEALTKTAVMFGGIINFRLID GIPLKVGLGMILEAVRDHQPHDPMYKFGVEAIEQLVSRLPEWVGFCHLLLQIPSLHGT PISQKAEEVLLEQGHQPADEAGPARINGGIDGQGVTNGNLDDNDSSRVFRSLHVGPPL RPEIYEDPDEEIQDKILFVLNNVSEQNIEDKLRDLRDVLRDEHHQWFASYLVEQRAKL QPNFQQLYLDLLELIGNRTLWAEVLRETYVSSIRLLNADSTVNSSTERSHLKNLGAWL GLLTIAKDKPIKHKNIYFKELLIEGYDNQRLMVVIPFTCKVLLQATKSTVFKPPNPWL MDIIGLLIELYHYAELKLNLKFEIEVLCKDLDLDHKSIEPASDIRDRSHQGDEVLSAA NIPEGLEAFEDMTLGGINPAMRTERLSPAAILSTLPSLDKILVFPSSASSMVDPSILR QIVHTAVERAIAEIITPVVERSIAIASISTVQLISKDYAMEPDEEKVRHAARTMVRQL AGSLALVTCKEPLKMSMTNYIRMIQQEYSEQPMPEGLILMCVNDNLDAACGIVEKAAE EKSVPEIEKIIEPQLEARRRHRAARPNEPFIDPSSMNRWGMLIPEPYRQIPGGLNKEQ LAIYEDFARQTRGPTSSHLQNASTDSGRQLPDVLQESFPAIPNLSTPAEAPAVPHQAA QGQQDNRLQVSAVGAPSQLNGFLDSQNPREKVEIFITGLQQDARSATEEHIKDLGRDS AVIQDYNQILRAIITSPNGEDFARLVSLKICTSLYSQTESPLEIEVLVSLLAKICDMS SIVARYTWALLSEVDDEHMFNVPVTVALIDAGLLDLRRVDMLLNKLIGQKNISALDLL ASLMDRVLFNDEPSALRSDFSGSLEAMNKWLVEEPSLTVAVDIIRKLRESGVSEVVNP YLSDKARAKRDQMEYIFSEWIGVYKFAGATERTFQSFLKDLHNRQVMNSQEDSALFFR LSVDISVAMFEHESQNPNGSLDEAFLYIDALAKLVILLVKFQGETTGAVKGSKSAYLD SVLSLLVLVLNHHQVMRGDAFNQRVFFRLFSSILCEYSLSGLHTSDQHQEMMFAFASR FLSLQPKYVPTFVYGWLSLVSHRVFMSGMLNMRDEAGWAPYCEIMQVLLSYMGEQLKP GNISYVAKDLYKGVLRILLILHHDFPEFVAENHFQFCNVIPAHCAQLRNLILSAYPSS FHKLPDPFREGLKLDRLQEMREAPKTTGDIVTPLQQANLKNILDASFQNTTISDNTIQ AIRDALYKPAGKETGLFLTPIHVDVVLVNALVLYIGEQAVASGVLTGNTRAAFEESPH SMLLDKLAKVLNPEARYYLLSAMANQLRYPNSHTYYFSFAILQLFGIDYAEQQESDIR QQIIRVLLERLIVHRPHPWGLIITLQELLQNRSYTFFRLPFIQAAPEIGRLFDALLQH IQQQSPRVLT TSTA_028290 MPGTTVDGPTVAMSFANNFWGKDDAGVIPLLERMHNAKVTCDEI KSFYNTRAAIEDEYARKLLALCRKPLGSNETGTLRLSLDVVRGETEAIAKAHAAISQQ MKTELEEPLAAFAGGMKERRKIVQNTVEKLLKVKNQQTHSVNKARDRYEQDCLRIKGY LAQGHMVMGQEERKNKAKLEKTQIQLASNSNEYEAAVKVLQETTGRWNKEWKAACDKF QDLEEERLDFTKSSLWAYANIASTVCVSDDASCEKIRVSLEDCEVEKDIGSFIKERGT GQEIPDAPRFINFARGDINDTSSEASDEDNYSVAQFQRAINPAFRSSSPQPSTYESHH DPSSELAAQMGLPSATRTESREPAMTPQSPNPQPLPPSQPAQPVQPLQPAEPVQPSPL DLRRGVQLPPNYDPNQHGEIGNVPHNSYPTDGMTMFCRTGPPSERSSGTSAYRPSSRE DSQSDISNPTSFSSQEPPSGKQSPVKPTNGVAMPGMTPEKQVQKKRSAFFSNSPFRRK SRHDKDRPSQSYSRSPTKPVYKQPSPEPPRSTSPEPVDPRASFQLNIGNNVFDVASPD KKPSPASQPPEDDLDPIARALAELKGVGKPSAGRVSADRYHGLATPAPSATSSTYESA GSADALPPSYNDPPAKRLDAPQPAFTSAQMQKTTQKYVGQTQSMFRASNSSQRTAASV QAPVQDIPRARSPAPPLAGSQNPQRTTARAHVPVQDITRARSPAPTLRRSASPQPAPA PAPVDARVSQYNAGSGSQGGSASRAPTTYQSRTYNFRSTQSPTTNTRPGSDRGYSPQQ YSRHASPVEAARPVSPRPAFAQETRPASANGMELQLSGGHVESYRGGYDSYSGRGRDN TGRPQSMYYGNSSDSVSRSRSKSITVADPGRHISRDGRPILHFARALYSYNAAIPEEL GFSKGDILAVLRLQDDGWWEAEVTGSRGHPGLVPSNYLQSI TSTA_028300 MNFAPYQDESPEVERALSPPPPFAADPAHRTKSPSQSQFNNNNS NNFNKPPQRQTPPPYQASPASHFYNPSNGGYGYQETSSSWTADPESQQQQRSSGGYGG ARVDLDAFETSLPLRVDYEAMLAYLLLPPAGGVFLLLFEHKSDYVRFHAWQSSMLFSA IFVLHLLFSWSSFISWLMFVGDILLMAFLSLHAYQDVDSLDHYEVPIFGRLANSFVDD E TSTA_028310 MATPSAAEEMQRLQLSDEETEELWNSPSQRRTKPVPQTASGSAS ADRSQTRENQPPLELEEARELALRNELQTVRNVNQAIEGVLESLERARGNMEAFSRTV DSASTLLNTWTRILAQTEHNQRVLLDPRWQGASRDIAEMEREAAAKQQAAERRERELQ ERREAAARKAEQEEKARAVATTATGRGRGTTRGRVTRAGGLGRTPSVSASRNTSTSTT KTGRPASAASTRRPVSGIARGSSAASRGRGKP TSTA_028320 MATTPPPASPRELRVPRAPRHGAGYDSFDPYPTRQSARLAGQRT SDRHTTTPPPSSPKKFTRHNDVDTLSPPNTLSPRKKTVRGKALLISEVLDSETSDLSD GAGGLSSRSSIVPGRPQLLPTPVKTPHHQKPGKFGYTARSLFTPTKSAKKPSGYSLDS FQEDPTGNHSSIEIYTDSRDRIPVKNDSIDNPFASKSEIKMSSDRETSQFKRRDLAPE LDSKEMVERTDGMLYTFRGKKIFRKFEQRDSDDEEDETEADELGLFANRPDLLDSWTN LHVPRLTRSAIKGRRLFPVSDSKQSESESVDENEEAVTDIEEPVDSIEGTEIVHSEGL ESTPGKRSLRSRTTRTHNADKSDPVHAAVSETKKRAKGGSPFDVWARKKPAKVSASKK READPFIDSPAPVAKKAKAH TSTA_028330 MQTRRSHNKSRRGCLECKRRRVKCDESRPRCLNCTRRHVVCDYG THSLVWKDWKKERRPPKDSNRMISQDQRDDIFVTLERLMASQNAEPMPATELNVVELE LMMHWCNSAYKLLTRNETTAQIWRELVPQEAFSHRFLLWGILSVSALHLSCFKAKEHQ SMYLKHAAFHQTRGLTLFREALQAVGPWNAKAMFAFASLVTVYAFGSSYSQESADPIQ DLYQVLVLIRGTSEIVSRFSQTLLESDFAPLLRVSEQRNEISADTKAALTRLRTLNSS LQEGPESHKVHRKAIEDLEEMFSMSTAGVASITASGRWAVRLPPLFLEYLQERRNLTL VILAHYCVLLHHLRQHWCIAPWAARVSEAIWNVLDDRGRESIQWAMDQISE TSTA_028340 MAKHNVYKYDPSKAAAMAFAIIFSITSLIHLVQLARKRTWYFIP FLIGGIFETVGYGTRYYNATQTPDWALSPYIIQFLLLLLAPSLFAASIYMILGRIVRL LDGASRSLVRPSWMTKIFVTGDVLSFFLQCGGGGILAQAKTPKTTNLGNDIIIVGLLV QLVFFGFFIVVSIVFHRRMLASPTNISQTVTVPWSRYMMIMYTVSGLIMVRSIYRVIE YVQGPDGGLQAHEAYLYVFDSSLMLLCCLIFNVSHPSQIIVGGGKNYRKMSSDLEMLS APDRN TSTA_028350 MSFSTTIRKTLESPSTAYGFWLTLPGAGVVKTILRSTVSSPDGG FSWVLVDAEHGLISDKDYYELNNAIGSEGASPIIRVPWAEEWMIKRALDSGAHGIMTP MCHTAEDAARVVKWCKYPPIGSRGYGPMFAAHSLARGTNYDEGADKALMVVVQIESRA GVENVEEIAKVDGLDVLFIGPFDLAKQTGVVRGGEEHEAMIQRTLKAAKAAGKKAAIF CTDGLDAQKRAQQGFDMVSIITDVGVLAQAMAQQLDVAKGRGTEGKQRDGY TSTA_028360 MAEVVDPISWPPKSPREALLASPSGRKRYLDLRREREANERNSP LKRSRTTPNFFSRNSAQNGDDNEAAGDDNDMDEDEETLRLQLAAIEAKLKLKRLQQEK RLNATSPRVDDKPLQGSFTSSSSSAQPASRIRAMTERLTDQGDGIQVPLSPSKRLMPQ DSISPRRALINFKKASEVSLKRPSSARPVTAQSKASSFRPGTSSRSDYHQGSPPDIWS AAADDFKKPKSFSERIAESRNADRAKQQRAGQIQRNRNSSFQIDSKEIEKYKEEAASR PRPSPEKQRPAETFSREEVLQSYGSPQSRMKMSTTTPSLVASASAIASRQPNTAGPGT AGQKTSKEPDTSKLEPFSALNLSNRILPQSFLSRTLENKTVLRIPQLLKLVKAPEFEL PDNIDGDYVVFGIVASKSDPKQHKDSKNSTKEVDMYDDGLNNTEKYMVITLTDLKWTI DLFLFDTAFPRYYRLSEGTLIAILNPTILPPPPGKIDTNRFSLCLSSSDDTVLEIGSA QDIGYCKAERKDGKICRSWLDSRKSEFCDFHVDVQIRRTQAARAGVNGGTGLFAPGGR NAPRIADFSASKGQRGGRRGLKPEGGRYDWATQSTYFVAPAPKSASSYQDSSIYPHLG RGSSAAALIDGIQDNPFSDQVRSNANKEERARRRLVEQQREREIAKKLGSKGGNTGSE YLRVGLEQSTSTPSAIRTATITTSATTPGPLTLGSQRAVSNSFKFRKADEVNLGPMKK RARAQSDDKVSNNGVTSSVKKTRFITSKGIREAGRDSLGASTSSEANKSRAYMDMNND DDDDDDELEII TSTA_028370 MPTEETKTSNPKRKIPQSVDVPTKTFILPSKRSDAARFLSLPNP TSGVLNRYFFDPEETGLYEFTAVASTQFAPRSILFTSNNSNEKTEERAKGYLAKKAEL FIATPIDVLFFMLPIVAPADKTSTSNMFQPLDDILDSQDELSAHLRYIVYNASFRSTI ERRMQAICDTMEAADEKLYRFSETKLLAELITKAARMIAQGLPASLEQNFIQKTLAPP LMSVKREDTVTTTATIIEHEENQDLEVVEMQSTSTTTISTTLSAEPSGVSTPATQPST VDEISPSSGSVARLLRLRIALSFIKQSYLPPNLSTRIEEILKSPESPIDFKPLDDRLK ELADLRAEAFASRAMEDLSRKRGFEEEDGDSERAAAKRKKEEEKKAKAAESRGVRELK KVNTSGMKKMSDFFKKKS TSTA_028380 MAPQQLQVPQTENIADVYATDDVSAQSVAPEIKARWQHLIKQFT EIYSKKPDFVARSPGRVNIIGEHIDYNLYDVLPTAVSVDVIIAVKVVPTEGSEAIVKI SNVNPQKFPSREFSVPFDKDVVIDPKKHEWVNYFKAGLVGALKFLRKGNPSVKPVSLE VLLDGNVPPGGGISSSAAFVCASALAVIKANGHDVSKENLLDLAVVSERAVGVYSGGM DQAASIFSQRGFLLYTKFFPKFSVEHVPIPVADEEIVFLVAQSFVTSNKAETGPRHYN LRVAECTLAAVVLAKHHGIVLEKDNSSLGYSLRNLHEEFMRKQGRLQDPLEYQLDAVI QSTTEILSQEQGYTREEIAKLLDITVPELGSRFLSSFPVEAERFKLRQRALHCFKEAR RVLDFKACLSQADKLDIKRIHYLGQLLNETQDSCRDDYECSCPEVDQICEIARRAGTW GSRLTGAGWGGCTVHMLPRSKVEAVSKALHEEYYSKLSGLTQEQLSEAIVISKPSNGS FLVHGAALELA TSTA_028390 MMPIRPSRSTLRTLPTQRQLVSNRRHFSTTLIAGAVSPHRTSGT FVNPKRGQSTATASSDARPVPSPAFNQERPNVSPLENRKLPELDDSFVGLSGGEIFHE MMLKLGVKHVFGYPGGAILPVFDAIYNSKHFDFILPRHEQGAGHMAEGYARASGKPGV VLVTSGPGATNVITPMQDALSDGTPMVVFCGQVPTSAIGTDAFQEADVVGISRPCTKW NVLVKSVAELPRRIKEAFEIATSGRPGPVLVDLPKDITGGILRKPIPMNSTLPFYPTT ASEAARALGRHNLENTLDRVADLIGVAQKPVLYVGQGLLARPDGPKLLKEFADKTCIP VTTTLQGLGGFDELDPKSLHMLGMHGSAYANMAMQEADLIIALGARFDDRVTLNVARF APQAKAAALEGRGGIVHFEVMPKNINKVVEATEAVEGDCAENLALLIPKVKPVAERPE WFAQINDWKKRFPLTLYEEQTPEGPIKPQALIHKLSELTAPIKDRTVITTGVGQHQMW AAQHFRWRHPRTMITSGGLGTMGFGLPAAIGAKVARPDALVIDIDGDASFNMTLTELS TAAQFNIGVKVLVLNNEEQGMVTQWQNLFYEDRYAHTHQKNPDFVKLSEAMGVQAQRC SRPEEVEEKLRWLIESDGPALLEVFTDKKVPVLPMVPAGSALHEFLIYDEAKNKERKA LMKKRGVTQM TSTA_028400 MASLPPRQTTSGQRRYSQHHDSSSAYDSRESSILDDNTNSTTST QETDSIFLNNPSSHIDSSSSPSPPGDSTHQIHTATQQQAENKSQLVERAAATTDDEPR KCWICYSDETEDSPHSSEWRSPCPCALTAHEACLLDWLAELENPRTRRQNRGDVRLQC PQCKSKIVISRPRSYVVDIVRACERLAGKLVIPGLVFTFAGTIWAGCCAHGVYSMYLV FGTDEAHKILVHGAEDAWSPVRNVGIPFIPIVLIFSRMSWAEGVLPAIPVLFFATHNP TGFDQGTLWPPSATMTFAALPYVKSIYGALYERIFGKLERKWIAEVQPSRGEEEGQQG DQQRGDHRPALGIGGNGGILMEIDLELQIGMDDDRANPEEPANVPDANNNADQQADQA NAQGGAQNGAQDPEQQILGRRRQELVHDTSSLADTILGALLFPAISAGMGGLLKIALP KSWTTPPSAFERGRAGLLQSRWGRSVVGGCLFVLLKDALVLYCRWRLAQTHRKRRVQI DGERNQLKNIWSCRKNKEYRYDRSYPQYTMRPHSPILASLANIFRIPITRPQAPTTST ILQTLSKHNSQQTSSFSTTPSLAKRKSAFKPDKRITLIRYFLYHPLTPRPLRFSRNRY LRHWTIHRAWQLYQSKLRTDQERELYRQQQAMSSACEELRTACGERGAKLFRISMNKK GVFTDLFPIEYARLQTDSPGREGWNHGWKRV TSTA_028410 MAQQSTDNVMRRKLVIIGDGACGKTSLLSVFTLGYFPTHYVPTV FENYVTDCRVDGRSVQLALWDTAGQEDYERLRPLAYSKAHVLLIGFAVDTPDSLDNVK TKWIEEANERCPDVPIILVGLKKDLRDDPVAIEEMRKKSLRFLTPKEGSETAAQIGAR KYLECSSLTGEGVDDVFEAATRAALLSFEKERNGCCVIL TSTA_028410 MAQQSTDNVMRRKLVIIGDGACGKTSLLSVFTLGYFPTHYVPTV FENYVTDCRVDGRSVQLALWDTAGQEDYERLRPLAYSKAHVLLIGFAVDTPDSLDNVK TKWIEEANERCPDVPIILVGLKKDLRDDPVAIEEMRKKSLRFLTPKEGSETAAQIGAR KYLECSSLTGEGVDDVFEAATRAALLSFEKERNGCCVIL TSTA_028420 MSAALHRPPVTAHVLASTKTKQPSTVRSTPASVPTQLNRSASEN SSRPESDTMAPPVNRKKQKRRQKQAARLAAEQQDHTAEHLTNASDQAQYNNKDQSDAD DGEVYDHDDIDDDEDINHSRLITNGNGMTKTSKSKKKKKSKTTSATQKLAEESSTSLS APSATTGRPQPPQPTSSSQSIKKPKDRIWNTSTQEERENIKKFWLELGEDERRALVKV EKDAVLKKMKEQQKHSCSCTVCGRKRTAIEEELEVLYDAYYEELEQYANNNQGTFENG APIVPPPRLYHHNLHSFSRHTHRHTHSHPHSHAHPHSHSHIHDHHHDHHHDHHDHHDH DHHDHDHNHDHDHGHHHHHHHSHHGRVHEIPEDEDDLEDEYDEEDDEEEPYSDDELDD DSHGERADFFAFGNSLTVKDGILTVADDLLKNDGKHFIDMMEQLAERRMQREEDTQYG MVAAHQSYHAGHNHGPLDEDDYDDEEEEDYDSQEEEDYEDDEMDTMTEEQRMEEGRRM FQIFAARMFEQRVLTAYREKVAQQRQELLLQELMEEQTLNEQRSAKKAREAQKKKDRK KQQKQAREEEKARKDAEKAAEEAAARALEAKKLEEQKRKKEELRKKKEAEKKAQEEER LRKEAEKQKRLQEERERQAEIERKQKEQKERERKKREEAKRKEREEREAKEKELRDKK AKEEQERKQREEQAMRIIEQTAKENSQSQSSQSVLPPKRVSQPTSGTGFVPPGFQSPQ AAPVASSPHFQVATPIVHKASTPARPRQPSQQGSHASSPRSQTTSGPEPLSSVSPQSL HLSQPSSTPLSSSGKLGLSQQPILHHPQPSAPLSPLSSATRSHTMGYPPVNGLPAHPP PGMPGIATRPVGNENMTMYPPHSGPVGSQYRGFATPNGVLLPPGIGGTRPMPIPNRGF PPLDPGHNLAFGGQVPGPGVISSVPPTGRPTAHSRQASGSFDRPPVDGQAVNAPISRP TPIKRPSSPQKGDGRIHDSDVDDLSAQLGSSALLDDSDIPFSTTLSQSLPGAPVPSGF GLSRASFGAPPFPDPLSSAKHPSFSGQGSSNWATQSPFGVPFPTSWNAAGVSWPNRPF GNRTSRPVAIRLLVIQAIKHLSSMSPAKFAPGFYEVNRLLRQVEQLNSLNDPPITLNE IMDICDTEGNSQNGGGTFIIKEDGKGARYVKFEPDSASPMSSHRGSFVPGDIGSPVPA HSLPAPFGGVGAAPGVRQYSSPTTF TSTA_028430 MSTTPTPAEDQGRLLEEALGVVRQQSHMMRKCLETPGKLMDALK CASTFVSELRTSSLGPKQYYELYMAVFDALRHLSVYLRESHPVNHLADLYELVQYAGN IIPRLYLMITVGTVYMSIEDAPVKEIMKDMMEMSRGVQHPVRGLFLRYYLSGQARDHL PEGTGDGPQGNIQDSISFVLTNFVEMNKLWVRLQHQGHSREREKRMQERKELELLVGS NIVRLSQLVDLETYKNTILPPLLEQVVQCRDVLAQEYLLEVITKVFPDEYHLHTLDLL LSNIARLNPYVDMKKIVIGLMDRLSSYAIKDTDQTDDPSKKKEQEEEAVAKLLENFKL AEEKKPERPRVEQTQENGAVSEEPTAAPESSKSPEEQDTNGVEVPVETKLFETFYEQV VNLIKSRGLPIQDTIALLVSLINLALNIYPEKLEYVDQVLDYASQKVAEHADQADLHS APAQQNLLNLLLAPVRLYVSIFTALALPHYVPLLTSQSYPTRRAVATEVIKNILTNKT PITSSENLDRILQVLKVLIKEGAPHPAGYPGVHPQRRGETDETIEEQGWLARLVHLIK GPDNDTQLKLLQAIRTAYAEGNERVRYTTPAIITVSLRLARQLKSREHYDDNWQSQSS TLHRFMHSCISNLYQRVNSPGCADLSLRLFIMCGEVADQTGFEEVSYEFFAQAFTIYE DAISDSRAQFQAVCIIASALHNSRGFSKENYDTLITKVALHGSKLLKKPDQCRAVYLA SHLWWVVENSQREEDPKTLYRDGKRVLECLQRALRVADACMDTAVSVELFVEILNRYV YYFDQQNETVTTKYLNGLIELIHSNLQSNEGEANPSLENPKRHFQRTLEYIRSRDYEG VVTEARQ TSTA_028440 MPPRRSTRRGATRKSYTEDAFEAIGLEDDEGPGESTSPKEPPDK SRKRRRDESDDEFNEEDAAAASAEEEYLKEEDEEEEEEEADDDLKDEAAGDINGGGGA DVTPAAKLSKLSIAGSRGSRTPTSNTARLRRDDGSTLFKPNDTHTRGAYNPSEHVGKS MHIKLSFGVDNRDLLAATAARTQWSLGIDATFPTRASLERVPSADEYGPGATHGISAE ELEREATEAWDWYYDADHGSRFRKRQRVERIDRQRVEQKFLYKPRKTSHKIIYGPSEN LATAHLRQYESLNFGDAWKYRDETHKTGNTVDATKKGKGRPKKVSEDLHSPRVIEAIE DESSGAQTGQRRKNRYGWILNLSGKVQALGWAPNQDGLTQYLAVSVPISPEQEMQHDP PEPPVASRAFSVSPPYPGAIQIWSFNTEERRDGIIKTVDINSRPKLQQVLCMEFGNIR KIRWCPFPRRQRDKDIDSRRHDLGLLACVFSDGRTRIIDVKVDRDAETTEYLQVNSAA FTAIPSPTISSCMAWLSPTDIAIGCANGWVAVYNIATYQTTPSSRGQEDEPVPYMYIP IHSTYITNIETAYPQHPHLISTVSMDGNTRLTSLLDPIKDVVDTQRQRLGTMQISYYP HFQAFVSSDENDFVRGLSIRRFYTSTAIGKVPSTITTIASGSRWHPSVMLGCTEGSIW CLNPLRRFMHAKESHFHARWFLHEWAAGKDDQTPNTSRFTDDLEVESLSLVRNAKEGT KIVNGVMTITIYDEEQHVVAVDWNPNQHCAGWAAAGMGSGLVRVEDLAMFH TSTA_028450 MGDNGNKLAFSATKKSSINDENFNRRHEALAVASANIALTMLRR GKFLEHELGRALDHIRTSNQCLKEFISLLESADEDRRDRRGGAGSWLKIAEQVKSVAE WVDRCADDKDEHDDAEKEVTTEDKIEKETGGVSPAT TSTA_028460 MHLPTTLFFLSATITLPYRALALPISEAEQDAHIVERRRATYSV VNVDGSGTTADEYCYCDGYGEYIIPFDYFPPAYSLNNNNNDTNATLLNGSDESVFTHG PRKFDFLQIDRAYTNQFTVSIPDAYHDKYLAVINLVIMGSIHGNSPTSSRGANQ TSTA_028470 MAHTQTPKLRSACDACHEAKVKCTGGTPCVHSRIGKPKGSRNRK TLARMQEAAIHAAKSQTNCPTPPYAFEPVPLSILSNSITSTPEWLNSNHPIPTTQHTP YVPYTWISPQSTPTPPESVEIDLNGLQLHHDLLTYQQPASLTASFNPNMDSSQLPTTS TCTGNSSFLSPFQETNSSYPPIWQSHVGTCDCFHWQTSNLVSLHALKSTPGIVQSSTF NNSLQCMARTISACQRTLSCQSCVKNSSTMFFLAASLQMVSDHLEVHISNEQLVNSAS LLSTTSSFSSFSSVSHPRISRDPQPEQQRAIWMMQIRHMLIKTHNTLRDIRELVVLNQ QKSSIDSAISGVGEMAPGSSSDPDCLYQVLDKLEVGIGSLLGTIGPRQA TSTA_028480 MGGFHGVVGTQDTGKVHHITERLVAFEYIAPNAQEKPHSLVFIG GLGDGLCTVPYLKSLATGLESTEWALFSLILNSSYDMWGTGRLGQDVEDIAQGVEYIT KYKKESLGTSQAGQSPKIVIMGHSTGSQDVLYYLCSPNPVPTDTVFDKGLRHIQRPPL DGAIMQAPVSDREAVLDLLTDEEGKFRDAEGEGLYVQLVEMAKTCTYSSGNACDVILP LSMTSKLGYPPAPLTARRFLSLVSPDGPENPGEDDLFSSDLSDKRLQETFGMIATRDL LKTKLLVLYSGNDEYCPKKVDKLKLLERWRAASDKTFKKIWDDENSGIIHGASHNIGG DGEGEAREDLVNRVKAYLEDVKVSKSA TSTA_028490 MAKTNKYSVILPTYNERKNLPIICWLIEKTFRENNLDWEVIIVD DGSPDGTQEVAKQLQKVWGTEHIILKPRAGKLGLGTAYVHGLQFATGNFVIIMDADFS HHPKYIPKMIEIQKETNADIVTGTRYAKRGDLRGGVYGWDLIRKFTSRGANLIADVML MPGVSDLTGSFRLYKKSVLEKVIISTESKGYSFQMEMMVRAKALGYKVEECPITFVDR LYGDSKLGGDEIVGYLKGVFMLWLKV TSTA_028500 MASSEGQKHQRNISRSTRPRASTKGPLDLTDNEPVLSDGPLSSP RSPQKSQPLHPRFSHDTGSLSPSKPLDLSPLRVELDSILANYEHSAVVKKDLSFLLRP DIYHPLSQLEIPSMFRSSFYNPSIGEPIGASMEVLKKQLAQGHFLLAAHLSAKLLTSP LIEPSDANVIFTLLYTRLACLELTGNSAFAAQESKSLEDLNSTFYYVDSDFNVESDVH DRTRRSYHIVPWPLRVLAVRLQSIAFGDSRRSITGLYELAFEARMELMQPGLDKTQKE IWRDRLYDLGIRTVNALVEMGDLDAARRSLSNLGDSGNIKNKARSILLALRIGDLTLA KDILERSTELQEGILIPLTTMAEGSYDEAAGQWKALLDNPAESARPMIVQNLAVCLLY TGKLNESRSLLESLVSENHAFQSLTFNLATIYELCCDNPQNRKMNLGQMVSQQTHHGE MNLDRTNADFKL TSTA_028510 MSFRGRNAPFRKLESGAGIRTGDTGRSRLRPVSDPLEAVGFPSK GDKTLLDHKAQRAYYDTVVDRYMRFCSSHSHDLEAAWASLPASASADATKNPPSNLPA LPIGKPSSKSAHRDGPAESLIAMTESLSLSDKQQPPPKSAVETQRSPAAELSTLLMSL RKLREGILAASSSTPVAFQQQVYIFSIRFSILARHPPSYFSSLRYLLDNLHASSHPLT EHALTEFTSYLILDYACRQNSLTPAYTLLIQAKKKHGFQSHVVDRILNALTHDNWISF WRIHKEVDGYVRAIMGWAADHMTRQALKAAGRTYLSVNVNWLLQTSTGGEGWTWQRLS EKEKLGWHLEGDSVIIRKPRARA TSTA_028520 MLHIIMSDPRLRSLTQMVVKQPAKAIRKLLHWDELPPWLQDNHY IHSGYRPASSSFLVSFHSLTYLHNETVNIYSHLLPALVALPAGIRLYQVLAPRYQSAT QADISAFACFFVGATFCLGMSAIYHTISNHSPSVARIGNALDYVGIVGLITGSFIPSV YYGFYCALSLQCIYWTMISTIGVGCIFVCLLPRFRTPEWRPFRAMMFVSMGLSAIFPV IHGLWLYGPKQMIDQIGLYWLLLQGFLYILGATIYAARVPERLLPGRFNIFGSSHQIF HVLVVCAAVSHLTGLLRAFDYRHSGLAELCF TSTA_028520 MSDPRLRSLTRKRQPIVDSKSPANENITPEMVVKQPAKAIRKLL HWDELPPWLQDNHYIHSGYRPASSSFLVSFHSLTYLHNETVNIYSHLLPALVALPAGI RLYQVLAPRYQSATQADISAFACFFVGATFCLGMSAIYHTISNHSPSVARIGNALDYV GIVGLITGSFIPSVYYGFYCALSLQCIYWTMISTIGVGCIFVCLLPRFRTPEWRPFRA MMFVSMGLSAIFPVIHGLWLYGPKQMIDQIGLYWLLLQGFLYILGATIYAARVPERLL PGRFNIFGSSHQIFHVLVVCAAVSHLTGLLRAFDYRHSGLAELCF TSTA_028530 MHSLDETGKPRYRAACDACRQSKVRCSGGGVCVRCKKHDYKCRY SIAHRAGKPKGSKNKATLKKLENLQAVQQGKIALSSGMGIVSTGKISRPLANPEFSQQ RRKNASTHDIRARYDLGHPSLVHMRHSSHPMSRKYAFAGPALPMYPSPTSPGTEPNLW QGVTPSTQMGFYDPLEPIYGHIPSPAIAPYLPSPSEESATAFSIPSDPSTIANVCSCA NRLDSSQRQLSSLDSDITMWRFDPTMGMVSNSLSSCHIFLSCAACPKSTYSGGELWIL ISLLDRTFDVLNHLVFHRNDRNNHGLWPEQQQQYGQIIPFTYAVALQDCILEQSVATS YQIVRALRETIDAEIGITGGVLGDINLNNNGLSSSSSSSSTGFPSPASMEAPVQELIL RSDHPQQTCKTDKTKSCNASSSSDINFILQAIQRYEAVIGSMQAVITRNSAAAAATTQ ASSASWSIGISDIVTSPCGPYQLGTMEGFPQQPHPGHARFASYDMIGLS TSTA_028540 MSQSDKEKIASLPHAQREIESLRRQVKDLQRELWQLRQREDKHI PSPPANLPTPELSFSESSHSESDNVSQGTNWGGIYFRTARSPHETWYGPSSLFYFMGR MTNFLNLTLEQTQSKDQMVPESVSRRLDGPLASNDEKLELYRHDSQTRNETEHGHYLT PTQEEYFLDLYWNSYHTSLFPIIDEIEFKQHYRSLWTTSGNARKDSALVDIVIALGMQ YGISMTPNMKYQFADNSDATIAGRWYYRRCQSLLAYELESPTLATLQCHILSIIYLCS GSFQNMSDSTCAVAVRTVHMLGLHLDPPQTMPRKEREIRKRLWWALLCADSKLGLKLG RPFLLHQTNTTPKLPGDDVEAAMLSGSSFAPLGDNATWLSFNLHHTTLFLVAREAHTA FYSRDLNLQNGQTVWDDFNTLESQAEFIYPFVKKLENWTNGVPSTLATKRKSGSRPFA TVDVDLEIEQFAPLWLQRQRVILELMYHNLSANLCRPFISFALTPPLTVVEEMAFKCA SHAIALTKITYQILSSTTILSGWHEVFQWQWNVAMTLVGFVLAYPRISMTVAARDAIN LSVSVFDIFGNSFAVANSAATIVRNLITKIDFLAKHAWRRQSVLENHKEDTSQVSAIP SDIVTSQPEPYMDNNSLIFQSPDNSLEFGDMSLESMQDMFHMAFDIDQWSDLSGIWSQ AGGV TSTA_028550 MPSFTVYKGNKEGFPKKSNTVTPDALVGDQVYLKVTASGVCGTD LHYRHVDMVLGHEGVGVVEEVGPQVKYLKKGDRVGWGYETDSCGHCQECLQGTEIFCP ERALYGMTNFDQGSFAHNAVMREAFVFKIPDNISDENAAPLQCGGATVYTALSDLKPN ETVGVLGVGGLGHLAIQFAAKMGARVVVLSGSDRKKEEAIKLGAHYFFAFKGQHQIDL KSAPKITRLLVTSAVQPNWNTLMPIMANNSRIYPLTYSTDNLPIPAAALNLYGISVVG CLVANRAVQRAMLEFASFHNITPVIEKFPMTEDGIKDALVKLENGDVHFRSVLIPH TSTA_028550 MPSFTVYKGNKEGFPKKSNTVTPDALVGDQVYLKVTASGVCGTD LHYRHVDMVLGHEGVGVVEEVGPQVKYLKKGDRVGWGYETDSCGHCQECLQGTEIFCP ERALYGMTNFDQGSFAHNAVMREAFVFKIPDNISDENAAPLQCGGATVYTALSDLKPN ETVGVLGVGGLGHLAIQFAAKMGARVVVLSGSDRKKEEAIKLGAHYFFAFKGQHQIDL KSAPKITRLLVTSAVQPNWNTLMPIMANNSRIYPLTYSTDNLPIPAAALNLYGISVVG CLVANRAVQRAMLEFASFHNITPVIEKFPMTEDGIKDALVKLENGDVHFRSVLIPH TSTA_028550 MPSFTVYKGNKEGFPKKSNTVTPDALVGDQVYLKVTASGVCGTD LHYRHVDMVLGHEGVGVVEEVGPQVKYLKKGDRVGWGYETDSCGHCQECLQGTEIFCP ERALYGMTNFDQGSFAHNAVMREAFVFKIPDNISDENAAPLQCGGATVYTALSDLKPN ETVGVLGVGGLGHLAIQFAAKMGARVVVLSGSDRKKEEAIKLGAHYFFAFKGQHQIDL KSAPKITRLLVTSAVQPNWNTLMPIMANNSRIYPLTYSTDNLPIPAAALNLYGISVVG CLVANRAVQRAMLEFASFHNITPVIEKFPMTEDGIKDALVKLENGDVHFRSVLIPH TSTA_028550 MPSFTVYKGNKEGFPKKSNTVTPDALVGDQVYLKVTASGVCGTD LHYRHVDMVLGHEGVGVVEEVGPQVKYLKKGDRVGWGYETDSCGHCQECLQGTEIFCP ERALYGMTNFDQGSFAHNAVMREAFVFKIPDNISDENAAPLQCGGATVYTALSDLKPN ETVGVLGVGGLGHLAIQFAAKMGARVVVLSGSDRKKEEAIKLGAHYFFAFKGQHQIDL KSAPKITRLLVTSAVQPNWNTLMPIMANNSRIYPLTYSTDNLPIPAAALNLYGISVVG CLVANRAVQRAMLEFASFHNITPVIEKFPMTEDGIKDALVKLENGDVHFRSVLIPH TSTA_028550 MPSFTVYKGNKEGFPKKSNTVTPDALVGDQVYLKVTASGVCGTD LHYRHVDMVLGHEGVGVVEEVGPQVKYLKKGDRVGWGYETDSCGHCQECLQGTEIFCP ERALYGMTNFDQGSFAHNAVMREAFVFKIPDNISDENAAPLQCGGATVYTALSDLKPN ETVGVLGVGGLGHLAIQFAAKMGARVVVLSGSDRKKEEAIKLGAHYFFAFKGQHQIDL KSAPKITRLLVTSAVQPNWNTLMPIMANNSRIYPLTYSTDNLPIPAAALNLYGISVVG CLVANRAVQRAMLEFASFHNITPVIEKFPMTEDGIKDALVKLENGDVHFRSVLIPH TSTA_028560 MPGIKDIQVFHITNITFFSTFFHQTNQANMYFTKALSLLATIGT LASAAPTGSMNVTHLDNLPTTHGSANTNGANGGSVDIHNNMKDTIYYWSVSQDAGSMK SLEPGASYTESWRTNPDGGGISIKMAMKPEQVDVLQYEYTLQGDTIFWDLSLIDMGTG SKFTEVGFAVTSNDSGCPSATCAPGDTACADAYLVWNDDHATHGCPAGTQMTLNIGPA A TSTA_028570 MAPQDRLNQVSSHLTFPQGLFHNQTVIVTGAGQGIGAEAARLFA NEGAKVVVADIDQVKADAVVKAINDISPNRAIAVVGDVMDATYIDTLVKKSAEFGGGK IHVIVNNAGFTWDGVIHKITDKQWDTMLSVHNTAPFRLIRAAAPYFRVKDKEPRVIIN ISSTSGTHGNAGQANYSLAKAGLVGLTKTIAKEWGPQFGVRANTVAFGYITTRLTAAK EDGATFVAADGTKVALGIPGRKPSSSAQGSAVTAHNDIPLRRPGSPEEAARAIVGVAS PWFSYVNGETIEVTGGRNI TSTA_028580 MYPTMLPTPPPSPPLLRCCAPEERLGLLLANRLELTSILGVGAY GVVYTAIDIHTHIPYAVKALNKVGLDPRQRKFQQREIKLHHLASQHPNVVSLVRIMDS MDCTFVVIEFCPEGDLFTNITERGLFVGNDPLAKRAFLQILDAVDYCHSLGIYHRDLK PENILVTDGGMTVKLADFGLATTDYLTSDFGCGSTFYMSPECQQTSPRPGSCYASPVN DVWSLGVILVNLTCGRNPWKRASNEDATFRAFLKDPEFLRSILPVSPELNLILQRIFE SDPFKRITIAELRQLILECPRFTMPAPTTVPTTTTTTTRKSGHPEEVLPVYPYYAGYP PIPRVELLNAQYSDSAVSDTSLTDDETISSVSSDSDYASEGEIESFSVEPQAAPEFWD TNAQIPAPVKQLPEFWDAGVRIPAPVKPFALPQHIEPYIAVY TSTA_028590 MAEDLFTDGDASLPQTTDPWADNSLYAPALGNLGYSPGSSFSPS PYRILPQEPSDRLGFLPLDEWDESGEYDVQSPQYVSYTIEWKLSLNHKKVGGETAKDL IIAPSDYWVKSLKKAVEDMLQTTQKRGQRVRPVEAQLCKWSNLLHIGKKLTVSINFKY QRDTNNHDPVLRTRKDKKRGRVTATTTMLAEREEDIAAEEVSGATLQLESSV TSTA_028600 MHCESERSDVSGCNQCVEWGVKPYGTGSAASLAGEIRTFFPNIW FGLLVGVAAGLPNLSRTPPRDIRLGDVLVGIPEGDSAGLIAYDLGKETKNGFQPLRGG HVLSTTEKIVRAAIGHIKIESPNDADVFLPFYESIKDKEHADGTFRDPGQDQDNLYEV DESGIERLVKREQRPDSKRTRAWYGSIGSGEKLMKKAEKRNELRDKYDVIGLEMEAAG TMNQIPVGVIRGVCDYGDEHKNKEWQPYAAAMAAAYAKAIDIPLDLTDVPAIENFLGR NQELERLWHYLQPGNSKSRKVAILHGLGGMGKTQLAIHFARVHKDDFTAIFWMSGKSR ETLLQALSSVLPRLPGQSQTTKAINKEEIEQHARHVLQWLSIPGNLRWLLIFDNIDQY SPVHGGPADGYDISEFFPTADHGSILITSRMQSLTELGEPFPIRKLESESAIQLLLQR SSLPVPDPIRMEVVDQDVIALTDRLGGLPLAIVIAGAYMRETGTSISEYLQYYQKSWH KLQSRSRPERHYPHGNILQTWMVSYDGIKKRDTNAAELILLLAHFDNRDIWYELVECG TYSSNPPEWLETVTSDRLDFKESIRILIGFSLIEIKKHGGSYMMHPVVQDWCLHIADM DDVKASQLNELALVCVGYTVPSTTEQRYWEIQRRLLAHADRVHQRWNNDELIGDSAIW GAFNNLGNLYGDQGKLKEAEEMHTRALAGREKALGPDHTSTLDSVHSLGILYQNQDKL KEAKEMYTRALAGYEKELGPDHTSTLLVVNNLGILYWNQGKLKGAEEMYTRALAGYEK ALGPDHISTLLVVNNLGILYWNQGKLKGAEEMYTRALAGYEKALGPDHTSTLDSVHSL GVLYGDQGKLKEAEEMHTRALAGREKELGPDHTSTLDSIHSLGVLYRDQGKLKEAEEM YTRALAGYGKALGPDHHKTQRVGKELKAIVKSEST TSTA_028610 MTDYHQVLISRVTKQVFWRLFCAAWQSALSFQNIRSAFASLGIH PFNPLKTPSPSPGDNEIDRKTPGSVRAIRRTIRAIQQEGDLTQATKLVMKAAQKLIIR NEILEHQYKGLVNALVNEKNRQRRGRPLGLIDKENPGEAQFFSPSRVEAAKQRIQDIE SQKEQDKINAAILRTQKALERERRDRENQEKREVGSVSEKRRSNKKSLKKSSVV TSTA_028620 MASRRSRSLSTPSEGEIVESGSETKATTSQTSMNDTNVNRRSRL SPSFSPRSPARSPRRRSRSRTRSPYRRGEKRRRYDDYDNVDDYRHGSYRYESRSDHDR SRYASRRHRTYHDYDRDDSYSGGLRYMDDYDRRDKRQKTRSRSPFKDVRKPKKYDDTD VVGESLKVAFREQSESERGASAVVTEDAKLHTERERRVPESSTDASTGYLNGSSADVS TTEPPEEILDEAARLEARRKRREAIKAKYRGQDALRIQALHQGADAELTPVTPMSRAE SPKSPALEVSTETPENEFTVTKDEDLANGTQPMEGIERDEPSAADYDPTQDMREERQR HDKRYVGEEGVSATAYDETKATKQDVLIPEAQPEASAKDPYDMFAEDDDDMFAPEPEE QPDHASAKAIPKAKELDMTMLDNWDDPEGYYNVRLGELINGRYHIHQNLGKGMFSSVV RATDSKSGKYVAIKIIRNNDTMRKAGLKEIQILEKLLEADPEDKKHVIRFERFFDHKG HLCMVFENLSMNLREVLKKFGRDVGLNLRAIRAYAQQMFLGLSLLRKCSILHADLKPD NLLVNEQRSVLKICDLGSASSASDNEITPYLVSRFYRAPEIILGIPYDYAIDIWSIGC TLYELYTGKILFTGRNNNQMLRSIMECRGKFPSKFLRKGTLTHHHFDEMLNFHSTEED KLTGRVVTKILDFKKPTRDLKSRLMGSGITGSKGGSKGMTEGETKELAQFVDLLDRCL NVNPEKRCTPLEALKHPFLSRK TSTA_028630 MGGQGREGGKAKPLKAAKKEKKELDEDDLAFKERQRAEAKAKKE LLEKAKGKGPLNTGSQGIKKSGKK TSTA_028640 MWSRINKNRDQLLQTSGHPAVSSPKGQSVLQTTSGHPLPPKGIL KDLPALPREPVYSPNNDRVTSSIYSRDDRGVPFNDGNMLPSSPTRDYAEYSRFIEEQD QPNISPPDSPVDVSNAHRHSDVSPIDDHGHFFPELHKQRASQLPVPRKNGYDTSVNVR NQSQASSHQINTGAQRFYGAPDTSTTSRDTTPQDLRSASPQVGKEATRLFSAGKEKLQ KFTKTRDQKTREPKQSREQWKGASGRLPLVPPIDTKGTAKSGKNPIHVQRDTEDPTQG YLMTRPDTYTITTITAGPPPDEKLRSKPGQFRLRSRNTSPEKKDSPKETKHEVESAES RKVSPIIDIPGINPATSRGTGQFSDSRANTPTLDVPNESNLSDMFHDLNLAQEGGSRF SATTYAPTEAATTPPGSSHGDIPPMPSWEYSPIMTRRRPIPSSAASIKSTKRKPVSSG ETTITPEVDLKNLSPEEQTQHRIDSLEAQRRQLSLRKESTKVMLHELTEVIQPSSIAY DMATRDEVKKTVTSLKNELDDIAKQDHEIGLKLIRLYKNLNDMSVYEPSPLWVKRITS TSTA_028650 MDSDFADIPPPAEVQTIATPINLILLSLFVVIAYFQFRPKKPVT LPKGPAPVVFRTFTPSTLLPFNGTDSAPVYLAVRGRVFDVTPGRNFYGPGGPYENFAG RDASRGLACQSFDEEMLTKDLKGPLDDLKDLDAEQLENLQGWEERFLEKYLIVGKLVA EGDPEAPSS TSTA_028660 MVFMTLPMYIQPIWLSDIDTTAINFTVHPGVPDIKFYLQVKRPI DIQNARYVLGVRVFQKVSALETMLDWVGMDGLIREKVLLNWYQRIARMQAEIEAEYHP MPVALGTTNGVELDSDEYDDEQDCDHEIVDYDPDDVASNAKIKAFVTNQSVRKEAQCN QHQNNNNNIIKPATMIYNFWPLLNLMLIRDMNALRLMMNQHCPHVNCEINWYFLLCNP CTDLAVMIYLYAMSVWHSRPDPTDCYLSISIVWSLAIQFRDIVPCEELLTKLEMSQET EKKKEEKEEKEELFAEP TSTA_028670 MRIRWATGLLVVLATSEAVGASNWFSRSVYNSWHETELERWLDD HDIPYPTPADRKDLEKLVKSNWNDKVQAPLADASESASDHLRDVKEWIFDSWTESRLK AFLDHHGIPAPQPRKRDTLLRAARENYETVAKKLGETAAYPGNWLYSEWSDSDLKEWL DARGWPVPQPTTRDRLIAAVRRQSRLASLAAKQTAASLSSSAAATEQTLSEALFDAWS DSRLKKFLDENGVKVPQGSKRNELIALARKNRKYLTNQASSVSASAASAYGAATSKAG NEWARATDDAQLKAEDAFDSAVAQWSDSRLKAFLDARGVPVPQHTKRDELLAKVRLHK HKAATGYSAWTFDTWTIDNLKKYLASVNNKAAKKADVTRDELVKQAQEQYAKASKVGG SHYASATSYLAQATAAAKKDTFNEWSESDLKKYLDSYGIPTYQGSHVNELRAAVRRNA QYFHYGTSTPSQTIFARLKEGAYWLIDQLKFGAALGRAQGQDAAESAKTKAAQATQKI REEL TSTA_028670 MRIRWATGLLVVLATSEAVGASNWFSRSVYNSWHETELERWLDD HDIPYPTPADRKDLEKLVKSNWNDKVQAPLADASESASDHLRDVKEWIFDSWTESRLK AFLDHHGIPAPQPRKRDTLLRAARENYETVAKKLGETAAYPGNWLYSEWSDSDLKEWL DARGWPVPQPTTRDRLIAAVRRQSRLASLAAKQTAASLSSSAAATEQTLSEALFDAWS DSRLKKFLDENGVKVPQGSKRNELIALARKNRKYLTNQASSVSASAASAYGAATSKAG NEWARATDDAQLKAEDAFDSAVAQWSDSRLKAFLDARGVPVPQHTKRDELLAKVRLHK HKAATGYSAWTFDTWTIDNLKKYLASVNNKAAKKADVTRDELVKQAQEQYAKASKPRR IHSTSGLSLT TSTA_028680 MGSISPQCRDLELPHYMNFNFILSLLILVGILLSYLPQHIRIIR LRSSFGLSPYFVLLGTTSGTAAFANILVLPRSAHDAACCREISGVSCFAGLLGIFQVG VQCLSFYVILFLFLIYFPRATSLVDPTTSAESENERNGNGNADIEDTDDKQPSYATAV VVALICLVHAAIVLIISLVVALKYPDHLQAWANILGIMSAVLASIQYFPQIWTTFRLQ KVGSLSIPMMCIQTPGSLVWAASLAQRLGAEGWSAWGVYVITALLQGTLLVMGVYFEY LGPKKDLIEHPFMADETQPAAEEVQDQQATEHTPLLSEQR TSTA_028690 MHSILCMSRSTTAIKPVLLGSRVPVASPASSIFKRYSHESYGGD DNPQGERPASRKSRELEHPGPPPPDTSKGSASTGTKPQSQQTQEDDLYHKKQPSNKAR PTLSSGRESPNVDEQGNTKDDVPEDVRQHNRELSQRYDRPYNQIADEGKVSKGFWKGN EGSLTEEQGGARGQ TSTA_028700 MVRHNDAPWDSVDSSRPQMSDLVPADGSLFRPSHAHQPSNPNVF SDDYSIDSIDAPLFSNHQRAQSFSSFDSTSTIEPRHYEAPRPVAENSTRANLLNQQSN RPPLVSNHQRDESLTLGRTTSGQSQASSVSHEGINPDPFADQPTSSFADSPRPSSIRK TITSLNRQSTVSTTSTRSGYTPVPRAMSPYRGATGPSHPYGMYPQVGVGRSPSVATVS TIRQFDSPLRGQNAPQHPYAMYPQNIDYEEDLGDQPIPVGFPPRGIQPQATTTRADDV GDIIGPDGHLEQLPPYSRYPDGVIRPTTGRGPASIASDMRGRFERYQDEPHNRPDASS GTLVNADSSEPLRSPSTPTERSSTLVAPASLDEKLSQKGRQRCCGAPVWLVVMVSMAM IICALLGGAIGGVLGEKAATHRAQAAASARASHSTSVVTVTYTSDVSPYTATPTGFAA LPTGNYQVPWVVTNVSKFCVGTSGQMQSWSCQIMNPLAIDIQGSVMSSSITIEQPNIK NGHFSYGAQLPYDISPPKQHLSIMIDKEDPQLGPALFFQSLFNKLVIVQDSPEMQAKR SLSERDTSSNGYGQGQTQDTTYAKPWFCWWNETQIEIFIYLNQTQDGTGSHMTSAAAQ PTTGSSTTSTTGSHKRDLYERDSIAGVYPRKFKVKENRINPSAPQAYCQQMQFNSDNS LTPIVGRRFNVNETAPTGSHDGTRPPPCYCEWMT TSTA_028710 MEPSSPEPWTLVQHTIPAFQSRAYRRAVRDPNNSRLYLHVNEYR ITDPGTTEPKGITIIFAHGLESTKEQYEPFFSDLLKTVRSGTSIKAIFAADIYNHGQS FLLNKDEIGDEAEWLDPGRDIVQMINHFRSQKDESRAYMAPPLVGMGQSFGAVHVLAP AAWHPRLFHALVCIEPVVENGSWHDDGQGTRASTQFRYRLHEMQTSWRNIREARDYFA KSPYYGAFEPRVFEKTIKYELWRPDPMSERVTLVTPILQRLVWYMKPDPPYEGIAPTE DYATRSEKSILPGGFYRSECDRIKDLMLGIHCKTFYLWSRNGDWISDAGYRKRLLDCT GTGRGQVTHAFVEKGSHALNFENPYGTAVQVAKYLDSIWTDWLDEEVKRLQEPVPNAV ELPKELVERLDRYLLGIRKDTKKRSNL TSTA_028720 MSNYFAATLCTSRQVPVRARWRPFYMCSRRLSTTSNPALFRLLE DGTEQPITEDDLFRYQRHRWLRNDAKEQDVRYRPFNLAALIERALKAKRGRIDGQKCI KILKLVEGDHNKVFLLTMSDGDELIARLPNPNAGSNYFTVASEVATRRFIKSTCNVPM PTVWDWDFRGRNTVGADWIIEAKAAGEPLRNHWFNMSRRAQLKIVEQVVHLESELTRL KFSSHGSLYMERWLSTKRLFCTKLSSPNAILNRDDALKLRSKQFYGQYAIGSSTDRKL YRGPGDLSKQFRGPFTDLLHYAKALSRNERRYMLNSPWAKENYITSMKIQQDPSEYVK LILKYAALQEQLIQPEFENDPCTISHPNLSLDNIFIDPKTEKIASLTGWQSTVVSPPL LKRPYPPFLDSEFQTISDDRMQPLPKERYRELVKESDPLRYERIFSDPQEYELLIGPI SNIFGAWNRRGLFDLRESLIATRKSKKVSTESIPKLGQFSPQELQNHAKEKYARQELD LLFNMIQSVQENVQIPRDGRVRSEEFERAQELSEEYRQQYLSLAEGDENRKALHEKTW PFDSPSEGDVETDPSDTFQLRKHLREPRLIRRIFVN TSTA_028730 MAATLSIKRIPSGAEDSEVVSFEAQPYAFQFDPSAAALVIIDMQ RDFLLKDGFGYIQAGDAGVEKVQATIKPTLEVLRKFRERGIHVIHTREGHRPDLRDLP TPKLLRQARAPNTRHSMVIGDLGPMGRLLTRGEYGHDIIDELQPVAGEFVIDKPGKGS FFSTTLHEHLVDRGITHLIVAGVTVECCVTTTVREANDRGFDACILRDCTDGFVSTFK SASLDMIHFSEGLFGFVSESQPLLAALSSFPPHPKNLALDWDGSMSIEALKNAYSSGL SPVTVVRHVLKKISADKPQHSAVWLSLASSKNLLGRAEDLERSGDCNLPLFGVPFAVK DNIDVSGLPTTAACPGFEYVPEKSAFVVTKLEAAGAIVIGKTNLDQFATGLVGTRSPY GACYCTFSPRHISGGSSSGSAVAVALGHVSFALGTDTAGSGRIPASFNNIVGLKPTKG TVSTAGVLPACRTLDCVSFFASTISDARIAWLAAKAHDPEDPYARSSPSLASLNSRSV LHGDALSPAYQEQFAKVLSLVRGLANIEEVNFDWSAYLAASDLLYKSALVTERTAAVQ ELLGSKAKRISLHPVTQKVLDSASSKTATDVFRDVHKAQRLLKVIEAEFDKCDILVVP TAPNHPTVAEVEQDPIVPNLKLGIFASAVNILDLAAVAIPAEHIDGLPFGISIIAPAF REGFILKVAERIRKRMEHFVL TSTA_028740 MTKQKCVTLHGSSSCLTCQAAGLSACTFLQPPPPKRRAPAGSTR ESTSKRIRGRRPSEELSSASASDSMLDQDLDYPKESILTKTLGLEKSRFSELIGPGGE HDFHLLHTAYGHSKLQASGDGDYTTTSRGMLGPMAMRRVHKDVFFQMIPDAEVSQGLR TEDTWDEVDVEDVESLVRPHEKYNRTYREITPHLLAAVYALDVCWWAYDPDLLLQEKI NEAALVRIAHQAVQDAMHRPRLNTIQAGLLLLQRHRNPLFMDNSWMWSFTSSLLGLGH HLGLHLDCSSWDIPDWEKGLRKRLAWALFIQDSFSALVLGRPCLISETSDWIVKPLQG TDFSEGAGDEEASDQVGSVSIENGKALFIELVSLSRITARMLRELYSVRSLAEVLKPQ DVLDVAKPLGTDLARLLKSLPDVLSLENIEPGRLCVNGFLHLALHATTAALHRRLLWV IQDSRSEVDLQFVQFIRKMLRTRAHSLVQFISCLRPEHMEAFWFFAAGGCATILGGFL GLLRTTSVTAEESEDLQSLMKEFEWQLQMKAKTGDWVSYTLTRLRALGWDEWKNSRLV FPESDPNTSAGNTNEDTEQFNDISIGIDESITISPLSNQPLPAPFIDLDNIILWNAPD SNRSAYEFMAFRT TSTA_028750 MAFTSFAGRSYNIVSDEVKQTDSFDEIPIIDLTHPQAQVVESLR DACTRVGFFYIFNHGIPQNIIDQVFSTAQEFFALDDKLKQQVHFKNGAALCGYEGFQN VYTDERKKPDLNEAFSWRYAPDMDFLNEDTATTMPVDADKANNFLTSGQNLWPDAKPE MRCDIIQYYSHVLKLARKLIRLFALVLELPETYFDEMMRHPPPKGLDPPFGIGAHTDI ECFTILCQGSDVPALQVLNPKGEWILAPPIQGTFVVNIGDMLARWSNDTFRSTIHRVL NITGRERCSMPVFIGPSYNTVIKPLETCLTEGAKYDPIPAGLYVWKRLAISRLDKAEY ERQRVKMESQLAIPIRV TSTA_028760 MPKKSVSETFHDAKSHAKSHAKSHAKATSIRAWELPKQPTTFAP EGTWTNIDNDVTPIERRIWGHWALLGYWMSDILSAQSWEGASTIISGGLTYREALICL IMGTFIIAIPISLNGSIGTKLRVPYPVAARSSFGYAFSRVPVVIRMVTALFWHAIQTY AGSTAMTQVIRAIWPSYLQIPNHLPRSAGITRRLRNLGRGGTVIGMCKLAGGSGDIWD QRPQYTGSTRSWMILSYMTSLSGGWATMATNIPDYTRYLKKPRGIWLQALLVPGICTF IGVLGIIATSASKALYGQYIWDPLELASHWNGPGGRAAAFFVGLAWVVAQIGVNVSAN VISFANDLTSLCPRYINIRRGAVIATIIGGWVMVPWKIVYSATSLMNFMGALSIFLSP IAAILIADFWVVKGQAIDVPALYRPKARYRYVKGCNWRAAAALLLSLGPNLPGLINAV NPNINIGGAAKIYAFNYLWGFSSAFVVYVASSYVFLATDTLVPFTIHDDGNVIVGQHV SEKEIYSQEALPEKQV TSTA_028770 MDIGSCTNGHEVKLTFKTYRDITIIMRFTDISLIIALSAAAIAA PNPDTEKTLYKVGQSPRYILARGSGEKVLHKGGAPQLFARQSSGNSGSAGNSDYCSGY GVTCASCFGSNWTECPDGVTCYDKTDPTSTCSSSGGSSGGSSGSGSSGSGSSGSGSGS SGGSSGGSAGGSDYCYGKGVTCQSCFGPGYQECSDGVTCYNPSDPTTSCPDGTSGGSG SGSGSGSGSGSGSGSGSGSGLTGITSTSPKPTSTGLGSIGSLPTEGSSSSSTQTSSSS SSSASSSSTTSTSATSAPTTPPDSGNKLGGGNAAPGISIPLGLSAGAGVAVLFAAALM TSTA_028780 MADNQTSNFAILTRKKEKIEQNGEKYTCRVCNRAFGSQKALRSH RSATNHEVRCSVCNKGFAIDKDLRQHARIHLSAAPGNIASASKVESVVTMTEVTRRVL EPENCTTSLALQVPLIRRGLEELSLNEDHYQQEVSEKQVMAQKQGFLHRLNTYTLLPS AEYETIYRALLAACHATNVLNAEQYILQGVTGRKTNTNVRYEEFRPTPQAVAGQRKRK IVAIDCEMVGLWKGSDSVVLLCSVDVLTGETLLNTLVNPVSKVKSWRSTVSGVTRKAM NVAIERGQALRGWPAARKALWQYVDTETILVGHALQNDLNVLGIFHPRIVDTAILAAQ AVFLDHQGKKFPQTYGLKKLLACFVNMAIQTGKRGHDCLEDTLATREVAIWCMRNPES LKTWGSRTFAEYEARRLEIQRAREKAKEEEKEAKIASRKAGKTGSGASINATNGVSK TSTA_028790 MKQQQPSGQASKSLDATKVEYVRLGSSGLRVSRPMGAMGMSLTG TSPYANWLLNKEESLKVLQAAYDNGIDTWDTLNVVIMTKCGFVVEENDTNLGHGFPDL LAKNKDYVNHGGLSRTAILKSVDASLARLGTTYIDLLRFDYITTLEETTRALHDVVQA GKVRYIGTSSITLVAPILWEDWLVDKPARSKGPAAHEQVALEWHKNKDTVLIVGLNSV ERVEETAGLREKQLTDDEKYQPSPASFVRA TSTA_028800 MANTMDKAVLKQVQIALQNADVELQDINKKIWSNPELAYNEHMA HDNICAMFDNLATTRSLNYQIRRKTYQLDTSFEIEYKKGDGGQVLVFNAEYDALPGIG HACGHNLIATSSIAAYIATVEALEALDTSSLPGYTVRLLGTPAEEGGGGKLRLIAAGA YKGVDACLMVHPVTQGNNDASVPGVHIAGPESFLANNKIRIRYTGSTAHAAGAPWEGI NALDAVVSAYVNISLLRQQIMPSQRVHGVIVNGGERPNVIPGSASLHYYIRSPDTKSL NALTDKVIRCFEGAATATGCKVEFEWINSYQELKNNKPICEVYTETLKAMGHQCFLSD SEMKGSLDGASTDMGNVMHQVPGFHALFQIPTDDGAGNHTHGFTAGAGSSEGYRRSIV CATGMAVVGLRVLMENEFAKSVKADFERAL TSTA_028810 MTLLPNPTVDLDWSGYVGSIQDIFGQNAKSHPDRTCVVETASSE GPERRFTYKQIYEASNTLAHYLHDAGITNDDVVMIFAHRSVDLVVAIMGTLSSAATFT VLDPAYPPARQKIYLEVAQPRALINIGRATDEAGPLAPVVRNYIDDELHLKAEVPSLR IGDDGTLSGGEIDGKDVFSEARQKASGPPPTQVGPDSHPTLSFTSGSEGRPKGVLGRH YSLCRYFGWMAEHFGLSSESKFTMLSGIAHDPIQRDVFTPLFLGAQLLVPSRSDIAHE RLAEWMAKYSPTVTHLTPAMGQILVGGASATFPSLKSAFFVGDVLTTRDCKALRALAE NVNIINMYGTTETQRAVSYYYIKSRAEDPHGMDHLKDTVPAGRGMQNVQLLVVNREDR NKLCGVGETGEIYVRAAGLAEGYRGDQALNDLKFIQNWFVDNNKWVEADQKNNKGEPW RRYYKGPRDRLYRTGDLGRYLESGDVECTGRADDQVKIRGFRIELNDIDSNLSQNLMI RDCKTLVRRDRNEEPTLVSYIVPEMKLWPQWLESHGLEDVPDEGTDFGVTRVYLKKFR AMQTEVRDHLKGRLPSYAVPSLFIFLKALPLNPNGKVDKPNLPFPDISEQTEATSDED VKRWESLTETERLVATRWATLIRGLNEKKVSPDDDFFTLGGHSLLAQQMLLDIRKQTG AQVSINILYEHSTLRAFSAQIDKELQGGKTQEESEEDPAYAKSLDHLLTVLPEKFQAA DPATVRASASPTIFITGATGFLGGFIIKDLLERNSRKLHIIAHVRAKDAESGMARLER SLKGYGLWQDSWKSRITCVAGDLSKPQLGLSDDEWQRLAQEVSVIIANGATVHWVKRY QEMMAANVLSTIEAMKMCNIGKPKIFTFVSSTSVLDTEHYVSLSEKQISTGEGAISEE DDLQGSRRHLGTGYGQTKWVSEQLVREAGRRGLRGSVIRPGYILGCSETGTVNTDDFL IRFLKGSIQLHSRPRIINTVNSVPVNHVARVVVAAALNPLEGVKVVHVTGHPRLRMNE YLSILEYYGYDVREISYEDWKHDLEKYVSAGGQEKIKNNMLSCHYSTSVSMTCPAIPR RLNLMIGTQSRFSS TSTA_028820 MDEPPATYSPEGRSVHPSKRRRLEVNHSEGPPPGCLLFNTTEPA DTVLQYKIVSAYIAAFPPAGGLLINQWTIVCRVNRTETYQISLKALPGVGDDCAYNDI YLELAKGPTINATGRLHGMAKTFKISIVVDSTIGDLVEVLFDNNLNKYQLLHGRGNRA WMCGVLQVAIEIFHNIDENVAAMSYVAQGWEAEFPIRPGDFQTPMMGIWLDRTAWSGG E TSTA_028830 MSSKIAQPNVITVKVEPVTAAEDFTRFFDVTALAFGQQVNDGIW TAMNSGWDTPKGREIGIHHLINRWSSTTKDRNGNPNTIFLKATVSRQDEPGKEDIAGA AIWVQASMVEGYGDMPTTDMDQSVLDELLPGNLEEQNYVRQLKFGLHRRRVEVVKEIA SSSSPAVIVLDLCVVDPAYQRLGIATKLVEWGVSEAKRRGGLECILEASNMGRHVYKK LGFQQEGSEFEYQVDEQFQHRDRPSNIFMRTGYLGIRDYA TSTA_028840 MQFSTMSSLSPVQAPEHILQLLSKLHRVSLEQEVAIADKGKVFS SEVLDDLENIHGIEGSRNAFDQLMLDKFIALDADKCQFVYQLINAMGATNVVEAGTSF GVSTIYLALAVAQTKAATGKPGIVIATEKEREKAEAARKYWAHCGDIVEQQIDLREGD LLETLKAGLPRVDLLLLDIWSSLALPTLKVVQPYLRHGAVVLADNTISSAKGYADLLS YLQNPKNGFRNMTLPFTNGLEMSVYLPGSQ TSTA_028850 MAPTRSIENRKKLIEQEGLRDNAIRDLKSSKIQSGRKAARVYGL PRTSLQGRLKGRRPLAESNATKRKLTPIEEETLIKRNWANLLLANRGSGGPIERVGIN WKSTFINCHPEVKSVYSRGFHYQRAKCEDRKVIQPCFELVRTTIAEYGIDSSDIYNFD ETGFAMGLIKSAKVIIGAETTNKEAFVLQPGKREWVTAIEAVNSTGWHLPPYIIFKGK HKRMAWFEDDPTVRFQCSDNGWTTDKITLDWLQKHFIPLTRTRTVGRYRLLILDGHGS HPTPEFDATCIENNIISLCMPAHTSHLCQPLDVSIFSPLKKSYYKHVEYRTRLGFSHI DKLDFLEAFLRARTEAYKTTSIQNGFAATGLVPLNPGRVLEKLNIQLKTPTPPGSSHG TSQSQSSCFQTPSNPHELET TSTA_028860 MNRDAGTFLEDKSQALDLLEQCWNIDMDDVALLTSHLVSSYHSR IVKVNGDVCLDISYNIREDILYDRNNISSLESTLTVNVIKPTDLRVQHEYESLYFRHN ITTGQTTYILPNASREYRGLLYTLGRRFSERASSMHPFSLHAVILFQSLAARSVQIDD LYRRLLWIETQIYQGSIFQGTDSEKFIRYIQLSHKLSRNLITLEHRNERDRSHIEKLL DDHKRLYRLIKQSSPLSERHIDMNTHEHVRDSLLSLKDLAHDQDRQIINSQRKTQLFI TLLYNLITGHDSGINLKIASETAKVAHEAKKDSTSMKIIAGVTMVYLPATFVCSLFGT NFVALDTNASEPSFVVSKLWWVYIAFAVPLTAVTIMGFLVWRRWRRGQVTLEDRYQV TSTA_028870 MMPANIPASLIFATQIYSAYAWGNLGHETVAYIAQNFVKSSTES YFQDLLGDSSSSYLASVSTWADTYKHTSEGSFSRPFHYIDAHDDPPTTCNVDYNRDCG DSGCLVSAIENYTNILLEKDHSTPQAVDALKFIVHFLGDIHQPLHDESLDKGGNGINV TYKRAHTNLHHIWDTNMPEEDAGGHSLSTAQSWASILTTRIKSGQYFSNSSSWLDGID VGDPVNSAMTWARDANSYVCSTVLKPGLDYLEATDLSSSYYSNSKPVFEELIARAGYR LAAWLDAIVLKNA TSTA_028880 MDSQSANIDAVNTSINTNTDDSGSNDIANNRINTSSDYSNTDYN NGSNVNSNKTDTFNHERDNKRDNLRSGTGHSTETPTTDNDFVERDSAPRESLNRDVEN RDVDRNAVNRDSNIADRKESMPKTGVAGVIASNFGADKTTTSTFEKSQGEKFGANFDE TGEKLREKLGNLDSQASGSATGGGEYTMGGQQGNKAGIDTNVPHGSHNGTHKEGFGHK MMGKAEGMMHLHHHDKTESRTEA TSTA_028890 MLSSNGTMGRIPCYLPDILGVQPNVNTKCVGFAVTKADHLCGQQ ASDLSGRAQASRLLNEGTHLLQAGEENIDDLLRKLAPLLLCNRHHQKDAPRLVGEWSV KVAQFNRRRFALLRPSPSPNETEDTQDYRDLRLVHTPNRASHSEEAPQTPVEETDDIE WSDRIRSTRGTSAPPRFAPPEQLSTSTDTIATTAPAMGQRGSHTPLVVSVSVGSPTST GHAIENISALSSALTGSLDDNHYVRARVDIYPQIASEGRSRMRQSHYVTVGFPSQVQN TESTTLTYQSRTQSFSWEGTESQPLNNRASRNTTAESTHQHRSRGATSASTSQELARR PTSSRSEVTRKRVEGDCHICFLPLQDEGSDDEDEEAKLERQQSEKDDEYDSEHDGESS EEDEELAYYDEYVTKLHMFKCKK TSTA_028900 VLRGSFPLGPNKEVFDAETIYGPIWIYWVPGYTNILAMKKQTRP LKKIFRRAARLFDVSEATLRRRLKGATPHHLAGIERHKMARTGEESLRSWACMLGSLA DILLAERDLNAAPKK TSTA_028910 MLVTTKALMTLPETLFFQVYPPRWGLQSSTYQTTTKAQRQYWRD KLITAAQTKEVFDISKWHKSVGLYRSPPLKDPLRPNEPPAVSTQEKRDLLVCNVLQNT AEAGDIPLDCPAAPSAALLFPEISIEQVEKAILKAGNTAPGEDELQTNILKVA TSTA_028920 MLKVSIEPTQETENSPTNGHKFPSNASVLVVGAGPVGLVTAFQL AKRGIRTILVERNLETTKWPKMDITNVRSMELLDRLGLADDLRKQGNRSWQFMSQKNK PLTHPIGVPGDYSLDCLFSTGLSDGGQLVAKWDLESPDEYRERIKKTNDGTMPRQPYQ RCSQSIFEAWMKPIVEAEPLVACFFGVKFEFLRELDDAVESTLCDSVTDEQYVVSTKY VLGCDGASSRVRRSLEIPLTGGPIPMTNFLVHFRSRDLERLHKQGQFWHIFFCNGGVI ISQNEKDIWTVHMPIPPDTNTELLDPYEVVFKVLGGSSGRYKIDIDEILVTSAWTGHI CVAEHYRSNRGRVFLAGDAAHQNIPLGGYGMNTGLGDAFDIGWKLAAVLNGHGGEELL NSYEIERHPVADRNVHMSGVHGMVHRTYVEWVHGEGQGTVISTDEKGESLRDRIRSHV LTNDGENKYLGVEMGYRNFPSPIVLQGTDDQETPEWNERNYIPSTSPGARAPHVFLRD GDTSIHDLLGFDYSLVDFTTGGNFASRFKDAASHLGIPIATVHLPNEQHARDLWERDV VLVRPDLHVAWRLNKGVTSLSDDATKDILLTAVGKK TSTA_028930 MVLLSFQTLALFSAFLAVVGLGYVFLFFGRRGQNYPDGPPTLPV IGNIHQIPRKGSHFVFTEMGKKYGGMFSLKIGTGTVIILNDRRIIKELLDKKSSTTSN RPASYVAHTITGGDHLLVMDSTPTWRLFRKLLHQHFMESVCDKEHINLQNAEAAQMLR DFVLYPKEHMLHPKRFSNSVIMSILFGIRTPSNDTPHMTKLYALMDNWSEVMEIGATP PVDMFPFLKLIPERFLGNWKSRVTKVQDQMNSLYRETLEHVMDRRKSVGSRGSFMDRV LDKNDKLGLTPHQLYFLGGVAMEGGSDTSSGIIIICIQALTKYPEVLKKAQQEIDSVV DESRTPVWSDFAKLPYITAVVKEAVRWRSVGGLGFPHTLTQDEWIDGKLLPKGANVYI NIWALHHDEGKFPNHDVFDPDHYQGYTRLAPEYAVSGDYDNRDHYGYGTGRRLCPGAH LAERNLFLGIAKLIWAFNFEKEVDDNGNPIEVDTDPVSGYSEGFLIGAKPFPCKITPR SNKRRETIMEEFRRAERDVFSKYSGLP TSTA_028940 MRPETPRMLSVCKEGVERIKSSPPTMDELNAQMKQPEINTASPF DDLLSPMFPMTLRDDHADVLSIATLDESWDLNTLGKCTWLESFFPSGNCLDEGLKAQL LLNGIFGLSARFSTSLFHSMDPVNRGNQFTERASALWDEIYKDHKSDIRSLQCLQGLI LVTFNALQSGPSEHAWSLCGHCVRLAYDLDLHTIDANYDHREESLDEWVALEEKRRAW WTIWEMDTFSSAMSRRPFAIDCRFTQVLLPVSDIAWYNKTRVPSGFLNLHLDMPWKCL CDSPNQEPRAWFLISLAAFRHSFEVMLLPEAHPQLLHDAEAMIACFALALPQNFQTDS GSMVFNKTNFARNNWIVCTLIILQCARSLIEVKMHQLGMNNSKSYETSFSARPSDIMS RNLHQTCTSSLSELISAAQSMSPQYIPVCSPFILCALLGPGTAHVPDDRLSQADRSNQ LCAETIRLILRNYGRYWVIGKVLMEVLELHGKSGRSSATTSKHSYGEFRPQWRGLVDS AY TSTA_028940 MRPETPRMLSVCKEGVERIKSSPPTMDELNAQMKQPEINTASPF DDLLSPMFPMTLRDDHADVLSIATLDESWDLNTLGKCTWLESFFPSGNCLDEGLKAQL LLNGIFGLSARFSTSLFHSMDPVNRGNQFTERASALWDEIYKDHKSDIRSLQCLQGLI LVTFNALQSGPSEHAWSLCGHCVRLAYDLDLHTIDANYDHREESLDEWVALEEKRRAW WTIWEMDTFSSAMSRRPFAIDCRFTQVLLPVSDIAWYNKTRVPSGFLNLHLDMPWKCL CDSPNQEPRAWFLISLAAFRHSFEVMLLPEAHPQLLHDAEAMIACFALALPQNFQTDS GSMVFNKTNFARNNWIVCTLIILQCARSLIEVKMHQLGMNNSKSYETSFSARPSDIMS RNLHQTCTSSLSELISAAQSMSPQYIPVCSPFILCALLGPGTAHVPDDRLSQADRSNQ LCAETIRLILRNYGRYWVIGKVLMGTPHLLVDWFQG TSTA_028950 MRTSTSLIPLVISLSLDSALGQVKINTATKYQIIDGFGFSEAFG FGVGVQDAPTTQQNEALTYLFDKTKGAGFTILRNRVAADPGNTIEPNSPGSASGAPTY RWNGDDQSQVFWSKKARQMGVEYIYADAWSAPGFMKTNNNYENGGYLCGVTGETCSSG DWRQAYANYLVKYLQDYESEGITVDFIGFLNEPEFAASYDAMLSDGTKAASFIPILHS TIQKAGLSTGIICCDAEGWNDQVTYTAQLIEAGAEEYLSRISSHWYTSKGTSPINTTL RVWETEYADLDDGFSTTWHASGAFNEGLTWAGYIYQGLVDCNLSAFLYWIGAQSNSNA AGLVTLQGSGSSTTVTPSAALWAFAMFSRYIRPNAVRVGTSGAPSNTKAAAFVNTDGT TAVVMLNQGSTAQSVTLGGVTIASAVAYVMDSSVSSPTTLSTSISNGSVEATLPGYSL VTFVMTS TSTA_028960 MGVTGSGKSTFISLLADQPVEVGHSLHSSTVDIRIYSFDDEREG TIVLIDTPGFDDTTRSNTEVLKEIAFFLAALYKQNLCFCGIIYLHRITDPRMQGSTMK NLRMLQLMCGKENFKHVALATTMWENMKSSEKGERIGHQRLMEMRRPDFFGQILGEGR DIIQHDGSPESARKIVRLLTEQNKKVVLDIQYELIDEGMSLDETSAGQYLREDFLEAQ KRFDAEIAELQGIMEDAMNEKDEETMQAIRAERKAAETRIMRHAQDWEGLNITMQQLT HEKHLQYRDLAMNFREREQARSTKMQAAERRIRELEIMLNEMERERKTESTRISRESP PRKLNRSREQTRDRQRERLELHRDLSQPTIERRIRPRGKRRESPILMLLKGMFGGLFG YPEDEGSPWVIRRQTS TSTA_028970 MDKDNSVVIIAVMGATGSGKSTFIQLVTGSKDVGVGDTLLSETK EVCEYHFSHKGINYILIDTPGFDGSYRSDIEVTELILIWLEKSMVSGRRLNGIIYLYR ISDIRMGGSALRNTQMFRKLVGKDALQNVKLATVFWEQVPDKDVALAFRREKELRDLW GSMLEHGAQIVRLQNNRKSGLRFLEKFSEKAKVVLEDQNEIVNLGKLTEDTAALRELV KEVDDLERELKENLRLEKKRLEKDIERQKSRQQHEVRKLQAEMERNLEAQRQIERHRL TQEEEDAQGDYERKRMKLEADKERQERQRRREIKELEKRERGELKRRKRRAKRLNRYY QRQYVCIGYTPKRTCVKCRGKLTRRKYYYRE TSTA_028980 MAGSMYHSQTKDRYSSIPPRYEDITMADDPAPVTITANDIVIAV MGVTGAGKSTFISYFCPTARAGEGLESFTRSVEAHEADIDDQRVILIDTPGFDDTHRT ETAVLREVAAWLNRSYEANIKLAGIIYLHRINDNRLGGAALRNLRMFKQLCGEDRLSC VVLGTTMWGLVPVNTAIKREIELKQNEEFWAGMIRKGSKVFRQDNGLVSALAIIRFIL SKRSRDAPGGVTLQIQEEMARGATLDETAAGREVNAEIDRLRSQHKQELRDLRAEFEE AQKLNDAHYREELLRVKADVEKKMKEERDDRERMRVTWEQLKKQRDEELQLERQKAYE LELEHRETILKKEAELDGLKSRNDLEAELIKERLMKDLEKAKAERYREQLRRLHTPCV VM TSTA_028990 MHRHNLSATSIRKKVSFDLADLTDDSDPEDYRDDYKSDWSPRSA VTGITPRRLRKYVIASLVFFVVLYITFNRSHPPRGLSPYLNYDAIDWSRYAYTQYVTD ETYLCNSVMVFEALDRLGSRADRILFYPKSWDLFVSSEKDRLSQLLNLARDKYKVQLE PVTMDSLHEHKSEDLATWDESINKLWAFRETTYHRILQIDSDTLILQNLDELFFLPSA TVAMPRAYWSSPGTMKLTSLLVLLEPSYKEYKALMEKVQGVEAGQLGTNRDESHELYD MELLNERYGGSALVLPHRQYGLVTGEFRTKDHRNFLGNDYETWNPDKVLSEAKLVHFS DWPLPKPWIMWPHGQLAKMQPVCDHNPGTPQESGCRDREVWKQLYEDFRLKRKDVCKL LSYPAPDWPPRPKDTSNLPNQPPPKQPSPQAPSVAYDVDVNANIDAKTEVDG TSTA_029000 MPSMHERIQEDLKLKERQLWTALTSADPAHAVNKLCSPNANLIF PNKDIIALNPDQPEQNEKTLQEALAPPFHRFDDFSLMNVRPMVIDLMAGTITYRISAY RGKKEYNATGSTTWAQGSDGEWRIVAHQETLL TSTA_029000 MPSMHERIQEDLKLKERQLWTALTSADPAHAVNKLCSPNANLIF PNKDIIALNPDQPEQNEKTLQEALAPPFHRFDDFSLMNVRPMVIDLMAGTITYRISAY RGKKEYNATGSTTWAQGSDGEWRIVAHQETLL TSTA_029010 MAMGGQTSSMEHNAHFMRPVYKDLLSFAFDEKPVAYDEYRPLYV DAENPSLSLNAHQVRLFVRTVIAGLKKRANLEAGECVLVTLPNNVLYSSIFYSIIGAG GIYMGINPASQYAELEHFLELSTPKLIITAPTGLGLLQEVTKAKGIPQDRICVLDQYA ISYLTEMLSPPPSGPNGEAARKDEYPQDIRNKEVLNFSALLRHGEGDWIRFDNINRCM NTPAAMYTTSGTGGLPKGALLSHHSIVMHHQSLYYDTPYDITRLICIPMFHLFGALFT HIWPIRYGETCYILPRFDIAQFVKTIYLYRITETFMVPAMVHALNKCPDLELSEFFRS LRYIGSAGASLDSVSAQRLEVKLHPEAQVCQLWGMTEAGVAFQVRYGCRDATASIGRL LPNYEVKLLDIDDQEVTAEDTSGELYVRGPGLLMEYKGIPNAKDENGWFRTGDVVTVR DGKYYVVGRAKELIKVRGWQVAPAEIEGVLLKHPCIADAAAVGIKKQDTPDQHEDELV RAFVVRRKTIAAARLTGDEVYRFARHQLVSYKSLTGGVVFVDEIPRTPSGKIQRFKLV EMCAYRDLVSSLLFFKPQVENLRALSSAHSLGLRSIGQRKNGAEAARIELRP TSTA_029020 MSRGSFPTTSSETLGKGALQTSSRTQTKELKTTSGHYSSTGEDV EAQASKRQSRPRAYWSFIRYTVLNVYRRLFTIIFLANLAVFITIMITDQSSLLVFVNA IAANLTVCGLMRQPLIVNTIFLVICSIPRSAPLRLRRIASKAFHLGGVHSGCGIASFV WYIGLVALISNFGLGIQLARNPLRDWHSFATFPDTAVETTLSSGSKSPTSFSCLVSKA GDWTGETIEHPPQYLWKRGVLVHGFAYVMRLYERIIVVTTGSGIGPCLSFLGDGNRPA MRVVWQTRSPLKTYGQGIIDLVKQMDPNPLVMDTDMTGRVDMVPIIESMAMEFRAEAV CVISNPKLTKKLVYDLETRGLVAMGPIFDS TSTA_029040 MMKVSSILERISLPMPTGVPGSAVPAILVGLFVALGGVLFGYDT GNINGILAMEQFRNQFSTGYADEVEGQPNQPDLTARQKALIVSILSAGTFFGALLAAP VADKIGRRYGLMVSCLIFIVGASLQVASVSIPVFAAGRCVAGLGVGMLSTLIPLYQAE TAPKWIRGAICSAFQFAITFGLFLAAIVDNATKDRTNAGAYRIPLMVQLIWAVILILG MTALPETPRYLIKRDRHEDAARSLARLRRLPLDSRYLAEIAEIAEHHEHELNLGGSSY LDCFKGSLGKRLLTGCLLQVSQQLTGINFIFYYGTSYFLDSGMGDPFTITMITNSVNV ISTIPGLLMIERWGRRPLLLFGGVGMAVSQFLVAGLGTGLAQSDATNTASTVLICLFV FFYACSWGPVVWVVPGEIFSLKVRAKSMSISTASNWLVNFALAYSVPFLIETGTGNLH LQARLFFIWASFCVLACVIVWCLVYETKGLSLEQVDEMYAEIDRAWESHHFQAVVALR NSQRRSVNSQTQPWVRHSTKTPSYSVHVEASPPSTLEDNERHLSRSSRGTVLEHEPSI MLRQFP TSTA_029050 MLHSIFLAVLIALGPSAVLASPGVNDLPPPITGIVSSAMSLASS YESEYTTIPPDAQSAVSSALSLASSYDSYGNIEASSARSLASSYASEYGDGGSDSTPT TTSSSGSQATLTGTSTGSGSRGSTTITRTTDLAGATATSASATGGATLNKAPVVPVGG GMMATMGVLAASIGALAVIL TSTA_029060 MASIINNTTSSSRFADVETTVITKRPYESAEEEGEEELVKDISG DVIDHGLRRGLKGRHFVLIALGSIIGPGTFYGLGYALYLSGPLGLLIGFGLIAIAVWI LMQCVGEVTAMFPVHGGFIEHANRFVDPALSFALSWLYYIMWSIYLPADWNAAVLILE FWVPDSKMPSWAWYLIFWAFFSVITTLGVRVYGELEYIFGMFKFCSLIVLFFISILAN VGAFGGGYVGFRYWTPPDGPIINGINGFGQVFILAATYYVGTEVISLAAGESKNPQRD VPASMSSITYRILVVYMGMAFFQGLICPSSADGLIHADSAVASSPFTIGFELAGWKTA GHFVNAIIIIAFLSAGNGVVYVQSRTLFTMALTGKAPAIFKTTSKRGVPYVAILFSNL WGFLALMNLSVDAGTVFTYFNSVSGTAAYFTWIIIMLTFLRVRSGLNAQGIDPNTLPY RAKGSIWIYRLTLAFFVFLLLIQGFTSFTDGFHYKIFVSSYITIPTFIILFFGYKFYH GTRWLRAHEIPLADRNVYDAALDLGKPKGWKKIGDLLKN TSTA_029070 MTSPQHGRNEALNTTSEGYYNQTEKSKEEDEIRRTEGLNPSDDE PPTVFEPVRPGDREELTRIASFLSSRRPSTLGRRDSAAITRMDTIDEMALEDPRIDPG NPKFDAYLWSRKRLRILQQEGMEFPRAGVTFKNLNVSGSGEALQLQETVGSYLTAPLR PGKFFSFAKKTEHKTILRNFDGVIKGGELLMVLGRPGSGCSTFLKTISGELHGLNLDK DSTIHYNGIGMKKMHSEYKGEVLYNQEVDKHFPHLTVGQTLEFAATARAPSKRVLGQT RADYVRDVTQVVMAVFGLSHTYNTIVGNDYVRGVSGGERKRVSIAEMALARAPIAAWD NSTRGLDAASALEFVKALRMASNLAGSCHSVAIYQASQAIYDVFDKVTVLYEGRQIYF GPCNRAEQYFSKMGWAKPSRQTTGDFLTSVTNPQERRARDGMEKQVPRTPDEFEAYWK KSPEYAAVLQEIKDHEAQFPVGHVAEKDLAEKKHGQQAKHVRPKSPYLMSIWMQIRLC TKRAYQRIWNDKATTLTTVLGRIFMALIVGSIFYGTPAATAGFQSKGAVLFFAVLLNA LISITEINSLYDQRPIIEKQASYAFVHPFAEAMGDIMADLPIKFASAAAFNIVLYFLA GLRYEPSQFFIFFLFTFIATLAMSAIFRTLAAATKSLAQAMALAGVMVLAIVIYTGFV IPGPQMHPWFSWIRWINPVFYTFEALVANEFHGREFICSNFVPAYPNLAGDTFVCSAT GSVTGRRTVSGDQYIQYQYNYSYSHEWRNFGILIAFWIFFMFTYLTCTELNSATSSTA EFLVFRRGRVPAYMTKSDNDVKNGTIEVPTGDSESAKEEVVNMLPEQRDIFTWRNVCY DIPVKGGQRRLLDNVSGWVKPGTLTALMGVSGAGKTTLLDVLAQRVSIGVVTGDMFVN GKPLDASFQRKTGYVQQQDLHLQTSTVREALRFSAALRQSKSTPLSEKYEYVEDVIKM LNMEDFAEAVVGTPGEGLNVEQRKLLTIGVELAAKPALLLFLDEPTSGLDSQSSWSIC AFLRKLADHGQAVLSTIHQPSAILFQQFDRLLFLAKGGKTVYFGDIGKDSRTLLDYFE ANGARKCDAAENPAEYMLEIIGAGASGKATQDWPTVWKESQEAKNIQTELDEIHAHHA ATTPNGTETLTTKSDQSEFAMPFIDQVWHVVIRVFQQYWRDPQYIFAKLVLGLASSLF IGFSFFLPNNSIQGFQDVLFSTFMLTSIFSTLVQQIMPRFVNQRSLYEVRERPSKAYS WAAFLIANIVVEIPYQIMLGILVWACYYFPIFGANQSALQQGLMLLFVVQFFIFASTF ADLVIAAMPNAQMAGTIATLAFSLTLTFNGIMQPPNALPGFWIFMYRVSPLTYLIAGM TGNGLDGRVVRCSDHELSVFNPPSGTTCGAYLQRYLELAPGKLYNPDAMSDCHYCSLQ SANQVLASSNIYPGERWRNWGIGWAYIGFNIFGAVALYYAFRVKHYNPTSIVRGIHDA GRFVGRMFSRKSETPTGRKAQDGRVF TSTA_029080 MPPKVTKGEYIETDTGNKISRRSLIHGTQHIILGGKTVIQAEAV IRGDLYRLQSSSSSSSHAHHNDGENPSSSATNIPPSVAITVGRYTYISRAAILRPPSR LHRGIHSYYPLKIGDHVFVGERSVVEAAQIGNHVHIGNDVVVGNMAILKDFAYVLDGA VVPPNMVVPSFCVVGGRPARIVGEVGEGYGVEGAEGGLARERYRVVGR TSTA_029090 MPSSKAIHQFQRRGSIFQRDLNRAHTEKCDWAGPPLNTLYAGIS LQYDNSVAAVLAIAIRDSTYLLDFYEHHFDSKPKKKLTENDVGDFIIARLREYSEEHL ERFMGLGMPEDVTIRYPRLCSRLWHELDIVPIYFREGVPLFVSSPSDPIQWNARCIDE LAESMARKCVRFFGPSNNPILDVGYHGIVEVDCGARIRIVAPDDYVPTVGATTWKAVK HYAEQLTKRNIKIAFFSATPQGGGVALMRHALVRFARTIGIDLRWYVPKPRPGVFRIT KTNHNILQGVSAPEERFTPNFQATIEDWLQDNSHRYWLSSNGPLLAPSEGGADLVVID DPQMPSLIPIAKKAAPHRPVIFRSHIQIRSDLVGTPGTPQHEAWSWMWDRIKLADVFI SHPVEVFVPGTVPKEKVGYMPATTDWLDGLNKTMRDWDIASYGRIFNTFCYNTRMTPI NYPDDEYIIQVARFDPSKGIPDVLESYARFHELLKQNGIAEKPPKLLICGHGSVDDPD GNVVYDATMAHIETRLPHLKDLICVMRLGPSDQILNTLMSKAKIALQLSTREGFEVKV SEAIHKGKPIIASRAGGIPLQVEDQKNGFLVEVGDREAVAKYLFDLWTDQELYDRMSQ AALKTVSDEVTTVGNALCWFYLASQLTAGKTVKPNERWINDMAREEAGIPYEEDENRL KRAVRVENMG TSTA_029100 MGGSVSKLMGKIFGSKEMRILMLGLDAAGKTTILYKLKLNQDVT TIPTVGFNVESVTYKNVKFNVWDVGGQDKIRPLWRHYYSGTQGLIFVVDSSDTARLNE ARSELHKIINDREMKDALLLVFANKQDTPGHLSPEEITNALQLNKLKDRLWYVVPSVA TEGTGIFEGLAWLSNNVKTPAPAK TSTA_029100 MGGSVSKLMGKIFGSKEMRILMLGLDAAGKTTILYKLKLNQDVT TIPTVGFNVESVTYKNVKFNVWDVGGQDKIRPLWRHYYSGTQGLIFVVDSSDTARLNE ARSELHKIINDREMKDALLLVFANKQDTPGHLSPEEITNALQLNKLKDRLWYVVPSVA TEGTGIFEGLAWLSNNVKTPAPAK TSTA_029110 MPQYRVNDSVRYKPVGGPQSQTSETVGVIKEVLTSPGNLTGRQV QASAEDPRYEIENSHTQKRTAIKESNIIGHC TSTA_029120 MTRHQNPPFRAEHLGSLLRTETLVKKRDLLTEGKVTPKELIPIE DQDIKDIVAKQLELGYHAITDGEYRRHMFWGSFFPNLEGFTQIMRPDAGMFRLYMPDI AAFVEEKVEPGETVVCTGKIKHKGSSYVDDFKFLAGLVKPEEVKNIKITLAAPNWYHM RYKEGYAYSKEAYSSDEEYFADIAKAYQEELQILYDAGCRNVQYDDPNLAYFCSEKMI EGWNSDPLNKGTTEDLLDKYIKLYNDCIAKRPADLHVGVHLCRGNFHNSRHFSEGGYD RIAVKLFKELNVDTYYLEYDTPRAGGFEPLKELPVTKNVILGVVTSKFDTMEDKEEMK KRIVDAAKFIAEGNNISVEEALKQCGVSPQCGFASHHGGNAISREGMFKKLKLVREIA DEIWPNEP TSTA_029120 MTRHQNPPFRAEHLGSLLRTETLVKKRDLLTEGKVTPKELIPIE DQDIKDIVAKQLELGYHAITDGEYRRHMFWGSFFPNLEGFTQIMRPDAGMFRLYMPDI AAFVEEKVEPGETVVCTGKIKHKGSSYVDDFKFLAGLVKPEEVKNIKITLAAPNWYHM RYKEGYAYSKEAYSSDEEYFADIAKAYQEELQILYDAGCRNVQYDDPNLAYFCSEKMI EGWNSDPLNKGTTEDLLDKYIKLYNDCIAKRPADLHVGVHLCRGNFHNSRHFSEGGYD RIAVKLFKELNVDTYYLEYDTPRAGGFEPLKELPVTKNVILGVVTSKFDTMEDKEEMK KRIVDAAKFIAEGNNISVEEALKQCGVSPQCGFASHHGGNAISREGMFKKLKLVREIA DEIWPNEP TSTA_029120 MTRHQNPPFRAEHLGSLLRTETLVKKRDLLTEGKVTPKELIPIE DQDIKDIVAKQLELGYHAITDGEYRRHMFWGSFFPNLEGFTQIMRPDAGMFRLYMPDI AAFVEEKVEPGETVVCTGKIKHKGSSYVDDFKFLAGLVKPEEVKNIKITLAAPNWYHM RYKEGYAYSKEAYSSDEEYFADIAKAYQEELQILYDAGCRNVQYDDPNLAYFCSEKMI EGWNSDPLNKGTTEDLLDKYIKLYNDCIAKRPADLHVGVHLCRGNFHNSRHFSEGGYD RIAVKLFKELNVDTYYLEYDTPRAGGFEPLKELPVTKNVILGVVTSKFDTMEDKEEMK KRIVDAAKFIAEGNNISVEEALKQCGVSPQCGFASHHGGNAISREGMFKKLKLVREIA DEIWPNEP TSTA_029130 MATTRTSNESSTNDLSSLWHAACNNYAKETGITINDEEFPRVSG PEQLSHQLDAEEAHFQNFRTKKSPLLHTMQTILVPFENWGDLIGDAVAAAFPPASSIM GAMLLLIRSARKVSESFDMITDLFQKLSNFALRLDIYKGVPLSKGIQIIIVKILVNFR VCAASQKLLKAGSFRARLSKWAKNIFVEDISVSSLLAELQGLTSQEDKMISAQNLNLT HQALKNTADLLERDNVKSDRDRLNKVRDKLKPVSASSQVHSAISSSRIPGSGKWINDR IKSWWQSSQPILWLHGGPAVGKSYLAAKIIDDLTNAEISSMPVVASFFCKNNDIDLRS MNKALRTLAWQVAAQLPRFADHAEDFCLKADLADTYSVWWKLLLKFFTGGASDVSVWF VINGIDEVDPEEQNLLFDLLEKTYSLEEQSQVSFSLRFVLLSRDSVRSSLEEHLLGWV PDIEITNSQNKEDLHRYIYQKLQRASLFKGSSKLLDEIVDDIRESAEGLWEWANLVIK SVLHCSTKGQIRRVVKTMPRGISAMLSQELQRLARQLSADMLPDELNCVEESGQIQQL NLILSFIMLEDEVLNVEDNLRIEYSSLFVVRDAGEAEGYFKDDFIVTLRHSSFYEFFK TSGQRENGPIHVDPERAEASLVFVLLHALHGTDTSKPETYKSLGLIETYADSFLPLHL TSANPENAGNLQDEISALILDVFAKDIERGHPFVSAYYVYGDSYSLIAWSWVTDFGYY WFDAGGYNIANERAQQVLKWLLPAAREIFEECARYSVSASDMCPFTILFSPLAVSLSR HWLAPDVIEARDGCAHSIPIVLNIFGEMAGNLDPSRHYGQAASPESTAPDVVKRTTRV EDYVSSSRILLVAKLQQHEMTTSWHTRVGQALLSHSYFRESLEHFEKSLSTDEKSRTL SSESLSVIHRNMASACFAIGMYKEAVEHNKLADTLGGTSTAVDFILSGGHIRHLLNAA QLEYIANMPNQAVAKANEAWQAYLEREDDRDWYLWINFLFIFLGLEQPHLLRPVFELA LSHFQELSRQNIEVDGLAEHIIDPGARRLRTTYKAIRFGLTPDDEKCLQLMAWAVKRI TSCDDILPKLKFLIGTALFEKGQFATGILNWYEAVTDSNPNPVSWQTEPAQERSLSYM AAVCLYHPEISFCSGNPLTLDSDAEFGDICLIISSWLRDSGDHTNARKALCGRVKKCI SLLSDDDPSNDCDA TSTA_029140 MVKTWRRLSDHDTPKSDEEKEAAEVFKEVHIEDDKASTNESVKE NAKAVEPTDVPDMIWNIYNSVTACANCDLLVTSASHWYFCRSCPHMVLYPACYRNIQS SNHAPRTCNSQHEFYYTGKRLRFSECAEEGMIRLTSIDSDEVKILWVEEWKDRLAEKW GTKEFEFEGGLSSWCMQVLPEVQRERWATFFKV TSTA_029150 MSESPSAYDCAMVRVCVPWCLKYPFEQRIPSIRSTATKIVIVDI GGNQGVNLDRLPSSFSHLDCDLVLQDLPKTLIEIRTHLDPRIRSMAYDFSQHSAYRRF KGDSIKHLLINKIILSDTNESLSRAEMDMLMLFLCDCMEHSKLQREESLAKVNSPLRI IDTWSVPGDQQSVIEACLAE TSTA_029160 MTTVETQLQGVSILGSVNNEHRKILTKDAVAFLALLHRTFNSTR KALLERRIVRQAEIDRGLLLDFLPETKHIRENDAWKGAPPAPGLVDRRVEITGPTDRK MVVNALNSDVWTYMADFEDSSAPTWENMINGQVNLYDAIRRQVDFKQGNKEYKLRTDR TLPTLIARARGWHLEEKHFTVDGEPISGSLFDFGLYFFHNARELISRGTGPYFYLPKM ESHLEARLWNDVFNLAQDYIGLPRGTIRATVLIETITAAFEMDEIIYELRDHSSGLNC GRWDYIFSFIKKFRQNPNFVLPDRSAVTMTVPFMDAYVRLLIKTCHRRGVHAMGGMAA QIPIKDDPQANDVAMENVRKDKLREVRAGHDGTWVAHPALASIASEVFNKYMPTPNQL FNRREDVHVTANDLLNTNVPGSITEDGIRKNLNIGLGYMEGWLRGVGCIPINYLMEDA ATAEVSRSQLWQWVRHNVTTAEGKRIDKAYALRLLQEQAESLASKAPKGNKYQLAARY FASQVTGEDYADFLTSLLYNEISSPGNAAKL TSTA_029160 MTTVETQLQGVSILGSVNNEHRKILTKDAVAFLALLHRTFNSTR KALLERRIVRQAEIDRGLLLDFLPETKHIRENDAWKGAPPAPGLVDRRVEITGPTDRK MVVNALNSDVWTYMADFEDSSAPTWENMINGQVNLYDAIRRQVDFKQGNKEYKLRTDR TLPTLIARARGWHLEEKHFTVDGEPISGSLFDFGLYFFHNARELISRGTGPYFYLPKM ESHLEARLWNDVFNLAQDYIGLPRGTIRATVLIETITAAFEMDEIIYELRDHSSGLNC GRWDYIFSFIKKFRQNPNFVLPDRSAVTMTVPFMDAYVRLLIKTCHRRGVHAMGGMAA QIPIKDDPQANDVAMENVRKDKLREVRAGHDGTWVAHPALASIASEVFNKYMPTPNQL FNRREDVHVTANDLLNTNVPGSITEDGIRKNLNIGLGYMEGWLRGVGCIPINYLMTPP PQKSPAANSGNGSATTSPPQKANVSTRHTPSASSKNKPNHSPQRHPREINTSLQLDIS LLRLRVKIMRIS TSTA_029170 MSTPAETDVTAENVATLLQYDTKVKLAGVDADGILRGKLVSKKK FLSIAEAGFGFCSVIFGWDMHDRTYFKELSISNKENGYRDLLAIPDLKSFRRIPWEDN VPFFLVSFFDPETKRPISACPRNLLQASVNKLASLDYKAMAGAEYEFYQFRVPHDTSS SERNSSATAAFLKNNPVESLPPLTEGMFGYSITRPVHNQDYYYGIFDACEQFRCDLEG WHTESGPGVFEAALQFGEIKEMADRAGLFKYVVKSLGSKHGITPAFMAKPREGLPGNS GHMHVSIVSKEGKNLFARDTVDPSPPYPEVAHLSDMGRHFLAGLLEGLPDIMPILAPT INSYKRLVENFWAPVTVSWGLEHRAASIRLISPPTASAKATRFEVRVPGADANPHYVL AAILATGWRGVEKKLEIPLSPLGKGEDTGSTSDKGARLAKSLKEANEIFRRPGSIARE VFGDEFVDHFSGTREHEIVLWEEAVTDWEVRRYIETV TSTA_029180 MATAPRGRLQGKNAIITGAAGGIGLETSILFAREGASVLMADIS EPALATALAKVKSLAPSSARVETIKCDVSKESDVQAMVESQDSWGGTDIIFNNAGIMH ADDADAVDTSDKIWDLTHNINVKGVWYGCKHTVLSLRRHKKTKGSIINTASVVALVGS ATPQLAYTASKGAVLALTRELAIVHAREGFRFNALCPAPLNTPLLQDWLGDDQAKRHR REVHFPTGRFGEAIEQANAVVFLASDEASFVNGTDFVVDGGMTKAYVTPEGPPTAAPQ NLGK TSTA_029190 MAAQPHAASPDIEDTKLPSDLEERTNIQFDEMVRKGRIFYDHQT EPGEVCVDDGFVIEYRIVPILKGKPILAPDDPGRSNTPTPESTRASTPVVASASSIST PALSPSPKPAVKGPFVNPKPDEVVYENVGSKHRLMLNKFCLYRPMLVLPTKEFALQSD DLDASDVTAAWAILHSYHTFEPLVIYNRGVNGGSSQGHKHLQLFPVPPLAPFHGKAEN LWPAKATSSAEVSDRIPHVPFKHYVLRIPPQTHVRTVVDMYFRLLELTRKAHVEAGHN ADSDYNVTMTRDWIALIPRTTAGPSDGPFGTSTVGMLGMPAIRDERDREKWRSLGDSK FLAKLGIPQSQ TSTA_029200 MPTLSEKNSSEAVRSGEMTERARTPTEKSETTNVAESTISDDAS KHITGMEDIENFQIAKTTSNDVKEREEQDPNIVGWDSEDDPANPMNWTLLKKWTNVGL ISAITFIVPLASSMFAPGVAQVSSDFNETNPLLQALVVSIYVIGLAIGPLVQAPMSEV YGRWIVYATCNILYVVFTVACAVSSNISMLIVFRFFAGCFGSAPVTIGGGTIADLFPP QQRGRALSLYTLGPVAGPAIGPIAGGFLSQSEGWKWIFWVLAIASGVITVGHIIFCHE TSAVVILNRKVKRLQKETGNMNLRSKLDRQISNTEVLKRAIVRPAKLTILSPISVLMS VSTAVVYGNLYLMLTTITAVFEVSYGWSTGISGLAYIGMGVGNVIGLLSFSITSDKYL QQKSAKGLQLKPEDRLPLMLLSGPAIAAGMFWYGWSAKAQTHWIVPILGSSLVGAGNM FFFMPMMGYLVDAYTIYAASALAANTVLRSIGGGLLPLAGQSMYKSLGYGWGNSLLGF IMIGFTPVVLFIYRYGEYIRTRWPLNLD TSTA_029210 MSSGKENVRRKVVCFSDFDGTIFMQDTGHVLFDNYGCGAERRRV LDEQIKTGERSFRDVSEEMWASLNVPFENGFEVLADTLEIDPDFRDFHTFCAANDIPF NVISAGLKPVLKKVLDTFLGEEHSARIEIVANEAEINEEGSEWKPIWRHDTEVGHDKA LSVNEARVQARHNCGEDEVPLIVFIGDGVSDLPAAREADVLFARKGLRLEEYCQEHNI PYIGFDTFADIKREIEAILAEDQEKTGGVGNPLRFNPRANMWRRVSSQQAVRLSAVVK CHIAKWIYRFLASSL TSTA_029220 MDDLSRSDQSLVRRFNFLDHTRYDIFSTATILGCTLFSHISKEQ EEHIDFYLNNFYYIQDWSLDAHQQTHAADVAWLNREIDTLAHSEPYRTVVVLTHHCPT IHLQASNPKHKDGNISPAFVADLSGESCWKRENVKLWAFGHIYVNCDFDKAGKRVVID QRGFYSALAEGFEFVCKEMLKIFSANFDSLGLRRSIYQLQTRI TSTA_029230 MRLSISTLSVAVIWQVTTAQLVGPVGPTTTLEEKNIECNILHYG AKSDNSTDISTALEETFTQCVLKQPGSRLIIPEGEYLLNRSVVLSNGTDWALQLDGVI TAAYGGNWTVDRELILQGFAGVDVLNSTINGEGDNKFLLDVLVIVNAVDFEFYSSNGR GAIQGQGYIYRNLNNTDRPRLVRLISPTNASVHDLILVDSPKFHIVLDFALNVEAYHI TVRGGNLGSYDGIDAIGTNYWIHDNEVTNRDECVSVKSPSHHALVENLVCNQAGSGVS IGSLNVSAEISNIVARNISIVQGNNIAFIKTYPGGSGYVTNVTFENFRSLTSLYGLSI NQYWQNKLTPDTGSVALSNILFRNFSGSVANGTLRPPLYLIANDLTFATNITVEDFSV WTETGTQVLNQISNVFGHGDDSYGPNDGIPSLNPGETPYAYTSTYTITASPTSWQAPT TPKWAVPSTGYGTASPIPVYTPAPLWKPGGVDYDLHYWGSF TSTA_029240 MPIITDYKLPSSVDALDLTAESNSPFFISFHASRDPNTGEPWCP DVRAALPPLKAAFSADAGPRVAFIEVGHRPEWRDTTNVYRTKWNVRNLPTLARYERVD GKVKEVGRLVEGEILDSKRLKEFINGSSASL TSTA_029250 MNHFPADQSILEIQKQRDVWRSRTENVAFFIARGDLHLGILVIS ASAFLLKYLLYLARRLWAKSEDDGEKSPAFVRFYTPPAYNIAQIAFATASFALSVAAL PHDASWKRSLLLGYATALYAGRFAGRSSIQSHIFRHANTIIVATLLLAVTQSFVPVFI VGATYRPIPLESALCGCLFATAIIPAISPRPQWTLYNEGESDEDLSFTHKASLEETCS LFSYYWSYEWITYLIIRGLRNELTIDDLPILPSYDAPIKWFRRMQRQRRPGSKTFFTL CRLLKKDIENMVFWAGLLAFGEFIAPSALMRLLGYLQDPTNAVIHPLVWITVLFFGPT LRTLCSQRYIFVATRLLVRVNMTLVQEIYHTAIRSHIYDSSVAERKVNHAGNAVDKEL SKGRQADITTLMSSDVHAIYNGREIFFAPVVVPITVIIAVVYLYRLLGWPSLFGVATL FLLSPLPTLASRRVSRIQRSVMQATDARISKITEYLGSIRTLKYFGWEPAMEKEVDVL RQVEQSRVWKRNLTAAVISLAGDLLPMMSLLVSFSAVVVFTNNSLDAPKAFTALSIME ILRTQCVWVSNIVRNASTSLESLRRLDRFFDSAVEVKRHPQGPPAFHNATFRRTPVAT FRLHDISVSFTESVLNVVTGPTGSGKTSLLLSLIGETMLESGVATCPRDVAYVPQTAW LQNDTVRENILFYSEFDRPRYDSVVSACGLLQDLEQLPDGDLTVVGERGTSLSGGQKQ RVSLARAIYSQATTLLLDDVFSALDTHTTAWVYDQCFRKGLLAGRTVILVTHLPSALE DAQTIVYIENGTITSVQTNKDSTLASSGTSTISNEITVVESLGAVTPQNNGEVDPKQK PVAEDSVENVPEQKISSRLVAEQSSSGRIPRNIAFEYMFGFGGFGFVILVILSTLAVQ VSYFSVTYWLSIWADAYDRSETIPNVSYYLSIYAGTILIYLTLQFGNVVIFQAGGWKA AQMMHRKLLHAILQAPVSWFDHNPVGRAMNRFGNDIRSMDTVLPEYLNKLIDNCLRFL FRIGSIATVMPIFIIPACIVCSIGIVIGEMYTRTQIQLKRLASINQSPIFIHFIDSIA GLSVIRARDGMDDKFFSLLSEKVARHSRALESQLNSNRWVAVRLDLCAATVTATVGLL AWKVGGDPGLVGFSLSHAVGLGQTILTLVRTMNDLEVELISFQRVKEYAEIQQEEQDQ AIGATTRERQPPAHWPNAGQVEFSNVTAKYYDGPNILQNINFTIHPGERVGIVGRTGS GKSTLGLTLLRFTELVSGRVAIDGIDISKIPLNRLRTSIALIPQDPVLFSGDVQSNLD PFGELGETELQTALSTCCTSIAVAASSSSITNASNGDGNRNNNNQPQSLRLDTPVAAN GENFSQGQRQVLGLARAVSRRAKVVLLDEATASVDKETDGHIQRLIRTEFPESTIIAI AHRLRTIVDYDRVIVMGGGQILEMGSPAELINLNGIFCDMLRKTGEYDELVQLIPNK TSTA_029260 MATEKNPISVDGGHSSSSASFNRASTSNDSLQSAHENKYRHDSE DDRVSQCTERGRHDHGQRDITREGENLDLEKNFPSLDQTPTNYSRNNNLNVLDTVRSH VSQQDMHVSDNAYRERNAEQYLRFSPARKIVIVAILSACSFLSPISSTTILPAMPEVA ETFNTTGSVINASNAVYIVAMGLSATFWGTFSQIYGRRPMSFWSSFLFFVFSFATALS PNLAAYFIFRALTAFQGTSFLVVGSASISDIYTPTERATALAWFLSGTLIGPAIGPFL GGVIVTFCSWRVIFWLQTALGGTAFLLVALFIPETIPHKTISDLTGLSPMEKTSAIWH RMNPLRVIILLFKYPNLFFAALASGSLVWNQYSLLTPIRYVLNPRFNLTTPIESGLFY LAPGCGYVVGTFFGGRYADYIVRKWIRKRDGVRVPEDRLKSCLFFLGVSIPGWVLIYG WTVDQKVGGIPVPVIALFLQGVSQTFVFPSLNTYCLDVMQSKGRSAEVVAGNYMFRYA LGALGTGVVLPGIGAMGVGWFNTISALFVGASGFFMWLTTIKGAAWRQRVDEKLAQAA AA TSTA_029270 MATKDEASAVPASSKSSWSSFLKSIASFNGDLSSLTAPPFILST TSLTEYSAYWCEHPALFVAPAREANPAKRALLVLKWFLSTLHQQYCSRSEKLGSEKKP LNPFLGELFLGKWIEDEEVGETRLISEQVSHHPPATAYSIVNEKHGIELQGYNAQKAS FSSTIYVKQLGHAYLSLTPPGKDVNNEADREYYLITLPSLHIESLIYGTPYVELEKTT KIASSTGYISKIDFSGKGWLSGKKNTFSAVLYKESDGEKNPLYTADGQWSSNFTIRDV RAKKDIETFTITSMKTTPLTVAPLEEQDIWETRRAWRDVAQAIERGDMEATSAAKTKI EVAQRELRKKEKEEGEEWERRFFKRVNENDDQIFMRLATMLDLTQGNGAGIESDRTGG VWRFDPSRAVDASPPYHKIGGEGLGL TSTA_029280 MSEVISRPSAPRGRGSARGGRGGYSSRGGRAGARSSKVEIADNS APTSYEDEGEIGQLKLKYATELPTLKELFSDWTDEDLVFALEDANGVLETAIEHISEG NASQWGEVKKKTTDRSRSKAKDVPKPQSTGTETVPSTSRGSRGRGGFEGRGGRARGDR GRGGRGGRAGGARDEKPAAPTEPIISSVPSEAPTTTEVQAAKPVESVEAVKEQQQEAP KAPEPAKKGWASLFAKPTPPPPQKKPPPAAAPPVAPPVQAPVETKPETIIPSVPAAVA TPEIPKDHAPEQPPVPLNEPAHEIPSTQSFEAPADDLTKTNLDQVPDVSVPPATATAA STVASVQDPNSLPPVTPQSQRPASGYAATAFKAAGPQGRSASFQRRVLEQQEAVVMPA NHAVDRAAVQFGSMGLNGNESVDVDEQPEEAETRAQPPQHSPVAPRASFPPPQSQPST ETPSVARPAPGLPPVPQTDATPFNDFGRYGEAQKPYDPFTQHPGQTQTQAQAQEPFSH QAPTQAAVTTGSEFSGFYAADQQRLPYNYYGYPSQDTTGQRAASGFATSGAEGQAQVP ANQTPSRYGHTEAPGSGQNTPNPTLPIASQTQQPSGQHIPGGQGAHANYGYGYPYYSN PHYAQSYMNMNQHQYGRNRPMYDDARRYEDHYMPHSNQYAYSNQYAAPYGGKGAMYGQ PFSYEHASSPANAGSFNQGLPGRDSVYGRTGSAQPENQQSTAGASTTFGSGVPDVFGR SQSGFGQNQPISQQQPVTSEETTKGYETSKTGGPSPSLAQANRPGSATSSIPGQSQSQ TGLPPLQGQQGQQGFGGYPHLNPQYSGGLGGLGSHQANVSQNHHQGSAYGNYGGAAGF GNYYGNSGRGGGWGGNYGH TSTA_029290 MKNNSALLRLKGWPKIHHPLPQTPRESQQLLSALTSSFRRQLDA ADTHKPAQSASEHLDAILENPLFRVVPSKPTYPTHESSQKRQQATEDALKLAKDPMRL LDDNVAAGTLTGRILKEVLRSQLALIKADSKDVQQEMKSTGAGARVAKWFWASDSASR KSFFKNVGASKASIMFMVAEGLHKELRALLRALYRADLGGGNGQIPRRIADKLFATFL LDYIEAEIQHGQGLPKVADSIPKDPESTTYRESLLKATVFHFARFMGQDVSAGIFKEV PSSLFDRYCNILDTLPGLRPYGLAMQLYHPTQPDSRPFQKYLRDVRTSPSPPRTEMGQ DLLLQTSLNALRLLIDQEKYRDATKFSRHVKNMLFEERVLHRQNDSFSPQMDQLMDRL TSAENGLSFRWDPL TSTA_029300 MSGTKIWLRAETKPQEARSALTPTTTKALLDAGYDVTVEKSSQS IFDDEEYAAVGARLVEEGSWVKDAPKDTYILGLKELPEDDFPLEHVHISFAHCYKKQA GWEKVLSRWPRGGGALLDLEFLTDEKGRRVAAFGYSAGYAGSALAIKTWAWQYTHGKK EPLPGEKPYASEGLLIASVKEILEAGKKKAGRSPKVLVIGALGRCGGGAVQFAKDVGI PDADIIKWDLEETKKGGPFKEIVEDADIFINCIYLSAKIPPFVNAETLSSPKRTLSVV CDVSADTTNPHNPIPIYNITTTFDKPTVPVTNIASVGNPDLPLDVISIDHLPSLLPRE SSEMFSTALLPSLLQLKDRENARVWKQAEELFEQHVATLPEELKKRE TSTA_029310 MGVLEKLSRKTGVIVGDDVLRLFQYAQEKNFAIPAINVTSSSTV VASLEAARDQNCPIILQMSQGGAAYFAGKGVANSNQEASIAGAIAGAHYIRSIAPSYG IPVVLHTDHCAKKLLPWLDGMLDEDERYFKQHGEPLFSSHMIDLSEEPVDWNIETTAK YLKRAAPMKQWLEMEIGITGGEEDGVNNEDVDNNSLYTQPEDILAIHNALSPISPYFS IAAGFGNVHGVYKPGNVRLHPELLSKHQKYVKEKLGSSSDKPVFFVFHGGSGSSKEEY KEAISYGVVKVNVDTDMQFAYLSGVRDYVLKKKDYLMTAVGNPDGADKPNKKFFDPRV WVREGEKTMSQRVKEALADFNTAGQL TSTA_029320 MPDKPGTVAAYAAGASLAAITDRKKGVVGLSNPANDCFINSVLQ ALAGLGQLRVYLIRELHRRELDGPDVYNQLPGPDEVAGKRGLPPDRLRELQQGTVTLA LKEMLDRLNERPIYKKTISARSFIQALEFAFRTRISRNQQDAQEFLQIVAERLCDEYH AAVKARQRAQRAAVAETEAPSIAEEAESSGKSGEVSVRIHDGSKHGLPAIIDDKLKEI DNGYGFPLEGQLESQIECQTCHYQYRPNRTSFVNLTLQVPQKSSATLSSCFDGLLKTE YIDDFRCDRCGLQHALEAKIVAKTKARSADEVEKLDAEIRQIQQALETDPEQALDGVD LPAAELAPKRRIARHMRITVFPKVVAIHLSRSIFDQSSSTKNAAKVSFPERLPLGGIL NRKWYKLLGIVCHKGSHNSGHYESFRRSHLYPPFSTPDAFRSYAASRTGSENPSVAGS PRLPAMKKSGYSPSGVEPSPLSISPVDSSLSLSSTNPNNTPSSTSTPSTAQTATTTTT TTSSSSSSSSSSSASSQETANPPRSLQNRQSLDVSSTERQNQDLPRRSSSVRLGTPKL NTTTSSSSSGGLSAQRLLRSRRKTADRWWRISDDKVKECKTSDVLGMQKEVYLLFYEM ETQSPTSMSSQV TSTA_029330 MAGPIYHTMALRDDGSLSPADQFLRLIQNPFKEAIQLNAFWVSL ATSLGISALLALLFSLFRPYHNAIYAPKVKHADQKHAPPPVGKGVFAWVPPVLSVKEQ DLADRIGLDAVIFLRCAKVMRNIFLVLSVIGCGILIAVNITQSNGSAVPGTSAFTLMT PLYILTDAVWAQVVCAYIFDIVIMFFLWKNYRHIVALRRRYFESSDYQMSLHARTLMI TSVPPNLRSEEGLMRLTDNVNPTSSLPRTTIGRNVKDLPSLIKKHDEAVRELESVLAK YLKNPDRLPINRPTMRGKLNGEKTTGKVDAIDYLTDRIQELEARIKDVRQSVDKRNPM PYGFASWEAIEHAHAVAYTARKKKPQGTIIRLAPRPHDIIWENLHLSPQTRRWRRMVN VFWITLLTVLWVAPNAMIAIFLSDLNNLGLVWPAFQTSLEQHPTTWAAVQGIAAPALT SLIYLILPIFFRRLMRRAGDLTKTSREQHVIHHLYFFFVFNNLIVFSLFSAAWTYVAA VINAKNNNESAWQAIKDGHFWSKALSALCQVSPFWVTWLLQRNLGAALDLVQLFTIVW QWFMKTFMAPTPRQSIEWTAPPPFDYASYYNYYLFYATVAFCFATLQPIILPVAALYF AIDCYFKRYLLLYVFVTKNESGGLFWRVIVNRLLFGSFLSNIVIALVAKAKGTWTMVY CLAPLPFILLGFKWYCSKSFDENMIYYNKAVLNDAEALGVSMGKGPKRAGDRLYSRFG HPALFKPLITPMVHARAAETLKQVYRGRLGNFDPSAEYSDIAMQSMSNSTPGKPTESA PFEVVAESQLDFSYFKNRADFRNEFGGGIYGRPEDLISERSQTPRTFMGDSPGSSRAS SPVSALNRKQPEGYDLGLQRPLERPLDSDHPAFRTPMSRIASNGSDPNGPTSLYRHSN ESESRLLHNAQLPAVGGEDTIHTMDRWRTRGYGPVAQDENNPTSYDYFRGKR TSTA_029340 MLELTDDTFKRKVSSVTGLALQPNTEHCQDLSKLGAKIIPHEPG RGFKQAVQTLKDAQIDAICLIPPAHRDKLEITLELIEVAKQAGVPNVCLLSSAGCDLA ERDRQPRLREFVDIEAQMMATKGDASTSTGHSPVIIRAGFSAENLLLYSKQAQEEGIL PLLIGKDHKFGPVALGVFAPHVMTGKGKHGFADKHRGQLMVVTGPMLATGDELATAAS KALDTNLKFEDISLFQAKKILKAESTSDEAELEYLLEYYSLVREGKTNYISTTAFHDV TGIHPQEPPEFFNVYAESFHPKHASKKRKVNGK TSTA_029350 MAKTVGVTNYDKDEMIKLVEELEKLGVPLATNQGDCRRQKRMSV VAVQLNFCICKGAVPVVGVGVSDDEQVRQNLQAEMMVIDDVSVEGTTTALWQRG TSTA_029360 MDVQHLTLDPEHVQPPTRDLPSGKSLPHNLPDAKEIKVHPRGTD GEENASLYFVGTATTILEWAGIRIMTDPNFLHAGDHVHLGPGVSSVRQTNPAVDLHDL PRIDLVLLSHYHGDHFDQTVEASLRRDLPIITTPHAKTHLTSKNPQDSFSNVHALDFF EEMIVDIQSSISKRPQIRIRGMPGKHVPTKTLQKVNDIVQAIPPTNGWMVELGHEAES DFKVGYRIYISGDTLLVDELKEIPKRYAGQNIDLMLIHLGGTTVPSPSLLPMAVMVTM DAKQGLQLIQLINPDLTIPIHYDDYDVFASPLGDFKAELERAGLGDRVVYLDRSDQFR FRVSD TSTA_029360 MQVTVSLGHEYPLTEVQPNFLHAGDHVHLGPGVSSVRQTNPAVD LHDLPRIDLVLLSHYHGDHFDQTVEASLRRDLPIITTPHAKTHLTSKNPQDSFSNVHA LDFFEEMIVDIQSSISKRPQIRIRGMPGKHVPTKTLQKVNDIVQAIPPTNGWMVELGH EAESDFKVGYRIYISGDTLLVDELKEIPKRYAGQNIDLMLIHLGGTTVPSPSLLPMAV MVTMDAKQGLQLIQLINPDLTIPIHYDDYDVFASPLGDFKAELERAGLGDRVVYLDRS DQFRFRVSD TSTA_029360 MQVTVSLGHEYPLTEVQPNFLHAGDHVHLGPGVSSVRQTNPAVD LHDLPRIDLVLLSHYHGDHFDQTVEASLRRDLPIITTPHAKTHLTSKNPQDSFSNVHA LDFFEEMIVDIQSSISKRPQIRIRGMPGKHVPTKTLQKVNDIVQAIPPTNGWMVELGH EAESDFKVGYRIYISGDTLLVDELKEIPKRYAGQNIDLMLIHLGGTTVPSPSLLPMAV MVTMDAKQGLQLIQLINPDLTIPIHYDDYDVFASPLGDFKAELERAGLGDRVVYLDRS DQFRFRVSD TSTA_029370 MLIQSLISATLVATGLLAEGVLAGGYHVPIPAKAQKRYENHYGA MLGKRASVAHTNSTKDFRYLTKKTKPYRVESLPDVNFDIGEMYSGLVPINSNDTSRQL FFIFQPTIGEPVDEVTIWLNGGPGCSSMEAFIQENGRFLWQPGTYLPTENPYSWVNLT NMLWVDQPIGTGFSVGTPTATSEEEIAQDFIKFFKNFQKLFGIKKYKIYVTGESYAGR YVSYISDAMINANDTDYYDLKGALIYDGVIGAFDSVQMQVPAYPLLAENNNMLNFNSE QLAELEKIHTSCGFDKYIDKYLTFPASGLQPPMKYTEECDVFDTALEYALAINPCWNI YEINQVCPLQWDILGFPTVLDYMPAGAPVYFQRKDVVKALHAPRNLTWTECSVNPVFV GSGGPQGEGDLSADPIQSVLPKVIEHTNRVLVSNGDYDFIIMTNGTLLSIQNMTWNGH LGFTKRPSTPINITLVDLEYADVFAENGMAGVDGGQGIMGIQHYERGLMYAETYQSGH MQPEYQPRSSYRHLEWVLGRTEEL TSTA_029380 MSFDPFNQNITMTSSDGSTMSIPLHYFDEFVQDGVVSCINYGSQ LGATIVIFVLLCLLTRSKKRSSIVFCLNVSALLLNMARLICQVLQYTGAWFETYAYFS GDISHVSRGDFANSILVQVMNALLEIVVEASLVTQTKVVTTNMSRWQKTAILAVSAAL AVVTIGFRMVQMVLYSMLIVENRPFDIYLWLQKVNTIFLTVTICYFSAVLICKLGYTV YRRRQLGVHQFGPIQVIFIMSCQTMVIPALFSILQFSSVSIHQINTDIFTFVVVSLPV TTLWASAALPGHAAAHDVESGVPLWTKLSTTRLGSMDSRQSRKKSASPSETPSGLNFQ GSELAKSVTIGSRLEESVSAQSKEI TSTA_029390 MAAVPQSTLTWLWNVLTKNHYDPRQTYRDPNRTYHDVARMLAQY PSFGPRTDVYTYENGTPSLLLHLVGTLPVSFRGNSYNIPIDTWIPSAYPLEAPIVYVT PTPDMVVRSGQHVTLEGRVYHHYLAHWHETWDRSSIVELFAVLRDIFSKEPPVKGRPQ SRPIQPETPRSAPPPVPPLPPELSTSPRPVETPQHQPAQPALPRPPQLPPKPGQTAPV HSPLSAVHTHGPAVPPLPPKAQKGQIQEWQAPINAAAAQLNRSGSLRVSQQVPVSPNY QREAYTASPVPLQTPAQQMPIPRQYSGPQSPQGYQQKYPPQTAVPYQGNRYQQPPPQS PTQSMTAPKPPKPETPDLLTSPFDVELPSITPTGPPPPVPPNPEKDALLHTLSRTLTE NLQGNVSQSKSAVASLQSQSQALSSAMMTLQGELATLNNFKATIESNISILQQSLHRA DAVIADAKARTSQTSDQPTTTANGLPPIDEVLVAPTVVGKQLYDLVADERGIQSAIYA LQAALVKGVIGADTWSRHTRSLAREAFIKRALIRKIALGMGLDVGEDTFRADS TSTA_029400 MADPLSILGVVYPIAHDLFTLASYMNKAYKGIRYAKQDLQKVIK RTEAVAETYEFFSDTMKDAKGIEGSSPILAQLVHSNKPLDSPSTFDSGSPSTSPVSNQ PSPIITPSPPLRHSGGDQAFVVEPQAGETSFSRRNVPRRRRTRSEPPQKLKLQMKEAL RKEYMSETEKKKAHTCKYHFLDHQNFVFTRVSDNVLRMIPTRPAVDMTSKKIVPGIME SPIHFGEDKKAPPYQYMATMVRWLLLIKRDSLAASRKGKNRADQELHSYACVDSKTGV PTWWQV TSTA_029420 MPPIRNKNRKNLDEQEGRILLAISDLQNGRIQRVAQAARIYEIP RTTLQDRLNGIQQRSLVRANSHKLTQYEEESLVKWVLDLDRRGLPPRHSLVREMANYI LSQHGKPQVGKNWITKLIKRRPEIDSKFARKYNYERAKCEDPKIIQEHFDRVQAAISE YGILPEDIFNFDETGFAMGLCATAKVITGSDRYAQPKLLQPGNREWVTAIEATNSTGW AVPSYVIFKAKKNVREGWFDDLPDDWRINISDNGWTTDQIGLEWLKTHFIPYINGRTV GKYRMLILDGHGSHLTPEFDHICTENNIIPVCMPPHSSHLLQPLDVGCFAVLKRHYGQ LVEQRMRLGFNHIDKMDFLTAFPQARTVAYKAQTIRNSFAATGLVPFNPDRVIQQLNI RLKTPTPPPSRSSNTASSCLQTPQNIRQFIRQSTTINKRINERTESNQNQEINQAVVR LSKAYEMIANDVLLVRKENYDLRAAHEKEKQKRQKSKKQISIEQGVTKEEVQALVQGQ VEASHAVTTTPAEPELPASQAVVRRQYRCSELTNALVVLVVRFLYIIFTSAKSFMPCC DWVDGNITAVLSPNLSVDLRYSRFTCPIIVYKGAGLRGLKISDRAEFVLPILLVTSCA KIQRIGVPLRGFVVPYILRFTGIQGYSDSRSTMDKESDTIQGPPKPAIEYVDFEGPDD PLHPFNWPIGKRRLISAILSLSTMFVAGTSSIFSSAIPSIMAIHGISREVGTLGVSLY VLGFALGPMIWASFSELKGRYMPFTISMFGFSVFCFATARSTHDPASIFICRFFAGLF GAGPLTLAGAVFSDMYPPRERNIMMVMFSLTVFIGPMVSQPIGGFIVMNHSLGWVWTE YLPGILGSAALVAVVIFQEETYWPVLLARKADRLRRETGDWSIIAKHDTIILEPKVIV REYLLLPLRMLTSDPIVLFMCIFGAFVYGLLYLFLTAYPAVFQGVHGMTPGVAGLPFL AIIVGQFIFLIIMGLVQKRWLVPRIIANQGQLEPEWMLPIAIPGAASFSAALFWFGWS GYKRSVHWMVPTASGLFSGFGLLAMFVPSISYLILARPQRAASAVAAHTFIRSLFGAT FPLFATYMYENLGIEWASTLLGCLAALMIPIPVLLYIYGAKIRQKVQIEY TSTA_029430 MSLKGLGKSFARAPQTFKARFNIGENTKDPVYIDAERRFQELEK ETKKLHDDSKKYFEAINGMLNHQIEFSKAMTELYKPISGRASDPSTYTIEGNPEGIQA CEEYEAIVRDLQETLAPELEMIETRVISPANELLDIIKIVRKVTVKREHKKLDYDRHR ATLKKLEEKKDKSLKDEKALYKAENDVEQATQEYNHYNDLLKEELPKLFQLEAEFIGP LFQSFYYMQLNVFYTLHEKMQGVNIGYFDLTRDIEEAYEAKKGDAKERAEELSIVHFK TTGGRRSTASKFSSAKDKLSENRLSSSSARRNSTTEKPPPPYSPTGAAGTTLIGRANS TGGSVLAAAAKSKPAPPPPKPKPGYLAKPNVETVTALYDYEAQAHGDLSFSAGDVIEI VQRTNNENEWWTGRLHGREGQFPGNYVKLN TSTA_029440 MSHMACNALRQASRANCPRRLSANFLRVTSPLAGRMVTGRRYVS ESKVGNAQVSVDTAIRTEQRKFTENTGIQAQNAELSASSVSGDAAMSPTAGIFKQATI MDEGNRPIYLDMQATTPTDPRVLDAMLPFLTGLYGNPHSRTHAYGWETEKAVEDARAH VASLIGADPKEIIFTSGATESNNMSIKGVARFFGRSGKKKHIITTQTEHKCVLDSCRH LQDEGFDVTYLPVQNNGLIKIEDLKAAIRPDTAIVSVMTVNNEIGVIQPVEEIGKICR ANKVFFHTDAAQAVGKIPIDVNKWNVDLMSISGHKIYGPKGIGACYVRRRPRVRLDPL ITGGGQERGLRSGTLAPHLVVGLGEACRIAKADMDYDTAHVKKLSKRLLDGLLAMEHT NQNGDPKRHYPGCVNVSFAYIEGESLLMALKDIALSSGSACTSASLEPSYVLRALGNS DENAHSSIRFGIGRFTTEAEIDYVLKAVTDRVSFLRELSPLWELVQEGIALDTIEWSQ H TSTA_029450 MLAPIPVLSDYDIDPQRGFLPPELPLTSLPDPYYAKWETVIDKV QALILSGRIRSTVQRLPVLSTAYLHTEAEWQRAYVVLTFMLHAYVWGGDVPEEIIPPP ISVPLLEVCEHLDLPPVATYAAVCLWNYKPIFPDEPADDLENLSVINTLTGSTDEQWF YLVSVAIEARGAPMLPLMLEAIAAARREDSAVVTSCLQELAQCITEISVIMQRLFEHC DPHVFYHKVRPFLAGSKNMGDAGLPRGVMFDTDTGTTEYRQYGGGSNAQSSLVQFFDI VLGIEHRPTGIGRDGGGAQSDSEGLSPKPRHNFIQEMRQYMPPAHRKFLEHIGSVANI REYVDAHRSDKALCLAYDASLAMLRDLRDKHIQVVSRYIIVKSREQRRNSLSNPRPPT QLAPPPPPTTTRINLANVKSGNNKKLRGTGGTALIPFLKQARDETGEPAIDAWARRLL SNGPADRSFATLGKVGEHADGQIEIVGMAGTWAMDESEGGICHW TSTA_029460 MASNDSGSSTIKSYVDSAVGTAQNVLSSVTGKPADKQEATAQKA QADQEYEKSHTTARLGPFTADPNTGAAVSDSQDRTSGSWNQTVGAAKESLGNLIGNEN LRQTGIQQNADGKAQEARGQLSDLGQGVTDRARGAMGAVGSAVLGDQEGQEKWKDVHD EGKTRQRGVEADLQKAEQR TSTA_029470 MSTIKSLPSTIRALLQADPTSTEITLVERPLPTPNLEKGEHLIR VYAASPCAGELRWPSMVDIPGKEIITCDDVAGVVVTAPTDSPFQGGEEVYARTSYWRP GCARDYAIATTNELARRPQNLSWAETAAVALSAETAWQALFKHAGVGNFGSLNWKGKR ILVTGASGCAGMWVVQIAALTGAEVVGTCGPNNIEFVRSLGAKEALNYRTCNFQEWAE EKAERKVDVVIDCVGGKSLEGAWWCIRDNGIIMSISEPPETKRPASLAASGVKDLFFI MEPSGADLAEVTKLIEEGKCRPIVDSVWPLEHFQQGYQRMENGQTRGKVIFDLMMNTP KPNGTKFSPTQEISHPSSFALIGTL TSTA_029480 MESENMATLQPMNKCRSRHTSLQDLTAISRTNQDDEISQDHFSL SSTIPHSQDMDQWTLSTSDSMESSPIVTQPETPEVTMLSYSFSQQLLPNATGINDSAM FHSLSDIQAAGGLGEQTEVDYSQPHFAQAFASSLFEYNYENDEAAQRCMHDDSTSLGQ SSPHEDGQLFSTQDTWNLSLDTTNIIGAQYDQISRIYSPPVSPPLTEASQVSVTSACS QPAYFQMHEEKINIQDSFHPLSPPLNDQDPNRTIRPTKQSQRPLLSATTSRPARKSDP EAYALPMGESVRGTSDKTEVRTPRDHPYYSLSTQADGKYYCPFATGENPCSHAPTTQK CAYHKYLDSHLKPYRCKVATCAAQNLHFSSNACLFRHEREAHGFHGHGDNPHLCFYPG CERAVPGYGFPRRWNLFDHMKRVHDYTGSEQPSSPESNAIGQVLKKKDPAGVRKRKVT KPVTATTMKRTKSASSQGNTVKVNPAQQEQRLRNAEQNYYNCRARLLEKIATITPQDT VMHEKANASLQELITLGLNFRQLEASSAATNYSGV TSTA_029490 MPLIILTGYPSSGLSYRAKQLAHLLEQTQTQLFDALDNETTDGQ AKPKQKRFTFHIVPSHDPGHPRTVYDNARTEKEARAVAYARVKRALAKDAFVILDGMN YIKGYRYQLWCEAKAVGTTCCVVHVGTPIDKCVAINNSRLNRQQRQVNLPDASSNTDD LAEPDTEDPYPSDLHQNLIFRYEEPSTHSRWDKPLFTVPWTDARPPIEEIWTATTGIE IEKNIPPDSTPINPLASLLHTDPNTPSPPSTAQQDTASIAYTIRTTATTNANGSNRLG RPRIKPHQATVLPTQTDSSALYTYEKKTSAIITAIRNYTSSNPSVEAALARSAQIGGV KRADEEGISITVPDSSIAVFIPAHIVRSAPTDDLAGAGGLLTLPRLQRLRRQWISQNR TYIGMTHNQVKGALGSDQVGDAFVRFLNFEFAGDTTE TSTA_029500 MSATLRFPFQASLDGSSLVETDGCLYYGLDISHGIVAAELPAQG LLTPDSSSSESDPEPGLGLGLNLDGDGCEKRGQNQQLDTNGMSHPPRWDSLRDTQAEE SFTPRPAPIPMHPHRIYTSDQQRFAREHEMTATEVEEEENNMICESPSTSTLTNPLEE TLTLTETERTIRLPVPLTNQLPRYYATPLIKDDPLSTPVAIYGLEKPSDHIPKKKAPA VLRQRNSNPSAAQARPSSRHLHPPSPLSSTVSLNDRVEEQRRPSTSSTRRLSMFLGRT SNPNGLPSTGVSRPAIGSISSSGSSATTSTMRSAVTTASEASTASSIPTPTFTQSPTT LDGNQKPASPSPLDHNTLRRKTTPINPNEPTPISRQTSRRNTIFNFSKPKILPMMYHR SGIQSSDNLSTIRAVTNDQTIFAIFAIVVETDTFFAG TSTA_029510 MNNSRYNLRSSAQQPVAPSFYPSSTTGGLSSSSLLSTQPSFQAQ QQQPSQYIEQQKQPFMTETTQPQLPTADMIPTHYQQGQGQGQINLGGPAATAPFLQDF TLVAEAVKRVQMDAVMTEMESITL TSTA_029520 MYNHDDDEIGADTLFQDPEGFYPEDKQPTFSEHQMLSGQIIRVR LVGSHPLYGNMLWNAGRVSAEYLEENAPRLVANKDVLEIGAAAGVPSIIAAIKGARTV VMTDYSDPDLVDNMRRNAEAASSMIPPGSKLHVAGYKWGADTEEIMSFLPVDTAKKAF DTLIMADVVYSHREHPNLIKTMQETLKRSRDAVALVIFTPYQPWLLPKTEKFFPLAEQ NGFVVTPVFEKIMDKALFEDDPGDETLRRTVYGYEIRWADGSL TSTA_029530 MYPNGYHTPPPYPPPTWGGGYPPPHGQYAPPPGPPPMLGGYPGR PATYPPPPGRPHSLPPQHTRVHTLPPTSAPVLPPRPSPRPSQRPSSISNPILPYQNYP PPYTSLPPTPPQTPQSFGHGAPSSYQFQYSNCSGKRKALLIGINYFGQANQLKGCIND VTQMSIFLNKVYGYRREDMVILTDDQSNPLSQPTKANIIRAMYWLVKDAMPNDSLFIH FSGHGGRTPDLDGDEDDGFDDVIYPVDYKSAGHIVDDEMHDIMVKPLRPGVRLTAIFD SCHSGTALDLPFIYSTQGVLKEPNLAKEAALDLFSAFTAYGKGDLGGVAQTAIGFFKK ATIGDSARERRMMTKTSPADVVMFSGSKDTQTSADTFQGGQARGALSWAFIETLRQYP KQSYLQLLNNIRAKLERDYSQKPQLSCSHPLGMFPALPYSYVYTDA TSTA_029530 MYPNGYHTPPPYPPPTWGGGYPPPHGQYAPPPGPPPMLGGYPGR PATYPPPPGRPHSLPPQHTRVHTLPPTSAPVLPPRPSPRPSQRPSSISNPILPYQNYP PPYTSLPPTPPQTPQSFGHGAPSSYQFQYSNCSGKRKALLIGINYFGQANQLKGCIND VTQMSIFLNKVYGYRREDMVILTDDQSNPLSQPTKANIIRAMYWLVKDAMPNDSLFIH FSGHGGRTPDLDGDEDDGFDDVIYPVDYKSAGHIVDDEMHDIMVKPLRPGVRLTAIFD SCHSGTALDLPFIYSTQGVLKEPNLAKEAALDLFSAFTAYGKGDLGGVAQTAIGFFKK ATIGDSARERRMMTKTSPADVVMFSGSKDTQTS TSTA_029540 MTGSDTFFDALSARRSIYAISATSPIPDSRITEIVEKTIKNTPS TYNVQSARAVVLLKDEHRKLWDYAEQFVKANLPDAVYQMLAPRIALHKGGYGSVLFFE DEADLTAIAAQHANVAPFINEWSDTSSGMHQLAVWTALTAEGLGASLQHYNFLPVFSD KVREEWNLPKDWKLKAQLVFGTPTGGPMEKTSKPLEDRVKVFGQ TSTA_029550 MRVCTREPKLHSLPYDIFYQVVEDLDLSDFNNLSRVNRSLYNLL QNDQLAKRTIKKYARYSKEAQWAIAGNISFRQAIGRIHDMQQAVAMAEPYSVAVLAYA ATFIYRDGVLSYVIDNEIRVLNVHRADSTEQVVDVHNMLRRIIPAYRQHQVANRSVQI SFLHYSSGVLAFLVEFEADRHAWLILLDVTPGCSRRLKFMTQLECTRRLFVRHNGTHL YYGVHSFVNASGELLWVIQWVDLTRNTSTRDVPPTILDKLAGTEIGHNVCFEIFDNHL YAVSNIIDSEEEALNWRSFYEWVCIPPARNLRRARMNRIWRRDHLEGPINDTWTEMSL RMDEKTGRPMILECRREWREGRSDHVRTYYAEPLPPFPELAATGEIQGEAEHTTPRIT PQPPSSMTDSPFESGIAPSNITLPTPLPLNGPSAEDLARHKRIGKHVHSEYTCNCSTR KEFILAKTKYRTYNLSACAFVDLVNDPEPNNRVGAATDRLRLRINSRKRKYTSSGEDH DHQHVYKRSKLDDSTAQISSTTNEKEDVIADHVRLWPPDNADEELIRLLCPTRKATNI HAIADERSLIYSVDTDEPQKQAIILINFDPQFRLPCMHNRSQEFIGKQSSSESAPVGI GLIGPEDTKKSSRRQRCSILSPCSSSYSANSNNGKSSVRTEAAMHLSIDKGYWFDIKR W TSTA_029560 MRPTVFSFYFLLSAVFASAAIEKRDSASPTITSDSSYATISAIL GSLASAVSSATPAAGVTPVPAQTANLSNIAEPPAGFIPAIMTAIPLSVLGDLIDSSSR SSLASEFRNGSTPAWYQSLPASIKSYISVVNSQINAGALSANTSAPVVATSTSTAMAM HRVVVPTEVAMGANLLGVLGVAGLAMAL TSTA_029570 MFLLRMLRFFIFLPLLTSTVTLAQSTGTSTGLTISPSALSDIEN LITGAKTLLSPDSIGNIETTLTGAATLLSGTTANDTKTLLTEVSALLSPDLVLAVSKL VTADTVNKLGDIVDNAHTLLSAEFVNQTTTLINDVTPLVDDLSKVLGGLLSALLG TSTA_029580 MIVIQHAVRNMTLPDYSAQSNSDFVRLTGSLDSHSETEILQSLD KLQQAPKILQEGILLTGGAVAILLQAAAHGMARTASKTGTDGQVKTLAEQLYQSIHLT AVYLYGLTFGTHQQRKQILNRIYAFQAQQQQQQRRISHAARNGISHLSPYPQDPRLRL WIAATLYATGTEVYQRIVHELSFKEAELAFNEFSVLFNLILNLPKGLWPTNRAAFWAY WDEYVEKLDVDTRARPVVRDLERIHNVPSWVRLSMPFMKNITPEMLPPHVREQYGFQS SPMSRFMYRASMGISRAIYAVLPRVIRSIPKRRVMKEVKDMLREPQSVAQP TSTA_029590 MSDIEKPGEEPPVATGAGAANGTAAAQMKSSPTFLDKLSQFTIG RNRGLLPSEKDAATESDENATPPPPLTFWQKVKLHFRRYWVCHLIALIILLAILLPCL FLLIIPAIAQDLLSSGKIVIDSASILQPTNNSVILSIDSHIYVPGPFTVHTDAEHLQL YVPQVGSDYPMALLNLPATKIHKNTSIGEHGQYTVFENYTSWQQFVHNTIFLDKGGLG LKGKVGTRLGKIKKFTLDLDKTIPSNALNQFKGFSIDSASLVLPAESDGTNLIANATL PNQSVLTLEIGNTTVDIKAGSLTIGKGMIENLYLKPGNNSVQIRGTADLKTILANLGS LIAQQGQYIKNGYLSLTTQVTNISYNGSTIRYYTEEMGKLPLTAQTPVLGLLINSLSG FLHGGTANTTNLTSIIANYTNNRLSHESSSDILNNATHLEMVTRHHLERLVKL TSTA_029600 MPIQDKSPNSVPRKKAYKSSRRNRVPLSCEPCRARKPCHNCTVR DERASCKYESPKNISATELQHHPSKRGNSMQQRINHLEDLVKNLISQHQQAPVSTGGA GTGSSMETSVFKASDSTHSPSKKVIDGAYSVYKGGDDWYDVLQEINNLKQVWNESQGD QPGYNAAPTLPNMADGSSLLFGQVQRIDKIELLTTLPPKHQVDKLIHHFFDRENFPIP IVPILHEPTFMREYAEHWKDPTKTSVIWLGLLFSILSINMLAYQQFGEPLDYEGRSES LFQLYRLRTAQCLIVGDIAKCLPYTIETLRLNATAELNRKDDNSRGLWIMTAVLVRAA INMGYHRDPSQLPSIPPLQAEYRRRVWIAVSEMDDMASFVAGFPRMISSVYADTVEPR NVHDWELSDDLTVLPPSRPMTEATAVSYLIFKTRLFQALGRITDFNNTPTGGSYEQVL DIDSNLSRLYNDLPEELRLHSERWGCTASGKKATGSNMQLELLYHQGMCQLHRRFITK GRTNPQYNHSRDRCISSALAILEFQQFMEPFWYDFSRARKVLTLSAMILFLELECRRR TPDLNSVSSSNSSDDILQALNTCCVLWEKAQSVCDDPQKIFKILSGMLSSFQASATSS SLSQPETTSPLFEFPGMMNLSLQHGHDTFSEDKDWLSVSNEMIEMNIDWASWDAFIEG AIFENRD TSTA_029610 MDSTDPAEGPDIVFRSENEAEAYRAKWLWSLTQFREAFNDWRNV DENQTQDWWLASTAIPLAAATTAPLANLMSVVALVTSWRNKVHPHELDSQGRPRQTAV PDPTWSIALNASSLVFGVLGNLFLLFNFTRKMRYIVALPASMCLWFLATGLLVGISIS LEIYAPPIPPDQVFSQGYWSAVIAATLYFILAVMLMINLLGYMLDHYPGHFALTDDQR TLILQTTSFMVWLAVGAAVYQKLVDITFADALYFSDVTVLTLGYGDITTGNDVARGLI LPYAVIGIIILALIVASISRFAREVTNANVVKAHLHRRRAQVLQRSNAINEEYERFAQ EPSEQNEAQKDKGRQRTPLRDMVSNLRSNRSRTLVMREEKERFDAMRAIQDETLRFRR WMSLFMSLISFAVVWCGGAAVFSALEGITYFNGLYFGFCSLLTIGYGDITPQSNGGRP FFIVWSLIAIPTMTILISKMSDTILAVVNTATNFVAEFTLLPEQGRYTRFISQFRIVR EYLERRAEAERIERGFPIGVQDPENVDEAATKKRGVNDYERAEPPPRTFQQLEKDRRS PPRLARDLIFAIRRVTQHVVAGEIKRYSYEEWVEFTQLIRFTNPNTGKSPDIALDENE WGLIEWDWMGENSPMMAEQTEPEWVLNRLTESLLRYMASIESQHEGEDKPHSLSFRGK DEDKDNGGDPRAVSSSDSRNRRTASAPTNI TSTA_029620 MMFKLQKLTTLLAALPLLTTAFPATQATEKTPLPLIIWHGLGDS YRADGLKEVAQLAEEINPGTYVHIIHLDESDNGDREATFIGNLTEQIAQVCEQLASEP ILSTAPAVNALGFSQGGQFLRAFVQRCNFPKVRNLVTLGSQHNGIYKFQSCQSSGDWV CRSAEALLRFGRWSDFVQSRLVPAQYFRDVNEYDSYLEHSNFLADINNERELKKTLYK SNLMSLNRFGMFMFSDDTTAIPKESAWFAEVNQTNGEITTLRERPIYKEDWLGLRSLD EQGKLDFEIIPGPHMALSEKVLTEIFTEYFGPVEVSDETSTLPQLMVAQGT TSTA_029620 MMFKLQKLTTLLAALPLLTTAFPATQATEKTPLPLIIWHGLGDS YRADGLKEVAQLAEEINPGTYVHIIHLDESDNGDREATFIGNLTEQIAQVCEQLASEP ILSTAPAVNALGFSQGGQFLRAFVQRCNFPKVRNLVTLGSQHNGIYKFQSCQSSGDWV CRSAEALLRFGRWSDFVQSRLVPAQYFRDVNEYDSYLEHSNFLADINNERELKKTLYK SNLMSLNRFGMFMFSDDTTAIPKESAWFAEVNQTNGEITTLRERPIYKEDWLGLRSLD EQGKLDFEIIPGPHMALSEKVLTEIFTEYFGPVEVSDETSTLPQLMVAQGT TSTA_029630 MAGKQDHQELALLGTNTPIHEKPTEESTDTSKDVLDDHEYPELL SLMAIMTALYLAIFLVALDRTIIATAIPRITDDFHALDDIAWYGSAYLLTSCSFQLLY GRIYTFYSPKWVFLCAIILFEIGSAVCGGAPTSTAFIVGRAIAGLGSCGIFSGCIVII TDAVPLQKRPMLTGLMGSLFGIASVVAPLIGGAFTEKVTWRWCFYINLPIGALTILVI LFILKASPPPNPSPAHTLKERLHQLDPFGTGTFLPGMICLLLALTWGGVTYPWNNGRI IALFVLSGVLLIAFVLIQVWEGETASVPPRLVKKRTMSAGFFFTFCVGGTMLLVVYYL PVWFQAIKGANAVKSGIMNIPLVLSLVVGTILAGAAVTNIGYFTPFMIAGSVVMAIGA GLLTTFTTNTGHSKWIGYQVIFGLGIGIGMQQGSVAAQTILERKDVPTGASIMMLAQS LGGSIFLAVGQTVFSNGLSSHLQSYVQGPEAANIISMVTQAGATGFRRLSGLSPEDLS AILSAYNKAIVDTFYVCVGLASVSIIGSLATEWVSVKKQGDNKEPAEKKGQEVS TSTA_029640 MDVEICLKFSDNWEVSLNVCFFVLAHCIGLISGIPHVFTGRADW AAGHSGRGFSDSVLVCVEAKKKETFGNAELQLTAYLAICYHERKKANKSVPSVQGFST DGRRYTFQLLTSDGTLYSSKTYNTVDEKDLEIVYNFIVDQVQTAINLSPTSTPVKGSH TEKAEAAKTYAQDTFWGIFEPPPYCSDGEEEERSELDLDTFALRERGMLK TSTA_029650 MTQLQDAEDVLPDDGTENSAAPRIQTDEEFSSGFLFGGGSSRQT LTHFHPNTIQIFQLWNTFLDGVNPLTKIIHVPTLQQQILDAASDLSSLSPEMEALMFS IYSSALLCMHVDEVQKYFEDSKSALLTRYRQCAQQALVNAGILGTSELKVLQAFLLFI ISVRLVYNPHILWSLTGICVRIAQRIGLHKDGSKLGLSVFETEMRRRIWWNLTVVDAT IGHMAGCESHMLLLADTKLPSNINDSALDPGMKDIPDESSGPTEMFFCLMSYELGAWL AKQAENKTSSFDGFWEFLSSTTISVDQKDAIIDELEQIFERKYINHCDSSIPLHFVTF VVAKSAVTSSRLRAHHPRQYQEKGQPLLPTERDLLFNLCFRLLEYGDLIFRSPKVQKF HWHLDFHFPWEALIVMLLVLRHRNVGQEVARAWQLIDALFKRQTKIMTQRQRSPLHLA VANLAIKAWSAHVTESEYSRIDPLPQPEVIDLLWRYTHQGSTSTSFSNSTLFSTRPLP RDPSISTEPTIEGPLTTSEHVTSPSQGLAAGKSHLTADCDNSTQNTYNLNTGLPIRTD GTAIDSTMEMDSNPFDDSPLNWNQWDALLHEFQDYSTGDMDMLFPLQPT TSTA_029660 MPDSPNGNSTALKAHACVLCQRRKVKCNRREPCASCAKARVDCE YRAPLPPRRRKRKDPESALAARIQHYEYALRKAGIDLSSVEPDRPQKLNSINTVESES SQGTPRPRGNPSIFSDATPGNTSRPGSGRLVTRKGRSLYLDNHLWKSVSHEV TSTA_029670 MRRLFSSPKKTSEGRHSSKERARKFGGLKRSKSSKVIVEDEERT LSSSYWDDLPVEIQISIFVECSVYDFLPLKLVCRGFYEVLTRHELVIAQEYLRRRRHD TLPSTIDHERTYTMNPEDDVVLLSDLFPPTKSARGGYLYTFRYLHTLRRRQDTCARLS YYLANRVMDRFFDSNQTFVKGMSASKSERDLMFEHGIAHLKFNFIPLTDLRFRTKGTF ESFIKRLRSGKAPRTHPSERQTEFVDPTWIGGLLTASGLGRVVEYFSAEIGDGVKIRT RRRDFMINFEKDIDRYVREEMHPYVYVLPPSGQPHYPTVHEVWLDAAIRELRSRDAIP HDPGKLTIWNGAPMLMACDNCLAAEGWTIA TSTA_029680 MWFESVYCGREESFVELVARFEGTVREDKSKRAYATFGGNNADN NNNNSNTNPEQAKHEATRTYLNAKADKNGYFIKIPTGIPGDPDIYYRLLKALHGLKQS PLLWQREFTVTIIELGFKQISEELCLFKNEDGIILFFHIGDVVTLFLPNQEHLTKALW DSLMKKYPARSMGELAWFHRMRAEVHPRAPFRFGGLGHILTRWPASGVWRH TSTA_029690 MNGLTIKIHSEVWIYINPDFEMPANGAAEPSATEAADKTKAYGK RLLEPEMPVQGAEETEATFSLRQTKRSTRMHSSVENEVDVREILVKLKKRHMPDMEKE QNAIFDQLMELKAGPSNQRQINAWIKSWITLYNRAEEYKVQDWRR TSTA_029700 MGIATPIQLFNTSWSAHRLSPLHHSKDHRVLIGNQDALKTYAKR LRDVLTGDFLRSMQVTLTKNLADDAFSKAGSLVDCRWEFISTNDHVDANEEDEDDEPS IEMRDCMGIFITLDYENIAYRAALLTSPDGYSPASTDKRWKQSTCLPLLLTRMPTTLR NTFIEFLTTNFDAYCSTLHLPPEYLCMVLEATITYLARSESSWQASSAILEQVLKDTQ LTLSFGQSIAPALKSLDVSLPRETLSTFARGPRNANTSYFSTSLSQYMDQHLAMKVNL GNATAEELGNGSRSAQHLWLSKVATGTFVLTSEGRFKLIDTSNSQDYAEEQTEVQRLI RSANGQILRSLVRRAVGDDVTTPRNFRVTTSKGSTGLENSRGCSLAGASRDPHNLTQP GEPQTQLLFAATGNRNVWQAYKTRNAI TSTA_029710 MSKGQPSQPEDSSSLRDRIVNILGHDSPSTGPSPGPNHWPESTC NHARGSSGNHKNNGPNERSGLLEDYDRRHSVCGLRECNHGTFSPNPEEDEPSSLAGSY FWGTRTPRFMTSGLQTPVTDGTSVTNRLLAEMSLKNRRKMYALTTVPGARRLSFITDD PSRYLSYYVPFVNWVAQYRWDFLRGDLVAALTISSVYIPMALSLSANLAHAPPINGLY SFIVQPLLYAILGSSPQLIVGPEAAGSLLVGTVVKATVESGHSSESDALLHAQIVGLV TCLSGAFILIAGLARLGFLDNVLSRPFLRGFITAIGFVIMVDQLIPEMGLMEMARSVN HASTAEKLTFIIENGKYAHKLTTIVAFSSFAIIMVFRILKNKLARRLPQVVYFPDRLI VVILSAVLTWYLEWDKKGLEVLGNVRPDGASGSGLFQFHWPFLPSRMVHIRSSLSTSF VIALLGFFESSVAAKGLGDGANDGIKGAPVSANREMVALGMANVTGGLFTALPAFGGY GRSKFNSSAGGRTQMSGIFLSLITLVVVVFFLDYLYYLPKAVLCAMISVVAYSLIEEC PHDLRFFIQVRGWSELILMILIFLSTIFYSLTMGIALGCGLSLLRVIRHATKPRIQIL GKVSGTPNQFENAELYPEKVEFIEGCLIVKIPEPLTFANTGDLKSRLRRLELYGTSRA HPALPRVRPAGSDKNVIFDVHGVTSIDASGTQVLLEIVENYVNRGVRVFFCRLPSLHG SVFQLFERSGIVEKCGGLTHFVPNVDEALRLTEIEDLQEAFA TSTA_029720 MSLTNCRFYEEKYPEVDSFVMVNVKQIAEMGAYVKLLEYDNIDG MILLSELSRRRIRSIQKLIRIGRNEVVVVLRVDKEKGYIDLSKRRVSPEDVVKCEERY NKSKLVHSIMRHVAEKTKTPIEELYQNIGWPLNRNFGHAYDAFKLSITNPDVWNDVTF TNQIIKDELQSYISKKLTPAPTKVRADVEVTCFGYDGIDAVKDALRAAEEKNTPDTQI KVKLVSPPLYVLTCQTLDKALGIKLLEEAIQSIETKIKAASGGLVVKMAPKAVTEHDD AKLQELMDKRERENMEVSGDESQSESDEGVPE TSTA_029720 MSLTNCRFYEEKYPEVDSFVMVNVKQIAEMGAYVKLLEYDNIDG MILLSELSRRRIRSIQKLIRIGRNEVVVVLRVDKEKGYIDLSKRRVSPEDVVKCEERY NKSKLVHSIMRHVAEKTKTPIEELYQNIGWPLNRNFGHAYDAFKLSITNPDVWNDVTF TNQIIKDELQSYISKKLTPAPTKVRADVEVTCFGYDGIDAVKDALRAAEEKNTPDTQI KVKLVSPPLYVLTCQTLDKALGIKLLEEAIQSIETKIKAASGGLVVKMAPKAVTEHDD AKLQELMDKRERENMEVSGDESQSESDEGVPE TSTA_029730 MGFWSSLFENRANALNRLHAERRPLLPAYTADEITPALPAKEVT KIALRLKYQIEQVIPYELEQSVITNPNSNVITKSVIHTARQAGGEEYRACILFCLLVC QKWFHTEGLHALWDSPLCDCRALACQVIAKKIIETEGDQEWLHKEALLKRYSILRKGE VTPPVNVVERAVDMHALYVIGSSGYQKCLQGLWRGWLVQDEREPSQFVDYKNKINTSY WAHFDPDRMRVPLYQNALLIFFSLLYLALYTNVINTVNPDGDIDVAEGILYTMTLSYL CDEFAKIIKIGKYYIGFWNVFNFTLFSLLAVSFILRMAALAHSTDVDDAQRRHLNQMS YNFLAFTAPMFWMRLLLFLDTFRFFGAMLVVLKVMMKESLIFFALLFVVLVGFFQGFI GLNNTDLAVPVTSKILKGMANSIMQSPDFDAFEDFAPPFGILLYYVFNFIVMIVLLNI LIALYNSSYEDISGNADDEYMALFSARTLQYVRAPDENVFIPPFNLIEILFLILPFEW WLSTKSYERLNDIVMSIIYFPLLLVTSYLETRDARWIRWNRRHGEADDTIRQEWEDLA HEVGFDGLDESDEWVVTVKRTKPNVDVTAVELGIRELKEQVRQLTEAVKALMEEKEKS VLEGSQ TSTA_029740 MARRVLGGGRVLGSGKNLSPATASSTSSPQPKGRQSPSPSSVSL NSQASASQYAPDLQDLTSRISHYNGETSISAAPATTGAQLACPICNEEMVTLLQLNRH LDDAHQNLEEVRQDEVKDWFKAQMDKAKRFQPLAVLNQKLKGLDVFESNENAQPPSIA ITRQVTGPVEVNLPDPDEVITREHWQPRGLYDVCCEPSCGKRLTSTTGCVNCRKCGEL YCEEHTMYQMKLSRSAQHEPIRGLWCRVCETCYKSREGYNDHNGLIRDHTEQFSQLRR PTIDKAALEVSRLEKRLTRLTQALASLPVDQIQSGASKRWPLGRQGDQRREIEQSIVS WQDDASVPQCPFCQQEFTSYTFRRHHCRTCGRVVCADQSTACSVEVGLAVLSQRQSPS EKAVPSKINVDIRLCKECRATLFSRRDFDEERNQKPPVVRSYDNLIQFERGIRLLQPR FQKLLSALQDPHNPPIPEQLTEASKVRKRLIDSFAQYDTAARRIRDLPTDSPTQQKLQ KAIYQQASNFLHLHMLPLKSLPKILKHATPGGRPTLGGHSRNSLTTESSTTKPFTPAL ASIKYGLNTPNGSSQSITSDNSSAISALEDEEKALRDRLIVLEEQKFFVSEMIADANR RRKFDEVSTLALNMEDLSKEIDRINGILNKLDFESLYTGNAQG TSTA_029750 MAPRVKSSEQLLVPWIYDTLLAIFRFCLSIFFREVYAPGAWRIP KKGPVIIVAAPHANQFVDSILLMRILKRYAGRRISFLIAEKSMREPYIGTLAAQMGAL PVTRAMDNIKPGMGTIFLPHPESDPTLVSGKGTNFTDPMFMERGTLILPKVGQEAPEQ QAIAEIIGPEELRLKAPFKNFARDHPLYEGLRTGTSFKVAPHIDQSQMFDAVYRGLES GGCIGIFPEGGSHDRPRLLPLKAGAAIIALGTLARSPDCGLTIIPCGMNYFHPNKFRS RAVIEFGPPVEVHPDQVAAFKLGGNSKRNAVGSLLETIQEALDSVTQQAPDRESLMLI QATRKLYQPLRMKLPLPVVIELNRRLLKGYTTFRHEPKVIQLARDVRAYNRQLKALGV QDHQVEWGNVRRRPWSMVLLTLLYRIGELIMLGLGTLPSLALFWPVFVTARAISHSKQ RKALANSSVKMDGRDVVGSWKILVAMGFAPALYTWYTVIVTVWLYYCRNDGYYSSVVP WWINARSYVPDSVPLRVFTVFFFGLMIAVSFAGLRIGEIGMDILKSLPPLFIALNPSS SSALIKLRNQRRAVVAQVINVINTFGPEIFPDFEYEKLVDENYDYDGDKGVNMDYATY KSRLKSMPPSEPQTPNRSRSRSRSRGRRPSDSWQGFSSGNFLKPLTSGASGPSKDELR ELNRRIGTFSETEQGRDHN TSTA_029760 MDLQVHRIISFTEDVAVGLRQFREHLPEYSAEITNVIAELYAIS ATLTSLEGLTRQFPRNFGPVKADFDRVLVSLRYTLNEIINSFGKLDRRPTADNYRQIW HDLNAYLLEESGYSLKRRLNKYKLFLQELQDVVQNKTVDFRFLANLRKSIMSVLEEQD GRFAARLAGLSLDPPGSPTSSSSFSGGSVDAHAGGVGKRRSYERTRPGFRPQPSPLHS PTSTNDSAPPWAPDVPGSPISTSTTTQSNLSSAILNDHWAKDVFSYRGPMIKLPMTGE SSKCLGDDVQDVKEWLHEQGFDEIAYISFDDKGSGLSVFFYVREQDNRARILCKSRRN RRAHKYYCLPLNMLEVRRVESCLQLCRRRRAGTELVPWLNLQFDSIEKMVIFFCAFLA LRSQDGHKRVQDIRDCELDSEKELFGGIIDDDNFIHALRIYRDRISGAIRLQASVHEG DMKRAPVWTAFITRSIDSPYWIRRVNNRVLLREIRQVIFFPEYSPPRTSRGEHILKFT SEDDARDFVDVIERLSITD TSTA_029770 MTSKDSSLYGIQRPKQGASKKDTTSSSTLAFTSHLSSLISKDAA SATPSSSSSSKTKSDGGSYTFARGRARPSKSAKPDLFSIHNKGALKRAAKDEAAGEDE NIGAVDAITLQRSKRRMEEKADLYENLRKGHHLVDGSSSENDDGGDDYMSRLRRKERN ALVDFDRKWVEDEEKKEEARDDDEEDDDENDDGIGTLVDYEDEFGRTRRGTRAEAAHA ARLRRQAQGGTTTTVDEEDRSRPSRPSNLIYGETIQAHAFNPDAVVASQMAYLASKRD RSATPPESLHYDAEAEVRTRGTGFYKFSKDAKEREKEMHDLLSVRRETEKEREERRRR KAERDRVKEERRAKIRELRGKRQAEKFLDGLGVPV TSTA_029780 MASKPIYLGVIGVGGVGTAFLQQLSKLPNAPSLVLLARSSKTLL APTPSYSPAIPAADWATASSAPSITTSGTLTPSEVVSYLSSAPGRSVLVDNTSDPNLA SAYPEFLKAGISIVTPNKKGFSSDLSLWKDIFASAATGKALVYHESTVGAGLPVISTL RDLVATGDEVTRIEGVFSGTLSFLFNTFAPVSSPAGTSSANWSDVVSQAKELGYTEPD PRDDLNGMDVARKLTILARIAGLEVQSPDSFPIESLIPAELASLPATSEGVAEFMKRL PEFDGQMAALKEQAEKAGKVVRYVGSVDVGKKEVKVGLQQFAKDSAIAGLKGSDNIIS FYTKRYGSNPLIIQGAGAGGDVTAMGVTADLIKVLERL TSTA_029780 MASKPIYLGVIGVGGVGTAFLQQLSKLPNAPSLVLLARSSKTLL APTPSYSPAIPAADWATASSAPSITTSGTLTPSEVVSYLSSAPGRSVLVDNTSDPNLA SAYPEFLKAGISIVTPNKKGFSSDLSLWKDIFASAATGKALVYHESTVGAGLPVISTL RDLVATGDEVTRIEGVFSGTLSFLFNTFAPVSSPAGTSSANWSDVVSQAKELGYTEPD PRDDLNGMDVARKLTILARIAGLEVQSPDSFPIESLIPAELASLPATSEGVAEFMKRL PEFDGQMAALKEQAEKAGKVVRYVGSVDVGKKEVKVGLQQFAKDSAIAGLKGSDNIIS FYTKRYGSNPLIIQGAGAGGDVTAMGVTADLIKVLERL TSTA_029790 MEQSESHVEIAKRLIETFRSLAVNFPEHAQSNIDLLKKTLASPQ KSQILISVLIGFALLFQVFLKFRQVRTHKRSRFLESPGFPLPEILDDFNWATQEPLQL RPFKPKYHLTMALESLNPSELILMDKTYKERMQYRRKILKEHRETVIAMFDESDPRII AAVKELYRYIMSTYLPVRYPTMFRLHETAFETGKAYMLENLVLNELYPSEVTELTSPM RALEILYKTIDEDYLILLPEKSNDDDDISAANVKYRLVAYETCYPAGFNPREKLGKLL ADIHGPVPGYQEKLEKSMDRHFANIEVGKYVKRANWSMSTNTELFAAFGGLHSSANET KVEDKIKEGTLDVDSTFLRCERQTLHRLPTSRAIVFGFHTYTYPIKQIKEEGSGQDLA TAIDGLKEGSVPKIYGYKRGAVWGDAVKAYLRS TSTA_029800 MAWYSLLPESMNTLETWLIRFFILLGILTIGPWAGLVIFDIVFY IARVIAYEFPLIGGRANGERKPRAPTLTERPSGRRRAFSLRGEAVGGEGSQSTTLEKE MMRRLVHTEKDKT TSTA_029810 MKLLLSTSNIMTTGPSVVRFHAEKSNVELINSLKSNFQAAQQSL PPQDEQSNKKPWTKQEGDTLYVPATDFALSGLSEERDQYDITVKLFYLPGIPASRRQA HTRDAIDLVLKELHVETIDLLIVSFPGVSFDAEDEETEANDEDEERSRTGSESKAGSE CTEQADDFESMVKTWHTLEMLVEEGKIAQLGVAEFGTERLARFLQQTRIRPSVDQINV KDCCVVPKSLILYAKQEKIRLLTHNDCTNILPPGTTRELLGSGNDGAGILASAPDAGD GLQGDIEPQWVVKYTTVVKDRGVIENKGYFALAELGNCIQTNDRS TSTA_029820 MNTEYTPPPLPPPFSHTTPPPILLAQGAEARLYKTTFLTPDTPA ALKIRPTKPYRHELLDRRLTRQRVLHEARCLMKLVREGVSVPAILALDWDPATPADGQ RSVGAWLMMEWIEGLAVKHILERWEKWMKKSINTATSEDSYFNKEEEEAKVKELMKRI GRTVGSMHKVGVVHGDLTTSNLILRPPVDSSTPSDITESPSMAGDIVLIDFGLASTSS HEEDRAVDLYVLERAFGSTHPMTENFFSVVLDGYSESFKGAKLVLKKLEDVRMRGRKR KNRPNASLGLNAEEDDR TSTA_029830 MASNLGVPPAGVWAPAVTAFNSEDDTIDYESAKKYYTYLSRSGL VGLVILGTNAETFLLTREERAQLIKTAREAVGPDYPIMAGVGGHSTKQVLEYSQDAYD AGANYLLVLPPAYFGKQTTPAVVKRFFADVARKAPLPVVLYNFPGVTNGVDLDSELIT DIVNASAAASPDGISNVVGVKLTCAQVGKITRLAGTFGPDVFSVYGGQSDFLLGGLSV GSAGCIAAFANIFPKTISHIYNLYKEGKHEEALALHQKAALAESPSKSGIATTKYAVA LYSAPKAGIENAEEKFLPRTPYEPPSEATKQLVRNVMSELAAIEEKL TSTA_029840 MSSPASASSEHSPEWLAENKGANILAPMWALTMITTSTVIARIY IRVKIVKNVGFDDWAIVVGLIFGLVYLGITTANVVVGYGRHADALDLPHLEKAILLNT IGFVFGILSFTIPKIAVAIMLTRILNPSKFHKYFIFSLVGFTASVAIVCILLLMTECD PPAAQWDKSIKNATCRDIWILIDFAVFTGALSAFVDLYLAIYPSVVLWKLNMSVKKRL ALMGALGLGSIAAAMAVIKCTQLKGLADAADYTFGTWQLVIWTNIEADIVVIASCIPT LQPLLEYLVRKSKGTSYNNKYFNRLEKDSSGMHNSQSIELRAHRNRSKQHSISITNIE RGDGSQESILPPTDAQNAIRRTDNVTINYETRSDEPASKTREW TSTA_029840 MSSPASASSEHSPEWLAENKGANILAPMWALTMITTSTVIARIY IRVKIVKNVGFDDWAIVVGLIFGLVYLGITTANVVVGYGRHADALDLPHLEKAILLNT IGFVFGILSFTIPKIAVAIMLTRILNPSKFHKYFIFSLVGFTASVAIVCILLLMTECD PPAAQWDKSIKNATCRDIWILIDFAVFTGALSAFVDLYLAIYPSVVLWKLNMSVKKRL ALMGALGLGSIAAAMAVIKCTQLKGLADAADYTCEYSVYEYGASLGVEITGVNGSVFS SRHMAAGYLDKYRSRHRRHRLMHSHPSTPARIPRPQEQRYKL TSTA_029850 MAIENNHNVAPVDETIAVKANDIDQVPHLLEEIAKQGKALTQDG AQNRRRLLETVRSLSYVLETPREAIIRYCWSQPTIFAAIELGINLGLFKVLSKDDKPK TAAELAEATGAEPVFLSRVLKHLGAMGAIYEIGPDTYKPSNFAKTLTIQKYADGFPCM TDCINAAVLAFPQWLKKNGYQAPSDGKNTALKLGFNTDLHFFEFLATNPVYPVRFMNH MSAYHQGRPSWMDPGFYPVQERLSAGLDTSAPLLVDVGGSTGHDLKEFHRKHPEAQGK LILQDLPEVIENAKLVDSDGIEAMAHDFFKEQPIKGARAYYMHSVLHDWPDNKCKEIL TNLAQAMKPGYSKVLINENVIPDMDADWQTTSLDFIMMSVFASKERTERQWHALVESA GLKIVKIFTAEKGVESLIECELA TSTA_029860 MKKLAMRQAYGAEVLFKAENFPITGSFKLRGALSKLTTQLAEER LITASSGDHGIEAAFASRALSKSLIVVLPAKLERIKSYGVDVILHGTETGLAEQHAQQ LVASRDYSYISPYNDIDIIAGQGTIGLEIIEQCNKVDNVFISMGGGGLISGVGSVFKA FSPRTKVYGIAAVNSKALAASVAAGRAVETEHLPTLAEAVAGGIDGDTITLPLATSMI GHIIECEEEDVLETLEALSFDENMIVKDSAALALAGFNKVAQARDLTGQTNVVLLCGA NVGPDIIRKAIYSSKES TSTA_029870 MTNRDSPSSRNGKKSANARVSLQHQDYRPFETLEPDVVFTGTVP TRWAIMYVDRSGNVREMSNLYTSVFDSRARDAFAFAQGLLPNRQDSLPSPHTAYRPGH RRQSSCARQSKRQRAEFSEEPLSVEVVEAFENPENQVLLEIGDTKKVTAFYTTAFTRL QQINCRLLAKNFIKIIEPRKQVRHPYNGGRKSGGAPGEKGDPEDTKPDWWPRNIIHKE PDHLKKNYRVKLLVHLVQNLFPIGITVDLLEEAVGDTRRHLVPEEKAEEKAAMLEEII RVRKSEERYLSNKIDGTTQVYVTDYDRDRRGEPESDDEGETSKVIPPPASAGSSPQIQ HLEASQGPLDVASMPQYVSPLETTSSFQMPAELGFTIPGQRTQEFVSTQSEFGHLNFA NTLITGPLPTPTHNQFMDHSQFAEISPTNHLLAVSPAHAQANPSASFPVWSSASQQNI YSPVDYSNKAGRQMPPHMVYSSYRPYSSLQDVPQTISVPEFSRPPDYDMANMNSLSFR TGSLSQPHIVHHRNSGDYVRTMVRGLAGQSALCDHADSHKNKAWQNYAAATAASCAKC ILAYWQGGSQQRRPETSSLPKPASTVPFERDTMFVGREEIILSIIDAVQERNWQTSKR VALVGLGGVGKSQIAIEYTYRVRDSAPNTWIFWVHASNTTRFEQGYREVAAVAKSPGR DDPKCDVLELVKKWLSDETNGNWLMILDNADDVDLFFNTLGDNMPLVDYLPYVSHGSI LLNYNDAHDLRRDRSIRHPVITTWQISFDQIRRTCPKATDLLALMSMFDRQGIPEELI SEGTDRLQFEDAIAPLISFSLIQVEVGRRLFELHRLVQVSVRQWPKKQSQFHRLAGQS LRALEAVFPSGDYATSASCQILLPHVKETIYFSNWLDEESYLIMSSAANRCGHYLYLA GRYEEADFMERRALAGKEKVVNNLGSVLESQGKYEEAEAMHRQALAGKEKVLGADHPE TLTSVNSLGSVLQSQGKLEEAEDMHRRAIVGFEKALGVDHPGTLASVSHLGLVLGRQG KYEEAEAVHRRALASREKVLDNHDHAGERMDRLRRIVSTADKGHGELGMYGSRWIREV AVCGKYLLRASPPVIFSILEAMRSIHCGVQSPAEESSMVTSRVKGFHSPELSQ TSTA_029880 MLYPYAPRRGQLDALFQLIFNRGDLILIAITSFGKSMIPQALSI LMDRSVTIVILPLIQIGIEQSEAITRLGGHPLFIEKNTDRTGLLMNIKKEAYTHLLLS PELAANEQIRYIFEESESNKRVIAVVIDEAHLVHHWGDAFWPEYAQVGRLRIILGPHI PWFTCSATLDPHTLRVLKERGRFKTNTVIHRTPIDRSELLYRVGVIPRLQRATFTVLR FLFDPDPLERTRAHIEPHEFSKTIVFFDSKREVFSALDCMVDDLYSDTHDLDKAYITS EMRKPGTESSIRVVFATEALGIGVNLPDIQRSVLYGLPKNLLPATLLQRGGRACRDQH DDKIILLVDVWIIGDREDASKHTEVAIGSSQLDNDLEEDIEDESDENQPLTQSTAAER ERQAKLPHLWYDICSHRQCIRRVPMRHFDEPPEHRSSVNSQRCCSYCNPIFRLDDYMD NAKYYTYKERGFRANKIHKAIMADIKNWATTQAANLPRNFTFIPTADFFLAETLYESI ARLTDLLCTQEQLKSSIGSWRYWESH TSTA_029890 MTWLTGTDAASIELQDAILANNLVNIRGANDSWYEIDRLNLEMK RIMRTALTASYCIELQNQIDHLSRRFLSSKHTSKEATLDIFGLAIRLCELRSLQQMQG GRDADFIPKDLFAAAVGTLIRKKVALFNQNQVADPALEEPAHTPISMLGQFTTDPDAD DIGDRDSI TSTA_029900 MIISIITILPLLLSGITIARPATIPAARRFRSGGPSSTYKNFTA SDASASTKGTYTFTNWAGAFLDTTDVTGITGTFTVPTPSAPAGSDPDTSYCGCAWVGL DGISNFCPKGGLMQAGVNWCVENGVSSFVAWYEWWPAEAQQYWDDIDVSAGDSITVTI AATSKTGGTANLVNNSSGQRATFTWTDESPALCEVSAEWIVEDYSVGNELVAFADYGS VTFTGNSALVGGATADDLTSAYLADMVSQSDSSDVISASSLKVISRRGRFDATWIGDR WIENWADRAM TSTA_029910 MALSRSLRRTNSITLVLALVLAIGFIFFLFDPTSSSTPITAQQR QEDAASHPLSPPTKPFPKKHTQGRKGQDAPPPVVHYRMNELTASDSAAERGERVLILT PMMSFYQQYWDNLVKLTYPHELISLGFILPKTNKGNAATLALQEAVSKTQSGPIDDRF ASVTILRQDFDPPLQSQDEKERHKKENQKIRREAMSRARNSLLFTTLGPSTSWVLWLD SDIVETPTTLIEDLTSHNKPVIVPNCYQRYFNSEAQKMDVRAYDYNSWVESDIALNLA AEMGPDDVLFEGYADIPTYRSLMVWMLPENSEDLDPRAEVELDGVGGTALMVKADVHR DGAMFPPFPFYHLLETEGFAKMAKRLGYTCWGLPHYFVYHYNE TSTA_029920 MSTTSIRQTATSVLPENNTSHSSPIETKSNTLLPAENVSVKNAT KSKRDYKGFVAGVFSGIAKLSVGHPFDTIKIRMQTSEHGRFKGPLDCVMQTVRKEGFS GMYKGATPPLVGWMIMDSVMLGSLTLYRRLLLENVFSNPQLRKAIPFTSSQRDLNTLP SFGHGIAGIMAGCTVSFIAAPVEHIKARLQVQYAADKKQRMYTGPIDCSRKILRSHGI PGLFRGLCATLIFRSFFFFWWGSYDILTRIMKKNTNLSAPAINFWAGGISAQIFWITS YPSDVVKNRLMTDPLGGSHGDGERRFHRWKDAAIAVGRERGWRGYWRGFLPCFLRAFP ANAMALVAFEGVMRWLP TSTA_029930 MTPLVRKLLTLPPQTVFHWMTLLGKRHPGNKLPPLRHNTHHSTQ QEQPSPQQLLIPLIPPRILQLHTILHPAALSLLHGTLIACSAVPCRMIRQDKSPGGRP RASGKSYKETRSPDPSFFVFLSAEINLDFSHQHFVFTLDSVETPPASPAPVEEPYEST AGSRPIPIPHANLSRSFEDLPLTPLTGRFDHQYLAERPKHQQSLSGQDKSSRKKKPRP SSLSVSTSTSNRSRPTKRMPMSGPSLAMSHQSGQVSPKPSPKDAPLYLNNLPRFHPAV YQSPNSTPNASLSPNTSNHHPRSFGYRVASGGSGSSREALRQYRELVAASVSLSRNNS DSSDAEISAPRLDPLGSPGPVTPLALEEAESYLSARNDYSSPRQADERERHGTTRTKD TKGR TSTA_029940 MAIPLAEGLAQLKCDFEALNAAGTSMKAAAMILLRFLPCHLVSL GSFVLLAGAAIAPFAQQVISIKGRLADSDSLGSIPICDYNRSYDDWDEGAGPGMNLVP LDTIGAIYEGLLQAPNRNNVSVNPSCSRGNCTFGKHQSLGFCSKCADISCKMIPQGDC DNENDFDSECILRLSNRYELEIDSTMDTTINATTDWELLELDVKGLSVIVNFTALTSP ANRTKIRANARATECTLYFCVATYRSKIDASRFTEKTTSTATITNKSLGIDWDPYAIY SASPATCIVNRTEKRPSYHDGDGCTYPIHGTSGMAMANSLVAPLTGSGGQQGS TSTA_029950 MSEKVEIAKPPPVVAEVVNQDAISSKENSPHPVRPKKALQSTPL LFLHTVGSIALALVLVYAVDGYNAGDDSTPRYVDGKLLLRSSDVTTLVSAALVLIKFF TTSWAAIATWRGLTSKQVSFMTRYKLLPWMRLPFGRPRGRRSWAVALSLLCSFPQPFI APLLSGAVNWNPSFAAGPQTAAVSVNWTNPTASGSYWDQYTKFDYPIKRTDVLREALG YANIAWSDTTTVSANGTSLRGNGCRHVVNFDGLPENSTLTNFVVPCIKVQNIAWAMSA AEVPNAVFAQATTNGWQLSVLNDSLVRYTNPGHAVLFDVNNLWYNKDIWTTSLPTANK VTGANSLTLIIANQYSDCQNLTANQFGNVNSFPQFKTSWALGSCYLFANVTIEAGVTT SKLSKYVSPRVIEDQTPLDDTTIDPNTWSQEAVWLLPDMMTSLSQMNSTLLPTWNNLD LYVESLIRQSYLGAWDSFHNNWDTDGTISLAIPQQARVRAEVSHARVYSWLAISLLET VSGIFLVYLLLNPGLLQEPDLPGEIISEQIRDAKASGKKIMDSLSDLSFF TSTA_029960 MAQKMSQFAWRTCVICVLVVFFLSSAVSAKSHVSDKKSIASYDA AQIEEELQHCPLVQALNEHKLASLPETASWTSKIFAVLFPGSPAVNALLATLYISGPP NFLLALCPPNIDPSSLSIMVAFAVGGLLGDTLFHLLPEIFLGEDSPEHARFVLVENNK NLLLGLGIMVGFLTFVAMDKTLRIATGGEGGHDHTHSHDSHDTAVTTGSSPGKGDKNG EVKKRKGKGVKHKDGDEKKEEINPSTKLGGYLNLIADFTHNITDGLALSSSFYASPTI GATTTVAVFFHEIPHEVGDFALLIQSGFSKNKAMGAQFVTAIGAFLGTFIGIAVQEFG GNTFTSSASSEVSRGLLGTGLSWGDMLLPFTAGTFLYVGTVAVIPELLETGKDKGVEI KKTLSQFAAIAAGAGIMLWISWS TSTA_029970 MGRELQKRKNRAKVPKLKKKRKLLRNGDKKINVLGNALIAENWN KNETLIQNYRRLGLTTRLNAPTGGVERPKGVDALTADKLTSDSLHITGTKNPNATTLF DPEEVQVERDPETGKILRVITNNEEDDEIEVAGRKVKRSNPLSDPINDIENGVKSLHK KAGLDTGSSSSASEFVRQLEMRALQEEEAVKKRRPRQQSKREEEWVERLVARHGDDIK AMVRDKKLNPMQQSEGDIRRRVRIWKERRSA TSTA_029980 MTDYTADADAVANRDEPIPVVSFNSQTSTQSRLDKAKSRIPGFR HSNHGSEGDAPSGWSIQDRLFSKLLEQVVPSEEREGENADDTYRHPSKVLSRPPFSLP LMTNNFRRFNARIGIAFVFQARMIRLFSWRYPTQTWSFLAVYSFICLNPYLLIVLLPA SVLLYIMVPAFLARHPPPPSNSTLGTHPYYSYGGPALAPAKTIKPASETSKDFFRNMG DLQNSMADFSNLHDTLVASISPLTNFSDETLSTTVFLLLTVVTASLFLVAHILPWRLI FFVGGNVAVISSHPTVHGFLNQVQGYKGGHAPEQKNQKPTAKKENATKQESPITQVIS PLASISLDSAPEEREVEIFELQHRSVSPFSTSSEWETLLFSPVPYDPLSPSRIAGDRP RGCRFFEDVQAPSGWTWKGKKWELDLECREWVVERMITGVGFEVPGNGASGNGGEEQI GGWVWDLPQVETEGSMSNDEVSMMAYGDLPDTTSTKASSVSSKGKADGQGDQSRDWDG TMQAQAGAWRRRRWVRVVHRVGVSGSES TSTA_029990 MAQISSGNARAGTNPSRASDSSRNEDSGPAGEATCPCHKRFIAY RVAIEPDTFSRRRLQKATPSTTSASTQGERAPSIPRSATDPRIQAIGRRRQSIREQNA IAPRSARSGTGSIHSGNSSIDLTSESPRNASISSTNSRTSPGTLNMNSKHHSNKSSSE NIEFLAPINFDDFHNSILDEPSLNNFPLPGHGGAGYDTKKTQPRSHNQWSTADTTTTR AARSNSLRRQSDVTRFHNQASSINTSMPPPPAPSARSRRQSHVPPPSAASVPARAPRK SVGPGIFTIDSSGDRMSKRRPSLSARKASVDSNRYETHYRLRSTNNNEPDNLGTTPSA RSLKAKSLQAPSRERLAQDSLLAPKAGDRSSTTNGLLTPVKPAAGSTNTPSSSSSSKR MSTMPHATGLGARTISPTDARRMKRMSMLPQAPPVPITPPTTQPVPTEVLTLRPRSSQ SPSMIPRKSVTPSSSRTTPDPSRKSYSSGMSLSSNTSYNSARNSSGSLQPRLSQTFSS SRLPTPKPRMETVSNGNEEVPPVPAIPKAYESPKTESELVFPTTARKSSLPLDIESAR GSDSSRLSTTVNSTTEPYAPTAPWVAGTKTPEGKGKQAAVMSRKSLQPLKLPPLNLLP LSTPMAAKIDALNNNHQRNPSTPPPRTNIPKTPSTPMTASKASFFKNDEDEVERNHLP RSSTSHFLVSTSNTAGYRAPSSSSAVVPLEMPSLSRNMSPYISSSLPKSSSDFNFMRQ HLSGEYTNAQSSTKLMGPRPQTQSSAFSVNTETGTRLSNTPDADANGSTLKSKLPSTL RHSVSKPILASDTKVNSAKYDSMPPPRLPASATWNGLSAAATRTTSPTSKSSLLSNNR KSSLASLNTKQSSFNNESNTYTMPSPAVTDPGDAETADNHRPASSILSPVQKMINSAK SNVGTKSRSADPNLDLVELAADEEMRRLGSKRKDFELAAKELDELRRRASPKERVSPA QALKMASLNIFERGEIIDFKDIYFCGTQKAKKHVGDLKSQAANFGYDDERGDYQIVIG DHLAYRYEVVDVLGKGSFGQVVRCVDHKTGQLVAVKIIRNKKRFHQQALVEVNILQKL KEWDPHRKHSVINFTQSFYFRGHLCISTELLGMNLYEFIKAHNFKGFSLKLIRQFTRQ MLSTLVLLHSKRVIHCDLKPENILLAHPMRSEIKVIDFGSSCFDHEKVYTYIQSRFYR SPEVILGMSYGMAIDMWSLGCILAELYTGYPIFPGENEQEQLACIMEIFGPPEKHLID KSTRRKLFFDSLGKPRLTISSKGRRRRPSSKDLRQSLKCDDEPFLDFVARCLRWDPAR RMNPNDAMNHEFITGIKPSGRPRAYLSSSNLVSKRLMAGGVTSARPLPEPPSMGGKPG MGSHKATSSSPVKPAAKRLSSVNNMQQPTASKRISNSSTVNNGVGSALPRRNPSGSLA AAAAATSLSNK TSTA_030000 MNGFSKHELDEDAFGEKRNAEAGLKTFDAFPKTKPNYTTPSRRG GQWTVIIIAICTFLSIGELITWYRGTENQHFSVEKGVSRQLQMNIDMVVKMPCNDIRV NVQDASGDHIMAGMLLMKDSTNWEMWNEKLNQQSSGVTEYQTLNAEDTKRLLEQEEDM HAHHVLSHTRRNPRRKFPKTPRLSAKYPTDSCRIYGSLESNKVHGDFHITARGHGYNE LGEHLDHKTFNFTHMITELSFGPHYPSLLNPLDKTVAYTEDHYYKFQYFLNVVPTIYA KGNNAVEKYTANPALAFKKSRNTIFTNQYSATSQSHALPENPYNTPGIFFKYNIEPIL LFVSEERGSFLALLVRLVNVVSGVIVTGGWLYQLSGWAMEVLRRRRRGGYSQGVLNGR THSAEDEE TSTA_030010 METYSQISQTISAGRQYREVGFRALSTYALSARTIFAILIETEQ ILFVPKTSIRIRILRRTLPTQPQSADGSSLLQEISLETITVAIFCTLPYEAAAVRYCL EEDDHYSVSKSQVCHGGQGRPRDPNFKTRSPWGRCRQRSSDNHLGVVQYEFGKYEEDG FDLKGCLNKPPSILLSARLRREAHYRRFYAKLLRNLGSVAQVSKIPCLTGTVPISIRR MIAANMKKYVEGRLSPQARYHPMVHRGLILSGGGIISNTVDRERLRRGYKDSLCYETE AVGIMDEIPCLVIRVVERARVEPYTNRFETKRLGASQSEQPNSGISRLPTLC TSTA_030020 MHLLVLGATGKTGALAYQYALELGNHVTILVRDASKINPHANLT VVEGSAMLEADMDRAFAAAAGVPVDTVLQCLNPHRAANHPWAKFLGPPRLMADSTALA AHALRRQGHQLGGHKPRLIAMNALGAGQSRKAAPIITKFLIDYSNIGWTYVDHDAVDA EIEQNCGQEVDWTIVFAVALSGGGGGLIGGPSGLKPVRTFAHTDPGSNWAITRESCAR WMVDVATGRLGDEFSNKRVIVSN TSTA_030030 MMMPPYICGGQWYRSSLCHMLVDPSRIALSVRLTAIQELLMHGL LAISALHYAHTHPDERKSYILVSNYHQDRALDFFSTMLTDINDHTCEAFFILAIFVFL LAAWSVANPQDQVEPHGLGAITQSFALIQGIKDIIEYPTGKKWSEGPLSPLFEQWETP HIDPSLPFAKRLDKLSTLVQTISDESHHLCRAGLESLRKAYALHLSTHSGRHRMWMWP VELGKQRFDFTNCQHPIALVILAHCAALVNCSEGPSWLWDGWGKSVVHIAKRELSQEW QEWLQWPLRCIHDNLDVDAC TSTA_030030 MWRTVVPELAVSHELLMHGLLAISALHYAHTHPDERKSYILVSN YHQDRALDFFSTMLTDINDHTCEAFFILAIFVFLLAAWSVANPQDQVEPHGLGAITQS FALIQGIKDIIEYPTGKKWSEGPLSPLFEQWETPHIDPSLPFAKRLDKLSTLVQTISD ESHHLCRAGLESLRKAYALHLSTHSGRHRMWMWPVELGKQRFDFTNCQHPIALVILAH CAALVNCSEGPSWLWDGWGKSVVHIAKRELSQEWQEWLQWPLRCIHDNLDVDAC TSTA_030040 MADDEEKAKAEKLAAARKRVAQMQKQKGKKGAKKSTAGDSSKDE TTTEKPAGDDKPTVETPETEVAQQAPEPIVEQEEKEKEEEHQEAPTEPMPDAPLSPEP ETSPDETKELPVRGAHKRQPSLSVQSKLRSSSFRNSISTAASPGSSIKSPPLPPLTPG DEQIHEVFRKQAARLEELEKENKRLEKEFNNANVRREKAEEELEDLRESSVEVIELKD RLAKAEKQVAELESLKTEIASLKRQNSLLQSKTHKASAGHSDSPPSELVQQLEFKSST IEAMEIEISNLRAQLSASTTSIDNFKSQISALEEKLSQSESSLQKTQEELSDAKQSIS RASEKALKEGVDKTSTETLIKSLQREVEELREAKASSEKKAEALDKKLQALSNLHKES ESRHQLRLREHENVEKEVALLKKRLASVENENLRLREEKDRERKKSADVEGNEGLDEL EDEERSRLERRIRELEGENFDLRRGVWKDRKKELGVGADDENDRVETTVSPSNAFDEV DLVGGAPGHSRRRSQMAQGRQQHQQHSSFTTVLSSGLAAFTGGNNGWDRRASTQHHPP ATRGSLELLAEEDNDEFDEDAFARAQAEEEARKRVEWVREVKRKLRDWKGWRLDLVDS RAGAEGVGVAMGEIFEV TSTA_030050 MTTLKPGDSFPSDVVFSYIPYTEESGDITSCGIPVNYNASKEWA DKKVVLFSVPGAFTPTCSVSHLPGYIKNLPQLKAKGVDVVAVLAFNDPFVMSAWGKAN KITDDSILFLSDPDAKFSKSIGWADPASGRTGRYALVIDHGKVTYADIETERGSLKKS GAETVLASL TSTA_030050 MSSSPTSPILRSLATSLPVVFPSTTMPPRSGQTRRSFSSLCLVS AMMLCLLVNTSVSLFFPNLLGAFTPTCSVSHLPGYIKNLPQLKAKGVDVVAVLAFNDP FVMSAWGKANKITDDSILFLSDPDAKFSKSIGWADPASGRTGRYALVIDHGKVTYADI ETERGSLKKSGAETVLASL TSTA_030060 MEDPKSQASSQEKPEVSPTLAPESAPKPLRRRRRVPIACASCRL RKTKCEHIVPRNNTLRRLSGQDAGAYDYIRSLEDKVEQYEAQLRTLHSNHRHGTNYQP INHKPSGQLPTPTLHNRQASISLPPIVARSPLVLDQGPAAITAASPSLFLGGDGAVSF TQMILNAMNPDAPSRVQSSTQFFASPRDPSREMPDAKLHDLPPDVRELVQRYFDFHHV LTPIFHVPSIRPRIEQALAMDRTRRYEDPYTLAMINMICAIAAAHRRNGYETSTVQTR KYYDRAMALVGPTILFDWSVEKVQILLLGARYLQSSNFPDESWTLLGLAIRIAQGLEL HRPPPAHLDCIQKEVRKRLWYACYSTDQLASAIYGRPVSTASNTFTTPLPEDLDDDRI QPSQLLYPSTPTLSLMTHSIQAVKLCRIMEAAAALVNPPPEKIMELDEQFEAWDAQLP SSFRIYEHGPVPDDTKLIMAMRANMTRILIHRHSVVTSLGLLARGERLVPPSGGLRAN MMQNSRQICVRSAQETIRLVGRRHDTTKAVTGPSWFNLYYLFNAILIIASHVVVPEFR DDKETLAHLEDGMRMIAQMSANHTTARRAHIFLRQLLDLVEKVLPQQALNSVRKPSLS TTSSSSSMVPPTQSSVPITAEGPSNNYTNFAQTPREFMQLWDSTVDLTTALGSQLEYF SSMGSGMWSWGNQAQDTRPYTMMPSGIVP TSTA_030070 MTQPSQFNGNRYLQPTPMEESDWSQWMQWDWDDTSPGAISKSDI DYSDLSPNSGTTTLRTIDNTELISDPLFPASNARQIMANPSRLQPGSQGLASLARRRN NGGAKADQNSSRKRKTSSEDDDGSIKADDSPPESKPQPSKKRSHNVVEKRYRANLNEK IGELRDSIPSLRGLTRDINGGASTHDDDFSSGNKLNKASILSKATEYIRHLELRNRRL EEENQALKARLRQLDKAVIIEQSASISVGSASSPDSYSGTIDSIESPPSVFSHTEDSP HSRKTSTSNNPPEGMIKVPDYIKKMRAMAPPQGIFAESYIKDGPKPQQQNGQVRRGMT PGGKLFLGTIAGLMVLQNLPAEKESDSTEKGLLAVPLNVLNDFIATTLTSAQTNFLVW TQDLAPWSAWQFKAGLSLLLTVVLVVGSAFFVFLYLFYARPRSENDSAKIASASGIRM TPAEIKRQAWLTSMQRLGVPQHRFFLEWFAVTSRWVEYSVCCLVGRSVYSWITGITEE DEKGRIKTWDIAIDAQLAGGDTEVSKSRLVLTIFASGTLPRSPARIMLKAFHCRILLW RVGQPGSFVSTVANHVGRVLATYQWNLARELNRSLPKDHPDALPSHLSALVETECNDV LIDPIVQRAVNLTWSRPTQEGLDEDEALLDVVAEDPAVQTFADSIAAWWSSHVLQTAL LNSFNIDSDGGYGKKKLEQQINLALQVAPRLSAAHTRAAAMRAVLYEQNRLYDIKTVL NALPSKKAHQRTQEVSNFLDSSIPMSVRNELAISVRCAMIAAIIRARTTNDTTFPSHL TIRKAINWLNQLPLDPLELTLISFTAVYHLLHSVVAYGDLLSTSASSSSPSATSSDFS ISTTKSAPPPSSSSLQPDFPSPQTHKRVRSNSASEPTPQYSRIANDLVYWARNAYNPA FYGLTGHMVDTVEAECVSICKNVGIDLMAQDSSKVLLQTSIRRLINNEERGKYQKHGR AKTGSGGGKEEKGDYTRRKSLESNDTGYASDSR TSTA_030080 MTGAWDINPSLDGNYWCTRCHYLLQSPVSIQSKKFIVRTVSSAM FHVLQYPWRKLSQIRHTEATMDGKGPSTANMAASHQGDARTSHEKSHEVSPVGALIYV PIMGLVSCAVIIFIHRVWSDFVSSQRLKFAVRRDCEVSFTRPSRFKTLLNRHLLYAPL FERRHNREFRIYRGHINMGTIPTRLETLLIASYIALNMLFCVVVIDWSQNLSQSLHGL IGTTGMLAIVNLVPLVITAGRNNPLILLLRVSFHTFNLIHRWLGRIIVTEAIAHTVAV LVRVGIWKGWNTIPEHLFHIPIFTYGFMATIAFVTIFFQSLSPFRHAFHEFFLHFHLV LVTASFVALWYHLYKFVHKWVFLAALVAWAMDRFLRLVIVIWRNCGKSLTSATIHLLP GDVARVDVTVIRPWKFKAGQYIIMNISSIFCRLGIGSENGSNSSIRSILSGTDRDTRY TVSFLIRKRNGFTKKMLKSVGLEYSLQRQVLALAEGPFGAIRSFDSYGSTVLIAGGIG ITHSISYLRELADVFARGSVATRRITLVWVVRSIEHLQWVQPWMTYILNHPVVQSSVA FSNASTMNSRNPYSLDNCTYSERTLSLSINAHLTTYYSSSNTNVFNTDPIPARYSSII CNPEKTNNVPFYDITPVSPAHTKAFPYGSTSFSRPYPYPRPSTELSKSKESSSSSQLN KTIDQYYYEHDDEKARIITPGINKIDINTTAQSSSFQDWTQLSSPTAPVTINLGKPLF RQILDREIAQQIGAMAVSVCGPGGMGDEVRKAVREVQGRKTVEFFEESFSW TSTA_030090 MGRKIRILGLHGYGTSGAIFSSQTTSFRRFLQQQQQQHDQTGDG DEFDFDFANGPLDSGPAAGIELFYNPPYYQWWPADPTLEDMTKARERLKIYLKQNGPY DGVIMFSQGCTLGASLLLEHYKETPQDPPPFKFAIFICGGPSLKQLEAEFGFTIDAEL WEVDRASRKALARRADTAAILAQGSNRWQNDVNDITDYSIKELVQMVRGPYQIRIPTV HVVGAKDPRNLAGHQLHALSHPDITKIYEHEGGHDIPRNETTSTTIARLVRWVSSSMI WDKAKQINGI TSTA_030100 MAVIWGLDLHEMQWSKFKSSYMFNRIYHLRRVKMVIYQIAMILC VCSESVGTAALSDYVDQQSFIEKMNNLAAEYNDDFVGIASYNIFVGIAVATIFGAAFF FDLFWPERHESRSVRLSWKISAVAVTVMCFADAIAMTVIVATRHAYISGVDAAEASRL LEASIKNPNLYYRHNPKCIASVVLLWPGMIATIGSCYLLIASQNHNDKHGVFSRYGRE KRDAVREVKAKTQPTGVIDSEETAV TSTA_030110 MIEPTATISPLGRSDGSAIYTCPSTGFQILGSVNGPIELPARRD AQKPEEATIEVLVKPATAQSAIGERYVENILKSLLGKVILGREKGFARRGIVVTLLIQ GSSSDGKINRGDSYLPALPALLHAALLALLSAAIPLSMTYTSAIVAVSSSNQIIREPS LDDCAKAKSLHVLAFSSKGHLLLTESQGSFDFDTWDRVYEQALSICRDSKVTSQDGDI SMDAKHNLENFVRETVEHKLALDYAWSDTAA TSTA_030120 MPPPAPDESPVNTGIRAIMPVPTEMPAAFTNPILSPPNAGRDVS SSSPEFTLARPSLAALQSHGSSTSSMSAVGGLLLRDYARTADPSTTDQDIHVSEAPVE RQSDGIAPAHQQVEEAQRSTAATADKSVTSAPSTPFLPLRSFRKHRQSSLSIDTIPRQ TIMKALASRPPLSRNTPSFTLASSLNGVLNGAAAPNGVSPDETEQNTANSQTLSAALC NLQSQASAYSDGPLATARLPLQSPCFFHQRFDDAVNIQKVLEEIADDEWLSHSRLVQT ATGVREVSKQLQRRPIKRAVKTVMIVTKARDNRLVYLTRELAEWLLSTPRYGKDVGVT VYVDSKLRNSKRFDAAGLQAKDPRFESMLKYWTPDLCWTSPEKFDLVLTLGGDGTVLF TSWLFQRIVPPILSFSLGSLGFLTNFEFEKYKEHLNSIMGDGGMRVNLRMRFTCTVYR ADRSKGAAPGDVEEGEQFEVLNELVIDRGPSPYVSNLELYGDNELLTVVQADGCIFST PTGSTAYSLSAGGSLIHPSIPGILLTPICPHTLSFRPMVLSDTMLLRIAVPNLSRSTA YCSFDGKGRIELRQGDYVTVEASQYPFPTVVSGGGEWFESVRRALRWNVRGAVQKGWN GDVCENAEDGVEEGNVDFDIDFDNATTGTDSGVGPSEDGDTYGTGPGSPMRRQMSLLS V TSTA_030130 MVSRLNPHADSSYFAAGASKEQVYDQVLEQATGLVTGQRNWVRT PRHRTSIKLDEGKETNTDSNLANVSSLLWHAYASLPKPSSAVNWAGFYVRDDLFPLLA SPKRSNSISGLTTTTISTSYASHHDKKLLLGPFQGKPACQEIRFGRGVCGTAAQKKET VVVPDVLEFPGHIACDADSRSEIVVPILFEGETVAIIDIDCTEPAGFDEVDKKYLEQL AQLLADTPLYSLVTDPSQYQIYKVNTAPLHSPVTAQLKKGQSASSLPSSSLLQTIKGP PKNNHIDSAQRRTISSSLSTSTISSLWSADPPESPVPPWSAHSTTSLSKEIYSSDHQD HSVLLQQQHSGRHQQEPQQQQQQQQAALFDFSLFEHDSLNSSLQGACAAPDSTLDQFT GALDLDLLIDPTSAESQLSFDFLLDFGSDQGHPFDITTTSLAIPSKPATSIQATPSIT IVEDLSSACSPANMPDGGSILAPSVDLVNMNMEHFYPSNTTSVSPNMASIPSRGCPPL VTQFQKQQQPLLAPKQHTPPSSSVSPALSHIHSIDLDISNSNTMQAPKSKKRSRAEST GLDLSSNNSAQDSEEERIVEKRRRNTMAARRFRQRKQNHVSELESQLSKVTKERDDLR LQVAKWEGEVMALRKLLEMKK TSTA_030140 MANELTFKPGPSITISDTVKVESLLSSTGVANFLGIPYATVPAR FRQCRLLDLESATPSDGGVLKATEYGPVCSQPGEIWESDRAFMLEGLRPLLKVNGKVI KSSEDCLRVNIHVSPETLVSGAKKLPVYAWIHGGGWTIGDGNTGADGNYLVRKAMDLG QLFDSIGINYRLGYHGFLSSKELEDEAKESGETYFANKGLHDQRVALLWIQKYIHHFG GDPDNVTIAGESAGGWCVLAHLLSNVPICKRGIIESTPIWTFPPLEDAQRTFDRMVAS TGLPDSATGPEKLQALRALSDDEMGRLLNGVYSRPIWDPNWFVYQKDSSTPMERLDKF PSWVQGVMVGWTKDEMALWGCTQWTTMTPTDIITWIKDISPNPPFAEELMQVYHISEH QSQQSNFAALLKMSTELIYGCVPPLIGSHPTPKVWVFRFDQTDDFPQSAYRGYSYHSL DVAFVLCTPSVAGPEADSDYRQTSDRITEAWASFMCGESPGWGPFTETKDVMLFDGSR SRVIQWCEKDAVWYTLATSEEREDWLRSIGRKLLSKKRDL TSTA_030150 MASESLLHRIRRDLPVIGYCLIVGLAATSFGFDQGLTGGFFSMK QYNKDLGYYDKAKGVYAMTAESQTMMMGIEIGSVLVAAVISGYIGSKWGRKVGLVIGN LIGILGVGIQMISHFAAAVVGRALMGVSIAFAAQFCIPYWSEAAPVYLRGFIVVFYQF FINLPTFIGSCVDQGTYKLDNPLAYRIPLVVAMAAPAALLCLIWIVPESPRWLITHGR IEEAKVSMSKIRGRHASVDDVDQEVREIIAYVELEKALESSTSYAECFKGTELCRTLI ASVIAAGGQLMGVGFIGAYSTYFFDVIGFSNAFLVTVIVSLCSVAGVLVAFMIVNYVG RRPIMITGSGVLTFCMLAFAVVAQATPARNKAAAKFIIFCICCYSFTYSASWGSVGSV MMGEVPSNRLRSKTLAIAMSASWVSALTVITAIPYLLSAQYANLGTKVGFIFGSIGVC IFIVSWIIIPETKGRSLEQLDEMFMNRIPTREFKSYVCTGLVAGYDVNTFGDEKRAVM GESTHVEKV TSTA_030160 MGISSVFVALSALVAGGAFALPAPEPVAPAGPFFELRSGNTSLS NLARRAEPVNYNQDYVAGGANVQYSPNQGAGSFSVNYNTQGDFVVGLGWQPGDANPIN YSGTFSAQGVGILAIYGWSTNPLVEYYVMEVHDGYQTAGTHKGTLTSDGGTYDIWEHQ QVNQPSIQGTSTFNQYISIRQSPRTSGTVTIQNHFDAWANAGMNLGTLNYQVMAVESW SGSGSGQISLSKGTSSGSGGNGGGSGGSGGSGGSGGSTTGAAQWGQCGGTGWTGPTTC QSPYTCKVINPYYSQCQ TSTA_030170 MPIGNIYVIAATAVVGGGLFGFDIASVSAQLTEPAYLCYFNQGP DGPPFNDKKICSGPTSLHQGGITAAMPAGSWLGALVSGPVSDRIGRKWSIIIGCIVWM IGSTLTCAAQNIGMLVVGRIINGLAVGFESAQVPVYISEISPPTQRGRFVGLQQWAIT WGIMIMYYISFGCSYIGGQDPDNYSTASWRIPWGLQMLPAVFLGFAMTFLPESPRWLA RQDRWEECHGVLTLVHGKGDPNHPFVQVELQDIKEMCEFERRHANVTYLDLFKPHMLN RTLVAYWYELYDVLHGYIFTMAGYNGNAALLASSIQYIINVIMTLPALVFIDRVGRRN LMLLGSTLMMTFMFANAGIMGAHGVIIPGGINGVKAESMRVSGAAAKGLIACTYLFVA SYAPTWGPASWIYPPELFPLRLRGKGVALSTSGNWAFNTALGLFTPVAFANIRYRTYI IFGVFNLAMTIHVFFGFPETAGKTLEETEAMFEDPNGIPYIGTAPWKTGVSFKRMAAI ESGALDVKSTLAGTNLHVEEAHTAPVTEEKAVESTAAAV TSTA_030180 MTQLSDSPIAPRQSEKAKIVKDVSQLVLSRRTRMCNFLEYKDQK VVYRRYASLFFIAGCSATDNELISLEIVHRYVEQMDKYYGNVCELDIIFNFQKAYFIL DELLLAGEMQESSKKNVLRCISQQDSLEDIEVSG TSTA_030190 MKYLPLPQIEEVSNALNFDTPDLHVVGGCDLYITKAASDDRKLY HKIEQSLESQYESLLKLSASLSPPTKASAPNNVALSLNLSRSSPFGPLTEHSSRRTFA YLIATLNASHPDYDYSHVLRPTDFRRERSLKKVMNTLDMTLHNLRPHLRDDGSPSPPT DSFSGSYSTSSTTAWGPRMWKLIDEEMSLNDCAIFSYSPEDDMNDDDDGAIWSHNYFF FNKQRKRVCYVYLRGIPIMSHDDDEIMAMWEDDGVATPVGKRIPDDSYYTPDITAKKR QRYWLGDLASDDEPISSSTRRIGAVRAMSEEMVDSMEV TSTA_030200 MQLNDRLKLRRYGPTRRLLRMGLILTIIWFMLETYYIHQLLLNA SLFDKPIKPGHHYPGRIFIASLHWNNEAILRDDWNDALVQLVSHLGPTNVFVSVYESG SWDDSKGALRELDARLDVLDVPRNITLSDVTHQDEISATPTAAHEQEGWIDTPRGRRE LRRIPYLARLRNWGLLILEDLARQGIVFDTILFLNDVVFTTEDVLTLLDTNGGSYAAA CSLDFSKPPQYYDTFALRDSQGHEPLMQTWPYFRSWESLDSLLSMSPIPVTSCWNGMV AMPTSPFLSQTNTPLRFRGIPDSLALHHLEGSECCLIHADNPLSSTHGVYLNPKVRVG YNRAAYTAVHPLKPQNWISSSFSPSSSLARIVVSFWENRLRRWFTMPVFERRVIRRRV KNWENEEGNRHEPGEFCLIDEMQVLVSNGWAHV TSTA_030210 MPESIPIATTQEPGVHPSEDVGSPPKSPKSQFSKHILLTTYPGQ NGVDPVPLQWGAADAKSRGPIVASRHPSQLKRRNAMGAHGGSYSIYNALAIAAGDLPT DFKPDFNNTEPTFDFPQQPAWSDPKKIVSLDPFGHDIVKQFKSYLDVGWDLRPSMAIT RANMRLAEIEKAVSEGQIEVDGSIVVDKNGDVRVTKVAVEPVWYLPGVAERFGVDEGT LRRTLFEHTGGSYPELITRPDLKVFLPPIGGLTVYIFGPPERVSDENVRLALRIHDEC NGSDVFQSDICTCRPYLAFGIQEAIKEAQNGGSGVVIYFRKEGRALGEVVKYLVYNAR KRGGDTADKYFLRTENIAGVRDMRFQALMPDILHWLGIKKIDRMLSMSNMKHDAIVAQ GIKILERVPIPEEMIPQDSRVEIDAKINAGYFTTGKKFTMEELAQVKGRGWEKWEDVT H TSTA_030220 MSSTLPANARISTNPFVESKLNHLRDRSLGSSAVRATTAEIARI LAVEATQNHKPDHGKIGLIVVLRSGLGMSDAFLSQFAPDTDVVVYHIGMFREKYSLQP VEYYNKLPNNNTDVKRVYVIDPLIATGGTATAVIEALRDWGVEHITFVSMLTSQQGLE HAAKAWPEGTEFVIGAIDPRLDSNGYIEPGIGDIGDRLFGTGLSH TSTA_030220 MSSTLPANARISTNPFVESKLNHLRDRSLGSSAVRATTAEIARI LAVEATQNHKPDHGKIGLIVVLRSGLGMSDAFLSQFAPDTDVVVYHIGMFREKYSLQP VEYYNKLPNNNTDVKRVYVIDPLIATGGTATAVIEALRDWGVEHITFVSMLTSQQGLE HAAKAWPEGTEFVIGAIDPRLDSNGYIEPGIGDIGDRLFGTGLSH TSTA_030220 MSSTLPANARISTNPFVESKLNHLRDRSLGSSAVRATTAEIARI LAVEATQNHKPDHGKIGLIVVLRSGLGMSDAFLSQFAPDTDVVVYHIGMFREKYSLQP VEYYNKLPNNNTDVKRVYVIDPLIATGGTATAVIEALRDWGVEHITFVSMLTSQQGLE HAAKAWPEGTEFVIGAIDPRLDSNGYIEPGIGDIGDRLFGTGLSH TSTA_030230 MASENPNEGKSLADRITKPDAESTETQTSGGADTDGASEVQGGS NLQEPEYDVEVKLSDLQADPNNPLFSVKSFEDLGLSPSILQGLYAMKFLKPSKIQERA LPLLLHNPPTNMIGQSQSGTGKTAAFTLNILSRLDLSTDEMRKSPQALILAPSRELAR QIGAVVSEMGRFMEGLSVAMAVPTEGKRPGRLEHPVVCGTPGTVMDLIKKRILIVNKL KVLVLDEADNMLDQQGLGDQCIRVKGFLPKTVQVVLFSATFDDQIRLYAAKFAPNANK ISLKQEELTVEGIRQFYLDCVDDNDKYNVLVKFYGLLTVASSIIFVQTRQTAAEIEKR MTKEGHTVASLTGGVEGSVRDKIIDEFREGRAKVLITTNVLARGIDVSTVSMVINYDI PEHYAPGRSRTADPQTYLHRIGRTGRFGRVGVAISFVSSREEWQMLMDISKYLHTNIE GVHTRDWDEVENLMKKIIKNPRARGDFPTTA TSTA_030240 MTEVSLNAQFGAELKDAFKPVNLWVSNGIAWLDDIQQFYRERSG IEREYASKLSALCKKYSDRKAKKISSLSVGDTPTMTPGSLESASLTTWSTQLTTVESH AAVRDKFGLDLITQVADPLKNISIRYEELRKSHVEFYGKLEKEREASLGELKKVKGKY DGVCQEVENRRKKTESSFDYNKTKAQAAYQQQLLEMSNSKNTYIINIHVANKLKNQFY HEYVPEVLDSLGDLNETRVEKLNAFWSLAVQLEKNALTQSTELLTHLGNEIPRNNPKL DSMMFLQHNASQSQEPPNLVFEPSPVWHDDDQMITDESAKVFLRNMLMKSKVQAKELK LEAEKQTKEVEAAKRIRDNVRQGKDKRDEVDVVRAIFNLQEKLHETERKRLTAEVETL TILAVVGDLSLGARNHNFKSQTFKIPTNCDLCGERIWGLSAKGFDCVDCGYTCHSKCQ MKVPAECPGEQTKEEKKKLKAERQEQAQSAPTVSEPTPSPSNGAGAEMPALTRRDTMN SLSSGYAHSAQRSVSGSVSSSKPSGEEPAEPSAAPSRPSTSTTTKRHRVLAPPPTQYV SPTPAEALTLNKSEQRGKMIYAYQAGGDGEVTVNEGQEIVVLEPDDGSGWMRVRAGSR EGLVPSAYAELAPAPSPALTERPPSTYSNSSASLAGSTTTKKVGPAVAPRRGAKKLQY VEALYDYEARSDAEHSMSEGDRFVLVSKDSGDGWAEVEKGGQVKSVPANYIQEV TSTA_030250 MDYIERLLAFILQNRRGHDDGLIPRTPPFAHVREPTITLECPDI GPTGSKIPPKYGFFEAGEFPTLKWTFPPTETGAEGQEDGEGRKEVKEWFLAVEDPDAP MSEPVAHGLYYSIPAERRSVSHEDFKKLSSSDSTDYFTLKGGFKYGLNRRKTVYIPPR GLLGHGPHRYFFEIVALSEPIDTEKLSVTGATREELVKKLEGKVIAWGQWVGVWERHI VLYGQLQDRWM TSTA_030260 MSGQAASYYQQDQVQPAPKGQYSHNQSYNNYNGREEYKNPDYQR GFPPQQPPQPYPDNPPSYDELFKIEKPKFHDIWAGLLFIAVFLGYVAVSGIAIHEYAK TTGFNGGGIYGSSNTFSLDTNTLILFIFVLCVALALSWAYFLGARYFTKQFIWITGIL NCVFAIGTAIYYLYRKQWGAGIVFLIFGIFAVICFISWIPRIPFSVVMLQTAIDVSRN YGHVFIVSAVGGFVAIALSAWFSVTLVSIYVAYEPNGNGTNPSCGPGGCSTAKVIGLV VFVTFAMYWVSEWLKNTIHTTIAGVYGSWYFGAGSPPKGATRGAFKRATTYSFGSISL GSLLIALVNMMRQACSIAQQQEAAQGSMLGSIAFWILGCFIAFLDWLVQFFNRYAFCH IALYGKAYVPAAKDTWTMIKDRGIDALINDCLIGPVLSMGSVFVSYVCALLAYLYLEF THPSYNSTGTFTAVIMAYAFVIGLQICQIFLTPIGSGVDTIFVAMAWNPEILMRDHPD VYHEIVRRYPRVQQMIHA TSTA_030270 MLSSGSEKKPARRRVPDSQRRRTLVSCDRCKTRRIRCCRNNENE SCTSCLNGGVKCESTLPRKQRVYGSVERFSLRYRALDALVRGLFPNEDTDDIEVLFQL GRNHNIPMPSPDDESHAPEAFNQDTIAATSKSPVGNTDVQMIKDDCPAFEVVYERMVP GPRRILHYVGPSSSSEFASIIRHLVTRYKKITNPTSCSQAQAHDKKATSNLLHSRSKA APSFANGHSIDSAIGEGQQTEMKSWGARKMTPLQQGQASLPSSKRPSQDLSSGVDKSS NLRSLLRDLLPQRRLCDALVQAYLDNLHGPFQVFYKPIFQLRYNLIWDNEIAQTGNTD VGWTCCLFMVIILGADILGPEHCPDSILIQTKYMRLVRDSFQALVFTATLENVQALLL LQLYEQNSGERNTSWLILGLAVRMAITLGMHREGTYASFDKAEAHTRRVVWWTLYQVE FNMSMILGRPPTINAKEVNVSLPEDGALDGIGYPLGFEDHYLKMTNIGYRVRRLITIV TPKYTDESALLSHQEQIRLLLQQLEAWKAQLPHHFAPESPMVTIRHRRAVILLQALYY HHRSVLTRAFLACRSSRSIDRLSQEPNQDLGPVTAVTEYFSRECRTSSLALLDCFLHL SKYGLLEGVAWIDFYYICHSVMALGLFELGQPSETASDPEYELSTSKIAAVNDIVTQV RLAPTYKIFTKVTLGFARTVGLGIRKPSPAEEVGTQQQVFQEPPQPSTLSSPYTSVPY GQVPWSMPEMNNSPMVPAPTENTLSPPGLLGWFWHEANSGSHMPWDMFNLGNIQLSGA EYMEAPPQSYTTYTGQQHWPS TSTA_030280 MKAALASLAATVSLVAAVPQKLHGRDSGITPITVKGNAFFQGDN RFYIRGVDYQPGGSSKLVDPLADADTCKRDIAHFKDLGLNTVRVYSVDNSADHDDCMS ALADAGIYVVLDVNTPKYSINRADPEISYNDVYLQNVFATVEMFAKYDNTLAFFSGNE VINDGPSSKAAPYVKAVTRDIRQFIRSRNLRNVPVGYSAADIDTNRLQMAQFMNCGTD DERSDFFAFNDYSWCDPSSFTTSGWDQKVKNFTGYGLPLFLSEYGCNTNKRQFQEVAS LYSTDMTGVYSGGLVYEYSEEGSNYGLVKIDGSDVTEKDDYTELKNALKKTPNPQGDG NYNSTGGANGCPASDPPNWDVSNDTLPAIPSPAKKYMTDGPGKGPGFSGSGSQDKGTK STGTATAGSGASAATESVSTTSKGAATGLRAPGLTFTPVVCSAAVALFTLFGASLVIL TSTA_030290 MSTHETAADVENEALDKKNVRVVSTTNESVGIGLKDSFPETARV IDHEAERALCRKFDFRLLPILAFMYLCNALDKGNLGNAKTDGMDKDLGMTGSQYNLLL SIFFVPFVVFAPPIAMLAKRFSPAKVISVMMFSFGSFTLLSASVSSYSGLFALRWFLG MSEAAFFPLVIYYQTTFYRRGELARRLAIFYAASNIANAFSGLLAFGVFQIKDPALQG WKYLFLIEGAFTVCFSAFAFFYLPASPQQARFLNEEEKQLAFYRIQVDSSAVVNEEFN LRRALRILQRPTSYAFLAIEICLGVPLQGVALFLPQIVARLGYSTVKTNLYTVAPNVT GAVMLLVLAFSSDATRLRSPFIVLGFIFTLVGFVIYAAINDVVAQIHLAYYACFMMTW GTSAPSVLLSTWYNNNIADEGQRVLLTSIGVPLANLMGLVSSNVFRSQDAPKYMPALV TVASFGGAGALITACLGVYMWFDNVRRNRRAGRKIDARDVPTERLWDGPKTEEFRWFL TSTA_030300 MAEFEQWATSQDGIDKLRLIKANLPKPGPNEVLVKIHTVALNYR DVEVTKGEYTHHKQGGDIPSDLVPCSDMCGTIIEVGEGVSTQRTNEQVGLTRGDRVIS TFNQAHLTGQVTGKELATGLGLPLPGVLAEYRVFPAYGLVKVPDYLSDEQAALFPIAG LTAWMSLYGLRPVKEWDCVLLQGTGGVSIAGLKIAKASGCKVIITSSDDQKLARAKQI GADYTINYRKTPDWEKEVLKYTSDHGADIILEIGGSKTLRRSFDCIAWGGLIASIGYL SGKQDDQVQDLLNINVLALRKNVTLKGILNGPRDQLEELLAFAEKNKITFVVDKVFEW KDAKEAFKYVDAGSHFGKVIIKVDQTA TSTA_030310 MSSSTESTKTTFAQKAFFRQPFYAKDGKTRLPHYVAHRGFNRVY PENTLSAFQAAIDVGCQGIETDVQISKDGVVVISHDATLNRCFGIDKKINECDWEYLS TLRTIREPREKMLRLSDLLEFISAEGRDHIWVLLDIKRNNPANVILPKIAETLKSGPY SSQPWNLRIVLGCWTPTYFPICKEYLPAFQPAVIGFNIVAARKVLRTLPEVLFNIHFK SVKGPLGYDFIDAVHKHRDPDSDNNEPRLIFGWTINAPRDIRWAIRHGFDAVLTDDPA TCKQISDVWDDAYSQKYEREDNKVTVGERVYLTLWGLWFVFFGWVFPLLYPYLQRFLV ERPAVKSNIRGSSERT TSTA_030320 MFAPQHNNVWVVSMTRMKATDKGFAASSPPPSAGMKALRPGPPP RIDPAVANQPFLSLSHGSPSSSLGSHPLTPRMSPSRSQTSPFPLTPSSLEFADEGSAF NSNPAATIRSALSPTLTTKSPHRATTRSETPTINSDTAITDGSEGSHTRSPSIESKST YRTSVSSRRYRESTSTYSSRGVSISIPRSPRNFMDEVPPIPTGPLTSFRPSSQISNTG TNLSTSPPKTKPVGYGAFDLGITDEPQSYESAHGTSPPKEDTLTRSQTMSNAVNDSLD PNDNLLTRWPSQPSPTVPGRPSLPRQSNTLSSTTGLNPLQNSQPASNFNPGLGLDKTA YHAPVGSTSSSNSSRSETGSGSSISSPASDFDRKPSDLTQIDSMLQELELDQKQSPVE RRPPPPSLDSTSLEPPRGVGNFDRGPDSPTDPTLVGGSYSTRNAPPPEPMPKSPLREK SPAEYGQQVSRPSTAGGQKRRCRGCGQGIVGKSVSSADGRLTGRYHKACFVCFTCRSP FETADFYVLDDHPYCSQHYHELNGSTCATCKQGIEGQYLETIENSTYGTGNPQKFHPD CLTCRTCRVVLRGDYFEWNGQVYCERDARRAAAMTAPPPGRRMPSSPLAGPPGFPPGP PPPTGYRGPPRRGGPPGPGPSRMGPGGPMMPRGPPPSGGRGMDRPPPSSRQGGSLAPP GGARRFPERRTTKLMMV TSTA_030330 MAPHANENGAVNSTVNGLAGNRNEKTPLFTVDSPNVVYTEDAIE TKYAYHTTSVTRAGDKLVATPKAQNYNFKVDRKVGKVGMMLVGWGGNNGTTVTAGIIA NRRGLVWDTREGKRAANYYGSVVMGSTIKLGTDEKGQEINIPFHDMLPMVHPNDLVIG GWDISGLGLADAMDRACVLEPSLKELVRKEMAEMKPLPSIYYPDFIAANQEDRADNVI PGSKASWDHVEHIRKDIRDFKAKNELDKVIVLWTANTERYAEIITGVNDSADNLVNAI KSGHEEVSPSTVFAVACVLENAPFINGSPQNTFVPGAIQFAEKHNAFIGGDDFKSGQT KMKSALVDFLINAGIKLTSIASYNHLGNNDGKNLSSQKQFRSKEISKSNVVDDMVAAN SVLYKEGEHPDHTVVIKYMPAVGDNKRALDEYYAEIFLGGHQTISIFNVCEDSLLASP LIIDLVVVAEMMTRISWKKADGSDDYKGFHSVLSVLSYMLKAPLTPPGTPVVNALAKQ RAALTNIFRACVGLQPESDMTLEHKLF TSTA_030340 MADTVGKTITCKAAIAWEAGQPLSVEDVEVAPPKAHEVRIQIFH TGVCHTDAYTLSGKDPEGAFPVILGHEGAGIVESVGEGVTNVKPGDTVIALYTPECGE CKFCKSGKTNLCGKIRATQGRGVMPDGTSRFRARGKDILHFMGTSTFSQYTVVADISV VAVTDKAGTDKTCLLGCGITTGYGAAVVTAKVEEGSNVAVFGAGCVGLSVIQGALKNK AGKIIAVDVNDSKEAWARKFGATDFVNPTKLGNQSVQEKLIEMTDGGCDYTFDCTGNV GVMRAALEACHKGWGQSIVIGVAAAGQEISTRPFQLVTGRVWKGCAFGGIKGRSQLPS LVDDYLNGKLKVDEFITHRETLANINTAFEQMKAGDCIRCVVDVRA TSTA_030350 MDGLNASEQRELAARMERKQMKEFMQMYSRLVQRCFDDCVNDFT TKSLHSREEGCVLRCVDKYLKSSARLGERFQEQNAAMMQSGQLPGR TSTA_030360 MTTRTSQPLAAQPSSQNFTTQANSQEPTPPAAPTKRDLASWWKN FKRNTKKDDDNKGPQPGGIFGVPLNVSIKYANVAISLTNDKGESFIYGYVPIVVAKCG VFLKDQATEVEGIFRLSGSARRIKELQEIFDSPERYGKGLDWTGYTVHDAANILRRYL NQLPEPIVPLDFYEAFREPIRNHQRQAVGSTEAVDIGDFDYEKAVATYQQLIRELPPL NKQLLLYILDLLAVFASKSDKNRMTSNNLSAIFQPGLLSHPVHDMAPLEYRLSQDVLI FLIENQDHFLFGMNGTAADPQTVKEFSNTTAATPGTPSRSSGIRRSASNASGGADSLR KYETLRRNVSVSSRNSGNTQSPGTPTSTVSTVKRSNTVPSKRSPGLVTPRLGRPGESG SSSSAGLTSAAEVNHNLPSESRSPAVEKANEAPKPATQPPFEKGSAEASQFLEAQSTI ASPPAPLLTPTKERKISSFFAKSPPTSENKEPRQPNRLKKKRIPGSANVSAQSSSNSL SAATSNESAHFTSISQAATPVAAVPNEGISTIPEIQSNSVGLPEGVNSYHQHQPSEST LKPNRSRTPSMHSKSSYTDQSDYDQYEDVSRSEKRDNRRSWRFPLGRYNYGQQTSSPP MVGSNSGAEFSTSSIGSLSAQGQSFTNESYNPSTDVTSVPDNEVGRSGTTTREGAPGV SSDNEKLSLFDKIKAKVAPKKDGSERAKSPPDSQSNAVASSRTQIEQPKETEPRSEQA QQPDLQAQRQSPLQPTVVIQPEGAPPSQPTTDPK TSTA_030370 MTTLSPTVSSPSTSSKAPPLQQTSSPTEKAHAIDILPTPVAQAY SHIHPTILLGLYSLRFSSLVSDPVSTLWSDLPLYTIMQAVYVVTCLPQAGSLQQHQHG YGHGETGSGDAGDVKKTPSGKRKRHASSHKSDTLSQKFTASFISLTLTFLLGTPVLSI LLILFGAPFTTHTAHTVLCAAHMALLTVMPLVYVHGVDSLVWKQVWAFARPADALWGG ALGACVGAWLGAVPIPLDWDRPWQAYPITILTGAYLGFAIGQLLGRSPLLYGKRIEFD TSTA_030380 MPPYIVPGADVVDNASDGTRTLVSRASTKTAVVGGQGTISPDKI NMQGMLALFAILGAAFVLATIWFFFWAKNGGCVFRKGDWEDYKSTVLRRKGPDGRTLS NATASTDLGGGTIRGYDDDNLTYTDMTETATEITNEKESARGGRKNKRNLKETAKEKL LRRTKAEKWEGEADDDMRAYRQEKPARVGGINREAEGTYYGTEYTPSSPPTAYTESEV YRSPPQPEEDRRRRDTRNVSGFSFTAGSEDVISQATEEHLIRDPAHTRREARRQRRER EERRSRQNSPRKQHQTNRTSMPGGYTEPLDFSSRGTNSEYQYSTVDTEDDLGTRSYHH PIPGLSKGYRRDRDGGGRRRRDSLSDSE TSTA_030390 MAKKVQQVPSAAYIEDYDDQTGDVVDGTRQSANIAAKRSKSDIR PGEFGHLDFASASDSGYSSRTTTTGGSGQSRASGRPEPGSLTINTSAHSRVTGPPPPP LQQTRVKESRKEKGKQREEKRASADMIHMERQRHANDMAAPVRSPSKSNRRESASMQH QQDIYWGCLNGYHNVHGMHPPPPMDPRAAYASSYYYQQGAPPVQNIPPASPQSTQFGY PYGAADVMIAQSRQRRPSRSSTYHSDNRPMSFHGGMQEPVYNPGALPMGQYERTPSVY SGYFTQPPTPRQQYYAEPDSPYQAIYERSSISRPRDQARRGSIYGRAAVDQSTPKASY EEGSFLERQISREHRPRRMSQSQRRPETYDEDESFYRMPPPPPPKPKVVQPKVQPKII HQKRPDLTHKAATTGSMPPPTNRRMSQSRDSWDMSELKQALPHQQIRKISAAPERSRS MRTRRTSYHESESGRQIAVEDSRRRRTQYHDEEPSIRDVPEVRERPMAISEMEQKQRN AEEYQASKSGRSGVSRVPLTEDALRMKAKIAQRVDSDSGSQKTRSNSSRGSDARTRDG SGVGSRVDDDGGFTMTMNGMTIGFTHESVTGKRIHLRTNDHGSIGLNIEAAERKLRMA GAPEKTDDLIVLLGDPAARPTAVALINYARNVVVV TSTA_030400 MNREPQPVGESPAFWLSACSFLDVPDDGDDIHNTIGLIRNTNLL QFFPPFHMAGLFFYMLTAILDSSLVVNHPAAAITAEHVINIINQGVTTSLGAADSILT DLSRTEAGLEALSKLDKVIYGGGPLSPQTGNIIAPRVKNLSSALGLTENGLMHCIALR GTSHWDCLRFNTRVGYRFEEVSPGVSEFVISLSPKHRVFHPVSWLFPDLEEYRTQDFE STGTEVLTRTKITNHSSAESIKSLAKKLYAQLLNSDEGAPMLDDDDNVFELGMDSLQV VVAVQKLKAALRSQNLRVDTSNIGPHFFYTAPSSNKRARAIDRLINGVNTDNDHSEDV NDKVPIRQIYMQAMIDKYTTGLDAKLAPKKGRTDNLTVVLTGSTGSLGSYVLHSLIKS PQIAKVVCFNRSADAQERQTAGNKQKNLLTPWDSPDTESNPVEFLTADLSKPDLALNW MQKNPGQSVLETIIHDLDSPEFLGYGESKYISERLVEAHSLTSGYTSSVMRVGQIAGP VLSTVGIWNVQKWFPSLLASSKHLGLLPDSLGTMSSVNWVPVDVLARIIVQLVDQTCN THAEGENDKKIQTEFEVTNLLKDSSEASSLRAVSSHWMKIWLKQWAF TSTA_030410 MAAPSQALAEGQSIPSVEGLTLHSTNETSKFAGCYPSLNPVDIY REHIAEKLGEAAGVDPQLVYGKLAWTSTLDKGDLNLAVPALQIKGKKPQDLAVELAEK FPESDLVEKPTTIGVHLQFFFKPAPLTKTVISRILENKSTYGTNGNLGLRDPSDPSKG KKKMIVEFSSPNIAKPFHAGHLRSTIIGGFLANLFTITGWEVIKMNYLGDWGKQYGLL ANGFERYGSEEALTKDPINHLFDVYVKINKDMAEQEVPIKELRETIKNKKEKNEDVSD EEVELQKLVDASDDEKARRYFKKMEDGDPEALALWKRFRNLSIEKYKQTYSRLNIDFD VYSGESQVSPESLQHAYETMERTGVSEKSEGAVIVDFTKHKAKKLGXXAPLYTLPETS VLLSNDTTKLMGYKDIADKCQHINFGMVRGMSTRKGTVKFLDDILRDVADKMHEVMKK NEDKYVQVDDPIATADTLGITAVMVQDMSGKRINGYDFNLDAMTSFEGDTGPYLQYAH ARLCSILRKAEINVEDLPKANLSLLTESHAIDLARYLAQWPDVVLNTVKTLEPTTVLT YLFRMTHMLSSSYDILRIVGREQELALARMALYESARQVLHNGMKLLGLNPVSRYVHY SCSFVHSGPVLTIFKSM TSTA_030420 MANKRQKAISRSIHRALIRDQENEVEARRYNVLTLLFAFLSIWQ IRLVRISAELFKSLRNEVWNIDEADYVDSFVCNKEKVGGDGIQPMGDLGYSGSTFFKT ADSKFLIKSLPRRSEHSFFQDDFLRPYYDYMKSNPDSFLVRITDFLGTAYSAIGTLCQ CTPSHHVIMENVLCDRDDDARRAEQWETYDLKPVDYFYPERDLLPEPLTSEETMSRLF DEFKDKIRITKQQYSEFKRKIEEDTKFLRSVNTVDYSLFLVRYPAYLRPRTPTDRKSE WREGAISTDGKWKYRAVLLDFFWAKHKLQAQAMTGVVQTFNVIGRKGPMSITTTAEEY REKFLQMVDGLVELQG TSTA_030430 MSSPLSSETLSGVLVPSALLIAGTFFVKQEWVPYAVAVAAVFSA FRILTARPRKVLNPKEFQDFVLKEKNLISHNVAIYRFALPRSTDILGLPIGQHISLQA QIAGNPTPVVRSYTPISSDHEAGYFDLLVKTYPQGNISKYLDELKIGQTMKVRGPKGA MVYTPNMSRHIGMIAGGTGITPMLQIIKAIIRGRPRNGGNDTTKIDLIFANVNPEDIL LKDELDKLAAEDDQFNIYYVLNNPPEGWKGGVGFVTADMIKEHLPAPADDVKVLLCGP PPMISAMKKTTEALGYKKASPVSKLHDQVFAF TSTA_030430 MSSPLSSETLSGVLVPSALLIAGTFFVKQEWVPYAVAVAAVFSA FRILTARPRKVLNPKEFQDFVLKEKNLISHNVAIYRFALPRSTDILGLPIGQHISLQA QIAGNPTPVVRSYTPISSDHEAGYFDLLVKTYPQGNISKYLDELKIGQTMKVRGPKGA MVYTPNMSRHIGMIAGGTGITPMLQIIKAIIRGRPRNGGNDTTKIDLIFANVNPEDIL LKDELDKLAAEDDQFNIYYVLNNPPEGWKGGVGFVTADMIKVRDPYAIII TSTA_030440 MGSVGGEQPTEQKSTPFNLTEVDRQVLAQTDEEFVYHDWEDLKG IIARNDLAILRRKPSDLRRYITWTNDIKSTHGSITKYICLKRLQWWAPHPSLNLVETP IPEIPFKNPTPFADPSDYKVLRNDWPYGVTPDITHIVVWSKTPIATKPENGDVTDESR ALIEGFVDRTFVQRLEKDPVFEGVHKDVIRNNHVLWFKNWTALQSVRSLEHFHVLVRG VPDAVITEWTDESGVVR TSTA_030450 MYIKQIIIQGFKSYKDQTVIEPFSPKHNVIVGRNGSGKSNFFAA IRFVLSDAYTQMGREERQALLHEGSGSAVMSAYVEVIFDNSDERFPTGKPELVLRRTI GLKKDEYTLDHKNATKADVMNLLESAGFSRSNPYYIVPQGRVTTLTNMKDSERLVLLK EVAGTQVYEARRAESLKIMNETNNKRAKIDELLDYINERLGELEEEKDELRNYQEQDR ERRCLEYTIYSREQQEIANALDSLEGQRQTGVEDTDVNREHFIQGEKDITQIDSEIAE CKQQIEFLKVDKTQLEDERREAFRALAQVELQEKALKDNQSAAQEMKARHENELNAVQ TAISQRESELQTILPQFNAAKEQEDAVKLQLEQAETSRQRLYAKQGRNSRFKSKSERD KWLQKEIQETKNSIKAVNAVKAQTTEDIQDLQKTIASLEPEIEKLRKQIDGRGDAIQS IEQEIQNAKDERDRLMDQRKELWREEARLDSILSNSSQEVDRAERNLSHMMDNNTSRG IAAVRRIKRQHNLDGVYGTLAELLEVNERYRTAVEVTAGTSLFHYVVDTDETATKVLE ILQKERAGRVTFMPLNRLKPRPTNVPKASDTIPMIEKLQYDPQYEKAFQQVFGKTIIC PNLQVASQYARSHGVNAITPEGDRSDKRGALTGGFHDSRQSRLEAVKALTKWRDEYES KKNRASEIRKDLEKLDQQVTRAVGELQKLEQRKHQFHGNSGPLRQELRNKRELLQNKN DNLDAKQRALRNIENNLTALEGQVGTLEAEISSGFQKALTAEEEKELESLGITAQTLR RQYTDLSSKRSELEARKSVLEVELRENLYPRLDQLSNPDTDMGDDNTQGTLKEARRQM KKLQEALGKITHRLAEVDQSISEGNAQVAQLENRKSEVRNNLEALAKSIEKHQRRMEK SMQKKAALTKQAAECSANIRDLGVLPDEAFTKYSKTDSNTVVKKLHKVNESLKKYSHV NKKAFEQYNNFTKQRETLTKRREELDASQKSIDELIMVLDQRKDEAIERTFKQVSREF HNVFEKLVPAGRGRLIIQRKTDRALRTDNDLESEDEDRHESVENYVGVGISVSFNSKH DEQQRIQQLSGGQKSLCALALVFAIQACDPAPFYLFDEIDANLDAQYRTAVAQMLQSI SDSTNGQFICTTFRPEMLHVAEKCYGVSFRNKASTIDVVSKEEALKFVEEQKS TSTA_030460 MESDGAAPGLADGQLPPHRFVPNTGYVNTTPNNPAVDNADNTNA PVGEEIEEEDDEYYDDIFEDELEEDVAEEDFSSANPNDFTKSYNRQRRLNEVMADANA PKSSYPKTNPQKPKANIHASVDDQITSLSKHAAKIRLDDQQSGFRGKDGRTVDKSDRA TSEQVLDPRTRMILLQMINRSLVSEINGCLSTGKEANVYHAVTVRDEQGAAGIETLQR AIKVYKTSILVFKDRDKYVTGEFRFRKGYDKSNNRAMVKVWAEKEMRNLRRIHSAGIP SPEPLYLRLHVLVMGFLGNSRGIPAPRLKDVEFDIPDPETRWQELYMELVGYMRTMYQ TCRLVHADLSEYNILYHKNKLYIIDVSQSVEHDHPRSLEFLRMDIKNVSDFFRRKGVN TLPERVVFEFIISSQGPEMVEGNNEPMIAAIRKLFEIRDENGGDAEGTTDDVDTAVFR QQYIPQTLEQVYDVERDAEKVQYGESSDLVYRDLLADKAKAIASSNREVSKTQLEEEY ESEQSGGVSISDGSDGSVDGEGSDAEDPFAKKPPRGKKHEDKEAKRQHKQKVKEEKRE QRAKKMPKHVKKKIINATKRK TSTA_030470 MFYQPGVTDHGLAHAPFEVYMNLCYQLVGYQPEAVPYGVDEFER VSQYISNEPATLINAPMAKESPVKFACGYHFAVRLPGNPPMGTVNIVFGKVIAVCIQD EGLTEGIRSLGQRETTQA TSTA_030480 MAPSAVEVDIQAISAYGPARSTVKVDHPLDAEEVRKMEEYFKAS LYLCLGMLYLRDNPLLKEPLKKEHIKKRVLGHWGSDAGQAFTWIHMNRLIKKYDLDAL FISGPGHGAPGILSQAYLEGVYSEVYPDKTEDEDGMRRFFKSFSFPGGIGSHATPETP GSIHEGGELGYSVSHAFGTVYDHPNLISLTMVGDGEAETGPLATSWHSTKFLNPITDG AVLPVLHLNGYKINNPTLLARISHEELEALFIGYGWKPYFVEGSDTKSMHQSMAATLE HCVLEIKEIQKKARESKKAFRPRWPMIVLRTPKGWTAPRDVDGKRLEGFWRSHQVPIP DVLTNPDHLKLLEAWMRSYGPEKLFADNGKLIPELRELAPTGNSRISANPVGNGGLLR KPLDLPDFRKYGAEKVEPGISIVGNITGLAKFLRDVIAKNTTNFRLFGPDETESNKLA EVYKAGKKVWLGAYFDEDQDGGNLATEGRVMEMLSEHTIEGWLEGYVLSGRHGLLNSY EPFIHIIDSMVNQHCKWLEKCLEVEWRAKVASLNILLTATVWRQDHNGFTHQDPGFLD VVANKSPEVVRIYLPPDGNSLLSVADHCLRSVNYVNVIVADKQEHLQFLSMEEAIEHC TKGAGIWDWASNDQGEEPDVVMASAGDVATHEALAATALLREFLPDVKVRFVNVVDLF RLISETQHPHGMSDSQWKALFTEDKPIIFNFHSYPFLVHRLTYRRPGQQNLHVRGYRE KGNIDTPFELAVRNETDRYSLAIAAIDHIPRLHNKASSVREKFVNLQIAARNTAFEDG LDSEEIRNWKWPFPKKI TSTA_030490 MSQSSAKTSSPPQSKSESIELGPQGGAGHDSRLLAKEPKSQLDT LISYLVAAKRSLSSIYHVSRADEIVNTARNALEESVILSAKTGFLRRGQKNEIRLLYN VRGEIENISHRGRAEFAGVLTELDDVDDRLRQTLDKLRQTIVHPSFRPEGEESKSLHD FVDERGVEELQVILKASIDRSTAAQAQLDTSSSSFDEELRALQHALGRYRTTMELASS RSSLSASSPAASSPGIVTPSAIPPMLRSLESHAQEMADLLESLVHHFDRCVTAVKHTE GGGAVARSITGDMPQGLTVPHTEDTAEDADSNSNDSVDPMTDSDYQDMLGVLTKDAAE AEDVVLEIQERSSEMETILESIIAHRDSVITVYNATTEIFDHLSNLATSRLPEFISQA HAFTHVWNEEHERIRTGMADLADLRSLYVGFLDAYDGLILEVSRRKHMRQGIERILRE TRVKLDQLYEEDINAREAFRVEQGDYLPSDIWPGLGRAPMRIKFSKLSGGRLPAIADQ DDADEVPVEGTAIEDEQSGEGGDYIPDLPKHIVDEAIARLKARAREDSGHLSAYVLAN GDRSKVERPTTLTSNVPGSGPSSTPLISQMMNKTYLFLQPQIRRVFLIGSRILLP TSTA_030500 MNGSGSTAKESLFNPTPRKLGLPEDNTMTPYNGVRSIFDNSSGS RQLTDEHTNQERAISKAKLADENIAPFLAKHIPSQYAPLGADASKTTGPPRPMNSRYC YRHRPDLKCRRQADEPSMDHLQWELQSLSQSDQQGIAHVWSLFSAAPAKQRELMLRGI LAQCCFPQLSLISSSVRDLIRIDFITALPPEISFKILSYLDTASLCRAAQVSRAWKCL ADDDVVWHRMCEQHIHRKCTKCGWGLPLLERKRLRASKEQIEKRALGVSVAPDSSTIA VQTVDATSGVKRTAEDLEGSDSQVVKRQRLPTEDTELHRTNVRPWKDVYKDRFKVGTN WKYGRCSVKVFKGHTNGVMCLQFEDNILATGSYDMTIKIWDMETGEELRTLTGHTSGI RCLQFDDTKLISGSIDRTLKVWNWRTGECISTYTGHLGGIIGLHFENSVLASGSIDNT VKIWNFEDKSTFLLRGHSDWVNAVRVDSASRTVLSASDDCTVKLWDLDSKQCIRTFQG HVGQVQQVIPLPKEFEFEEDHDAGHEEDSNASVSGDESPLRQEPCSPGASFFEGDRPA PPRYILTSALDSTIRLWETYSGRCLRTFFGHLEGVWALSADTLRIVSGAEDRMVKIWD PRTGKCERTFTGHSGPVTCVGLGDSCFVTGSEDCEVRIHSFKN TSTA_030510 MSLPKTFRQAVFKESGGPLVIEDANLNPPAQGEILVKVEACGVC YSDTIAQHNWMGGGFPQVPGHEIIGEVAAVGSGVSGWAVGDRIGGGWHGGHDGTCKAC KTGHYQMCDNQLINGVTKVGGYAEYCILRSEAAVRIPPDVDASEYAPMLCAGVTVFNS MRHMQIPPGSTVAIQGLGGLGHLALQYANKFGYRVVALSRDNKKEKFARQLGAHEYID GSKEDIGQALQRLGGASLIVSTAPDKDSITPLLSGLGIRGKLLILSVVDGLPVNSAIL MRRGSSIHSWPSGHAMDSEEAIQFAGLQDIKCMVETFPLEKANEAYGAMLNGSVRFRS VIKMT TSTA_030520 MPATFVVLSPNHASGQRKQTRGRSFKLSRVASPNRQKRIQVTRA CDWCRVHRIKCDNNYPCQNCHSRQGHCSRRERSKTRTLPSAVREIEKLEERIRQLEEQ LQNPTKPVNDGSLVPAFLPSEQRGSKLREGVYSAGVQCAQRRQWYGLLSNFYYISRLR SHLTIALQQPQSDCNMQPKSASQVFVSPTSSKSADSSLAETALSADLGESGSYLTPTQ EDYFLSLFWESYHCTFQILDEGEFREHYRSLWETSSPTRKSSALVDIILAICMQYGLA FLPREDSNSEPKAMVDGNDATIAGRWYYHRSQMLLNSQLENPTIMTVQCQILTVKYLC NASYQNMAYSGLATAVRTAHLLGMHLEPPADMPREQRELRRRVWWTLYCMECKTCMKL DRPWSAPFSLITCQLPADDRQLALISGSNFASYGENLTWLTYTQQITKMMLAVRTVFV AFADKCGDVVASNSGRGIYTDPQTLEDCAIFLGSKMEVVRSWESQVPAGMKTKRKNDG VALSTDRTMLDIELYAPLWLQRQRLMLELLYHNLLMNLHRPFICFPSTLATENDSSTP LVSPSQSVFSNATPMTESHTRLCIEHAITITRIMHQVLTGSDILSGMHEAFHFQWNAT VSLIGFILAFPLSPLIPEARENIKNAITVFETFGRHFAVGASAANVTWNLVAKADYLT ERFHAQLASLELQSSNTPDLLHSYLGTLTVDNMNLAGQSLDFMELMNFPMSGTTDLGF SLDPFASSEQFFSGSINPDDAWVPNQS TSTA_030530 MNHKYSIPGWAYSRQLPFQWRLGRLAYFAAVTVVALFVFSLAYV YAEEPPFWKPGPAHPPFDDIDTGSTHIIVSPGSRVETDQPDLPPLPTLPPLPRPPLGA APGSTPAHNATSFADDIASAVSSLQKPEGLRVVGVIFYGRRDRSSILECYLRQNLVSS GGWLDEVIWAANTDNKQDLAWLDRVADASGGDYKVVHMEEKGYGNVYEASFKERRTIY VKIDDDVVFIDPQAIPKAVTTLINNPNALMISANVINSPALGWWHYHSDAARSYKPEL EPNETALATRGNGAWKTSELPTWTGEHDLDFTRFENFTDYFDVDTPEDIPKHRWLPTR NETDMYTSSIAATNAEGGPHLTHWEIGAQNHYSFFEHLENNELGKYFLSKDYGKGTIW HMRGYRLSINFIVMHGSDVLDYIDLITGHPQGDDEHQLTVEMPRLLHRPVLVESQSIV SHFSYGPQRYLEKTDIMERYFNYANDNVCPQQSLIDPMNPNKTWKSPSNPASTPQSSP APKEPISSRFFVRKSRDGLTQLFE TSTA_030540 MAVPTQQMLDGRVPMSVSYDGGYIALSYIVSVMGSTTCLELLHR RSSRSGLLNWFIGNRAIVLGDGSNAEQISYNIQFTIVSLVLPVLVLSTAFYAISFEEK PSVIRLLIGGFLTGAAICAMHYLGQLGISNYHCSYRPAYVVGAALIATVSASIALSVF FRWKAAWTNSWWRRLVCAALLAGAVSGMHWTAAVGTYYNRIPGFLGVGQLSRSQTVII CAALSFAACIILSICAIIAGGRRHQSTTRSRQLVLSCAFFDPAGRVMVSPAALLPTRK IVDRYINKSMKDDDFSRAHPAFLWAFRASHNWALVKGIIPSMKNTLQSNELEIKRLMS QRGMVTESDQDTEFNFDTIFKHFFCISAQNLADELRLPLSGLGELYHQVLSTVTQVSR FEMGSRKLPRLGKGQLMFTVRQLDGHEAARFMANGYRFAPIERVTGILSRRIHLSPTD LTIHLHKMRDYASSDKGYSPGVHLVAFGLRPTIREHFEVLTRAGGGMPLPSSTLPVSS LKKSDLELLNSMDGGGFTACLKQLLALRGTTGGSSGSVSSVDTLSTMSEESFATHLYR AMLELMTILPENIASAARFSAKPLLAPCHRQSPSDPEQCVLICFRVVSALDTRLSDTN LTFTPLRLFRVQEQVNDKISERERFIRELNAEFASYSAQSTANHKQEEPLAQPLRKVI MFPNRIWSRIQSGVLNQKIQKPGHLQHAISQESLVRVQQQQLEKSKSIFSSVPKSPLL GGNPPTRNALNPFINEILVSKEVTVDVARLDDVELTDISIKRRSSPEQPNTSAQQSND AISDYQVDGDVSYSTASLANTERKTVIEGGLSRFGATYVDELYGLCLGREVRMKPGFY NSDSTGER TSTA_030550 MTICKTCLPVSSQALAPLCVAMVSILQMVHIKGLRAEVCPVNLH AYLTTYANLHCAFGTILGPGVLRECLNNCSFHRSFSYSFCASAGFCDPSPWWLICHGC NDEAKLSLHRSQTHGHRTEEDIDATVTLMTRAHQPEQETRPWKWVCHI TSTA_030560 MEDASCPFVHHAGNNFPEKASSFLFVNKNALSGKLTRSDTIDEK VNILSHVQQRRRQRAEKVIKSTLGWEKSGLSVIATSKEQTASKSNAQHVPTVQKSTKD LLRIYPMDNATDPFHSTIVGTEAQMWTKIPTRCASILKTVNHGREASRIHLQAMIELV KSIGGWHKIDAYVLESMILVDKFSAMIDMTHPILPMTWVPEPLIQYNPEYQHSETADN LRRLGGNFVPMTVYYELAKTLQDIADFCRIAQWIWSRQDVSSKDESDMFLHLQSLNYR LLLLDKLSSTENCIRLAALVFLQNIIHYQGAQLSAILVIRHLKNALSEVSPHIQHSWD DECMLWILCTGAMATEATDEREWFLARTAYMSKNLSVDLGGLAFRELLEGYLFIWEKQ DYQLSAMLENLCFAMDDA TSTA_030570 MKSFNLFPMVIAASIGLVSSSPILEARAAQLEIVHQFPNFTWIE NMAVRSNGEILGTDISGSQIYLVDPHRTQTDPPVIAQFANGTRIAGIVEVQEDVFYVP SVQGDVYHFKFLPNATVVWESDATRKDLKSRFAKLLKFQRLPVKGARVEFGVNGVHVA NSVLYFINTDLGVLGKVPISVDGILTGSPVNISTSVPAADDFAMDSSGNFWVTENVRN TLVRVSPDGLVQTIAGGSNSSDLFGPVAAVFGRGIHHHNTLYISTDGLSVSGSGVSLT TNGKVVAIDTKGW TSTA_030580 MFLSQTRGRLPSALKAFQSTQLASRSLSTTLPRRNGDEGLNKVS RTITQPISQGASQAMLYATGLTEADMNKAQVGISSVWFTGNPCNMHLLELNNLVKKGV EKAGLIGYQFNTVGVSDGISMGTKGMRFSLQSRDLIADSIETVMGGQWYDANISIPGC DKNMPGVMMAMGRVNRPSLMVYGGSIKAGCAATQNNADIDIVSAFQAYGQFLSGEFTE EQRFDVIRHACPGQGACGGMYTANTLASAIEIMGMTLPGSSSNPADSKVKQLECLAAG EAIKNLLKEDIRPSDILTRQAFENAMILVNITGGSTNAVLHLIAIADSVGIKLTIDDF QAVSDRTPFLADLKPSGKYVFNDLYQVGGTPALIKFLLKEGLIDGSGITVTGKTLAEN VEKAPDFPEDQKIIRPLSNPIKPTGHIQILRGSLAPEGSVGKITGKEGTIFKGKARVF DDEDDFVAALERKEIKREEKTVVVIRYCGPKGGPGMPEMLKPSSALMGAGLGKSCALI TDGRFSGGSHGFLIGHIVPEAAVGGPIGLIKDGDDITIDAESRVLDLHVDESELASRR KQWEADRAAGKLPATGLTMRGTLGKYARLVSDASHGCVTDAL TSTA_030590 MSTPESNRQTVGSDNPSQEHPRTLFPTTASTTPTQPPTTSEPVC SASHLHTITPLWPAHNVAHYTPRSNPFPSLHIHTDLPSLDRRPVTPLNDNDTYLRQSL SSTSLSRRTPSYSLRMNTMSSTDSLSPSSMRSSNLSSPALAAMADITPLPSPIGYLSP ASWRSGGRTISSHSLSRTSSTLSRSGSVLNLRLSDSTQLLRTASSRSRSRRYSSTRFA GSEARESGLDGHEEVRGHSRNRSLSEHIPKRGPASLQRPDALPRAGHPSGLSSSSSVD STVDLSRLHREQYLGVQRGLALPTARPPTPPRSTRNGDEDTDSKPIIQSDTPDGSQTE TYCVRSIRTKQPRKYRKLRQLGQGTFSQVSLAVRIPDDAPVKDGHVRMPSLMSQKLVA VKIIEYGPAGGADEERVEVSLKREVEILKSVNHPSLVQLKAFGSDDKRALLVLDYCPG GDLFDFATSDMKSLMTPPLIRRMFAEMVRAVRYLHANYIVHRDIKLENVLVNLPPSAM GQVSDWRTYDRAVITISDLGLSRRIPEPPESPLLHTRCGSEDYAAPEILMGQPYDGRS TDAWALGVVLYAMMENRLPFDALPGTRGDPAKLRARTPHRIARCEWSWYRYANEDEEW DPVKGQGLEGARDCVEGLLKRHSRRKSLGEIAKHPYVSEALDLDGELKRGDKEVP TSTA_030600 MDYFRSLRSVHILGKKVYLKVISTLSSQHISKSNNEIRRHIPPF SKASTFNHTRKNKMSTSGAAVSLQPVDAPLTASATFLVLTVKNTDDALATVRSTLAGI ASLSKNVAIRDPSAGFACTAAIGSDIWDRLTGLPRPAELHSFREVKGEKHVAISTPGD LLFHIRSERRDLCFEFERQLLDLLGDSVAVEDETTGFRYFDLRDLLGFVDGTANPVGP TIGSSILVAEEDDAKQHVVGGSYIVVQKYLHDLKAWKALKAEQQEAIIGRTKLDNIEL DDAEPGQQQSHKSLATIEDEDGNEQSILRDNMPFGTPGSGDYGTYFIGYSRRLWVIER MLERMFIGDPPGLHDRILDFSKPVTGTTFFAPTASVLAKLG TSTA_030610 MSQSKRPELDPSKQNSSRVPFAEDNDQSRFTFVLDKNQPGTRSH AMRAHWQERRKRMQDERKANDGKGRTRPLRSKSDSAADLLASPLSTTTASDTESPIVV TAAPPPQTSVRDPSFYPFSDAGFPSPSHDYEQQQPQQQYGLSEKQQAASPPSNVSQGI QAQIVNGVNYAFTSSKLDPFDTFPVVLTTEHHMLIHHWLTTHATMMFDQMTASDFNPM RDVWFPLDFSNAASFNIIMAHSAAHLAYCYDGTAPIRGTNSFKALEYKAHAVEILTQW MSSPEQALSNDAFAAVVRLLTFERYWGTQDQWQIHRDGLERMIQARGGLHELHNDWRL ELVVGLVSLMSQPSWFSPTNNISGISSAVLGIRIAIERMRSLWLISFIQDMRNLMSMS LQLYQGGLLMFPAIHTAVLLIQSNYESAINGYSDAEDSDRLAALFCISIIVQESASSS FAHSSTSATENNNALSLLDLSLFGAPNTWKTSMRNLRSFLHQYFLQSYVSGSEKINYV MQMTDIVSNLSLESRQGIERCLLNMFCRSSDGKFIFRPDEGATPDSLLSSVRGL TSTA_030620 MSYYTLAEGCPYARNDTSVQLRNGSGGGLVLMQDTQLIETLAHF SRERIPERVVHAKAAGAYGVFECTHDCTDITSASFLNTVGKKTEVLLRVSTVGPEAGS ADTTRDVHGWAMKLYTDEGNLDWVFNNTPVFFIRDPIKFPSLNRSHKRHPRTHRPDAN MVRQRLKTWDQRGADEFFSSGTNRTLYTHIPLFSFHVGNPEGIHELIHLFSDRGTPAS LRHINAYSGHTYKFTKEDGSFKYIKVHIKTQLGAKNMTAEESIRIAGENPDFLMQDMF DAIEKGDFPVWNVYVQVMDPKEAETCKVNIFDMTKVWPHKDFPLRQIGKLTLNRNPRN YFTDIEQAAFSPSTMVPGWAPSADPILQARMFAYPDAARYRLGTNYQMLPTNAARAPV YCPFQRDGFMNFSTNYGDDPNYVGSSLKPTVFASKPSTTTITEHEKWVGEVSSFASTI QQDDFVQATELWKVLGREPGHQDRYIQNVSNSVKNVISKELREKVYDLYRRVDPELGE RLKKATEAQVVNKN TSTA_030630 MPEEGIDLSPPSQLLISFEIAHLSTLFVSEGVTKFWLTGGEPTP LASFRQNGLRELCVATNGIFLPRMLDPMLEAGLTGINLSMDTLDPFQFETMTRKTSKG LEGVMKSIDRILQMKELQVNDDRITVDRELLDLIEYAVKRKKAKHAGMTELASMNRPL IFIGV TSTA_030640 MPGLEPALRPRRVSFETNNRPDEWRIEQGMQGAKLPIIDQTGPK PVFIHPVDPSAIAKDQAAIDAVGDRDKLFARELDGWKGFVEWENYPEKKDAARKILSS QTFPSVPDYMTGPIPGTNPVLLGDDFTQWHQAIGGELADVPEDSWQTVLKEKHKDMLH LLKFPYNGEPPKRLVTAKPITPNPLHFVRNHGGIPLIDKDKFFFTLDGLVATPKKYTL NDIMDESRFPQIVETVTIQCSGTRRIEQIGLYPGQGDEVPQAPWAEGAIGTATYRGIS LKKLIKDCGGLINGAKHLELYGAETYFKDLEVMNYLVSVPWSKVKANEVLLAWEMNGE ALPAIHGFPLRVVVMGYIGARSVKWLYRIKAIETPSLAPVQSREYLYFNQQIGKHNQR PTDGIQIQEMPVSSAIMSPWKGHVILHNGKIHCKGWAYSGGGRWPERVELSADGGFSW YEVPPENMSEKGRWTWRTWEIDLPCDVEGWIEIVCRCWDNALNTQPLTIRSAWNWGLH VTHSAHRISVYSINNTRPRTKERLAFLEEKGIPLAPITRYEIVHTQTDKEILEYYEKH GPRDADNFYTGISDD TSTA_030650 MQVINFQSIVLAVLAAMHLQVASSETVLGAYIYQRHGDRTPKII GSPSLTALGYEEVFARGSYYNKRYIVSNSSYQIDGIATDLVKLSQLNITAPWDNVIQN SGAGWLQGLYPPAGQAASQTLSNGTSVEPPLNGFQLIPIGTTSSGSGSESAPWLQSVT GCKNAQVSSNNYFSSDSYQALLLSTKSLYQSLLPMVKATFSLSDLSFKNAFSIWDVLN VASIHNSTKEYPALQQLNSSLMNELFGLASIHEYNLAYNASDPVRAIAGAVLAGQVLQ GLNNTITSSGRGNKLTIQFGSYATALSYFGLAQLPAANSNFTGIPSYASSMIWELVTN SSLENGFPSTSDIYVRFYFHNGTSAASPDLESYPLFNQPSLLLSWSDFVSSSQAFAIT SEAQWCQQCGNSGSICSAADSTASNASVSATPSSAGGISKAVAGVIGAMVTLGVILGV EALIMLVGGISLTRKRATPVENFPAAEKVASPAKQLKDLGS TSTA_030660 MAIWDSFSGRKATTNQDTFDPSSAQDVSSFLGQASFPDPSQLHP LAGLNQDTLDYLTLEDSTLDELPGSRSALPSRGWSDDLCYGTGSTYLAGLLIGGTWGL AEGLQRTPASAPPKLRLNGVLNSITRRGPFLGNSAGVVAMVYNGINSMIGYTRGKHDA ANSIVAGALSGMIFKSTRGTRPMLISGGIVASIAGAWTVTRKALFE TSTA_030660 MAIWDSFSGRKATTNQDTFDPSSAQDVSSFLGQASFPDPSQLHP LAGLNQDTLDYLTLEDSTLDELPGSRSALPSRGWSDDLCYGTGSTYLAGLLIGGTWGL AEGLQRTPASAPPKLRLNGVLNSITRRGPFLGNSAGVVAMVYNGINSMIGYTRGKHDA ANSIVAGALSGMIFKSTRGTRPMLISGGIVASIAGAWTVTRKALFE TSTA_030670 MILPEAGLAGGDIPDVDADIKSFPTSIQLVPEEVLSRICKGPLR AFLQDCRDEQQVKCVWDSLFLTFSTRSVSKGQTQAACNAVIHFLETASSSSIPSTKDL AYSDQIWLAVFEVVLTRFDDTTPKPMKQVLSGLFKFLKRHPDTVETRRIQVGLIDKMM PSIILADPRSRLKSSLVALERLLRESGIPVSAFMSLIHDWLIGHYGDWQPLYAQHCQA LSVDISPFNGTGMRYDDVRIGVKHSVSTIFSLAILIHAKEQGFMLVGGSLMAYLYKKA GQEIKDCGQSCYPMMSWIRPSKRIMLENMDCLELFSRSILLPLLETDIKGFRAFIGEL PLDPVLSGNMEGDWTVDEFILLFSALGVGKKIGFVHEDQFEKRTAATRNADVVEVLIL KSDILGRFLLYNEPRVRLLALSLLTTAPVLSKPISAIALKSIAEGLFFMHADSDSYIR QETMSLMRKLMLRLRGPGVQKDFMEKEGKDSKLFMERYVDFLENELLPTASYQRHISA LKSISLVLKTGVDPNAPNVPHEDQMLWRYKVNVLRPSLFRLLVDLLLDPFEEVRATAL YLIGLFPSHLSTEADNNGFNVAERLVTALLRAEALASKTSRADHADTVARLYHSLYST AKDGNNPEAADSWFSTKAGVVNEILRRLEAKLSQETGPFQPSVRDGPLHGYTSAIRYI VATPNFYTTISDSSDRHISSWRTVHNRIVAICENIWTKVKPVLCVDSPEGHTVELIDD FMVGPKDLLSYSWRALKDSSNLLHAVVANVTYAPQNEHDGLRCADFEAIGTLSFVQLS ELRHRGAFSTVAQTFAACCLRCAENENPEISGLPDLWYEDSLKMIQHQASKLTRRSAG LPALVTGICISKSGDPLFGKIMSDLQEISRVPAVQTSSYNELSLPQVHAMNCLKDILA NAKLASSAESYIMDVLRLAADSLSCPIWAIRNCGLMLFHASMNRMCARRPGVPFGFGG ASGVESNMTLAFPKYEGLTQLLAQLLASADEARSDGKEFAKGVLASNETEKVFPALEI ITEKVPSTTNDDDDLLRGLVLRHVKSTIWAVREQAARVYASLLRFNDMLSSINQLIDG DLAKLSQIHLHGQMLCARYTFLRVWHSKYWRGNVDDVLATVQKVFAGFYPYIRSPFGQ ATLFDVLVDVIESNIGFGKEVTSKTTRFVSEIIDNYSLEHGLAELLNSGPQPPSRISA MRAESLLRRSLSWCLILNNKLSMVAFPEELSPTGLMGPFFDLSSSDSDGACWILERIP IVFPGYEGQKEFLSLYLLVLLQSFHEEDVKAKVALNLAGILEDALERGIQVEFPSKWA RVSDHLSIQEEENIWSRELTENVLRLQGSLLTLKYMDEENLSSTTETNGGLDSLAVRR WAVNLRSALSEETVFSARLSAIMSLKTFSRILRKPNQAADATPTSLEIYLILYDMLND DDDELRSLSAPIASWVVSHSKIFPNQRVMLGAMPASESLVEFIASNYAKQPALFHHVV TRLMRETFPKKKRNEFKSFETLFEDYSKESIALFEEEKQNLFIDDVREIDIWTKAFRH LDKSACDQTTIKKLAIWVSDGLKYLNAKVLSLSTSDDQGDNVLGWTSKPEVYTLGCLL FSVASLLIPISGESDTTLLRETLENIHERGRMIFLHPQWLDRIQAAISTGEMH TSTA_030680 MWNDEDNNPYGAFDRPDGHLSDSLHSGAVSPPPYDRDISAPSSP VSTQPPDYVSRLTDSEDEDEHDFESHQPHSSGRKKGIYDSRIEQILYENPEMPILITD AGKNHEGGGGYIVYTIRTGDLEVRRRYSEFASLRQTLVNLHPTLIIPPIPEKHTMADY AAKPTKAKEDSAIIELRKRMLGVFLNRCRKMKEVREDGVWWRFLDPNVSWSEVLHSHP ASSVPKNNLKAPPLDPANPTPAHAWLPVPSSSAKLRSSSGTSATGTPMSPSEYSQTPS TAAHSTPGVQVLGRFPPTSKTLSEQDLDPYFINFEASTRELELLLQGNIEKVNRRTLS HLSSLSADLMELGARYNGFSLSEQSPTVAAAIERIGQAADTSYIETEELSTALGANFA EPMRESAQFASVVRSVLRYRVLKRVQEEMTRDELAKKKALLESLERSEQEAKRIDQYL NQANAASTATRSSRSASASSATSSGQGEHDTSRTSEDTNSIDSDFPPTHGDISPQGSP SQTNPYREVPPAHRKSPSGNFVTNKLFGRISHAIHGFADVDPERTRRDQMGKTKESLV QLEQALRVSETDVKEASAGVLQDLKRFQKDKEEDLRRYMVAYARCHLDWARKNLETWT EAKDEVDKIVAR TSTA_030690 MNGQTPRAATQREQLTVTTSSTKRRKVVEEPRRHPKAPSSGSGA RHADSEEGRHGRSSRSHDNSQPRPQHQHTRNHGSYLNNKRQQQTAGRPKQFGLSSPSP SPSLATSTSPAPPSLPQPSSQSTATLASSRSPGSSPRYIPAHLQDEVLGVANSKPASV RAPPEQVAANADSPSAAYAGLTLDSDPATDMSSSEKDQQREVRSSSPAVKRRMSQMNQ DEPSAEDIDMDNNNTDHQMDESDSRPAQRRATSVDMIGADGDAEMADAIDSNNHEPDA VYPSPSSMSTYNSSSTTKEGAKIHDSTSDAELPPIDEQVQQVLELAQKFPEDKQKGYV LSYNWLNRVLARSSKERGLKAIDKKFAEGEIGPVDNSDIILDTDPSQIFQDERGEPFV PLRPGLQMNEDFEIVPQAAWDLIMKWYGLAEQSPAIVRYAHNTSEGDATNIQYEISPP IYTVHKLPGSAGGMSHHTLRDKSAPPVKFLASRQTNFQKWLKKAKELAHIDMATKVRV WRILDGLSSTQTSGVITPAHSRSNSPAPGATLVANAGNSLVLDVNTFSSLSDTHRELI EDAKDQTNNEKYNGNSTVDHFGLGDNAVVVLEEQIGGPGGGEWVSDASRSTLNRLAIP GANRAGANKVKSKAITTTSGRTTPVSEPIRGRKKDGRPKGNTGFTNLGNTCYMASALQ CVRSVEELTLYFLNDYYKKDLNPSNPLSHNGDVAKAYANLLHAVYDESPASFSPKHFK HTIGRYGPAFSGYGQQDSQEFVLFLLDGLQEDLNRIQKKPYIEKPDSTDEMVHDAVAL KGFADRCWEIYKARNDSVITDLFAGMYKSTLHCPVCDKVSIIFDPFNNLTLQLPIENL WTKDIFFFPLHKPPVLIDVEIDKNASIKALKELVAQKTGTDPQKLVMAEIYKSKFYRL FDNTGTIADSNISAGDDIGIFEVEMIPTNYNPDRPKKSSYTMSFNSMDHEDVPKIDSP RADRLLVPVFNRVQRSVGRTGTKQTFGAPSFIVVTREDAQDYDAILRKVLQEVATLTT RDFLNESAGDEETTQDDNADSDAVIMNADDDDSDSKVKAASVEGEEGLVDVSMEDASD TKKTPDESSKQTTKKSPPMRFRNLFEMKYVRSRHEVIPLGFSSVDDHTNYPLVSSRAP KPKPKLKQSVRKAQSKESPDSPVSSEDEINTIRNMKDQKPSRTSGSSSEFGKLTNGDS SDSEDELSTISRRLTETTKDEEPVYFIRPGEGIVLDWKETSHDGLFGADQKDRNELRG APTWTNVEHLPDPELASKRAQRANRRKKGLSLDQCLDEFGREEILSENDAWYCPRCKE HRRASKKFELWKTPDILVIHLKRFSASRGFRDKIDELVDFPIEGLDLNGRVASAEPDE SLIYDLFAVDNHYGGLGGGHYTAYAKNFLTNEWNEYNDSHVSKAINPAKVVSTAAYLL FYRRRSDRPLGGKLLQEITETSTRANSDDEEDTSSPAGEGRRLVDSSRDGSTSALAGA GAAHQAGVGGLQAEPQVRSVEVDSSDGEELPPYEQKHQHSSSNFSIMDQPAWSFANIT GPVSDDDDGLFDDDDDNDSNKAVGGGDMSDLEQHFNGDAGDESAKFHSVIDDIGTASD GDEDLPVVELRVNEDDQVVPELGDSQED TSTA_030700 MDTEYEYPIYGWTTTTTHHNETLDLFYHRWQTGREAQEIFLEQN TLFLEAEGGQVQVTGFLITPDDAQVAASWNSLWDEEEEDHKSEEEEDGNSFYYCPSPD KVCSMESSTSIGQIVTGISFQFEDFGRELPLGGGQNYEVQESTMPIPTTPPSHSSPGP SGPSHVHNLEHQEHTNIGKDRVMPTSPCPMLRPSPDRILSNHIDPTKHGMRWEPITVN LNGWEHEFTVLKPYADYTDVPSPIMLPGSPPQRTPKPSLSGFYKVPTVRDPFVIAELS RNSRDKEEPSPPAPPLQFPERIANSKNRWPKDQLRRTNSDVSVIDLGDPFCSMNRGKS GDPTKKSRPAPPGRPSSSMTLSLDREVSASGILSGVQIRQSSSAPALLMISPGGPSGL YARRRLEDAMSHLLQVPVRRDEAEFSQAASPASSTGAIFQLDEEQ TSTA_030710 MSKIFLTGATGYIGGEALYAISKKHPDFSISALVRDTTKNQRIK AAFSNVRIVNGELDDFELLKREAANADIVVHTADASDHVIAAQAIAAGLAEGHTASKP GYWLHVGGTGILTFRDSDRNVYGEYDDKIYNDWEGVDELTHLPDHAFHRNVDKIVLEA GTKNADRVKTALICPPTIYGPGRGPINQKSRQVYALASMTLQIKKAPLLGAGKSIWNN VHIQDLGQLFVLLTEAAVAGRQDKGLWGAEGYYFVENGEHVWGDVSRSIAQIAAKEGY IPEPSVGEVDIETCEKFAGYQAMSWGMNSRGKAKRAVKLLDWKPVAPSLEEELPGIVN MEYNDLKK TSTA_030720 MSAFQNLDPAGVSPEDRINALRGYKATINNPRVSEEAKQHAHEM IDSLGGDQPRAEMKQFDQQHDQNRVAGGLKASLSNPRVSQQGKNQAQEKLDKLSGPSE TSTA_030720 MSAFQNLDPAGVSPEDRINALRGYKATINNPRVSEEAKQHAHEM IDSLGGDQPRAEMKQFDQQHDQNRVAGGLKAYVFALIILSDMERWMC TSTA_030730 MSNRQEREAEDRYEAENDASPVPGFEVDNSYVGETNSNLRNVVP VQNDEAGYDDPMQPPYSNTDEQLAQDEREAIDQSNILGGDRLRHAKPRSRNAYNEGPG EDDLPSVVSQGQSGRSAAGRAVE TSTA_030740 MPSPLLNLATLALVAAPAVATNTNYNLLYKFDQSNFFQNFSFNS NPDPTHGFVKYLDYNDAHDAGLAKIQSGNVYLGVDYTNTYTSGGRPSTRVESNVEFDQ GLLIADIAHMPANACGIWPAFWTTGSNWPQDGEIDIIEGINQQATTQVALHTLEKCDI STLGSQQSGQLMATACSTTNGAGGCTVKGTQGSYGDAFNALGGGVYAMEVTSQGIKIW FFPRSSIPECITSGNPDPASFGTPMAVFQGTCDFQSGFRNQKIIINTDFCGDWAGYSY GSSTCASKADAASPSTAHSSCEAYVGANPSAFVEAYWEIASIELYQQGAAPTSSSAPP SATVTSTTQTTSVPTSTAPASTTPASSAPTSAPTSTVPTSTGSVSPTRSPVRSSPVVN PSSQAVAPSSGSGSGSGSGSGTSPGSARISQGTTSCSSKSTLTVTSLVSPTSSAQVVP TTTPCSSTSTLTVTAFSSPAPSVQAASTASSKLTTTTVIVTSYVDVCPTGFTTKTVTQ TVTYCPAEATGTSIAPVFTTTSKLCTTGCAPTPTTVVVVIPVETATIPAKATGTSLGS GSNPASATETTTLPANAAGTSLGSGNNPAPAETATLPGKAAGTALGSGNNPAPAETAT LPGKAAGTAPGSGSNPASAVETSTLPAPAVSAPATLSTAVNPGRPPIYSTGGFVPVAP SSAIVPLAPKPSGSLSGGGSVTSTSVKPAFTGAGSRITPSAVGFLAAAVGFVLFV TSTA_030750 MTFENTRNLFTKDGYDQLSDTVTTSDADLEDEQNSLSREKDYRR NTTIFLPETRQGILISVWLSVVCIALAIIVTNVLREKHNAKIEDICFEHSTYYSPVIK DIDTTPRLVTTNGSLNWPSIYRQPPGPEVDAAWDEISSNMGVFALPEEIALKAGLHKG DVRVPAGYQGSGDYIASLELTHQLHCNFLRKAIWFNYPHYRNNGDEFRDPQPVLEMHL YHCLETLRQSIMCHADPGVVGYKFVRGREQRPYPDFNTPHKCRDWRGMIDWAYRHMVP GHPEEEDFVPGMDEIVYDVEP TSTA_030750 MTFENTRNLFTKDGYDQLSDTVTTSDADLEDEQNSLSREKDYRR NTTIFLPETRQGILISVWLSVVCIALAIIVTNVLREKHNAKIEDICFEHSTYYSPVIK DIDTTPRLVTTNGSLNWPSIYRQPPGPEVDAAWDEISSNMGVFALPEEIALKAGLHKG DVRVPAGYQGSGDYIASLELTHQLHCVNFLRKAIWFNYPHYRNNGDEFRDPQPVLEMH LYHCLETLRQSIMCHADPGVVGYKFVRGREQRPYPDFNTPHKCRDWRGMIDWAYRHMV PGHPEEEDFVPGMDEIVYDVEP TSTA_030760 MLSKTVITALLVTAAVALPANTAPRSLTESDSNTMAKSYVPEAG ADGQGDATPEKGFYPYHHHPPGAADSEADALEKRFYIYHHHPPGAADGEQAADLDKRD PNTLVKFYIPETSAGKE TSTA_030770 MAHKMALLNNKTTSIRLLSTFSILILLVTIDIYFSVLSPTSCDL VNEPFAQNVSSNRPDQERLELQDESLGPGQRGRNGIVFQETKDLKDTSVAGDKNWDNL FASDGYLYVKPKNASFPREPWGVSMFHGLHCLQILRNKIQNLEEEASQSGSETLEKQE KHGHHHDGADESDISDDHYKHCFSYLVQSIICAVDDTIEPPHIHTYKNGSYKEYSIDG VGTWHQCRDQSLIRKKILESEENTLERWDYKVGDTVQSVWG TSTA_030780 MEKYSQFRDRGSGIAPFLPVPTSKSGYALPLHIFLFCFRLPLLI FVCLSYFVVLQWLPIGSLGKKASLWCILGVPSLWWIDLQVDGVKRGRLASQRARLPIS NCIIASSFTSPIDPVYLAAVFDPVFTASYPNTRKVERLSLLQAILRSFAYPLVNPPPD TQLVELSELLEKYKSRPVAVFAECTTTNGRGILPLSRSLVSVPPRSKIYPVSLRYTEA DVTTPVPGTYITFLWNLLSRPTHFIRVRVAEYVTTSNKNLSLSSQAHSTSTDFFDDDE PTSFFEEYAGSNKDSELTKSEVAVLDYVGESLARLGRVKRVGLGVKEKQDFVDLWTRN KRLR TSTA_030790 MEAGSIPETPPPPYQTVPPTPTQTPNQSHAVPATTHGPSQINPN VVYTPAFGVPPHVHKVHPQYHANLITLPFRPVHHYTHTIPHQHPGNQMYYAHHVGGHT AVPAAPAPPIIPRPQQQRQWQSYLHLGSLSATLNDAGNLAVEVYDSLTSHKPTKEQYG GALDAVAVRLDDVLTSMDDGTYRVESTDLGEYLPVPRKESIELTHTLAMAENQVFAST DASASSVNATTIARIPGSSTNPFSKVYHYANAYTSSALPPLKLYFATWPLICLASTYS RRAYEKPSSKERQNFISGDWRRGTKSVVLKSIPLDEKNTIVLAIRGTQSFQDWAVNIR TEPTAPTNFLDDEGSLCHAGFLSVARKMIKPVAAQLRDLLQENPRRATCSLVLTGHSA GGAVASLLYCHMLSQTVSSELTELQDLFKRVHCVTFGAPPISLLPLQKPTGKPRYYKN IFFAFVNEGDPVARADKAYVRSLLELYARPDPRPSSSSLKLPSCSSDSKITTISGKGK PTWNVPEATLSLAGRIIVLRTRKFSSSSSPHRSSKHQGDSKRKKTSSSSSNISLEAVT ASDAELRGLIFGDPLMHTMDLYAQRIEQLATKAVVGSSVP TSTA_030800 MSGRFVRSSKYRHVFGQPTRREQCYDNLRVSRNAWDTNLLKVNP QYLAVNWEAGGGGAFAVIPLEERGKLPEKMPLFRGHTAAVLDTDWNPFSDSLIASGSD DGKIFLWRVPDNFTIRPDVEPDQIQDISPVGKLSGHPKKVGHVLFNPAAENVLATASG DFTIKIWDIEAGAAKYTLKLGDIVQSQSWSANGSLLVTTSRDKKLRLWDVRQERPAQE TAGHSGAKNSRAVWMGEHDRVVTTGFSKMSDRQLALWDIRAAREPISGFKTLDSISGV CVPFWDDGTQCLYLAGKGDGNIRYFEYENDKFEFLSEYKSSDPQRGLAFMPKRGVNIH QNEVVRIYKSVADTYIEPISFIVPRRSENFQDDIYPPTTGITPAMSSAEWQAGKEAIP PKISMEGIYDGTGLKEVTPTQNKPTSTITADTPKPTEAPKSTIAEPVRAEPTPVVVRP APSMKDQGASMAAMVDKFADDDEKEEEIDDASSFEEVTKPVERATAAPVVSKPAPPIK EKEATTPVTSTPTIETPKITIENPTTTTSTSVSDDIAEIKALIAQQTRTIASQAEQMQ NLTAEIESLKAKLN TSTA_030810 MATTDECCTLQMESRLNSKSRIPQAGLTEMNSAKTNSRSGLKPP GFATAGLKPSATSKTSTTTNRHHQPSASTSKIPNGAPRAHSRTNSFSSSVSTRTTQSR ATSASSSQTVGTGSRAARPQTSMTQRKPGATSIARPHTSLDTHEEESPNSVLGKRKGM QNSLKPYQVTPGSYDKHLNCTIQWTPPFIPSSTPDGLPPQRRDASISTLMSKLSLSDP VTAPEDQVKPAKKTCKIPSRPKSTRLPLRQTKSTQLAHSPSRRKLGSPQKKESVTPFL TKYSQIKAWDETRFQDFESFTSNFFEKFTQVSQNSDVMKDAVNIYKSRVDELERNRDE LLTTNCSLRIEVESMKNKVSTAEEAVKIAETEHEIAMDEYEQRLRIETDTVREESQKQ LQLLISQHQNEIEDLRLRHQRELDDERTTYQRELGQVNSQNALETQKVHLEVANKDRQ IESLQRDLRAALDDIEAEKSKNRELRGHLGTASNNTLTLESSIRALKARIEFLESGSQ EQSQAFERLQKQLEDALAETNEAKEKLRKEETLRRKLHNQVQELKGNIRVFCRVRPPL ASEPESDIAQIAFPDDAEDCKEIAIMGPEERSSLGTVSRKNNAFSFDRVFGPSNQNAD VFEEISQLVQSALDGYNVCIFCYGQTGSGKTHTMSSADGMIPRAVHQIYDTAKSLEEK GWTYTMEGNFVEVYNENLNDLLGKADDLDKKRHEIRHDMQRCKTTITDVTTVTLDSPE MVESILKRAAANRSVAATKANERSSRSHSVFILRLLGHNTITGERCEGTLNLVDLAGS ERLSHSGATGERLKETQNINRSLSCLGDVIGALGSGKEGGHIPYRNSKLTYLLQFSLG GNSKTLMFVMVSPLQAHLGETLTSLKFATKVHNTHIGTAKRVSKIQN TSTA_030820 MTTLTGSCYCQNIKYEVTLNSPDDARTSLCHCRNCKKAFGANYG LTAKIPKDALKITQGKPKGHSADNGSGMVINREFCGNCGSFILEYGEAVKNKFRYIVV GSLDDPEALPPKGEFFCKDRVSWMPEVPSKSTFHADLGT TSTA_030830 MPQLELVFITAALDKGWVVTVPDHLGTKSAFLANHLSAQATLDN VRAALASTEFTSISKDATVTLWGYSGGSLASGFAAELQPTYAPELNIAGVALGGTVPQ ILPVVYAVNKGIQGLANEYPTAAQLVADNVLPSKAAEFNKTKSLCLSGDTTTYFGQDM FSYVKDPNIFTEPTATALLGANAMGQHLPKIPLYIYKSAGDEISPVNDTDALVAQYYC SGGASVQCKRDELSEHAAMAILGAPDAFMWLEDRMNGRPVELGCSQSTVLTSLTDPDA LAALGAGLVDVLLSLLSAPVGPIMIG TSTA_030840 MRTGSSSETGTQNDQPQVLVLLASHPVNAVSGFGCTCREDPRAT ISCRRPFYVPPEGFESTVAGSILRYRTPPYPIAAFSLAPINLAASYQILYRTTNSFGD AVATVSTILVPHNADFTKLLSYQVAQDAANPNCLPSYAFQLEAATD TSTA_030850 MRSTIYAGLLFALAAEVYSHGVVTQIQGANGVNMPGLSVIDGTP RDCASPGCGAEADTSIIRQNELGGKKASALGRTKGGGPVDAAAAIASFMGGSSAAAAS SGNSTTSAKRGLLGDLLGNGKNGGGAGSNSQGTSTKNAPVEGGVKAAAGTGATSGLPT CSDNGTISLTYHQVNQDGAGPLTAMIDPTSGGTDPSAFQKAEIIQDVPGSKLGLSTAK TEDFPIQVQMPQGMTCSGSVGGASNVCIVRVNNNALAGPFGGSAAFTQSSAAKKRAVE YNLSKRHMARGILKRTETELE TSTA_030860 MKVSADISALLTTDNDPVQHAEFKRQREICGWDYLDEDLQAFKE KQKKKLKSLFWIMIQLKAQAIGKKENSDSLPESNTLIQDATRGDTAGSSPSAGLFTVR AGHISLDSYADPPDPELALEDRSILTVQTFFILPEYRTGGVGRAAMDLVEVLATKEPY GGPRCHTLTLTTASKKYLEIDEPEWKGIWKKFGMDIPKFSAQIWYEKLGYVYWKEEPR YRSPTLDGSTLVVIASFMRKKLQ TSTA_030870 MSEKTANLKTTVNAEPANINPVVSKKYADVTLEFVELYGDSTPP LTEKEEKKLSRKLFWYIILLLTITNLLLFIDKSTLSYASLLGIFEETHLNGTQYNNLN TLFYVGYIVGQFPGHYLMQRLPLGKYVSGTTFFWALIIFLHDTARSYGGLIPLRFFLG FVESSLVPAMEITLGMFFPPEEQSALQPLFWISCMGANIPTGFIAYGLLYSKSSILPW KFLMIITGSLSLFLSIFCWFFYPDNPVQAKFLSRAEKLHTIKRVHDATKGSIEQKQFK RYQAIETIRDPVSWLFLLQVFTLMLSNNLAYQQNLLFLSLGVSNLGSTLVGVASAGFS VVCCVVAYFLLRWFPNKNAYWSVLWVLPAIAGGIGMVTVPWDNKIGLLACLCLAGATF GITYIIALGWTTSTASGYTKKLLRNVMFMVGYSIANIISPQIWAARDAPRYYPAWIVQ IVISWVGTPVILLVIRFILSRRNKERRAWILQQQASRAVGVVKQVDDEGQVVQTTVDL AFLDLTDLENKYFIYPL TSTA_030880 MKRTYENALKLLESRRRTARPKTPAALNAGVATPAPSSQTLRGI PSLAGMKEWLEALGHSTNDINDLNIIHVAGTKGKGSTCAFTRSFLHAHGLRTGFPKRV GLYTGPDLQSIRERIQIDNQPITEDLFTRYFFEVWDRLLPSDRSDTNNEGLRQPRYLQ LLALLAFHTFIRERVQAAIFETHHGGEYDATNVISQPVVTAITSLGMDHVMQLGPTIE DIAWHKSGIFKPGVPAFSAPQDPGPVEVMRRRAEEKNAPLKFVSINENLLENKKVLAV PVQRINCSLALEIAQCFLRAKAPKYVMDDDLVREGVQNFSWPGRFEIIQDGAIRWFLD GAHNPLSLIQASKWFADNTASGTKKCRILIFSHYSEERDGVTLVEELARALLENDARP DKVIFTTYNERKDGTRRIDKTLRIPETPFPDLRTVYATLWEQKDPRAMIYKEPTIEDT LALARRVAAENEGAQILVTGSLHLVGGALSTLRPYKLAGKNVLLIGGTSGIGFSVAEK VLREGAHITISSSSEERIANAVGRLQKSSPEYASNVRSYAADLSIKEKIEKNVVGLLQ YAAKDSTIDHVVFTAGNVPPMAPLPESTFEHIDAFLNVRFYGALAIGKYARKYITPSK TSSITLTSGSQASKPSAWLPPIVCMAVEGVVKGLAVDLAPVRVNAAAPGFVQTELLEK MPKEMAEVGLKMSKNKSLTKDIGYPDDTSEAYLYFMKDTFVTGIVLKTNGGIHLT TSTA_030890 MAGAELSQSLLDIISSLTQDDIPFKLRCAICNNLAINAFRLPCC DQAICESCQASLPESCPVCEHNPVSPDLCKPNKALRTTLKAFLRTEEKKREKDRPPTT PTVTAAPAAVSNVPVEAKEETAVSAPTNSDNAVSEEAIPAEPASAGDIEKTVDENDAV TRAPPLQENGSLVPADKNEIDPTSADSVSVDAKAIDSTDAQPSQSEGETNPVYPNMGV GMAQGMMPGMGWAGQDMGAMAKFMPAAMGNFQNTMGMPGMGPMNAAQGMFGGFGMTMN NMSNGMNMGYGTEQQMYNNWDNSQNNMYNPNPYSNGMGQDYGASGYAGYINGNYSQMQ QYPNQNFQNGYYGNGPGYMRGVGRGRGRGYYRGRGGYDHANYYQQNYRNNVSQGPMNQ EAGGQTEDDIKRFNNELAPGGGGDDYLTDGPPKDSTTITGPENGKDSNVVDSTDRTDM LPDSNINGEPAGHDGDSTSQLQGIPTIDSIDNDSSMDYSRGPMPVMDHGYGRGRGFGR GGFMTHRGGFYNINIQGPPTGQGVAGAPVAPRAMREGGNRSRFSGSRRTASISQTAEN SSRGATPSIAQENMVPHSPSVVEHKTCSPSPSRERSPRRSHPQSPPTPESRQSRAHRR DRSESAHDSIDDDKEARKDRHGRSHRDERDFDSRSQSRSRSPSQTARRSSHRSGKDGV HKSSHRSSRLRRRGSRSESRHRDRNRGSATMATNGDDKYDLARRITNAQRLGKGSSSS RHEKESRKRDRHESDRGTDRERDRRRERDKPRDRDHERDRGKDRKRSRRERSQSGDES DSSHRHSRPSKRSHRDGGYDVVEKESSRPSERESDRTDSSKDPHTLEREARNRERLVK EKQRRDAMTTEHRDSRNPRRQHESGRRLNYKYEGDDDDMSRVEKEREAGRWR TSTA_030900 MTDPESSYRPRSPDFSSFPSFPNNTFNNNDNDNNNNAYQPQPPY LASPLGQRASYDASPFFASGYQPPVVNNHVHQPYHQPFLYLNHNTTLDNNAYDSNAFD DMARRSARLSAQAQTQTQPPPSIHAHVQSPAAHQSEQNFIFDQHVAPKKEAESDERIP KPWDGIDVKTKFPVARIKRIMQADEEVGKVAQVTPIAVSKALELFMISLVTKAAQEAR DRNSKRVTAAHLKQAVAKDEVLDFLADICSKVPDQPARKNDEDGSDHNNEGKRKSGGG RRKRDLDSDDF TSTA_030910 MLFQASAILIALIGLSVLVNVVSQLVANRQGNNPPLVFHYFPII GSTVSYGKHPLKFFEECRQKYGNVFTFILVGRRVTVYLGAQGNNFVLNGKQSELSAED VYSRLTTPVFGSGVIFDCETSKFLQQKKFIKFGLSQPALESYVRIIAKEATDFFKTYH DFNVKEKSKTGILEVTSAMAELTIYTASSCLQGAEVRQQFSSGGSRIANLYHDLDMGF TPINFFLPSWVPLPRNHRRDVAQREMTRFYTDIITKRRLHELQKTKTSATAENAEAKD MIWNLMRNSTYKDGSKLSDRDIAHIMIALLLGGHHSSSAVIAFSILSLASNPVIQEEL YEEQVRELGDGELTYDKLQRLKLHANVIKETLRLYNPIHSIMRLARKPLLVPVREDNN DSHARQLLIPQGDILLASPAFSARDGSYFSNPLKWDPHRWEGNDAIHYNDDDDSLGKG ANSPYLPFGGGRHRCIGEKFAYIQLGVILAIFVKSFRVTAIDKSDGSLLEIDYSSLFS RPQWPGKVAWERRPCQA TSTA_030930 MEDPDTKPIASGSLAPVLTDDAGPYKGNVLAIEQTRGITIAEHE TTFWEAVRQWPKAVGWATLFCVAVVMAGFDAQIITTFFALPAFQRRFGYKYNDTYIIS APWQMALNMGNPIGQVLGALACGWPLEKLGRRLTLAICCVWSIAFVFVQFFSTSIGML CAGEILGGLAYGFYVVIAPTYASEICPLALRGVLTASVNLAFVIGQFIAQGVAAGLES RSDEWAYKAPFAIQWVWPAVLLAGLAFAPESPYWLVRKNRMEDARKSLVRLSSAKNCP DIEGMLVMIEQTDLLEREIEATTTYWDCFKKANLFRTEISVMVYLIQVIGGNPLIAYA TFFFETAGLDVSESFNMGVGNTALGFVGTCLSWPLMLRFGRRTIYNSGMILMTIILFV IGFLDFGRNDSGVVWAQATLMDIWTFIYQTTVGPICFVIISEISATRLRGRTIAIATA VQAAANIVFTVILPYMLNSDEGDWRGKAGFLFGAISLVCYVWCFFRLPESKNRTFEEL DILFERKVPPREFKNYDLLASDEENGV TSTA_030950 MPHFDEPVHEDSMLEPIAIVGMACRLPGGINSSSSLWEALKEKQ SMQTPKVPASRFNIDAYLHERLDRPGSFNVPGGYFLNGNAEDFDPTFFNITPIEAMWL DPQQRKMLEVTYECLESAGLTLEDVKGSNTAVFVGSFTSDYQQMSTKDGDFRHNYAAT GVDPGLISARIGNTFDLNGPCFTINTACSSSIYAIHNACHALRTRDASAAIAGGVNLI LTVDQHMNTAKLGILSPTSTCHTFDASADGYGRAEGAGALYLKRLSDAIRDGDPVRGV IRSSAVNTNGKVPGMGITHPSEDGQERVVRQAYARSGLDPLRTAYVECHGTGTPVGDP IEAHAIGRAMNDARPSDKPLLVGAIKANIGHSEAASGIFAVMKAALMTEEGVIPGVAG LKTLNPAIRENEWNIKVNVDTAPWPRGFAEKRAGVSSFGYGGTNGHVIIEAVDSLHPL YRHGHKRGDEPPKADRPFLLPFSAHDRRTLERNITAHAKVVSDYHLADIAYTLGVRRS RFATRGFTVAAEPLDPEDFALSAFAIGSAPRSQPDLAFIFTGQGAQWSGLGVQAMQAF PVFRSTIEALDQVLQQLDAAIRPTWSLKSSLLASAAAPNINDANVAQPVCTAVQIAIV DLFASWGIAPTVTVGHSSGEIAAAYAAGLISAPEAMIAAFLRGYSVSHHAPVGSMLAV GLGLGGLTKYQELLDKDLVIACQNSPESLTLSGTLEAVAYAKNVLSEDGVFARELPTG KAYHSPQMNDVAFTYDALLARAVEGLNDESLKWQRPRARWFSSVSGTEYPGDSVPASY WSLNLRNRVLFDEAVTSLGTAPGLEQVAVAIEIGPHSALAGPFKQICRANKLDRFTYV ASLVRNKDSAVQLLKTAGALFIQNYPLDLEEVNSTEAISGNMKNKGSPLLLVDLPPYQ WNYEKRYWVEPRFSHEQRNLTSPRHDLLGSKIVGLSDRSLAWRNVLRHIDLPWLKDHT LGKEAVFPAAAHLSMATEALRQVCDQRGIEIQSVTFRDVQIKAALIIPEVDDGIEVQL RLDKKQEDGMWYTFAVESFANGEWSLHSTGSVAANHIEAGTREHPVDLVKLTQRVPGK TWYKAFDRVGFEYGPSFQALSNIRTNGKYHHAAADVKVDTASGLVVGESRYMLHPSTI DGCLQLIIISINSGMHREMQHGVVPIKIEELTMWNAGEATKAAGRSIAWTDELDGRYF NTHTKLFAENGDILLDVKSLRCVSYEAAVPQTTVPPRPREPYMETVWKPDITTLTTQQ ALQTYPSIQLEEDSIAAIVELMQHKTHISSALLLGQADSKTLQAVLKQVAPTTKLVLA GVSEEHLESLTISIDAGNLSTVSSKKGLFDWGEQTLDAQDLVIVGKDAWSHTSEQELL TEVASLTVKGGKAIFSAPSHVREHFASTICHHGFSDPELLFPLPEVSIISATLFGNDP NGHAHPKHEVVILTSDEAARSSSSPIAAFLRESGCAVNLSDLREDIPVKQDKEVTYMI YDATGSLVSSLAEDTFERLKTVLTGSNPVVWLTSGVNEGSCVSGGMSQGLLRAIRSEQ ASAKILLLDADTTEDITSIGEAILSKLGHIATKDSGADTEYWLQNGILKIARVLPNEL LNAHFSANLAPPQLAVLTSENALSGRIVNGELVFQSQSWNNSRVFAEWDVELQVQYAS FNKADLQAPISGISIVAGPIKAVGSSLDESFVGRNAVAYAENPFCTVCTAPVSVGAFY SDFEAPALVATLPSLAQAINAVLDVGKVQANERVLLLAAPHDFVAAVAELKQVLGFQL TVIVESEQERQGLALRSGLPSHELLLAPEVKTIHSLLGGSGSSKPDLVVSHDFSPFSQ EVWRAMPPASRFILNDTTIDGSLDALPFSKGVSLLLSGVRNLYKTRPSALGDLLTRTM SFMKEKKILWKPKIYDIGAISDVREFSLGQGIMENAVIKYDYDHSSVKVQPSGNMIEF SPNAAYFLVGCLGGLGRSLTTFMMERGARDFVFLSRSGADKPDAAALVESIQKAGAAV QVFRGDASVQADVDRAVNEVTATRTIKGVIHAAMVLQDGMFNNMTFNQFEAALKPKVN GADTLHKAFEKMPLDFFVMTSSISATMGNPGQANYCAGNSYLDSLAWHRNLRGLPATS LILPMVLDVGVVSENAGIEEALSRKAMYGIDERELLRGFETAMLQRPRNTSSPSLGDT QIILGLEPAYLANAIAAAETSDEAYWYQDSRFTHLRSIVEDIRTSAGSSSSREGTFVQ ELESSQALGPEAILHAISCHIAKKLSNMLLIPIEDFAFEGTSISVYGIDSMIGADLRN WLFKLFSLEVSFQHLLAPKMTILALARAVAEHLGLVEKAEA TSTA_030960 MALFTESTYFLTLSVTACILLWGVSLLNGTVSALITASWNGNFD DGTPFNTKYTGIFLIDFPISLLVAFFFYGTNGSHPGYQLFLIDAYATLQSAFVWLYAE SFRLNDKPFAVSNPIIWSLMWQAFGAAIALPLYFRLHLKWIDETRNRLSPMDTASARA LPASFILGALLPAVIGMLPTWYPRADVLHQNILASWQPDPIWVSTIQALLVFVLSSKT RNDLKAVWWTQLSYLLATVSSASGHIYAISSLLASTDPAITFVKVYVPFLFTGPEGAT QKLASGPWLFLQYDLIIISISCMSWAYLLTVRVLPENHWVHRLLPIIFLSSSVVLGPG ATVSLALFWREGHLRSVRTKKLVTGKAQK TSTA_030970 MESSPPQYVDVAIIGAGWYGLVAARTYLRLRPDVNILIIDSDST VGGVWSEKRLYPNLVAQVNLGLFNYIDTPMPKEGVNSKRQVTGRMIHNYLQKYAEDHD LLRRIRFNTHVDKVERGPRGWRLYFKDCNNILDAEKLMVATGVTSIPHMPEYVAEDVR VPVIHSRDLGESFSSLQQSKYKHIMVVGAAKSAYDAVYLLLTMGKKVTWVIRPGGSGP LAILPSELLGYWTSIGVASTRLMTGLSPSILNTHGLAYSILQNNPIGRWLTGKFWDIV AYLSDLHAGYDNGDHVAGLRPEIDGKSVFWANSGLGVVTLPDFWSTIHKGDVTIIRDE LQTLNRKTISFKSGKSIESDFIVMCTGWGDHFAMFDDESKSEVGLPVKAKKGWVDRDW KSIDREADASVNQKLPFLAKGPVLGNAETVKVPQKYWRLYRRVVPLALAKQGDRSLAI LGQIHTVQTPLVASIQTFWAILYLEGELDLPSEDEMVNEIAEWNAWTRKRYISQGQKF PYSLYDFLPYVDTLCKDLGLNSCRKSNIIAEYFSPYKPEDFNGIIDEYYAQKRVGSTS KKHVAESQYSRLVAVFLAGVGTFLVLAKWTLSMI TSTA_030980 MVAYPVPRLHNKVTVVTGASSGLGRAIALAFASHGTKLVVCADL QPEPRRGSDGEVVATHEAICKTYGDGKARFIKCDVGESEEVKEVIRVAVEDGGRLDVI VNNAGIGHSDSSIRLHELPDHEWNRFIRINATGVYNGSKHALVQMLKQNLDAKGCRGR IINVSSVMGLVASAGGAGAYNASKAASLNLTKQIALDYARDRITANALCPGLIKTAMT RDLDEAAATAFLKATPWGDWLDARDVAGGAVFLASDDAAVVTGIALPVDGGYVAM TSTA_030990 MGAPTVNYPTVVPAINQVVDVVAKRVVGVRENGPTLIHFEFGKG TLETVEGFEPAFKAEIFHGADWLSFDSDEKHARIDVKAVAQTEDGETINFPYHGVVTL DKDVLSIFNMEPTSKTIPFGASTNTHYFHCGSEKLKELENMTFIGNSRMIVNEETRAI QVETRISRVIAATGME TSTA_031000 MGDQKPFRVIIVGGGVGGLAAAHAFEKANIDHVVLEKGEIAPAR GASIGIYPHGARILQQFGTLKAVDDETYPIEKAKDLLPDGTVFANSDLFKFLRQYHGY PIPVLERRRFLEIMYEALSDKSAVKPHTEVVDIIDSEDGVKVVLADGGIEEGDLVLGV DGVHSLVRSLMWRNANTAVPGMITANEKKSLYADYSLLIGFSETKAEMSQSDLTCTHY PGKSFLVIGGKKHTFWFVFFKNERVHWPALPRWTKADAERRAAECMDCPISETQVFGE LWKTAIRTELVNVEEGLFKHMFFGRVVLAGDAVHKMTPNIGLGGNSAMESIVVLTNLL NKAIKEHPQGKPDRAALQSLLTEYQKERQVRMRQFIDFSSLATKTQAWENLWYKILSR VIPFLPDDTFAKQASALFKAAPKLDFVPVPGNLKGTVKWDDEVLEIKNRKVISAPSLG EWTQSMLRWTVKPILSLCVMLSFLFVVRGSKASEVTESA TSTA_031010 MPKASVDAIRPRPGKDVGALFALTNPEPTVNKTTQRDTEDRISK ASKKVKYVVNLMYLFLHNTRRRIPPWAAIHGIHEAIPRATQLENVKGKEWACHVGQLT LVWGQDMLHARSVGKEKSSAMEANRAVRIVCVGVARDMHPDHIISGTEMDFPGLLGLP DNADSCTDNDGAVALFPESQIEFGMMSDIQTSATALVQSSEIHSFSWPSIDIEIGTRP IPDGDDLGGELSPHTTRELYQAYFDQTDHSCYMLDKNSFLLKMDHLPLGPESLSLKFI VLAHGASASPAYRHLQNKLYDTSRRYFENAETGNPFMTIAALQACILLAVYELKQLFY SRAWGRVNRAMWMAEMFGLHKMDAQYSSPRQRQSGLYVAPTTDPEELEERRRTFWSVF ILCCFTSISVGWNTYAQINYMEEITTLLPNENRGDDHTSPFRPTLNNTLRLPMARKLS SFEALIITSALHIRSLRHADFALVEIGEDYLSYDFWMHHYYITESISQVGFTQHVEPT LQNSMTEPATLCVTLRIQAIYICLHHAAVVRESQTNSTRAFSSQSETKCLMAAMKLTS MINQIRDQAGSTNPNPYTVWSIYVAAQFYVRELHASRCKNGHPIMPMRTPSKPSLSPN GRPSLPVSASREQSKNMNISHFDGTSPATINNHASGLIPSRFELLHNIDFLLSTLSAL KRSNPMAGTFEAQIYDELKGGKAMTDDRPIGRVEFPLDGKYVANNYAENGAQDRNKT TSTA_031020 MSSPPDIKALLDRNKSQIQTFSSKPLLSEAKAAGTIPPSVIVIT CCDIRVDPVEFLHLKAASDAVILRNVGGRVGPLVNDIVALDVFIGMKEIMVVHHTDCG TTHYSDQMIREVVNARVPGSVGQDGTFGAIEDLEQSVRDDVDILKHSPLVRTELAEHT HGFIFDIESGLVKAVQTYSQDPALVQIRVLIEDMKR TSTA_031030 MNNQIHPQDAIEAVQRRRYQNRIAQRNHRKRQAELEQKRMRELD EKIESQRNQGSEPRVSVEGAYHGGAGIKPLNRPENIFPDQNTSDVPIPKPVGSQAEIT QHQPRAFTESEPAWPPDNLASATSYMDIYGNMDETMPSLVLTSGFESQRRSIRSPTLR SLPSPSRIIKSSKTPQEGSNHLEGSKVFGGSGTFPSESGSQNLGKTALHISSERGSLG IVQFLLLSGVDVNGTDNCGRTALHYAAHAGHLDIVSQLLRGGADLDARDHEGRSPLHL AAHAECEEVIRFLAQEGADLDAAIGISRQMSSEDDDDLDLDYDNCETMKS TSTA_031030 MRELDEKIESQRNQGSEPRVSVEGAYHGGAGIKPLNRPENIFPD QNTSDVPIPKPVGSQAEITQHQPRAFTESEPAWPPDNLASATSYMDIYGNMDETMPSL VLTSGFESQRRSIRSPTLRSLPSPSRIIKSSKTPQEGSNHLEGSKVFGGSGTFPSESG SQNLGKTALHISSERGSLGIVQFLLLSGVDVNGTDNCGRTALHYAAHAGHLDIVSQLL RGGADLDARDHEGRSPLHLAAHAECEEVIRFLAQEGADLDAAIGISRQMSSEDDDDLD LDYDNCETMKS TSTA_031040 MAQINVPAFSSTSLTGDDFTFNDLFPSGGTTDTVGAQIDIDWDA LGDISTQTLKTSLPRLNDPMPKVTSSGPFLLCNPDGVSPNLDPENLLQPQKLPSSALT IRDGYSSTLSGPAQPYERPESNFLARLPISDPVSHFIATSVIQMIRTYPLMMLRTETL PSFIHGHWYRPSGGIEPSLPEPLVNCMGIAQIFASHNTESKPFLWRIVKIEQRSFIEK NDQRQFSREDLLAAIQAQIMYVIMRIIDDSKMDQGMNLEMLVTHEILCESLVQLCNEP FCQDERLYPSSSWEDWVFAESKRRTALVWFLIAQTIHIKTGVSCETISGFQDLPLCSP KSLWEAKTRSAWQAEYEMYQSMPRNGPDVFGDLIDACKQSDTGSGRLKLDTWNANADN LGIILSMSAAMMAKD TSTA_031050 MSSTEDVKEVVQSDSSNDSGEDIEKSPRLSPTTSLQPNNDSPRP VHGFKWVLVCASLYIGALIYGLDTTIAADIQAAIVERFNNVERLTWVGTAFPLGSVCA ILPVSAFYHNFDLKPLFVGSILLFEIGSALCGAAPNMDALIVGRVIAGLGGSGIFLGT LNFFSLLTSDKERGRYISGIGVVWGTGAVLGPVVGGAFSTSSATWRWAFYINLVIAAV CAPAYIFYLPSVKPPGAPDTSVFARLRNLDWIGFIGGTGAMLSFVMALTFAGSIWAWN DGRTITTFVVAGVLLILVLLQQYFVLFTNRPARMFPPRHILKDSTLILLNIVTAAGAT NIYVPVYYIPVYCSFVHGDSALMAAVRLLPYICFLASMNMLSGAFLPRISYYWALYLF GGILMTIGGATLYTVDIDTRMANVYGYSVLLGAGTGLIFQAGYTVGGVKTMMRTGSGL DVQRVISMLNLSQLGFQMGSLLIGGQIFQSLAMKNLTRVLHGLDFSQEDIRSAVAGTQ STLFASLTPSMKSQAISAIIDAISKVYTISIAAGGITVICALLMKKERLFKTAAPDMV VAGGA TSTA_031060 MQALQDNAEDRFADREDAPFLDPSQPKNERPSRKEKNASREKLR IRLMITLYAIILLVEMGNAMTNGPFTRIFESIVCKNWYREHDPSKLGSDGEVPEDQCK SSAIQGEVATIKGVMEFFDGITSVLLAIPYGLLADRIGRKPTIMLSIPGFILNMVVSG VVLWWSDIFPLRAIWFSALTWLFGGGLVVASALVWTMMADVTTEQQRSAMFFQFGVVV MGSEFLSNSLGSWLMLFSPWRPLLIGWGIIIIGLCLGLTLPETKNAFSSAISEPDYSE HEMSDLSTVVDEEEQEGILPFAKATGAAHQPPSSAWMKIKTSFLAYTFFFQDRQVVLL SSAFLVYKLSRGTAWFLIQYVSIRYGWSLAAANMITSLKSILMVFLFVAILPLASWYL QKKRGADGRTKDMILTKGTLGMGLSPHIAIMIFFLVIQTMGAGFVYTTRSVVTTMIHR DQTARLYTLIEIIQALGMILASPIMTGFFNLGLQLDGFWIGLAWMVAAGLFGIVGLII WRVRLPAHTTRSDD TSTA_031070 MESTLDLLFDSSSLIPSEIKSEYPAGYTVRPLARDDYHRGFFEC LKDLTWTGDISENDYHERFDWQKENGKGWYYCVVIVDDAADRIVGTGTVVIEKKFIHN LSITGHIEDVSIAKDHQGKHFGQRLLKSLNAIALNAGCVKAILNCAPHNEGFYAKCGY EKAGTEMSCHFEKFREYW TSTA_031080 MAAPSNQAAWINEKNAKPLEVKEAPYTKPAANELVIKNAAVAIN PMDWMLQETGHLAFTWIKYPFVLGADIAGEVVEVGSEVTRFKVGDRVLAMGCGSDQDR NRAAEGAFQKYAVVLENFTSPIPSTLSFPDAAVLPLGVSTAAAGLFQKNFLNLQLPTV PPQAPTGQTLLIWGGSTSVGSNAIQLAAAAGYEVYTTASPKNFDYVKKLGASQVFDYN SKTIVKDLVAALKDKTVAGAYAIGVGSLSLCVDVISKTKGVKFVTDVGSTDIPKDKKL AGFILIPFLLGTMSRGAALWVKTRRTGVRTKFVYASDIKKTSLAKSIYADFLPQALAE GRYLAAPEPQIVGHGLENVQEGFGLLRKGVSAQKLVVTL TSTA_031080 MAAPSNQAAWINEKNAKPLEVKEAPYTKPAANELVIKNAAVAIN PMDWMLQETGHLAFTWIKYPFVLGADIAGEVVEVGSEVTRFKVGDRVLAMGCGSDQDR NRAAEGAFQKYAVVLENFTSPIPSTLSFPDAAVLPLGVSTAAAGLFQKNFLNLQLPTV PPQAPTGQTLLIWGGSTSVGSNAIQLAAAAGYEVYTTASPKNFDYVKKLGASQVFDYN SKTIVKDLVAALKDKTVAGAYAIGVGSLSLCVDVISKTKGVKFVTDVGSTDIPKDKKL AGFILIPFLLGTMSRGAALWVKTRRTGVRTKFVYASDIKKTSLAKSIYADFLPQALAE GRYLAAPEPQIVGHGLENVQEGFGLLRKGVSAQKLVVTL TSTA_031090 MDFWSRLVGGSRTASKTPRTSSPVERLTAFKRSCNTLQQIWRTS NSPSNELAATSQARACVDRLNHVLTEESRGPAPHPCLSYASTSQIFVTVTKLALTYRD EGLVRSSAVFFNTLIDGEVDGIVDNRVFSRALVDLVRRNSVRTDDTESRLVELLFGVA NNIRLRPQILTAWFIPKQAELPERELEEDEQFAGVTRKDDFPLFYLLVDYVHREGRAG DFARTGLLYLIETATRSRILEKWLIESDLATLMATGLGAVYSQLSRNVHPTFVEGNVP TIIALSDENDDIQRNSEATMRSDVDSFISYLLFWQDTVEHCQSKEVNDTLLDHFEVLF LQQLLYPSLLESSDMKGGSTSTVLSYLCRVLESIDQPKLAHRTLRFLMASPTDAEPSL EVSQDLQKKHLSVSRRKSLDMLATLAEAEEQQPSPSLFNLADLILMSIKSQNKETIVA TLHLLTVIVRRHHPFAGSLIKTKDPVSGPQRTVGALNEELRRYLSFATSIIDDPSLNE SFEHYSKDATVVLESRLFIPSPNSSILDGPADQPLDLSLDDAIFKEILNLLDRFFSNS VTVNLGLTELITSLASSNLISLNGWLLVDPSNYNYKSELPPTATGSSTITEGVISNIH DERSGPVDPLAGIMASLAPATWLDGDESCISKSLRKLTEHLEDWRRDIPDFDILVAAR RDLLHSDDDDDKSKYQRSVNTSRQPSQAPNTRSVEAPLSPRGRPISNSITQEGPLSST PGSLPRSTIGSPLRESSIQSHMSSSPTRRPVAVEDLRQRLASSYRLDKTTDRLTPSQQ ENKHNAGETQVDKAAEGGEEPSDDSSPAQIETVVTLGHILTNAVILYEFILELAAMVQ VRATVFEEAGYA TSTA_031110 MANYKHLLLVPLALASSVLGSPAKKCEKTSVAILGGGVAGITAA QTLSNSSIHDFIILEYNSDIGGRMRHTTFGQDANGKPLTVELGANWIQGLGTNGGPQN PIWLLAQKYGVNNTYSDYSSILTYDETGYVNYSSLFDDYENAYSVTEELAGTILSENL QDRNARAGFTRGDWRPKKDMKMQAVEWWEWDWEYAYEPEVSSLVFGIVNFNTTFYQWS DENNFVVDQRGFNTWLKGEASTFLKKNDTRLRLNTTVTNVTYSDTGVTITDSQGGCYQ ADYAICTFSLGVLQNEAVSFQPEFPEWKQDGIDNFDMGTYTKIFLQFPPDKVFWPKDT QYFLYADPVERGFYPVFQSLDTPGFLEGSGIIFVTVVHDQSYRVEAQTDEETKNQVLA VLRDMFGADKVPDPIAFMYPRWSLEPWSYGSYSNWPYGVTLEMHQNLRANLGRLYFAG EATSAEYFGFLQGAWYEGQSAAEQVVTCLNGHCAQEVHYSPLYGSTPPNQYDEQNGWT VTSFQTNGF TSTA_031110 MANYKHLLLVPLALASSVLGSPAKKCEKTSVAILGGGVAGITAA QTLSNSSIHDFIILEYNSDIGGRMRHTTFGQDANGKPLTVELGANWIQGLGTNGGPQN PIWLLAQKYGVNNTYSDYSSILTYDETGYVNYSSLFDDYENAYSVTEELAGTILSENL QDRNARAGFTRGDWRPKKDMKMQAVEWWEWDWEYAYEPEVSSLVFGIVNFNTTFYQWS DENNFVVDQRGFNTWLKGEASTFLKKNDTRLRLNTTVTNVTYSDTGVTITDSQGGCYQ ADYAICTFSLGVLQNEAVSFQPEFPEWKQDGIDNFDMGTYTKIFLQFPPDKVFWPKDT QYFLYADPVERGFYPVFQSLDTPGFLEGSGIIFVTVVHDQSYRVEAQTDEETKNQVLA VLRDMFGADKVPDPIAFMYPRWSLEPWSYGSYSNWPYGVTLEMHQNLRANLGRLYFAG EATSAEYFGFLQGAWYEGQSAAEQVVTCLNGHCAQEVHYSPLYGSTPPNQYDEQNGWT VTSFQTNGF TSTA_031120 MLEEVEEYRIDALDQANKLAIVMSVNNQFFDAFSYDQHWPRSAE EEKIYSIAWRLLATKQLVDKPSFDLRYALASVSWTKPISFLATCFAKSVLTPTDIPQR IALALTYKTQEDWTILFLFGRRTTSDAEVVHCRELAPNKIRSWKAKVTEHSMTNCILK KRGHRGGQVAHWAQGVNQSAQLQLTEHVS TSTA_031130 MKPAPLSLRMKISMTSEPPEDLVLKYEEYAHRDPKGERRIDIDT FGVYIAGRVSGGLPKLRMDDVKLVYSEMLATGILRSALDVEESQEMSEILFVKYTRRM SNPFFGPIDSGLPSSRLKARWEYLRLIPSGDYCREEDQEVLRAGIMCFGLIRKRRKLD CAARQYLSAKPGE TSTA_031140 MSGLSPTAASANSAVTCISSSSTETLNAEEVMATNAAQLAIDEE TPPPALYRIYSNPWFQILLISGICFCCPGMYNALTGIGGSGQVDPTVAANATVALLSA MAVTSLFIVGPVFNQFGPRACLLLGGWAYPLYSGALLSFNKNGNGAFVIVAGAILGIG ASFVWVSQGAIMTTYVPEQQKGRAIALFWVIFNLGGGVGSLASLGLNYRSTTGTVSDA TYVSLLVIMTIGWLLGVFICPPSSVRNHNNIDESHQKSPVKLLDVCLKMSSDWRILCM IPLFFSANVFYSYQQNEVNGRSFNIRTRSLNGALYWLAQMVGGLVMGLLLDLPGLSRP QRARLGWIFLFCTGMCIWGGGYAFQKWSSHRINEKHLKQDIDFTWRSVSVGPMFLYSF YGAYDAFWQSFCYWLIGAQSNSATVTAILVCAYKAFQSVGGAIAWRINALEVSPVVQF FMDWGLCIGSLVLVIPTVLAVTLTTADVDPPSTEEKVEDSSAKA TSTA_031150 MGIIGRFLKLTTYGGAATLGAHFVWTRNSQVEPLPPTDYLFSSL SFKRLNPNQNAALSDVCIRKVPLSQIDPKLLEKKGKLVEAFCAGVWGGLGYAFQRQYL ARKYQGPSTAHQLWSTHDLLSSNYEVGTEITDHFQVVEKADNRIVVRCGDSPLKREVR ESDGLFEMSVDIKKDEGVAVFHLKSVFFNGLTGKKEGPVMPYYMELLHREYDKIWMES ALRNVYA TSTA_031160 MLLLLLLLLLLCCHPDVLWQVSTPEKVIALTIDDGPSIYTAEIL EILEANHATATFFIIGSHVSKLKDDRDKILQGLIRGGNELGNHGMYDEPACRLPDDEL VSQINAVDKVIQNAYEAVNTVPMDSNNTLPRYYRPGSGWYTTRMRNIVSRLRYKLVLG DVYPHDPQIPFAKVNAAHILRMARPGGIIICHDGRPWTLPMLRSVLPELKRRGYKVVH VTELLRYADS TSTA_031170 MRLLIRHQYLSLVPVAALFFIFGTIYSTHRWESTRQLNKDQWTQ RLGNQGTQQSHNGQNYDDPNCVDFPRDRLRDIQIVFRIGSTQPFDQISSHINNITNCI SNLIVISDRVEEIGNGFWSHDIIADLPKVYWEGIDKDDDETDQTAGLKAYANINKGDS SWKQGKYASDRLTHRQGWLLDRFKFLPMVEYAYNVNPQAKWFYFIEADTYVVWDTLFQ LLEQYDSRQAWYMGSPSPGRQLHGETTWFAYGGDGFILSRSAIQRLVTKDPVKDEESN NTNRTELSLTERWADIVKSDCCGDSVLGYALVRKGILLSGLYPIFNPHPLHGIPFGPS GKPYWCQPVLSLHKTWPGDVSALTQFVKHRSNEQTLIYADLFYYLNLDRLKPRENWQN SDWNGFEEGPESPVHESIEACVDGCHTHNECFQWTYWTETSWEWNSVPKKKCTFVRSI RLGSRRDREESWTTTVTWTSGWDIEKISTWATENRCEKPEWVEPSSERIY TSTA_031170 MRLLIRHQYLSLVPVAALFFIFGTIYSTHRWESTRQLNKDQWTQ RLGNQGTQQSHNGQNYDDPNCVDFPRDRLRDIQIVFRIGSTQPFDQISSHINNITNCI SNLIVISDRVEEIGNGFWSHDIIADLPKVYWEGIDKDDDETDQTAGLKAYANINKGDS SWKQGKYASDRLTHRQGWLLDRFKFLPMVEYAYNVNPQAKWFYFIEADTYVVWDTLFQ LLEQYDSRQAWYMGSPSPGRQLHGETTWFAYGGDGFILSRSAIQRLVTKDPVKDEESN NTNRTELSLTERWADIVKSDCCGDSVLGYALVRKGILLSGLYPIFNPHPLHGIPFGPS GKPYWCQPVLSLHKTWPGDVSALTQFVKHRSNEVCKFKP TSTA_031180 MSNLIAGDEEKSAPVDGDAIAPTETAPVPHTSNQAEQEKKSSAI PNLEGNTGNQSGDSEQGRRPSRAADGPYCILAERQKVFIFQGIAPSFIGNFSDIHGRR PAYLICFTIYLAANIGLEIQNDYAALMVLRCLQSSGSSGTIALGSATVADISTRAERG KYIGYATMGVTLGPALGPIIGGLIDHYLGWRWIFRFLIILAGVYATFLVVFLPETCRA VVGDGSVPTAKWNRSVWQIVSSKLQPSKIKEPNYDSVQKRKRRPNVFASALIATEKEP AIILAYGSLIYCGYMSVLSTLTSQLKTQYGFNSIQIGLCYLPLGCGSLTSRWTVGFLL DWNFKREAARQGMPIIKNRQQNIEKFNIEVARLAITIPFVYGGALCLIAYGWVMQFKT SLPGPMVMLFFMGHLTTGAFSSLNTLIVDTQPGSPATAVAANNLWRCLTAAGAVAAAG PLIERIGIGWTASFIAFLWLLFSPLMWAVYKMGYVWRENLRKKREHSV TSTA_031190 MFNASTGTFNETLYNNTNLCTLDTCPLNWAHVDYIPSLGGNVLY IAIFALALLLQIGFGIYYKTWTYLVAMIGGLVGEIIGYIGRVQMHFNPFPMDPFLEYL VCLTIAPAFLSAAIYLCFSRIVIVYGARISYFRPKVYTYLFIAGDFIALLLQAAGGGI AASATGSTQQTGINIMIAGVSWQVFSLAIFAVLCTDFALRVRRARAADFNPQYESLRA SRSFKLFLWSLGIATLTIFIRSVFRCAELSDGFNGSLANDEVTFMILEGAMISIAVIA LTVFHPGLIWRSEWRELAKAMKGRKDGKYYQSNGLMSISDVDRESNYHEMR TSTA_031200 MYINSRSRRPESISTPRQKGYTLSPLSTERKIKMTRNVKIIYGG ASFNTQYGSTATNVSEVLDYLEKEGITTIDSSEVYGDSEELLGAAKAASRGFVIDTKV GGGLSRVEASGENVVKAVEESLRKLDTDSVDVYYIHAPDKRIPLKDTLSGINAAHKSG KFKRFGLSNFSPAQVKEVYRTALENNFVLPSVYQGNYNAVGRRIETELFPILRKYGIA FYAYSPIAGGFLTKTPGDIANAKGRFDTSQIFGKMMHALYNKPSMLEFLAEFGKLARD EGISQAELAYRWIVYHSYLDGENGDGIIVGSRSGDQLTATLEGLRRGPLTTRVAERVD ELWKGVEKDAILDNWNDFISENGL TSTA_031210 MRRNPARLTPTKAPSPSAGPPSHSRNSSRTESLLHITATISETS PTQDGTFDPLSDAAADASYTAQSNARRLEELKRQMEQTLMNQQAQHHHHHHKSPKAGT GTERSRSPTRMQIPSPGSGPRTAISSKPQSPFDAPPHTGSNGSATTVKGLPGRTPDIH VPKTPSYPFPLMPALRNQHATTNGQLQSDAAAQNASSRMKEKSKSKRTASKAPGTIDM SSPPKIKSGSHMSFYLPESANISEDPMYPSPNLYNVILKLNSEPGLEAWWKNVIDILQ THYGMERASLAVPGDPTDLENVPWGQKASFNPNELFDVADPTASSSDALTAFNEGAVQ EEEEKDDASSKREDLGNTPTQKKHSSSKRPSLLARHSFAGFTRDRKSVGTPSISGTPA KSTYEVSPTVSSIGYPTSSGAESPPSSTFVSQDNDMKLGLGPGEPPAPRASSSMAVFT IPRDLEAENQPLVKSTGIVKLFGRNKPAVLTREYAYDPAAPSSFEADVTAAVQSPSDV LQATPASEPSPSIRPEPIKVDKDHNVIPPPQTQGSRVKSSALNPHVLSHKKDSPGQHA FPLYEEYEQVPSSPWSQSPAPSPAPRVNPEQNPFFMNHAVDETAFAQDPPPHDYAQAQ PVAAIGVDRSKSVVHVPLIYAGNTQELTSETVRFPVAVLSFLSPVVPYPANLRSSLLY LMPHLTSSYSLAHQYSQLERQLVPGVYKPRFGHLLGLGGTFSDASSELELVAGLSGQA MTDGGSMSARASVSSPDEVSNASKFSPSLSIVGTPAIDNVSTGPASEPGTTPAIGQNV DQSDGYFNLHQVRNSAAPLTARSRGSRSRQNLYPSIPVSPSIARSKSVREEDWLGRDS RTTDQSHDSGRPTPASAPTRSSSRNASTTSVIAQLQREGVSISEHIFQLMLNSVPLHL FLAKASSGEVLWTNSKFDAYRRSHPQEQSIRDPWQNVHPEEVESLSKQWHKALQTGSQ FTERVRVKRFNDDNAYRWFIFRANPLLSQTGQVVSWIGSFLDIHEQHVSELRAAQERE TFATNAKYRALANSIPQIVFEATELRGLISANEQWHLYTGQSIEEAMNYGFTAYIHPD DLEKCGILSSEIFRGRTSSDVASKHPDVGPLKLFANGVTPGLIELVNQGIVNSQQDEN GRIFYTTELRIRSKGGGYRWHLVRLVKVETSNFGDDEASWYGTCTDINDRKLLERELN KAMQKLNREMESKTKFFSNMSHEIRTPLNGILGTIPFILDTTLDHEQRRMLDTIQNSS TNLRELVDNILDVSRVEAGKMNMVKSWFHLRSVLEDVIDTVAPRAIDKGLEINYLMDT DVPDMVIGDRFRIRQVLINLMGNAVKFTPHGEIYTRCSVYREPGVPLRDTELLLNFEV VDTGKGFSNTDAQRLMQRFSQLGGNGSQQNAGSGLGLFLSKQLVEMHGGKLTPSSREG QGAKFSFYIKVDAPPQVPEPPLTRRSSDMSEAATAASQGSSQLRIPLHSTNSTDSKIF SLQDLSPALDMSPLTELSGSPDPSIRSGFHYRTGSSVSSAVATPDSLAPSELGPKIRP SPLAQDFSSADTNESNETLVQSTPTIEKALGPSYLRPVIYSVLIICPLDHARDAVKQH IEQVIPLEVPATSTALADLDDWKDLRETSSSVTFSHVVLDFPGGDEIMEALQYVLTSD MKTKPSIVIICDLYQKRQISGKIEELISAEFRVDTVPKPVKPSAFSRIFDPDNRRDLS KDRNQDMAREVNNNFKTMSKMVKEVIGNKGYRILLVEDDETNRMVMLKYLDKVKVVSE TASNGQECLDMVLSHEPGYYSLIICDIQMPIKNGYETCREIREWEAKNHFHQIPIMAL SANAMTDQIDDAARAGFNDYVTKPIKHNELGKMMMNLLDPNTPGTLLRDRKDWRQQKA TSTA_031220 MEEDYILGDDLPLPPARLFERLALLPGYIWDQAIEPIHSTYDNW YVAGIRQTSDHDISTPTATSSGTPSTTRDSPRIDSRPPFRHHWRSSLSESSSELSSLR TEQEPTFLPVVARVSSHVVRLEREFHMLRTIVQVSDPDCNHTVRPVDIVRLPPEPGDP GPLLVSIYESPETPGTNSLKDLVAFGPAWFSFGTGTFSESSSNTTPGEQASLSVFLDF AIGACDCLEILHYGLKTIHGEIRPDAFHFNRCNGKVKLVNMGNGARSFDNALGEGWSA LSREVGVKTKLQFIAPEQTGRMPTEPDSRTDIYALGVLFWIILVGKPAFTGSTPMDVV QNVLGRKLPPVSSKRMDIPDAVSAVIAKMTQKGLLDRYHTITAVKKDLEQIVKLLGNG DFNALKNFEVAKNDVSSFFTLPSQMFGREEEFQKIMNIAEKVHRRQQALYAKMVAQAN LSAFGGSASSISESRIDSFDFGEGSSDSGSLNYVPSRHNSTAGPLALNHVPTRDSVHS TESSLSTQRGFGPMHRTKGSSSADHRSLGDSGDRDSTHLSANTGLSPMETLNPMSRHR AANKVRRSGHCEVITITGNPGIGKTDLIQRVQPAIRKLGYIGVARLDRARRIPFEPFA KILASLLRQIFSERDVTTEYHNNLRSFLRPLWPTLHQVLDLPEQLISNDQKDKPISPK MGVAQHILKENSKTEIPKRPSFLHGTVPADIFTSSSSNKTMRLMEMYLEILRHLCSQK LICVCLDDLQYADDESSDLIGNICKTRIPCLLILAARKQEIESRDMLTLFNAETPSIT KIELHPLSEEDVARFVSATMQLEPDPRQTPLSVVVIEKSQGNPFFMRMMLETCYRKNC IWYSWKNSRWEFDIDRIFTEFVSPEYGDSLGTDFILKRFQEFPPSALAILVWAAFLGS PFSFSLIQRLMEGEFWYDDGDGIKCNLTPLSKAFAPRSEAEAVSGLQFLVQGYIVLPG DSDDEFRFAHDRFARAVGTLSECHNVEKMHFVIAQTMMKYCTREGEMYPKSHHICQST DLIRKRVRNRHRYRKVLLNSARIALSLGARPTALMYSRHALKLLQPNCWDAKAPDVDY EETLQLHNSTAELLSYQEDNKEALTLLEQVFRHARSAADKSRGWIIKSKIATLAGDFN GAMDALLSSLEELGIQIRQPTTYEQCDIAFKELRDYLQPRDFETLILQPISQDPMVTA LGLVLAEAMAVAFWGDDLTYMHLGVEMMRLHLFTGRFSQVGLACCHLAMAAYSRHKDL EFAASMSDLSLLVFESYAEPSSRGTGFILQSFMVEHLRVPLRTILPFIETSVEYAFNS NDPYLMLTSFGLMAATRLYLGQDMSEIETFCTETPDEILDWTRDVRAGVLLIAVKQVT RALQGKTSWRNPDLIMTDDQHSTIEYMEHVCRHSMRADRPYNIYWSYAMIPLYAYGHY DKIIELGTGMMESIERLWCMRVSHLTHFILPLAILTKHIDNSNTGGLEEYMRLVLKCK EIIDLSRKACDVNYAMWALLIEALMHEHEKKFNSAVQAYEAAIDHCEVHGFPLEEAMA LELYGDFLVRKGSKRPARAIIKEAIAAWTGLGAVGKAEHLSEKHEWLLKTATAPRHND ASTQTVDSLANINHDTAVATPAVQTNLEDDRKKHWLENSGSHVETGPLDIPSVGLDII DLSSILEFSQVMSSELQIDKLLTKMIEIILESCSGSDTAIITTEFEDTGFAIAAIGSQ DDGQKAFLDGLPFSEMEDKMAQQITHYTLRTRQEVLVHNVLEDERFSIVSEGYNARYP AGRSVITLPIIQANNLLGVIHIEGKPNSFTQRNVVVLRLLCNQVGISLSNAFLFRRVR KVSATNAAMVESQKRALAQAREAEQKAKIAEAEANHNVKLKEDAARAKSVFLANVSHD LRTPMNGVIGLSELLKGTKLDKEQDNYVESIRVCADTLLTLINDILDFSKLEAGKMKI STVPLSLKETIREVVRALRYTHRDRGLETIEDLDKVPEDLVVMGDPVRLHQIFMNLLS NSYKFTPKGYVKVSAMVVREGKGRVRLECSVADTGIGIPEEHKSRLFRPFSQADNSTA RSYGGSGLGLSICKAIIEDVLGGLIWLDSTPGVGTTVTFQLVFQRAPKDSAVTAPWMQ NFNQADKHDVPRVTQVVGRDLTMVPREKIRVCIAEDNPINQKIAVKFVRGLGLECEAF SDGQQAVDALRQRSKEGRPFHVVLMDVQMPVLDGYDATREIRKETDPNVNQALVIAMT ASAIEGDREKCLEAGMNDYLAKPVRSSVLSEMLDQYLAPARPTKLRRRPVTRQSGEDS RSDGSGTPGSQTSSSSASQTIALTPDDERLQQSTSPVVSPPALPSPAVSLPAISPPTD SSPATRTDQIPERLKRSEQQSSSTQPNNKF TSTA_031230 MVVHRRHIPNHSIDLSGLLKMEAPTVPVDKAAELSGITTSGKVT VTRVPNDSIDKTFARTTNLASSALNARILSKSNDYFAAAENLLTPTPPIHKPGVFVHT GAWYDGWETRRHNPEPYDWVVIKLGVSSGAIEGVEVDTAYFVGNYGEKAELQGTYAPA GSADSDEQIASPDYKGWKTILPVVECGPSQRRAWRIDSYDAKNPTPYTHVRLLMYPDG GFARLRLYGHAIPPPVAAASSTEEELSSALVGGLALSASDQHYTPASNTILPGRGKDM GDGWETARSRTPGHVDWAIFRLGLPGTVHKIVVDTKDFRGNFPREVRVHGLVKDDATK DEDVTNEHPGWIELLNGDKKTQADTEHVFEGAELAVGKGDERVFSHVKLTLVPDGGVK RFRVFGQRR TSTA_031240 MASTESREDGLTNPIPNEEEPLLGSTGSVTQKDTTPIYYNFLTG TAVVAQAGIWVLFAVIWSAIFSHDLIFFSAHPLLNSTALLLQVQGILVLQPTSTPRQK TLGAHIHFALLFLSLAAFISAFVVIEINKDPEHRLTSIHGILGFITYILIIIQALVGI AQFWIPRWVFGSIEKGKNVYRYHRIFGYVLLVLEFAAVAAATQTTYNISVLNIALWAV LVTSVITLLGVGARVKISKRCRNAELSRPPTAPKPVPDVKLIREHADLFARNCVDRNY AAYQDYPHRIKELYAETKTLEEALLNPRRKIKELEKEIGRVASSRVSSQELNGEGKQQ ALAALRQEAQRLKKGSQETLLRKESLENEIQKLALSLPNLTSREAPVGDDPRVVGYIN YNPENSSTWLEQKQPYRSHVEIGTTLELLDFTSSATSTGWGWYFLTNEGALLEQALIQ YSMDVALKRGWKAVAPPSIVYSYIQEACGYQPRDQNNEQQIWHIEQSEKDKAKPQRSL AGTAEIPLAAMYAGRDIDESLLPLKFVGTSRCYRAEAGARGVDTKGLYRVHEFTKVEL FGWSNNPRSDESDPLFVNCVPLNDLFDELLQIQVEILTALNLPCRILEMPTNDLGASA TRKRDIEALFPSRLRAIESSGSAENKGYDLETGWGEVTSASICTDYQSRRLGTRIRNN QDQKSRYPLTVNGTAVAVPRVLAAILENGWDEERQVVVIPESLRQWMGGMETIGRK TSTA_031250 MASKSATGLITVLLATNIHCASCVALVKEVLTFYESITSVDVSV LQQQVRIQHGPGISASDLARTLIDAAFEVQIATTQDEATGQVLESIDTSSWGSSPPVL RSPLLSPNNCKACQTERQYALYEAEKSPPKYDRTWMEKLVTTSKRPPEDTEKQPPLES VQVTQPHPPDVFQARISISGMTCGACANSVTEMVQQLGFVKDVSVTLLTNSAVVTFTG PRENIDKIIDEVESTGFDAAVDTLENVAGSAEGQAVPIYEAQFGIGGMTCASCVNAVT HHVKQLDNVKDVTVSLLTNSATVVFSGDQSYSKTICDEIEAIGYDASLIEVVQQNNSA SDRPMSDKYIANISINGMSCGACVGKVTQAVQGLSYVKDVAVDLLSSSARVEFEGRDN VQNILNEIEDIGYEATLIDCKSAKEELASKSTERTVMIRVDGMFCHHCPEKVLLSLKD LDDSIQISKDPTLKDPIVKVTYTPTQPNLTIRRILAIIDSSHENFKASLYHPPSIEDR SRAMQLRERRRFLFRLAFVLMVAIPTFIIGIVFMDLVPSDNPAHQYLSQPILGGSVSR MEWAMFFATTPVMFYGADVFHIRAMKEVHALWRPGSKVPILRRFYRFGSMNLLISAGT SVAYIASLGVLIAGATTKSSDAADVTTYFDSVVFLTLFILAGRALEAYSKSKAGDAVS DLGKLRPSEALLVDPSSSTESVQRTNVDLLEFGDVVIIPHGASPPADGIVTSSGDYQF DESSLTGESRPVSKSTGDEIYSGSVNVGQQVSIKVTEVGGASMLDKIVSVVREGQSKR APMERIADLIVAYFVPVITLIAILTFIIWLALGQSGALPLGYLDTTLGGWTFWSLQFA IAVFVVACPCGLALAAPTALFVGVGLAAKRGILVRGGGEAFQEATRLDAIVFDKTGTL TEGGNLRVSEHEVLDNSDPQKVAIAWTLARELEQTSNHPIAKAIVEFCKDKLSGEYQI GVKSSDILEISGQGMKGKFTISVNGENATYEAVIGNERLAKSVATTTDSQSYFFANAL SKYQSSGRSTAVLLLHKFQASTTTSPKDKETGANTIDTEPFIPTIIFATSDPIRAESA QVIAQLQKRNIAVYMCTGDNEMTAYAVASTLGIPHTNVLANVMPAQKAEYIRKIQFSS LSSLDDGNQQPQGKGRKIVAFVGDGTNDSPALTAADVSIAMSSGSDVAISSSSFILLN SNLTTIFELVQLSRRVFNRIKLNFFWAAIYNVILVPVAAGVFYAIPDGTHTVTGSGGH DVQVNGHWRLSPVWASLAMALSSVSVVTSSLALRIEWRDVKRWMKKCLGRS TSTA_031260 MPLVKPPVQPRSRQPVSRLHSIKPPQSGGIPLKRPQGIPVPRVG SVGSSSTSNAAAAALPSPLKRPENKKPAETCPNPNCPDPRIIRDEEMKVCETCGAVVS EDHLVSEISFGETSAGAAVVQGSYVGADQTHTRSSGPGFHRGGGMESREITEQNGARY IQQMALALDIPESAQKAAGQVFKLAVGLNFIQGRRTKTVAAVCLYIACRRQPGNTVML IDLSDVLMINVFKVGRAYKMLLEELRLGGTVFTMNPVDPENLILRFARQLEFGNKTMH VAKEAARIVQRMNRDWMTTGRRPAGICGAALILAARMNNFRRTVREVVYVVKVTEVTI NQRLNEFSSTDSGDLTVDQFRSVHLETAHDPPSFNPKKKEGRKRKAKVVDTAAEIEES ESETETEAQPAPPPAKRPRVDADGFAIPEIPIDPALTAETYQIALDQDTISNIEAELL AKSVLESEQEQTSKKGKKKQLVPEPTPEELASEEALENEMHQFLANGSDMVEAATAKM PVTATAAAAPTTIKARAPISDNVEIEASEFESDPEVANCLLSPAEVEIKERIWVHENR DYLRTQQAKALKRALSQGEDDGTTQKKPRKRRKGRLGDVTYLQGEDGKGSRASTPAEA TRLMLEQRGYSKKINYKMLFDLYGDEGAAEAEKAEAQRQSRSQSVASEAASAVSSANI KPLIASTITPKITTSSQGGFNEADYDDEEDDAEGVEAGGEDRDDDQDIENAFAGNYDE DDYYEGSDYEY TSTA_031270 MGSVYNRFSDGPTMILPSSQNHPSFQFPSQSVQGFQAYDSGSWS MDAVYANQFKGPTRHSSSWTPSSSSKTIFTNPSRKRSRNDSEDDDISSSKLSFAPSIP AVEAPIYGEGMVLLNPRTGMAVSAESQTGTWYEEEAVKKTISSRMQQFNNGDGPSRKS QRLDTSASHADDVELAWIQQQMQATTNDDNRRSFGKNSPLDMADEPRVDDVTLLLGIS WQRVIHDGDMGPAVSGWEKYINNHFYKYMRNARIILKNRSLNAYLVAALPAQDVPLQD TPMHSNYAAMSKAQLVASTLDNCLRNIRSTPIQFEGFEVLRASERSPERTVVPSDVIN CVVGNGIPIARISKEDHAVTGEKVNMNNDMAMSMGTDMDVDL TSTA_031280 MSSDNEKARTSGEVSRPEPVLPTINPEATKSEPPKPALHPAFYV GTWIALSSSVILFNKHILDYAQFRYPIFLTTWHLAFATLMTQILARTTTLLDGRKTVK MTGRVYLRAIVPIGLFFSLSLICGNVTYLYLSVAFIQMLKATTPVAVLFATWGLGMAP VNLKVLMNVSAIVIGVIIASFGEIKFVFIGFLFQIGGIIFEAIRLVMVQRLLSSAEFK MDPLVSLYYFAPVCAVMNGITALFLEVPKMTMGDIYNVGLITLLANAMVAFMLNVSVV FLIGKTSSLVMTLCGVLKDILLVAASMAIWHTPVTGLQFFGYSIALGGLVYYKLGSEK IKEYSSQAQRSWAEYGSTNPGQRRLVIIGAVVLGFFLFVGALAPSYAPESVDHIRGAL GGASAGRV TSTA_031280 MSSDNEKARTSGEVSRPEPVLPTINPEATKSEPPKPALHPAFYV GTWIALSSSVILFNKHILDYAQFRYPIFLTTWHLAFATLMTQILARTTTLLDGRKTVK MTGRVYLRAIVPIGLFFSLSLICGNVTYLYLSVAFIQMLKATTPVAVLFATWGLGMAP VNLKVLMNVSAIVIGVIIASFGEIKFVFIGFLFQIGGIIFEAIRLVMVQRLLSSAEFK MDPLVSLYYFAPVCAVMNGITALFLEVPKMTMGDIYNVGLITLLANAMVAFMLNVSVV FLVSD TSTA_031290 MLNIARKTLQRVPSFQDILQGRMSHPDITVDVLVIGAGPTGLGA AKRLNQIDGPSWLIVDSNEIPGGLASTDVTPEGFLYDVGGHVIFSHYKYFDDCIDEAL PKPDDWYTHQRISYVRCQGQWVPYPFQNNISMLPKHEQVRCIEGMIDAALEARVSNTK PKTFDEWIIRQMGVGIADLFMRPYNFKVWAVPTTKMQCSWLGERVAAPNVKAVTTNVI LNKTAGNWGPNATFRFPARDGTGGIWIAVANTLPKEKTRFGEHGKVTKVNPNSKVVTL ADGTTVGYEKLVSTMAVDALCEQIGDKELIDHTKELFYSSTHVIGVGIRGERPERIGD KCWLYFPEDNCPFYRATIFSNYSPYNQPDASKKLPTQQLADGSRPASSEPKAGPYWSI MLEVSESSYKPVDVETIVKESIQGLVNTEMLKPGDEIVSTYHRRFDHGYPTPSLEREG ALTQLLPKLQEKGIWSRGRFGSWRYEVGNQDHSFMLGVEAVDNIVNGAVELTLNYPDF VNGRQNTERRLVDGAQVFAKKK TSTA_031290 MLNIARKTLQRVPSFQDILQGRMSHPDITVDVLVIGAGPTGLGA AKRLNQIDGPSWLIVDSNEIPGGLASTDVTPEGFLYDVGGHVIFSHYKYFDDCIDEAL PKPDDWYTHQRISYVRCQGQWVPYPFQNNISMLPKHEQVRCIEGMIDAALEARVSNTK PKTFDEWIIRQMGVGIADLFMRPYNFKVWAVPTTKMQCSWLGERVAAPNVKAVTTNVI LNKTAGNWGPNATFRFPARDGTGGIWIAVANTLPKEKTRFGEHGKVTKVNPNSKVVTL ADGTTVGYEKLVSTMAVDALCEQIGDKELIDHTKELFYSSTHVIGVGIRGERPERIGD KCWLYFPEDNCPFYRATIFSNYSPYNQPDASKKLPTQQLADGSRPASSEPKAGPYWSI MLEVSESSYKPVDVETIVKESIQGLVNTEMLKPGDEIVSTYHRRFDHGYPTPSLEREG ALTQLLPKLQEKGIWSRGRFGSWRYEVGNQDHSFMLGVEAVDNIVNGAVELTLNYPDF VNGRQNTERRLVDGAQVFAKKK TSTA_031300 MLRTPPTQISLSEYEVLQTIQSIYIQKAMSLSLKYYGTEGEGEA EYYYDSSCTSPSTEYTTSEYDKLACEVVKHYHDAKIYLNSLTPGSRGVALSDSDLSLS DYPAQLRAILSTRTVGRIERDDSEEIYENATEIPESTQASSTPLMEPSAETSPPATTI HSPRSPDPTPRARRVSRVHRSGHHGIVPVLQVQVPYFHERISDMLLSDYMAEWQSGPP GNLRDTYSRLPLRRDQQSNWGRYVLGQLSKDTSRNTEVQSAENWQDENICPTTHPRSR KSLLLEVIDQNDEERDDEESQSGAE TSTA_031310 MFWYELRAQLGGKGCVSHSPPPFQRGPQIKHNDVPEGRRLHFPS LNSLTRDSQHSFDFIRCLVLANIVNSRRISTSSACISLPIVIASHAWLRCFFQTPAHR RLLQTAPVILIQSRVPPYHASNANANAITAAISLGTSYLCHLAGYISVSSIPEFPSVD AILPTFVLGYHSESYPLFSSHPRDITMDGDLSLSQSLGGLRIANPDDSSLNSEDAIGS GTPTQSVPSSSQTRLPPDHESTHDYLGSSVHSLQLHDGSKSEHVPESTPDFSSSYRSQ ILPPVETTSSHRNAAYRSSVAYLPENPSSSQHHQNIPRSASTHVHNHYANGSASAAVR GDLNNYRIRTDSSTSGPEKMSRADSRGGSAALQAGVPSRDNSHSDRSYKHAQYLAGNG PVPNRKGSRMGHGNPIGSPYALENGPPASSEEWQDRGAAVGIRQEVDANGRTVSRYIK KGVRDFSFGQTLGEGSYSTVCLGTDRQTLNEYAIKILDKRHIIKEKKVKYVNVEKDAL NRLTDHPGIVRLYYTFQDERSLYFVLDYCKGGELLGVLKRMVTFDEECTQFYGAQILD TIDYMHKRGIIHRDLKPENILLDSQMHTKITDFGTAKILDTSKKGEDGSGDIPSLDST DPPEQERAGSFVGTAEYVSPELLTDKNACKASDLWAFGCIIFQLLAGRPPFKAANEYL TFQKIVALEYEFPTGFPAVARDLVERLLVLDPARRLPIEHIKNHQFFDGITWGRGLWK QKAPRLKAYVPPPREPIKLSGGGDEDNFPPNISSAASGGMPTTRAYPRVITELPPPSQ LDIEWSPVLKRDNERILKLGILTVLSSHASHSPGSNGNGDSETHRKFSRFFGGSTTKK KQRLVMITSQARIILTAAGGDEKRVKLEISLLAPKTTYKSTIDAKGAASWVVDTRDKH FVFEEPKSSSSSATSSANSIQEWIDTLDRAKELAMSQQSSTYSGDEYRDLSSGFSSQA NTLDHSSDLQTEVQPSMRATLQKNSGDNDSLKGKKRFSKRHSKNGLAAVF TSTA_031320 MTKGCYTCRRRRIVCDNGFPTCRKCRNAGKECLGYQKPLVWVKG GVASRGKMMGLSFDDVSGPSQSSGNSFVMSSGAGMHSQSMLPFDSVNGDVDSAMDQHH GALEHSPHYQTETPMYSLVDPLFQDVNKTSRFYINIFNHLSVRCLALYDEVRNPYREL IPYINGSPVLADSLAAIGALQFIYKSEDYGRSLINAAAGDESSLRAGMSLMKPRDRKV YEHFLRLKQRALHQLSTEVSHSTTRIDDRTVAAMFVLILLDVIESGNTSWMYHLEGAK NILKSRFSDFNRIPTTDGIYSFVIDSCLITEIMGSTLARPGVLSRPFFSPSMGSAVLK RLEKTAFVGCPAYLLDVIFFVHAQRYSESDEKTAEYSLSFLSPSGEATRAESPLAVLR HIDSFDDREWAEEMQSYLTLPDLSARLALARAYKAAVHLYARRVLSKTNAFASDCALT TTTTTDTILRSRRTVETELIQNLLSIPPEDEHFKCLIWPTFIAGAESSSREQRAITLR LLGFLWNGVYSLNLQNAACVLKVMWDKQDERRQATADRLPDEEEEEDDEGFDWIQELD QSSTDWLFI TSTA_031330 MAMVSYPETVDRTHAPPPASSSSRLSHSSRRHRHGRSHHGGSSY TAQNEFPIFAHTGDVEIVIAAGGQEKRYLLHRLILSQCSGFFEASTSEEWSRSQAQAE SASAAVDSDPSLQSIAEDGSSILSRRGSAQGSSMPPKLRWRYELDWQNKESDEDPILV QKPPTSDPIFAVQSSYSIPPPQSITKPVAPRAGFFRSVANLAGMQSAVHIPSNAVVPD AQTHPLIRDYDNLFRIFYNFAPILNSVNIATAYSECKALLGLADMYDALGVVGSRIDH HLLRFSSRLFKQIAKYPPSYLKLGYLARSRVIFTEALIHVVGQWPAAQAQLNNGSFSP LPDTVLDLIEDKVDDLEDLKTRIDAKLFRLTLTTSRGERVSPSNAYLDWLAVSLFRQW FVENTTPPPAPILKNSGESRSVSASADTRRSAGTPIPSGRVYRLIGSSSSEAYLPHDE LKRFLKLKASSTSESLYTRDNFKRFERKMDEIKRLAREVVKPLIRNFLELDLKGSDHS GGSGGSGVGDGGIGGLPYLTCTRIEEADLPWR TSTA_031340 MSVNRDNLHFTIQHWEINNTQTPAMITRNRPRKILEDTAYDGLM GNNRTIKSWQAISVLHGIILIIVMPDSPMRTNHFTEEEKHLMVERVRSDRTILQYQTF RTY TSTA_031350 MSASKPTAADVHSAIRLLIDNLVNIKDETGKFPLYLEDGRVIDT KSWAGWEWTHGIGLYGVWKYYEMTGDGSMLKIIEDWFAARFAEGGTTKNINTMAHITY NAVNSQQPWDDTSMMTVLPLAKIGKLLGRPEYVAEAKRQFLIHIKYLFDPRTGLFFHG WEFDDAKGGGHSFAQARWARGNSWITIVIPEILELLEFDINDPIRLHLIHTLDAQCEA LKRFQHPSGYWRTLIDQADKSSYVEASATAGFAYGMLKGQRKRYIGNQYRGTAEKAIQ AVLSAIDSRGELQNTSFGTAMGHSLDFYREIPITAMPYGQAMAIMALVEYLRTYSYLA C TSTA_031360 MADTCANPLLLGWIKEWLDQARERNSKGFTVYKKAYESMKACPL EFSHPSEAIQLNGLGPKLCDRLTEKLKEYCEQHGLPMPELPNNKKSQKRQSGDDLPLQ DQPAKKVKKAKPYVPALRSGAYALILGLSTMDENSLQGISKAQLIEIAQPHSDSSFTA PSDPTKFYTAWNSMKTLIQKELVYEHGRPLKKYALTEEGWECARRIRNTSAVGLPLGN QPTLSCGPSIPKPNGTLQNNDRAFTSLDDDLEIQVLDDIDPDPAARRKDQPRLQTSTH TKPIILPPNSFTIELILDSREVRARNDRDYISKELEAKGITTQVRALELGDAMWVAKC TKPDYLTRHGEEGDEVMLDWIVERKRLDDLIGSIKDGRFHEQKFRLRRSGISNVVYLI EEFAVSHSSANASGNVPNYQDAVASAIASTQVVNGYFVKKTKNLDDTIRYLARMTFLL RKMYGTDALPASSLGSSQPSRSSVKPKSVALIPSSNLSSSESYLTTLRELRSGRSSET TTYGVSFSTFSALTSKSDTLTLRDVFLKMLMCTRGITGDKALEIQRHWRTPRAFMEAF EIVSSSATNPQEAAKAKEKMLTDKMGNLIARKRITSTLSRKVAEIWGEKE TSTA_031370 MVSENVTPATASSGQRPTNTIGNVTADINKVDIIKSETDAPTDS HALAHAEVEETSLIRKLPGDEATSDIGWRQQPDEFDEPIIVGISNEDLYMLIRRFNKQ VYHVRAASTLPLDNLDLNPVDDEEFSPDKLRSNIERLYMTVIVGLMSLWKHIVRLRSW REQRRTAVFCAAYFVAWLLDIIVPMILSVVIVLILYPSSRSILFPPAPIALVDSQTGG VQKPRAGVLGSKDSLTGAPERYRGEAVEQEASNLVSSVAGVAIGSAAGKHDPAVPDDA PMEDKVPDVTDVASQVGDLQDSAGGGIPSTKHDKTKKPMSDAVWTKMKPVMHILGDIT DTYERFGNALSPTTPFPKYAARLRLAAILAPMALGSFFLTSYVVVKTSGFMFGFVFFG DPVLIRGAKYLNRRFPRWQKVLELRNSILKGVPTNAQLTITLLRLGEVNGTPIPSPPI SLEQAPSKPASVHEDIPLDASRAEIESAVRPDPIMTKQATEPDATNEKAKRSKKKGFL PAMVRFFKGTTATGIETKLATYYALATLGYRHAKNHLGILPKKGSKATPGGPVDFQCR YQGKKGCLVIDSSKEKPVLFFTTEPGTEPPTIENAKRVLFAMPVRDIRHLKKLGGMGW KGKLVVGWAETEKEVIDGLRIIGKDPKQNFHITAMKTRDQLFNRLVAMGDQVWDIC TSTA_031380 MNILITGAAGFIGQLLATELLNDPAYKLVLVDIVDPPIQSGVKY PQNAKIIKTDLVADNLETLVHESAPDAVYAFHGIMSSGSEANFELGMKANVDATRALL EALRKKAPPGVRFIYSSSQAVYGQPLPKTVTDEVIPTPESSYGAAKLICEALVNEYTR RGFIMGFTLRFPTISVRPGKPTAAASSFLSGMIREPLNGQEAIIPLEDRSFESWLCSP RTLVRNLIFTLSLPGDCVPPHIRQINVPGICVTIQDMMDALEKVGGKDKLGLLKEKED PVIKRIVYSWPTRFDNSQAIGLGFQRDESFEQAVRDFKESVES TSTA_031390 MSTNATPLKRSAVEAGLDQDDSVIGVETKTSVPKVSKARACAEC KRHKIKCEVKPGETSCTKCLRSGIKCVVNDFSQKFVDDDLAWKAQANATIQQLQAAVS HLLRHNNLPELSSFSPSESNADRTPEAAVTPSVRLPSQDQKRLSVPAVSSSAAIGGQM MARETSQDGNYEEPEIVSAPMRSLYEVTKLRNFGTHMTEKPKSTLLEEDFISRGYVSL HEAEELFAYFSRTMNQLLWGGIVVPHLDLTSVRRASTLLLAAVLTVAALHIPNRTETL NTCYNEYVSLVSNMALTRSHTLDDIRGLCAGAFWLPDLSWKLSGHAVRIATEMGLHQS FHKMTRGYADQYHYERAQLWYLLYVCDHHFSIAYGRPPVIHEDSAIKNNEVFLQSRFV VPGDIRLMAQVALFLILTEAYHTFGSDAEQPLREEDFGQLRVYNVAVDQWRLLWQPRS ADSPYVRTYPSKGVVLHYHFAKFQLNSLALRALSPTNTPVFSMDRKECANTAISAAMS CLNMFLEEQDVRDAIVGVPIFTHTMVTFSAVFLLKVAVNWNSAYLNIDGRQVRHTVER VIELMNCVSAGERHLTRHIARGLSKMLERFNAWEGWFNNHHNSNGKSSVTMSLGNNAG GTTNGYDDPMTSTGTTIANNAVLHTSSSVVNTDNNSTSGRRRSIPGGANAMAQGLPPP DLIYTMVGTYGFGLDEQLMDPTIPGFEYMSQ TSTA_031390 MSTNATPLKRSAVEAGLDQDDSVIGVETKTSVPKVSKARACAEC KRHKIKCEVKPGETSCTKCLRSGIKCVVNDFSQKFVDDDLAWKAQANATIQQLQAAVS HLLRHNNLPELSSFSPSESNADRTPEAAVTPSVRLPSQDQKRLSVPAVSSSAAIGGQM MARETSQDGNYEEPEIVSAPMRSLYEVTKLRNFGTHMTEKPKSTLLEEDFISRGYVSL HEAEELFAYFSRTMNQLLWGGIVVPHLDLTSVRRASTLLLAAVLTVAALHIPNRTETL NTCYNEYVSLVSNMALTRSHTLDDIRGLCAGAFWLPDLSWKLSGHAVRIATEMGLHQS FHKMTRGYADQYHYERAQLWYLLYVCDHHFSIAYGRPPVIHEDSAIKNNEVFLQSRFV VPGDIRLMAQVALFLILTEAYHTFGSDAEQPLREEDFGQLRVYNVAVDQWRLLWQPRS ARTSVLIHPKEWFCTTISPNFNLTRSLFELYHQQTHQSSPWIARNAPTQRFQQPCHV TSTA_031400 MSTRKRKQDAEEEEDFQALPSDESEEEEEYQSSDAEGDDYDDSE EEEEEEEEEEEEGEGGEGGEGEKEEETTKEEAPAPKKRKTAHDSKAEEDGEGEEKEEE EDANDTADKSGPAQAAKKAKGGQVPKEDDLSEETEGEE TSTA_031410 MVLPAVPEIYEEEDVFTAVDARTDALQNLRELGPPDLVYLVKQS KTNPTRQTGVYHHVTGIDASSSASLAAYVNTLTYSPVDKQNKVISGVYCCYNAFSHLD MRVEVKIPGSLESYCIDERGDKRVATDALWLETFLCAVLRSYTYADDGTGDAIKKIVG VRRFNPVTNTEMEHKFLEAAERLFFMGRQLSSEPETQVPNTVANHLTSGLLKYIHTTG RYASGINLFEKLRTKDVEVSSLLARVLISADEEVQAVRLMHDALQDVPMDYALLDCQA AFCLSKGEGELALECAKRSVTAAPSEFSTWARLAEVYVALEQWDLALLTLNSCPMFTY QDKDIPRMPPPSRVLLPILAESALDEIDEGQPKQGDPHDFVHPSLRKLHATGYQGTFL KAYELLTKIAAAIGWDQLLKIRSDVFVMEEEYRVERQQTTKPSHSHNASTVALHPPAS PNTATASDDGDTGADHSDRPNGTENGIGNLEPTEASTIEKPEHTVASETVKSGNEDPD PSHGDYAQFQNKRLCERWLDNLFMVLYEDLRIYTIWRSEMAQSRHQSLDYKKTATEWE ILGELAERLHHFDEAIEAYRQCLSIRFSPKAMRGILKLYEKQGDTRGMLNALIRLIAW QYRWYSEFSPDLLLLVRKLIEEEGAVKVRSIVQATNLPQPVLDLTHQYCQICATFRST GSDV TSTA_031420 MASFTALNIEPAEDIQEEVDDTKELQIEEALKLYQNALKLHSQG PAFYPQAKAAYSALLQSEIFKYPEAISDYQRSALTDGDNEDAAIDALGEIDVNDAAAS ALPRTIFLAYKNNGQFILDLVKDMLRRPLTADERRLHILDGSRSAMKIFASALERDDT DLDLWRKSARIGAALNSYRLARYCLESVLVGDDNEVEVRTEQLGLDEAFAAEDLRNLV QALKDELSSIQAPPLRKPRPSLFRFFGNTPRISTPSSTPNQPLKRHIMESPVRTWDGV GKAIMQLLTEIQRKPKVLESGAAVGIEIPFQEVSPQSPGDNTLPVSANPSVSEKTTTI LEAPNMIDPVDDQSSIDQHAESQLRESLEGFSKQSAESQGTIAEEKLDDNVDSKSSNV NPRKRSSTSLANDETGENLRAKSRRIRARESNVESLQQTEDINLDNRYFEDRLEDFAH ADRWMFGTLGELLLKAGVDVLGTVDDLKKQMLLANSPSSYQSQDIDSTLVHDLQSALR TWNEEKSRSAMQGDSSTALLDSRGRQRSGLAIFLDHSRKSTQKTSKKKPLFESSGLAE FTSNINECWLHIHEIAFQWLSSLLQPGRAQFSHAASDRHSTESSYVSLPWPEGLKETI VQLLIHEDAYIYERLSDFIAETEQQALHQAMTDTSLTCTSDLYAKVEMVQTIHELHLD IHAHMNNPNSEIDQDTRALQRDRLTRWGALAQTAVNNLLDTEPGNKQLDKISLRHLWS MTFYSNMAEDVSRDHILRCLQDLKEALVSFGNPEITLTNNAFMPEVSAAALDQEITRL NSMGFFMRIFGSDGEDPVSLIESIEPVLDPASVKFVHEDGASDKTTSSVPVHFQELAS FLDKGDATLRLFLWRRLQDAYQAIEYTPKVVSCQLRSIEAIINELRGKVYLETQDDRA SILLRWLKSINNILSKLLPQLIDDPAKSFECIDVDHLHSSMTAVAHLARLLHSFTLYE DAVKVGQRPPLELRGALGKSLDNFKEKLRDMQVRCWVLQYMLLKEYMTQEKEKFPTPS DDQIQYLRAVHNALGVRSACKYADKLLLRLMKSELLSLETQEDCEFDIAQVLLDLHGL KFSIQMGTSDHGCPIENPDSESASMMIDFVLMQVNRLNMKDLSRSDLKTTIEKVQQAI GPIKHPSLSFNKRIVSAYLKSPINPSELFELVRGVGGLVLRSLPISHSENAKKGWYFL LGHASLTKFRSQKRLNAVPTNDLDDAIGYFRQDLEYATERWETWYRLAQTYDSKLEED IMWSADKINNNQAELASSQRCAIHCYAMAVAGALKAADDDSKTRQTISELFTDFGMRL YASSREPLNMAAFSLEDFERHYSRFDSQEVYTGKPFKELEIYSVWSLAAHMFRRAMVE KPTNWVNHYMLSKCRWKMFNSDEATRGRGKSVTIDDVLNPLLDAIEVLPQRKERSSLP DRILEPHFRLVSVLHKLVLRHQLEPSKAGEILAETSWSKNLSPPQNTEEWKPYVLDVL KKLKHADKSNWHHRILSRMAKVHYDGHGDVDAATAAKNELMPQIFTKTMVLQVWRPEY ERPGRHFVYTTQYVAYFTKLLDRISDHVNLEQLLRRVRKKQGDYINHTKLWEDMCIVY AKLVRRAGNITEHYEEGVFKQVGWDEFVANTARLDDFKDLPTTNGPTMDLLREAVEFK KLNNNLIKVTMFEDLTADIYARLYEENKHRFIEQANEENRGRMRVDHLLMNTDGSADV PTPPTSAPASEAPVPRGRTKGISRRDIQKRAEAIVSRHTRPAVTKTAATPVDEEKFST APIVRVPTLNNIQELATENKSAQQDSMSASLHESADDESELSEVDEEYLAKLKAEQSL LFPNLKSMKSPEPVSELSTAVSVDGDGNEKDMTLDDENNEADGEDDMEGEDGDTEFQV GPDEDEEMGNVDEEPEDVDMDVDKVDTEFDGDTNIEEVGDETVITLKKGDETEQEESM VQPNGKETENLAEEEKGKEDEENKPATINGPGESEASKDL TSTA_031430 MTSLTKQSSNQTSLFQVYLRLRPPITKEEAAHAHRGERYLTVEP PEPTEDDNASKTQSRRPTHITLQPPNDSRKRAVEKFAFTRVFEEDSKQLEVFENTGVP SLIQGVLKEGRDGLVATLGVTGSGKSHTILGSKHQRGITQMSLDVLFRSLGNTIRSAD NFEDPTLLASLSAADSSEAQLFSARNFIHSIYGDPSERGRASRAQTPMSRGQTPLLES TSAPLLSRRYLNQRLNALPSVPHVSHLTIPIDTQAEYVVVVSMYEVYNDRIFDLLSPS PVSGTASRQGNGKEKRRPLLFKSTEGSPDRKIVAGLRKVVCSTYEEAMTVLETGLTER RIAGTGSNNASSRSHGFFCLEVKKKVRGRRYGEISWAGNTLTVVDLAGSERARNARTA GATLAEAGKINESLMYLGQCLQMQSDFSEGNKNKTVVPYRQCKLTELLFSNSFPSSHS ASHNRYPQKAVMIVTADPLGDFNATSQILRYSALAREVTVPRIPSVSSTILSSSASTQ SRPQTSNGRNTPYAAASTEDLQEAAREIVRITDDYEALIVRLAEEEIARQEAELKLQA AEGRCLMIEQEIREECCAEMDEKMEEERKRWQEAMDRQAGHHEEHLDNKLEIASRGIK IYEDPEPTADERVDELERENEYLRSKLAALERQLNTQTSPTRKPKPRAAPVRSGGTGF GQRLVSGDSSSDLENMFQRVTAFKVAETYKSPVKPKAALVNGARNPRRVTSRKKDLGP EDDI TSTA_031440 MEVNYAIPVHLCSLCGKPFTQERQHRRHQAYCRRNQSRLRTRPR SCRACNQAKIKCNFRDPCNQCTRKGLDCVYEPSRKEPVANDAPITISDFWASHSTHGL EQVSTSNLLGHGLDRDSLGLLRPGDMPDLDSDGLFTMPATEFNWGGSRHKEISSVGDL QMPTTFSSDLDTYMSLLQSDDLDLTSDTSTSKTLDLILPAQVSTPLSIPSTEISTTRV HFSRNKIVTPAQQLFTSMLVDMIRAYPLMMTRRETFPPFVHPHCYLYEGCDTFPQVLT NCMGIAQLFVSRTDDTRPFVWTTVMAEVRSVLTKVII TSTA_031450 MDPRLDSENLRMPKTIALISGANQGIGLATATRLAREHGYQVII GSRNANNGLKAAKELQSEGLSVDSVQLDITSDESIAKAAEYITQKYSRLDVLINNAGI QLDVRVQGEAANMSLRQLWELTLSTNVIGTACLTEAMIPLLRKSSQRPRVIFVSTRMA SLAESLNPNTLYYKIDYKMYDTSKVGVNMLVSNYARILGEEMRARVNAVCPGLIQTQL TGYIPYGEKPEAGAQRIVELATVPDDDETTGTFSDRHGVIPW TSTA_031460 MGSYRIEESPNKRAGCSVKACKDHKIKIQKGELRLGTWVDTERF QSWSYRHWGCITSRVLANILESISEDGEPNFDMVDGLEELSAENQEKVKKAIETGEID EADKTDHSLLNGDASEEVQNEIDGSSKKAEADTVAKSKKRSRADVEEEAHSKNTKKTK GKPAAQEEAPEERPAKRSRARKAVEEATATQPEKPVKKSRKKASAANGHEDGEHERVE QEATKVNEPAKKVRGKAATTNSEKPKRGRKKKAVEDEE TSTA_031470 MSEPGKESIPTSADPRSKRPTKRRQLTPVSEQANQINVLFKDPI REVHLPPISKPRTLDSLAPPPEIVANVQGSSAGAGSGEFHVYKASRRREYERIRLMEE ESKREKSNEEFEKKRAETIRKDQEKTERNRRKREKRKAAAAKTKNGNSSTAMDVDGDA EKKPKQALSRLGPLPPRDRDQDTQVDDNNEEQRSHEEQGVIIHDD TSTA_031480 MQAPVVVMNTQKGDRQVGRQAQLSNITAAKTVADIIRSCLGPKA MLKMLLDPMGGIVLTNDGHAILREIEVAHPAAKSMIELSRTQDEEVGDGTTTVIILAG EMLAQALPQLERNIHPVQIISAYKRALNDALQIVEEISMPVDIDNDKAMYSLIQSSIG TKFVSRWSELMCSLALKAVRTVSFEVGGGKREVDIKRYARVEKIPGGEIEDSAVIDGV MVNKDITHPKMRRRIENPRIILLDCPLEYKKGESQTNIEVSKEDDWNRILEIEEEQVK HMCDAILALKPDVVITEKGVSDLAQHFLVKNNVTALRRVRKTDNNRIARATGATIVNR VDDLQESDVGTRCGLFEIEKIGDEYFTFMRKCEDPKACTILLRGPSKDILNEIERNLQ DAMAVARNVIFHPRLAPGGGATEMAVSVKLQQLAKSVEGVQQWPYKAVAEAMEVIPRT LVENAGDSPIRVLTRLRAKHVEGNYSWGINGDTGAIVDMKEYGVWEPEAVKLQSIKTA VESACLLLRVDDICSAKSAQQAGANVGGGGEE TSTA_031490 MPSRQRYMSYSSSALPTATATYGHTEQPRPHSQCISPLDFNRNA YYMDDCASVGSSLSDDMVDEEEIFVPLNPRALAAIPSRLQKIMREIQAERYETLKKEY PDLRVMTADYWIKPVEHVRKKPIRYDRAPTPLYFAVPPPTSRWATHSGVANYASHAQR PTTSHGCSLTRSAQMLNKRVAPARESRVLNGYHQPMPPNLAVNQKHRKHHNRRSSGLR SLSVKPVASNLRARLKRSLSRLNKWMKNEN TSTA_031500 MDTEGPTRALRSSSPAELILQQKSGALQGLPQLNSNDGGILSQL LSNPFFTAGFGLAGLGTAAALAQRGLKHGAALIRRRMLVDVEINIKDDSYPWFLHWMT LYQQSQLNGARSAATKSGEKVGIIETLLRKVTPGMRQLSIQTQKVEHANGAIHTQFTL IPGPGRHVLRYKNAFVFVNRVRESSSRDLQSGRPWETVTLTTLYAHRHIFEEMFTEAH AVAAKSHEGKTRIYNSWGAEWQQFGHPRRKRPLESVILDQGIKEKIVQDVKDFLESGS WYYDRGIPYRRGYLLHGPPGSGKSSFIQALAGELDYDIAILNLSERGLTDDRLNHLLT IIPNRTLVLLEDVDAAFSNRRVQTDEDGYRGANVTFSGLLNALDGVASAEERIIFLTT NHVDRLDEALVRPGRVDMTVRLGEATRYQVAALWDRFYREFDPNGVYKERFLDRLVEF GLIEDRNGNKLDMSKTLSTAALQGLFLFNKGDMEGAIRTVKELAESLYDQQK TSTA_031510 MAAKASFQLFPSPVKSKKNPFRTIPAKEEPRSKSPIVADNDDSI KAGIQTESVIIKIIEDTNTDTIQPWPVPSPDASHSEILPDTTQLGPQQRQKSPVSPGK PINSIFPQYNPQLPLNKQAYFPQNRGTKNTSHQSSSSTAGTVCEQDVPPTEVDAVLGP KTVPASVFNFPSGALSPRIQYSSAEELVTLWESANGQELQESLGTFNLRAERVDTTAF TFGDPQLPFYTLNASMDGFSLLRNHPLKRNRGVQVMVLNFENPARRQPPHNGLVTVIF SKLAAMLAIEQAAELTRHHSLAPSEAMEVETNAVNRAAAQESCRLIWNALQHRYELQH PSLLKQHSSALVGEDGNPLPRVQTAKPGILHITVSPTAERDSEHYQPPVIMVTSPRSP NSIQAGDMEATPRTSTLPQADIDEPLASLDLSTMTLSICAGLTTSIIPSLYAIDSLIA AMLAVAVTDESTWPVLANTELYVPGLESPRTPRQIPQQRRKSRFFRNSVASTAQKMNK STYSGKYFTTFAEREEAEEEAKLMAQIHAAEAKERSRKRKPSTIEKKKGGMFSFLGSG KKKTDGKTKQIIVEEFDLEKYGHFGQGSSREGQELPGPAKMLVNLLVLGLQVVVTILS AGVKCAVWMVVNVTRCVTSEKF TSTA_031520 MAEYVGSTRDAESRSLGPDDWPGDSFQKIVHELEQTRYKVASLH GSMREAANASSRSRIRSLNILDLPDELLRAIFEQSRKRWVSTENYFFIPDYGNWEGIK DIKNIRLTCRRFCNTSSHLLLRHIDVSMRPSSLAHLEEVARHPLLSKGIQAVRVHLNY FSAHLATDMFHFTALAIMHLEDTLDFYRFDFERDSDEQPFDIPREKLGPAIEKAELIL EEWSEFLNVLRDSDGDPDIIEEEIAKSREVAGLESVLKDGAFVQAIVTAMSKMPTAKK LFVGDLFRDFEDGRRNYVKPFVDAVEDPEGLAAVGTVRALDWDAARSKQLDDQPKEIL LQLLMTVFDNHIPINYLCIELSPAADLSIPATQEQLQQLAAGMEHLRVFDFRGSASQT GGGPIVDHGPEQIASFGSLISACMGGKALTRLDVYLSFALDDFAPQPPVSMGSLLVSR HCPNLETLRLSHFPLHLSELKTFIEGLNGPLCINLDNVLLLSGTWTEALDLIRKKANW ESFVTRQRGAECDDMSEEETLVIFGKDSFPSFGEEGKATWYVRGSIKDNPLRIREGTS AS TSTA_031530 MPNSTLLRYLSGGGVFPTTPRRAAEVTRLTKRREGESELGKVID VYRKQCCRELMRTGALEQPILYLGALFVSTLAALGLSLLLLKESQRSYRASDFTTLYL LASTLCDVIILAAPSQVDRHAYISRAVSFRCCIHSMLLVIECCTKRHAASDAIRKQRA PEERHGILSRIFFLWINPILLQWYTNVLVNQDLPPLSQYMKPELTREAMIQAWSKVAK PETKMSLPLALMKCVKQPFLAAIVPRLFLIIFQYSQPILIRESIRYVVAYPTNTESNQ GFWLVLSAIAVYLGLALSTAVYQHRKNRLKLMTRSCLVGVIHHKIINSPAVSYDNGEA TTLMSTDADSLDDVAGMVHEIWAHVIEVIIGIGLLASQVGWIWPLPLLLIYLCSYMSR FVTKNLQPRQKAWNSTTQSRIAAISSMLSSMKVVKMLGYQHNLVNRIQELRGEELWAA SKLRWIMVYYNMSANALGIFSPAITLVISALISVARGGRLDTETAFTTIAILSMVTHP ANMVMTIVPRVVAAFAGFERIQAFLLQPSLQDTRQILQEETQSSPAIQIRDVQIGHES VVLQNINIEVAPGSFTIISGPTGSGKSNLLRAILGEVTPTQGSISLSTRNIAYCAQKP TSTA_031540 MHNVRQEYHERWYHEIIEICCLNHDFKNLPGGDQTQIGSKGLNL SGGQRQRVSLARALFARCDILLLDDTFSGLDGETEQTIFDNLFGSSGVVRRLNTTVIL VSNSTHYFHAADHIVVLKDREILDQGNWQNIQYKATSKAKFSSSSRGKDSIILLANTE KLNSQLRSKYEAEIDLARRTGDPALYRYYFGYIGSRNLLLLIACTMSYSFFIAFPQYW LRLWTELGGRDPVFYACGFLFLSIMSWASTSTQMWSVLIRLAPQSGSKLHERLLYIVA RAPLSYFSKTDVGSILNRFSQDMQLIDKQLPSALQTVVTQICKLLMQTILLCVTERWL IVSLPACILVVFVVQKTYLRTSRQLRFLELESRAGVFSNFLESVEGIETIRSFGWSKA MIEENILSVENSQRPEFLLLCLQRWLNIVLDLLAAVIATSTVAIAAAYRTHITGAQVG IALNIMLVANTTLLRLVENWTVLETSLGALSRLKTLEEVTPSEGGTAETLEPPANWPS RGNIEFKNITASYQSRSVALRNLSLNIPAGQKLVVCGRTGSGKSTLLLTLLRLLELQS GKIELDGIDIRSMRLDLLRQRCFITVSQDPLLLPNETLRFNLDLDCSVPDDALVDALT DAGLWSHFYEGSRQLDGEGATIVDISDSDDNHPILNQLSIGQCQLFAICRAVLKAKLL LQYGVKPVIILDEVTSSLDIETESTVYRIVDSEFTKHGHTVIVVAHRVGALEEYFETG RDAVALIVDGRLQGIMDKIKLTTLQHLE TSTA_031550 MRSLVTLIAFAAAATAATSSLVAREDAQTCAMRTLLSSSACNTG DAACLCSDSARTAVMQEIKAACGAEQSDLTDSLASEACRMQKVRRATQPSATVVHMGL ATPSSSPAGRVNGAQESSGQEACTCANTNTKSNTPSALSENDTEDSELSGSSSHESNI PAQSSRVYAPSMMATPAASSAAHHGVMTPPSTSSSARVATPSGADAYSPFKGAGSQVI PSLSAVAGGVLAGVVAVFATL TSTA_031560 MVTPHGGPPLDTPSPSDDIVHRHRVPPPHLTLNNNINNNTSGSN DRHNLTPNPALSPTSPAKPTKYIPYSPRLARPSLDQLSYNTETSLHNTPSRNEELYFN TPVSDPMSSRHDIPIASSPPAKTSRFPNKHEEQEQEAAWPLPMTDAAQSINSVPRMRP TRTGLRISMSTSSLNGRRRSPHPDRQYADMSAASRPLPRFPPRVTPDLMQYNDPLRSS QTSGQTSYSSFEQMSGTERSSIATKTSSITDMSPDTPNGYFGEDEDMTVEDAIGMYLD DIIDLPEDSTSTHSVPPGGELDVIAENIYSPTKRTSVASLNPGPEVPVMEKQEVLSGH NQSPSHDQSVDELETKDEQKTADDSNEQFEQRQEVEASRSTGSSQPTVEPVGPADNET ASPKSTGSRQQSSKNLSINVSATLKMDTTKAVNQPIPSPRYSTVVRVAGKVPPSFLPG TDDRDRYGFRKVTHHVSREQFEAWHKPYAASAEHRRLKWVKLMESSGLPTECPVTFPP KSNVLKRFVRKGIPSEYRGAAWFYYAGGYQHMHPNPGHYAKLVQEAMSSSINTDKEHI ERDLYRTFPDNVHFKPEMSADLAGIDTAGSDIPKHSSVIIETQMIRSLRRVLYAFALH NPRIGYTQSLNFIAGMLLLFLPEEKAFWMLHIITTELFPGTHEISLEGANVDMWILMV LLRDNLPHVYTKLVTTTPTTAKGKAPALTVNTRLPDITLGLTNWLMSMFIGSLPLETT LRVWDCFFYEGSKTFFRVALGIFKAGEREILSVSDPMEVFQIVQTIPKKLLDANALME ESLSRKYRMGQARIDLLRDQRREAVRQEKTRLSLFTSKGLGQDRPATRTGARSPIPRL DGWRAIKKNTFN TSTA_031570 MGSIKRISKELAELIETPPTGISVQLVDESDVYKWKVTMKGPED TPYEGGTFIVNLTLPNEYPFKPPTVSFATKIYHPNVSNDDKGSMCLGMLKSDEWKPSS RIAAVLEFARQLLVEPMPDDAVEGRIAEQYQNDRKRFEEVARDWTRRYAREAN TSTA_031580 MLSALGGNLSNARQSIAQVLNFALVLSTAFMLWKGVSIVSNSSS PIVVVLSGSMEPAFQRGDLLFLWNRGERAEVGEIVVYNVRGKDIPIVHRVVRSYTEED KKLKAKKTKAGLPYVAPQKLLTKGDNNLADDTELYARGQEFLDRKEDIVGSVRGYIPG VGYVTIMLSEHPWLKTVLLGVMGLMVVFQRE TSTA_031590 MRVAELINDYRTLQLHISQQLASVSMGNVQLEGYRVLAQSSAAA QRLLATGFTSMPIEDQGSDPEMEKAQLRQVILDASVRRFQAHKIYLRVAAAKRWVINR NELLARSSKANSQVREVDQLLRQELDSITDHTIFSDLRQADSRAGLWVSEDPPLAAIQ LWINNSRN TSTA_031600 MSGSAGYDRHITIFSDTGRLYQVEYAFKAITAANITSLGVRGKN CAVVLSQKKVPDKLIEPSSVSHIFKISPSVGCVMTGSIADARACVDRARGEAAEFRYK YGYEMPCDVLAKRLANINQVYTQRAYMRPLGVAITLISVDDEKGPQVYKCDPAGYFAG YKATASGPKQQEALNFLEKKLKNKEYAEGDWEEVVELGITALSNVLSVDFKKNELEIG IVGGPRSDGQDGTALEFRALTEEEIDERLQAIAEKD TSTA_031610 MSVEESKHKLSASELKPKKKEEKRRLKESKKKSVDDTKSKKRSR DDVNEEKQHDEYDNADKIEEDGETEKRSRKKRKSVSFADNITIENKDQTNDTGEDKKN DGDDNTSPTENEEQSRERRKKEKREKREQRRKHDGQKAATITSTKGDKQPTTDSVDNK SILAYLSQYYNDRASWKFQKIREAQLLKHVFSLDHVPSEYNPALLAYLKGLKSEGART RLRKSAQEVIKHDEKHITATSETADETTTEETNDIAKLPVLPESLREAYGDAVYRFKG NLNAGIKNLNEGVSLTVPNGDNNENSEVDANVLARLEHRKRAEMVQWTVSGRISKFAS ASEQQDKQTEPESEPTGTTTASAAKKENKQKAPARKKRKNRTMIVEISSSSESSDSDS D TSTA_031620 MSARRAPARSRRVDDALSQLVDSLLPPISPTALGDDFSGDEEDA VTAAEEQRLQERLTRAWHILEVVGAEKEQSGIDGNGGSAAENINNASDLIKRKLLREN ASPDKAVRFSNLYSRLLTQPVLGQKWAILYLLYRLSEQEGDDINNGEKDVIMNEGGRS RSPLLDDGHLDNLLFKSRAGMRGALVDDDEGPAISSSVSQRGEAVDRRRSVPANPMEK QRRSHLVPTDEAREPITSGRPRGTSTPREAQLNTESQTEPHKPTPIKSAESSLLRDLP FILQGLSSTNMEFSSSTMLKLPTNLPIPMISLLHTLAEPCLLYKELAGFVDSSEGGLV SQALRASIFNELRSYLGLVATLEGEIRRALAATASDDPKGMVKGAVTLKRCVVWTRDA TMALRLMSIMVEEAREKRGGQLISLIHGYSSSHGDPFVGNFAEKLLSHITRPFYDMLR QWIYDGELSDPYKEFFVIETEFRPSADPRRIASSVWEDKYKLDEEMIPSFITQDFAKK VFLIGKSLNFIRYGCGDSAWVEAYSKETSKELRYGDTARLENSIDEAYKTTMARLIHL MDTKFKLFEHLRALKKYLLLGQGDFIALLMESLASNLDRPANSQYRHTLTAQLEHAIR SSNAQFDSPDVLRRLDARMLELSHGEIGWDCFTLEYKIDAPVDVVITPWGSTQYLKVF NFLWRVKRVEFALESTWRRFMTGARGILGSVEDKVGSDWKRARCVIAEMIHFVCQLQY YILFEVIEASWDQLQAAISKPGCTLDDLIEAHTKYLNSITHKGLLGSSSSSRSSSSSA KQPEESFLAQLHQILKIMLNYKDAVDGLYSFSVAEFTRRQELNAKIETRTARGQWGVT EHDFNNPSPFLSNQENTNLSADDHMLASLQTRLRDLSADFRARLNVLLGDLAYQPDVD MRFLGVVMNFNDVYEPVRRRRTTTSATSAGAASTTRDRDKDRRGRRNVVQSNNNAAGA GPAGSAETKESQGDAQ TSTA_031640 MSAAVAQRAPLGRTSSPMDTKKNIMKSEDSSANTKDQKPAIDTE TSQSLAPPPRPAASGPGDTPDYFNSLHNPFSLEPNPFEQSFGSTSGETPGKSLLPPVA SITSPALPGTSSAGYNWSNSLRSGPLSPAMLAGPTGSDYFDSIGRGFPTPNESSLRTG LTPGGGGSMFPAPSPNTQAILSQLQSGGATPSTLEFHRTALNAAKRSGFNVNVPTSNP TSEPEQLQTMDQKTVQPPAVDQFTHHDAADAANGLFMLAKGGPNSDAFSAPTNKPADV LDTKRMTRNTNNSVSSGREMTAEGSDTQGEQAKPVSRGKGKKSTPAKQTSTVNGRRKA EETPRGSNKRAKTNNGSMEVTPEEESEEEDIKDNGSGKDPKKMTDEEKRKNFLERNRV AALKCRQRKKQWLANLQAKVELFTTENDALTATVTQLREEIVNLKTLLLAHKDCPVSQ AQGLGPLIMNGMSAGFDPHPYNIASNMAMQPGAPIPQAIRR TSTA_031650 MAKATKRSVKGKEPSKSTAKPPTPFVKAPSILKPFLELLSLNEI YLIHIDRESPDFKKQLFILPVLLNTAIVLFFAYRIYNGFYVYPDIFASALGLSTKSTV DLTSQPMKSAVSTVLRRTLTFSIDYFLVTIFLLWPIRFIQGPIYWRRKVGFRQREVVV RQSRSTWSATLERNRWVYGDEKVVREKVVPAVAPQRIKKSGYLLIDADWDLDYKAMVR AHELIDNINKGSGVQLDEFRTAILVNTDDEGWLIWRVADENNKEREQQRDQLFAFQEK LTSMGKEDLFFRWVELVQYESSQPGGFTPERQRNAMLQAQEMFESEGVDFSQFWREVG GMQGIDLS TSTA_031660 MTLLNTMSSSYFISVQGQLQQGALSPHLQRAHLNFDLDLTRAYP SERKYSRKPTTADKDGPRSRSNFRLTRTLKDAFEATSRTIAMDSNDGAPPPTAIDRTT SPIRRHQRSYTLPSPYAEVTSPPPAEILETYQRINDADDLADLVSEDDQDYLGNGLSR KSSGERRRRDSPGPMNTQHTQTEANLDPGFSYLDDATDDYVRGKLSTYKRDEERLSRV TSSQSPVFSRAKVGSRAEGLQRRDSDPRAEEASQDVGGEEDGLRLGLNVPKTWGNKSS SHRRWLTSITGDTPRADASGRSTARERGSRIESRSRSPRLSDKSPSRFDRKTSQEFAS RSERSRLGAPPSDGLHNTSRSRSRSLGRNGLTGDSISNTPITIYKSTSRDPSQKLRSD SRELLRKLARTESPPQQSTPAQQRPEETPLPQKTPVVIGAWVDTPMTVRKRENNKDNT EEINSPSKAAPNSTTIAPKRESQTPIAEDSSKKESEDKITTKPSTQQAPSKPNVLTEK SLNIDSKKEPKPELVKPKLPKSALETFIEDAKSNGNPLSLGDDTIASLEGLMDGTDAK VSSTFAKLKEEELEKELDAKRADTTQSQSGDRYTKSLDRLGSKLQSIIHSIQDARTGL NVIEHAIVPGNGKVILPDVSEKLCETCGNAKHAHCDGRVYLAIPIPRLWKRESSTQRL RPTFLGWVMIYFGCWYLTESIMCDIYCHPTVAEVCDGYCLQPDAPQFPFVLPTMLWRW SHISSILTPIITILVAFLRLIAQLCGMWDGYVDDSQLSDIQWSSSSASYLTDPPIGGS EHQGTQNGFFGLWPGGRPKHSATRVSTSTASTSMPTILQQVIQDPQGDGVAYSIDNDE MV TSTA_031670 MAEEDAEQAFFQTQAMSNEYDPAGVAQDVQGTESEDDYDPSNTL HDEYSVTVAEPNPNGAESNDASSATQSIPHDSYQPEEVDTTQTSQAPSRRDSQTSVLA PSSTVHVQPKTKTIGGFVVDDDDEDEEQNEGEDEDKDEAEYEPPGVLETIEHGGHISA GASEQLPYSDKNANETISTSGVSIQPLVPDMATSKDVSNNPVSSYSAHNLYIEAMYGG ITDSNAPTPIPLAADSAASRNRLPHDRIGLLEDRIKEDPRGDIRAWIELIAEHRSRNR LDNAREVYERFLKVFPSSAEQWVAYIKMESENNELQRLEQIFNRTLLNIPNVQLWTVY LDYIRRRHPLTTDTSGQARRTITSAYDLALTHVGLDRDAASLWLDYVEFIKTGPGVVG GTNWQDQQKMDLLRKAYQRAICVPTHSLNTLWKEYDQFEMGLNKLTGRKFLQERSPAY MTARSSYTELQNLTRDLDRTTLPQMPPAPGFAGEAEFQYQVGLWRRWINWEKGDPLVL KEEDISAYRNRVLYAYKQALMALRFVPDIWFEAADFCFQNGMDSEGNDFLNQGIEANP ESCLLAFKRADRLEIESEPEQDLQKRGAKVREVFDKLLDALYALNSKAREREEQDIAR IKEQFANESDDYHPVADDEDEAERSQEAQAKEAAKKSQIDAMRKAHATQITMTSKLIS FAWIALMRAMRRIQGKGKPGELAGSRQVFAEARKRGRITSDVYIASALIEYHCYKDPA ATRIFERGARLFPDDENFALEYLKHLFDINDVTNARAVFEMTIRRLASKPENVVKTKP IFTFLHDYESRYGDLGQVINLENRMRELFPDDPTLSQFAHRHTSANFDPIAAQPVISL SQVRPRSMTSLDQQQQQQTSLQGTPTRYLDVPPTGSPKRPFPLDDFEDENRPRKFARA ESPLKGIPARRPDQLKRPTTGQVNGGGQYRPQPSAAPLPRDVVHLLSIIPPASAYNAG RFSAEKLVDLIRKIDIPSSTSQLRHPAGMGY TSTA_031680 MSVVSLLGVKVLNNPAAFSDPYEFEITFECLEQLQKDLEWKLTY VGSATSSEHDQELDSLLVGPIPVGVNKFIFEADPPDLKRIPQTEILGVTVILLTCSYD GREFVRVGYYVNNEYDSEELNADPPSKPIIERVRRNVLAEKPRVTRFAIKWDSEESAP AEYPPEQPEADQLDDDGAAYGAEEVELENALIKELEEVEHQDGEDHEMEGTSAATAAA EDDDASDAASEDLEAESSESEEEDLDEEDAGDGDDDVEMADDANPGSARPQSQPEVMV H TSTA_031690 MAHFLRGKQAGIQKDLSESLSPTLFTLDEYTRYGINSRISAIAY DPVQSLLAVGTSDTQFGSGQIYVFGQRRVSVIFSLPRKASAKFLHFCADKLVSVDSKS ELSIFSLETKKTLVSYAPPSHVSAVAVDPSIDYVVLGLQNGEIIAYDMDRECLTPFRL PNLWLEKNPRVRMAPVVSLAFAPRDIGKLLIGYPEGAVIFSIKQNIPQNFFEYEIPAG APGGDSDPTLSRIPRKPKLTQALWHPTNTFILTVHEDTSLVFWDAKDGRRVMARTIED VNIDKPGSRAENPTSPRGTCSVKDPIFQVAWCAKENPDNTGLLIAGGHPSNERQKGLT FLDLGPTPVYQTSSWQILSSYFENPKGRFTLQTPPGAEVVDFCLIPRASPFFGGAQDP IAVIALLSTGEIVTLSFPNGHPISPTNMLHISLSFVHPFVTKAILTPVSRNNWLGLKE KRGQGPKFLMGGAEGKKALKRFEDRNIAVTAHADGVVRLWDAGHDDEIENGDVIQVDL TRAIARNVNTQVSQMSFSGASGELSVGLRSGEVVIFRWGKNQHAGHEQAPGANAGPGK ITNIIHRTDPNLRDGLLPLILLEMQNGPVTALKHSDVGFVCAGFEEGQLVFIDLRGPA IIHNADIRNFLKASNRRGSIRKSRTGDSAPLEWPTSIEFGVLTLDGEDYSSICCFVGT NRGNLATFKILPSNSGTYSVSFVGFTTLDDTVIGIFPINADSGELALATQMAVSGLRN GAKINGVVIAVTSSDCRVFKPATSKGASKSWGDFLCDSAGFVKTEGRGYSLVGLFGDG NVRAYSIPGLKEIGCTTISNILDVQRFSEAMISPSGDVIGWTGPSEVAIVNVWGSGTP ILPPDDKLFNPDAMLPPRPTISNFQWISGTQYVSPADMDLLIGGPDRPMSKRMMEQMK YEELERRKEGRQGRSVPPSSKDDEGYWAYMQRQVQERTERLGIMGDSMDRLEENSSNW ANDVSNFVSKQKRKAVLGALGSKFGF TSTA_031710 MPFFPGQDDLLQLDEQRFDFNIQFEQLFFSIIPSVVFIVTSLWR TASQARKPTVVNAPVFQLIKLGAITTYFGLDLSLLILVVVGSFQVTAIFIASSVLKLV STLFMIVLSLVDHSKSPRPSMLLNSYLFLTLLLDATQARTFFLLSGDRPELSYSSIFA AAIALKVGILLLEAQRKSQWVNWDEKEHSPEETSGIFSLGVFFWLNKIFLDGYKKILA IKDLYPLDSSLSAESLHEKFSENIDYTKLKGDKFGLLKVLMRTLKVPLLVPIPPRLAL LGFTFSQPFYIEKLLDYLMQSKPDVNFGYGFIGASVLIYLGIAVSTALYWYFHHRMRA MTRSILVTEIFQKAIKARTGSGDDSAALTLMSTDIERIDMGFRTLHDMWASVIQAGVA SWMLYNQLGVVFVAPMGVVTLCSIGLAILMKFTGDSQRDWMAGVQKRVGLTATVIANM KNLKISGLSGAVGDFVQRLRVEELASATQFRRILIIAALFGFFPMLISPPLTFAFAQR TLDVSRIFTSLSWLLLLTNPLSQIFQSVPSLLSGLACLGRIQEFLECEDRHDFREILA DIGRNSGMAPANVMVSSASELEKSHPVIIINGDFGWEADKLVLRNINTQLPKASLTIV VGPVGSGKSTLCKALLGEIPFSDGNIILSNRFRHVGFCDQTAFLWNGSIRDNIVGFST FNYERYAEVIQATSLDFDFEALPQGDRTNIGSDGITLSGGQKQRVSLARALYLQSDLL VLDDVFSGLDADTEEQVFRQVFGSNGLLRRRRCTVVLCTHSIKHLPAADYIIALGDGT ILEQGSYDELVARQGYIKRLGLSGSLDSSASSDKSTSMKGIREVNSQLLRTTTINISS SEPDTDSSRQVGDKTVYIHYFKSMGWFLAACSLFLAALWGFFSTFPNIWLKYWSDDAY SEHPAHTYAYYAGIYALFQICAMISLFLFAIAIIIVSVSRAGANLHQDALQTLIRAPL RFFTTTDTGVITNLFSQDLNLIDTELPNALLNTMSSVFQAIGEAAVILTSSPYMAISY PFLGALLYILQKFYLRTSRQLRLLDLEAKSPLYTHFLDTLKGIATLRAFGFLSDDIHK NVRLINSSQRPAYLLVMIQQWLNLVLDLVVMIMAVVLTALAVRLHSNSGFIGASLVTL MNFGENLSGIVIFYTRLETSIGAVTRLKAFNENVKPEDRDEEDIVPPEQWPQNGLIEL KGVSASYDGEEQVNSTPNLALRKVNLRINSGEKVAICGRTGSGKSSLIALLLKLLDPV SETAEHAVIDGIPLRRISRSALRQRIIAVPQEAVFLPDGSTFRTNLDPLDASTAEECQ NVLAAVDMWRFVQERGGLDAAMSAGSLSAGQRQLMSLGRALLRRRTRARSLGFNADFS ESGILLLDEVSSSVDHETERVMQEIIRTEFKAYTVVAVSHRLDMIMDFDRVVVMDTGE VVEVGNPVKLAEKEGTRFGELVRAGAK TSTA_031720 MLMGFSASDNCFRNVVQLLFPPTVYLRSTRILSSRVYRVYHLNL SNGHQLVLRASPPPAIPLLRRERASLETEMRALTILAPINSPCIPRLYRHISRDASPS VSLLVRQYIDGIPLAEMQDQLSAKDLNDVDRQLGSLIKAVGQQVSTAFGPLSKVAAGT GHRHWREAFVTLFEEVLRDAEDMFIHLPYHQIRREVSRLSAVLDEVTTPRLVVMNLGR PSEVLLDPSTRQLCGLLDFGSALWGDIMLAEIFESPSDALLAEFGSFPQSRSIRSLLY SCYRHVYKITELYYRNSNNAIEMEVRRRLTDLLQTLTMTELPY TSTA_031730 MASRPPNRVSKPKKGGGNYKKSKGGPAYKVAFESGDAGVFITCD MGREGKCAGEILDIFTEYYEKSLGKQNLSGATGQNIESEDDNEDDSDAGDDIEAQIRK EVEGLKPKASKPRLFQKVTANMPCMVFYRVDKSIDPVKLVHDICEEAKANPEERKTRW IKRMTPITQIRKVLSVDLAAFAKEVLEPYFHGDAGPKKFAIRPAVRNNNSFNRDDIIK TVAAAVGPGHKVDLKNPDYTILVEIAQNLIGMSVVESDYDKLKRFNLMEIYSPTPKPQ QNPAA TSTA_031730 MASRPPNRVSKPKKGGGNYKKSKGGPAYKVAFESGDAGVFITCD MGREGKCAGEILDIFTEYYEKSLGKQNLSGATGQNIESEDDNEDDSDAGDDIEAQIRK EVEGLKPKASKPRLFQKVTANMPCMVFYRVDKSIDPVKLVHDICEEAKANPEERKTRW IKRMTPITQIRKVLSVDLAAFAKEVLEPYFHGDAGPKKFAIRPAVRNNNSFNRDDIIK TVAAAVGPGHKVDLKNPDYTILVEIAQVGISLPRAACA TSTA_031730 MASRPPNRVSKPKKGGGNYKKSKGGPAYKVAFESGDAGVFITCD MGREGKCAGEILDIFTEYYEKSLGKQNLSGATGQNIESEDDNEDDSDAGDDIEAQIRK EVEGLKPKASKPRLFQKVTANMPCMVFYRVDKSIDPVKLVHDICEEAKANPEERKTRW IKRMTPITQIRKVLSVDLAAFAKEVLEPYFHGDAGPKKVSLYSISALVLMPLLVLLAW GG TSTA_031740 MSSDIVTRELQQPITVVEYLYRRLHEVGVRSVHGVPGDYNLLAL DYLPKCGLEWVGNCNELNAGYAADGYARVKGISAMITTFGVGELSAINAMAGAYSEFV PIVHIVGQPHTASQRDGMLLHHTLGNGNYNVFADMSEQVSCSVARLNDPLNAATLIDN AIRECWVQSRPVYIGLPTDMVLKKVEGKRLETPLDLNRKPNDPEKEEYVVNLVLRLLH EAKNPVILVDACAIRHRVLEEVHDLVQKSGLPTFVAPMGKGAVNETHPNYGGVYAGDG SNAGVREIVEGSDLILSIGAIKSDFNTAGFTYRIGQLNTIDFHSYLVRVRYSEYPGIN MKGVLRKVIDRMGDLNVGKIPHVSNKLPKSEIDSKDQNITHAWLWPTVGQWLRENDIF ITETGTANFGAWETRFPKGVTAINQILWGSIGYSVGACHGAALAAEDLKSNQRTVLFV GDGSFQLTAQEVSTMLRKKLKPIIFVICNDGYTIERYIHGWDASYNDIQPWKFVDIPA AFGGKAGEYQTHQIKTRKALLDLFANEDFSSNKCLQFVELYMPRDDAPLSLKSTAEAS EKRNQSA TSTA_031750 MEQRQQYIPPPPPLSQPNQSHIIPLPPPPPRPSTQQHGLIPPPP PGPPPQSAYPGSNQWPGWRTQNFPPPPPPPPVPHPSLMPANQAYLRQQPTPLSIPILA PPSEGQPLTSATYIPSSETFGPGVGIPPLYENYGHSVATERPRPSATTWDSASSDTSY RRDGSIPPTPSRNLPPSLVLGNVNHNNMSPGPATATLQNPGTEPVRTSSHKHNASGTS FGGVSAGDAAVQWPLERVVSWLARNGFSKDWQETFKSLELQGADFLELGQGSNGRGNL GKMHQVVYPQLAKECQKSGIGWDQARERDEGKRMRKLIRQIHDDGSDVATLTDRRRES QTLPSASTDGGVENSPNLAWDSPFPPFAPTTMENTYSHPAHRPPPPQVNKQNVQARPV TAPSAQKHDVTDSDLAVNQRSEYSRSALSNLDEHRRQSPSTSDGGMFPAPSLKAYEDS PQSGSPAAQHATHVQSGLTSSSTGDLTSRFEHHRGNSTESLTGRGNSTVPRFYENRKQ AHDNTRLTPQDTGKDPGKGFFQFFKKKPRYHDSNPSPEDQLLDSPTSPSFMRHNGLNS PFNKSSFNNSDLSLGERPLSSSFSDYERSTARLKSAKQRYVMATLDGWNYRLVDVTDA ETAESLRTVICQNLGIADWHNAQIAVTEPGQMEHEDFLDDSVLILSRRARADPLGSLK FYVRCNPSVDSTGLGVSFPDKVASSPISAHYQVHRKPLEDDGVHRGTPHTQGASGSPP LAHHLTAKTSSDQSSPSLHESTFGNTSSGGDSASRHELDVDRKQRTFSRSKQPSELQL HKENYSENGYRSKGVIDFDQRRISPYEDKRHEGLVPQRKPPSAPSESNTLTKVNSLSK RSTDRPRLQTRIQHQSPKYTLIQEEESPETRLAPVITGTSRATDPSYTNSEQSHVSMS GTVTSSSTSSQSTITDTTSNTVRRNPSTASFRPPPLQSRKSFGPEYDFEEANVTFNAP RTPQMEPEESEEDSDDGLFAVPLSTKKDTQSKGKGLSSEVTPLKSQKPSLTVNTSTRS TKGLSVSFKSPNTSDERSAGPSYPSSAVMPADLRSVYEKSGAPFTDDLSSPEDERHDR RDSFARDDIWASRPPVEGVINNLDDFFPNIDLDEPYLEAPGNSPPSSPVNTVMKDKPG SSFPTPPNNPHQGSDQQGPSDPNARYSVAQRNINKAGGLTRMKSIREVARGAQQVHRN RSTAGPTNKKSGDILRRKSTKMFGAKIMQISPKPGSRLSQLNSVPKREQTFRIIRGQL IGKGTYGRVYLGMNADTGEVLAVKQVEVNPRIAGQDKDRVKEMVAAMDQEIDTMQHLE HPNIVQYLGCERGELSISIYLEYISGGSIGSCLRKHGKFEESVVKSLTRQTLMGLSYL HDQGILHRDLKADNILLDLDGTCKISDFGISKKTDNIYGNDASNSMQGSVFWMAPEVV QSQGQGYSAKVDIWSLGCVVLEMFAGRRPWSREEAIGAIFKLGSLSQAPPIPEDVSVN ISPAALAFMYDCFTIDTFDRPTADTLLNQHPFCAPDPNFNFEETELYAKIRDVL TSTA_031760 MLALSAGRLRSPSDPSGTQRKKAKAKAPVQFTQVEEEADITTIN DEVEFGKCYHTVEEDLLDASHEAYQSCLHELEISRVHLDSLLADTSSTLELLSSLSKS FQAVDLQTSTFQKQCEGLLTAQAKNTRLADDIRDNLVYYEFLDPASRKLNAPGAGKTV RNSDFSDMLRKLDECLDYMESHPEQKEAETYRSRYRLLLTRALTLIRGNFVAALRETA SGVSKRIADKQLNDTTMSALLYAKFRIGAAEMKQTGLEIQKRAVPPVDPEQGTEAEYQ SLMNELHISFAATRGKLIIPLARKKLASIAQAPSTSKDLVAFARASIGYVRGVCLDEF ELWGEWFHGQAGLYDFLESICEPLYDHLRPRIIHETNLVKLCQLCTLLQTRYMSDPED ETEYNDPNQLDFSVLIQPALADAQTRLVFQVQGILRSEIERYRPKPEDLDYPTRIQQI SSTAKGIPLSGRKGSALPKTPTIVDEDTDSPTEKDSLWDIETQATFQAWYPTLRKAIW LLSRIYRLVNSTVFDDLAHQIVHQTTVSLHQASTQISNKHSPTDGQLFLIKHLLILKQ QIVAFDIEYVTPDISLDFSGVTNTFWEIRERGGLFNTSNLMRLVGGGLLPKVVENMLD AKVELDGRLRTVINDFTNNFATRMTSTLPTKFIEKGNMADGGAISPACRSIEEEVPLL RKALDDYLEDTRTKETLVGAVQDRVIQIYEEFYEGYTASLARSKGVPANVKGKGRQDA VWDVDTFEEWSEGVFRVGVAGLRSPNNEEDDEDHDSDVS TSTA_031770 MPASHARQPISIAIGSSSRLLRARLRARNFRRSYSQQTTRIGGS RRWAGPAVALLGTFTAATAAYVLKGHQGTTEEKPSRRLEKVTFEKPKTDASVTEERNR ELLSAQHAQIKKMSANPGIYIWGSNKYRVVDPDSEETIIKEPRRLRYFEGQLLRDIKL DQTSGAAVTENGDLVQWGKGYSETDFQPSRTLTGKNLIKISMSRDRIIALSSNGSVYS IPTSKIDQQSGSKPSEGSWIPGWSSKAPISYRQLAPLLSLGEKVTSITSGLEHVLLLT NAGRVFSAAAATEVYPSRGQLGVPGLTWATRPKGPVDYCHELSTLKDYKIVQIASGDF HSLLLDKDGRVFSFGDNSVGQLGFEYDPALPMIDTPTQLPINGLYSSKNWSAQVTSIA AGGYTSFITIDAVRKLLSDDASAPVKPGALSVDTWAFGRGIHGALGNGKWTHFQDTPS KLKALSGLSEYDEKTQKVQPIRPVQIAVGATHAAAVLGNNTHLTNAKKSSLADITWGQ DTLWWGGNESLQVGTGKRSNVPTPIHILPPPDLVTSTNLDEARFQVIPGKQRIECGRQ VTAVYSLNMPREKQKRGRRAQEKEKSEENKRKLEDDHEEPALKRQRPSTENQPEDYIP FDSGEQETDAPNAEQNDTPFYGLLDSEEQEYFSRAAEVLELNQFQTAEEQSIFVESVY EEAKGKELKIACSQSCSRLLEKIIALSNTDQLRRLFGKFLGHFLHLIQHRFASHCCET LFVKVAPALTHKTPKVAKKQIIEEEENDEPSLSLADMFLQVIAELESNWGYLMTERFA SHTIRVLLLVLAGEPVDLSSHSSVVASKAKEGVEVHRVEGRDQSVSTKHHIVPDAFMD VLKKVMKDMTAGLDDTYLRALATHHIGNPVLQLLVSLELSHFGKSTGKDPRSVLRRLI PEDTVEEGTQSAIFLTGLLYDPVGSRLVETIVRSAPGKLFKNIHKNIIRERIASLSRN EIASYVLVRCLERVGKDDLQTDLELIIPEIPNLIERSRLTVPRALIERCLVRNIDTAP LAKAVAESLDKDPARRLHQLLESSTTDGSTGRTSQPSENPTQGKPKAASPVLLHKSLF VQKMLEAPGALSELVYSGLLATSTDTTISMACNSVTSHVLQKALTAPTSTTQFRRQFV PRFLGHMKQLALDTSGSHVVDALWYASKDIYFVKERLAQELADSEHDLRDSFIGRAVW KNWSMDLYKRRRGEWKGKAKGIDNQTALNNVDEKARTKSKLDLARERYDASKKSAAKI EGGRTGITMKI TSTA_031780 MFRNRRTSQKPDEELISKFKKTFPDVNSTGSLSGDSRHGSINPA AVEGGLPVQPDEDEIKANDPTPRNLQDLRFTPSLMDPNSFQFMALANQPPGYYTPTPG GMTTIYHHQAGDLHTPLGYNLVTPISIPNTLSAGFPAGPNGELHVNHFPAQQPQFMPQ NYNPFAQQTTFAPPSAFVHRDSIYDPMDGSEASSSLDQLTVPSAQSLNMMMPSVGFAD QMEVNSETDGEKFRFGVALRAPTAMVKHADEIPVTYLNKGQAYSVSVVDNSPPPPGTQ LRKYRTFIRVSFEDEQQRSKPATCWQLWKEGRGSSEAHQRGGKLLAVEYVDPNQNTDV DQNQCHVELEKASFDGFCVTWTANSASASADCSISVRFNFLSTDFSHSKGVKGIPVRF CAKTEILSPNDPTSTTPDLPISEVAYCKVKLFRDHGAERKLSNDVAHVKKTMEKLKQQ MAQLEMGGGNFGKRKRNNVNVSIRESDNTRPVKVPKHKRTWSMESQENGGKLSPEDDL QLKYTMMQDMFSSTRPVSVLSLRGDDQDDPDLFPVVLPGDLQEFKFERLSRQSTRESL YSNDAASGVSNILSPTTSSFSNANSPRRPSHTLMSQEEGMEWANPNLINQPVKVKKGT TGYIEAIDIDPTYRPPAERPPKPIACFYIRFPDEQNGDYYRAVYLTERTVRDLMTKIS EKYKIDPDRIVRVLRVSRDGLKIMVDDDVVQQLPEGQDMVAEITEASKLEVTTPDINT PPTAAVEVKLTY TSTA_031790 MSIFKELRRRSRASFRTSTSTDSQSNDNTNSGQSSSTIGTVDNS TSPTSSIKPSISSPNLSALNETANGSGAPPVPSPLPQRPVPITSQSNRQSYYVSGPSS SKTCHSTNSSPANGSIRTPMATSPYAPRIISISDNSWVHQKILLVSGHIGDPRQRPID GTITLHHNQDSFPATSWPVCDSHFKVLVHLVPGPNRLRFDFSSPKLSSSSVSSHSSWH SINYLPLTNSPPLDLVILLAKDSPGTFDSVPERIQSEGNDLDLAVKKYRMAAYLWQAF TGEQMFRNNFGRRCFRLEEEWQTGTLSQRDSSTGQIRNEARVHIVRSDKTVAELRDLN IAQQYGPATRKDELFNITKEAVTAYFRPHPEQKRYVSVLLLDSHWDTSSQVITAHAAL GSDGGNIKMAIFGSHSLHSYPSCLEEVVSAFSDCAETDTRYVANDCGEAGSNWEAANI GIGAHLHEVGHLFGNPHRESGVMLRDYTRLNRTFTTREPYSTRTQSQGLKLCLPADEC QWHRLDVLRFRFHPCFRLPSDPPSHPDDSIQSWAVDNGKILITAASGVAYLELYTEGD DLCHKYMEFINNESPNSGIPRQVAITETELRQLLPADQQKKKIRVEIFSGNLGTHKID DLGDLKSKRSIVKLPKGQAGFRSSKLGCSQLEGSEPQEVILDSVFIQKKLLTSIKVYH GYALDGLEFCYEDATSQLFGKRGGKPGGDEFVFDTRRGEHLLGFYIRAGLWIDGIEII TSFGRKSGVYGNATGGSGHTLIPPRGYSVAGVSGSCGPWVDGFSLIITR TSTA_031800 MTIGAALNIFLSRQRILNNGTILCDSSSSLLKENPEIKCTLPSS PDYASDRKIYLNSRTANPLAIAHPESAEQVSALARFLRSHGIKFTVRGGCHNLQGLCI EEDALTIDMRAFTSVKIAADRQTATVGGGILQDELSNALWSERLATPTGSIPSVGYVG WAMYGGYGPFSSSWGLGADQIVAATVVDASGRITEADETLLNGIRGAGGAFGLILDVS IKVYPLKTLFAGVIMYDSQDIRKTLAEYNAKYRKLSQDGLPKELTLQQMVFNSPQGKL FGVSFTWSSEDIEEGSRWRERIGSLGTLLMNTVSETTIPQWYKGIAALVPATAYGSFR THNLHEIPPEVAECLGHHLAKMPSDPAAMFTIYQSRMSTSTPISDSVFPAREPHFMLE IIGCSTTKEKAPESEQWALDLWSNVSITDKNNFLSAAYISLEFIEEPPHPATLGRLFG SHVDDILATKKRYDPENVFDLTVPRLSHYL TSTA_031810 MKDRKVNPAARKNIAQVCMSLQDRLGLAKVKYELGRLGASDLKD WRNHRSDSDRPSDSSSEITYSRYETPFTSSPARNATFSHELPRSAKNKHAATFNPEVI QPMLNASRKRIRSNSITDRPAKVPKLSWKASHHLPESSPVHARQLQIYSNHPPSYDVP SSGLSASDEENDPELPVPRARNVSSSMVSSSPPRTPTLRQARLPRTDKDTGNEDGADL LLFLANSPTPARVNTKTQTRDFPPSTPPSQYAALPNMTPTPGGGLFGNFGTPSQQFNF SDFVNVTPSPAQRPWGGRTPGSLSKTPLLRTARKSLNFDNLVPPDVHSPVGHSKDTGL ALQLGEELRP TSTA_031820 MPSPAKKRKINGSTSMRSIESFFSAQNAQPATKSELSTAIIEDN KEFLTDEELARKLQDEWNQVDQAPASESIQVTAEESNQPSKVLDEPQSPPVKLTEIAR QTQNSTSRILQKDTLSLQSSLGSEDTIVSSIPLDESPLTFDPAKYLPELQKHWKQDGG DASYALLTHAFILVNSTTKRIKIVDTLVNLLRILIEGAPDSLLPAVWLATNSFAPPYE PAELGLGGSVISKAFKNIYGLNAQGLKTLYNKHGDAGDVAFEAKKRQSFTLKKPKPLT IKGVYQSLTKIAKSKGTGSQETKQRIVERLLQDTRSAEESRYIVRTLVQHLRIGAVKT TMLIALARAFLFSKPPDAKFSTKGMEYLAKLKKEELTEVYLRAEETVKASYARHPNYD DLVPCLLEIGVTKELLVRCGLTLHIPLRPMLGSITRDLSDMLTKLQGRDFACEYKYDG QRAQVHCDSNGKVSIFSRHLELMTDKYPDLVSLVPQIRGESVSSFILEGEVVAVDQDT GDLQAFQILTNRAKKNVEIGSIKVNVCLFAFDLMYLNGEPLLDRSFRERRDMLRSLFT EIPYRFTWVKNLDATSADSETVLEFFKSAIDVKCEGIMVKVLDNEIKPGNGITDSVNG NFDEEPAANEEVQDKQLSLAATKKKERNTRRKVLLSTYEPDKRLESWLKVKKDYSTSS DTLDLIPIAAWHGNGRKAKWWSPILLAVRNPETGLLEAVTKCMSGFTDKFYQSNKEKY SENGENVISRPSYIDYAGHPDVWFEPQEVWEMAFADITLSPTYTAAIGLVSEERGLSL RFPRFLRVREDKSIDEASTSDYLASLYRKQVERAREEEVALDPSVDDNIDDQEEQAYR DLDEV TSTA_031830 MAAQLTLLSSQEHARVEDYLNDKLQSEEDLESLDSLLQTLRTQH ELQRKQLVEAQEALEDATKASNDHRDAVRKQIETFNREQADIDRRLMIITHSETSDEA VRIFESSMEKLQRLDIAKGYLSQLQEIETLSKNALEHVDSAPASAIPPYKSLRIISTS LQTAQVAAEGAAPHLVDHAEQLANSVKKQLQDRLSSRLQKTLDKLKWPSRELVLNDGF VQEWATSVDLLLDLQEPDLKSYSESSTSFLDEDVQVLLPLEIMVHPLELRFKYHFSGA KPTNRLDKPEYFLSHISDLISTYIGVFTTYLQPILDRRADIVDEGLKWHFLSANNAFI MSLLPMLRGKIASFLPQVETSPQLLSHFVHELMKFDDDLRQTWNYLPNPYSTENWKGL ASEILTQQNWFERWLQVEKEFALSRYRDIIDAPESGQIDYDGVDANATKPTSAAIRVN DLLETITDLYRPLSSFSQKLRFLIDIQITIFDQFHERLHSGLEAYLAMTSTIGRTVQG GDQGNLEGVAGLDRLCRIFGSAEYLEKKMQDWSDDVFFLDLWYELQDRVRRNQGDGEN VVGLMSVAEVAQRTSQAVAETSQGRSSEGALFDETASAYRRLRLRSESIIVSTFSSEV HSSLRPYSRVSMWSSLSSPSASYPHPLTSELTPTVRIISSNLSFLARALGTAPLRRIN RQVLLSLQSFILDNVILRHSFSAAGVSQLTSDIDHLCGVIDSALAHHPSPAAYQGEAS RVMRKLNEVLVLLGLRIKPQSTTTTTKRDEISEFHEIELGLWEVERRLFVNNESAREV LNELEIETLTEAEARAVLEKRVEIRS TSTA_031840 MAQFSDRAEEVRNLLGAVQDLLIPFISSADSTPTSNGDSANGIH TDINTPSTSLVDYKSPEELRKILKLDLPSSGQGQEGLLQALQQVLRYSVNTWHQGFLD KLYASTNAPGVAAELILAALNTNVHVYQVSPVLTLIEKHTAKQLALLFGLNGPHSGGI SVQGGSASNTTSIVIARNVLYPKAKTEGNGNYRFVIFTSGHGHYSIEKAAQMLGLGSS AVWAVPIDNQGRMISSELENLIEKAKSEGRTPFYVNATAGTTVLGSFDPFHEIAAICK KYNLWFHIDGSWGGSFIFSARQKHKLSGAEKANSIAINPHKMLGVPVTCSFLLAADIR QFHRANTLPAGYLFHNNPELEQTNGYLHEDPATDLQVDSPEVWDLADLTLQCGRRADS LKLFLSWTYYGSQGYERRIDEATDVAAHLATLIDNHPDFVLVSENPPPCLQVCFYYAP GKRFACEADGSIDSDNVHAAKNSRVTEEVTRAIVSKGYMVDYAPPSGDDVDLRGKGKF FRCVVNISTERRTVEGLIRAIEDVGPAVIKKLKLDSTA TSTA_031850 MDLPGAAEGSNDADTLKIQSPSAESSSRLAADDSSRDQPEDDRD SREQDESADDNEGNEGDEENEEEEEEEEEDEEPRLKYVYLTKCLPSLYRGGDATSTLL VGGDKMIVGTHNGNIHVVSLPTLKALRVYTAHSASVTSVSISPLLLSHTITRHNLINR SFEEDDVPSPNASLRSKGKPAHAAGLPPTALNSIHIATSSIDGNVCVYSLLDPKDVLL RNFGRPVQAVALSPEYKSDRQYLSGGRAGQLILTVGGRPGTTEKSTTLNGAASAAGWL GSLGLGANSGKDTVLHSGEGAINTIKWSLSGKYVAWVNEEGIKIMRSNLHLEAADAEF AWTRIRHIDRPNRPQWEEMASVWKAHAEWVDMKAFEISENSDAESISRQQSASGVSEV EKLVVGWGGTIWVINVYPDRTSPPGTRAGNRKLGDAEVVTILRTDSIISGVAMYSPRL LLVLAYLESDNSDLEEQPQSSRRHKAAEPELRLIDLETQEEVSADTLSITRYQNLASS DYHMSILRPPRLTAATVQRGALGTLGTGLLDATLYPARLFASSASVRSNGSNGDRGSE RVAGSFISGSISGVSTIPKEIQTLSAAKGLKVFVHSPYDCIAAMSRDLTDRLAWLESH QKYEEAWALIDQNPGVLNPPAEQQTEAFVRSQTSLADFFADDSSSVVTAGQPLSATVR NEKSRIGELWIEQHIQNNGWVTAGEICAKVLDVPDRWEHWIWKFVEAGKYDEVAQYIP INTRPPLSSAIFDAFLEHYVSHNRQTFEHLLSQWPPELFDITKAISTIEDCLESERIP ADSNDWRILMGSLGKLFLANGQHREALRCYIRLQDAEEALRLISEYRLADAISDDVLN FILLRVSKYQWAKAPISELEQVTAESISILVREAYNGIVRPGTVVSQLYTSSGRLYLF FYLRALWKGESHPSKAEAKPQLRGRGRHARDAAEKLAADEGKSLVEPFADITLDLFAD YDRQLLMDFLQASTAYSFGEACRICEEKHYTSELIYLLSKTGQTKRALNLILSDLNDV SQAINFAKSQDDPDLWEDLLSYSMDKPAFIHALLTEAGTSIDPIKLVRRIPSGLEIEG LRDGLTRLLRDHDIQASISQGAAKVLQGEVAIGMDALRRGQRRGIKFDVHKQSNDENE PEMTADTEDSGEVAHESENDEGLSSTTGQSSRFHRVAPGQCGGCLQAFHENEKEILVG FACGHVFHLSHVQEPAHTHDNESTNINETTPTPPAEDDIEDSQLYTTSRTVGPKVITA RLIRDKIGDGCRICALNRQVANAAAPENT TSTA_031860 MNVLNQYSTKPGIVQTFSRHVGLSYICLACRRVTIQSLHHPPRV GPLLGPGLQRGLFSLNARFSQKHGATEPPTEKDTGSSGSQSQRRKLARSPAANSSLRR VAVEAQRTRSGILSRTHLLEKGLGELKTVTAYAVAEQFNIGKVKDILQEKGYEPDPFD TGLYPQVVHIQVPIDSVRRMTNPEANNLGSDETGDVFVFPSGTVVAWSLPEGFTSYLA SRTLLPAAENPHTDNLETENMEFIEDPQRESSCIKGDTIILGTKSSDGPEPSGSNRQS VDTVLTKIAFSSGLARSTKLAVLESLLSDYFESTRNIPTLLSQGSRLPYTRDFILRKT GQLLSVRAQLNIYSELTDSLPDIFWDSRHELGLEGYYEEAGRALDVGIRIKVLNERMD YAQDIANVLRERLSETHGLRLEWIIILLIAVEVGFEVLRLWKEREDESKEKKSVTN TSTA_031870 MELVPFSQYIPNPQQILKAITAGTLPFLSSENPNLTPSKFSSCP KPELSCQTKYDSQDTCCFNYPGGHFLQTQFWDADPAIGPNDSWTIHGLWPDHCDGGFD QYCDSHRRYSNISLILVDSGRGDLLEYMSEYWKDFRGDDANLWEHEWNKHGTCISTLE TKCYSDYIPQQEVVDYFDKAVDLFKSLPSYQTLVDAGIVPSHDQTYRKADIEKALNDA HGARVTIRCHGKAFNEIWYHYHVAGRLQTGTFEPADAVGGGSNCPSSGIRYIPKRSAL PHRPHPTTTRPPGQPEPTGPVEPRRGNLRVYTGDQQQRGCLISHGTWFTSGTCATFKA TKVTGGFNVQTRRGPCGFVKDVFTCGHQIRVPALFEISDNNQLTYNGSTTFFADKAPK GHVQSKVFAHGGDNEHAIELNITWFET TSTA_031880 MLVSSPAGMQRHQRQHRRQNSIPVALEAAKVPLLPTAAMLQRYS MHKRGTSLGQPANLQPSMTSQNQYTNTLREQQQTSQLNQQSYFDEPQHQQLSNADSQR LETRGLNVYTNQYSNENSPVNDCMSSGGLNIKTANTKGRRLKPALQPIQQHPQRQQQC IQETITLTTGLDNQLIGDGTWNPYIVNQSMLAQMYDLRRASVQSDISQQSYIPSTPPK QVQSNYVPITPDTTPFRRGTEFAPFMQNFHTSPVKNIVYQAQQPIYMQRTKSLQGVPG SNYAEPKIDVPSPPNTAPVDYDCYDLLTSQESDFESTEFQLVSKSMSIKSEDQEAYNT QILSATHSFQSSPEIAYMPLPSSPTKPAKVPISTVTPSKSSPTGSGSQTPDLSPNKPK LSPKVASIDSLNLDSRVQASITETGITIDEIAAYISGPDPVDGKWVCIHPGCDRRFGR KENIKSHIQTHLGDRQYKCDHCDKCFVRGHDLKRHAKIHTGDKPYECLCGNVFARHDA LTRHRQRGMCIGGYKGVVRKTTKRGRPKKSRPDMEERQDKAARTRERISARSGTMSVS GSDSSCSTPPSDGFESMSIQASSPLEDMAMFQSGDYCLPSEVFSRTPPASPGYSTGHN SSPAQTSRSHSPLSDVGSMSRTSSRHPLEDIAEEMPDLPPISEAAGCFDPEPESSMQT DLSSSSMVPALTHSTAGSEIDIFINNNSSFSDFGQESGISNDMDLFSGKSVGVNDNDF FLDFNDDHTTDSFFQ TSTA_031890 MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGGKKRKKKVYTTPKKIKHKRKKTKLAV LKYYKVDGDGKIERLRRECPTPECGAGVFMAAMHNRQYCGKCHLTYIFDESK TSTA_031900 MSGLRSVVYFVNWAIYGRNHNPQDLPADKLTHVLYSFANVRPES GEVYLTDSWADTEKHYPTDSWNDQGTNAYGCIKQLYLLKKQNRRLKVLISIGGWTYSA NFAQPASTETGRETFAKTATQLVLDLGLDGIDIDWEYPQDDTQAQNFVALLQKCRETL DQAAGPNRKFLLTIACPAGASNYQKLRLREMTPYLDFYNLMGYDYAGSWDQVAGHQAN LFPSNQYASATPFSTVGALDYYIKAAGVPPSKMVLGMPLYGRAFQNTQGPGTSYNGVG EGSWEQGVWDYKALPRPGGTDYVDPEVGASWCYDGSTMVSYDNVQVAEIKANFIRNNG LGGGMWWESSGDKGGSAASKADGSLIGTFVETVGGVGALDQSPNNLDFPESKFDNIRT GMQGA TSTA_031910 MSTKDTKAQHDLKGSIGSREADHVFRQYLDSTTSVPRPGYNTTG REVNLLTNAYPVTQFPTKNVYQYDITILRIGKEHESLPPRVRKFAWATTLRKSTWQQM IYDGNKLAWSQNSYDQNKSIEVNLNNQAGNDKPPAYRIIVRKSKVVNLQVLQNWLQKK GSFDERVLEALNFMDHLLREYPSARFTAVRRAFFDPAEQFLTFDATMELRKGSYQAIR PAWGGRLIVNVDSIVCAFWRQNTIACLTDAFLKNFDWGRTAKALKQRWNDPNDMSRGI RDSPAWTFASAKIKHLEVKPVFPNCTTDRTFSVRKISRHDANYVFPWTNPATGREEDI TVANYYLTRYNYKLTSPDLPLVEMTTKDTLYPMECVKVIGLQRYHHRLNDKQTAIMIN HAVRKPEKRFGDIRAAKLKLSHSTDRVLNTFGMKIPDQEIVTKGRLLAAPEIHFANTK IDPRTQGRWDLRGKKFLKTNREPLQRWGVGVFKSPRSGLTFTQADEFIKLFMKQYEGH GGRISSRPVLIDLSGDTHSAVERLFTTTANHFKQRPQLLLFVVPNKDVLVYHKIKKSC DSRFGVASQVLQSAHVFKKQLQYMSNVAMKVNAKLGGVTCKAAPRQASMNRPGCMIIG ADVSHAAPGSIAASLTAISVSADLDCVKYMGSAQTGYSRVEMIDEHNMKSMLTPLVDQ WTKTVGQGRRPQCIYYFRDGVSTGQFAQVLEQEVPIIQDIISTGSGEKTPPKVTVVIA NKRHHIRFAAKPGDMVAGDKFRNPLPGTLVERDVTSPHDWDFLMVTHVALQGTAKPVH YHVIRDDMRHKPEQLQNMINEHCYQYVRSTTSVSLFPAVYYAHLISNRGKAQSQDEFV DSSEESSSEDAHHKQVTAPKPLMPMAKRETHNHLDMWFV TSTA_031920 MSLGQNLAWMPPGHLRPPDDHHSDARGVNGLSRVSSGTRTPQMR FSVSEQGSQAGNMIADADAAAEEDARITLFRDLYNLSEAKINALFSAKRSESAPSEAV ATVPEAPEITPARPQDSGQPAKKAARKLDDDNYDEYDDEEESETQENANASPLKARSA VTPLYDASPAQRPSPATATPADSGKDTKKDTLEETRKKLEEGKKATEQAARQSFQTMF HTLENDHDAMKEQERLEESERLVEAEMSGQGVNNSGNNGSSGANGYGSLSNANLGASS LTLKNLIARIDMKRTMVQASDAELRSLMSEVRKNRSKWASEEKVGQEELYEAAEKVLS ELKAMTEHSSFFLSRVNKREAPDYHTVIKHPMDLGTMTKKLKTLQYKSKQEFVDDLNL IWANCLKYNASPEHFLRKHALFMRKETEKLVPLIPDIVIRDRAEVEAEERRLQLAEMD GAEESDDEPIMSSRGRKAPGKKTSQKGAAAPSRQTPSGSEAPSGPLGSQPKNSVGPDA DGLAEGSQNGLSTPPPGTLTPLGANGIGAAASGSQLDAMEMDSFVAPALTMAGTSAIG FDYDDPEYQIWKQVTKKDRALIAAERHRLFKGDKLNAEERALLRTKTGMRRWLKNQKQ AGPESDKAVESQSNALQPETSETLAEGIEEEDDRVIPDYYDVMSGIPDIPPHLVWRED AEGNVVDNMEEFLHILPKGSFTQPDSKLSRRMDANMRQMQETRKICSKVSIVKQMQLQ SQVYQNQFQKYNPEPFVEQDVSTHVMNDEGPVINPWISKAALQRSVGKLLYHTGFEEY QPSALDAITDIASEFFVKISRTLKEYMEAPKIPVTETSDVSNSSAAKYKSPYTQQEVI LHTLSAVGTDVESLESYIKDDIERVGTKLGVIHERLRAHFTELLRPAFHDTTGDGSNA FNDGSEQFVGGDFAEDIDEDFFGFKEMGLDREFGLASLSVPFHLLQNRMYNAHQSQNT SASQTASTLFPVPPPYPHITMESLPSQIGLVQNFFLQKLQANGEEPLTEDLELPLKQR PSRPKLPGSGKIVPPSNSNLTTSPQKRPLPPSIAQMSSSKTGLSFAEPSKKKAKKNNG TSADTSTSTTMGPSGNDESFASLIGDSKLSTENKPGDQAASNKLPGEHLKGDLGASND GVGMTGSSATLTGEVDPHHDKLNGINSSNNENAAGTTSMMSPESINGHS TSTA_031930 MRIRFYFLGAFVLLLLFSAYMGLLPHSTSNTIPTNLQPNDKFLH FITFFLLSLVFYWIPDTSRRRAIQASLLVCTLCLGIGSEIVQGLLPNDRLFDPFDILA NVVGSVGAIGLCGWYHRRMLERRRQARFGALSDDIGGEPRGDDIELGLGASESHRLNH EDMGPQETGVVTNLEREIDNWDENAVDNWDTEDGPDPDEESGNAKMRND TSTA_031940 MAQSPIRIDTDIHGTKRGLPYDGSEGSDYVPVGDEDQVDTQTTA PVPGLPPFLNLPYTEIRKKFEDLEWLQRSRIAEGAMSKDPSHRWALETSPEVKARNRY QNVQAWANSRIRLKVPEGQCDFINASPIILKDSRTGDETRYIATQGPKVGVHLAHFWH MVFHESADVAVIVMLTQTVEAGREKCAQYFPLDEDDAVMTFPAEAVDSPGSDQDAQQE EEEEEEEEEEKETGQDANHPEISGSITLREHHFDEACRSEIRKLELQIGNESKTVWHF LFGGWADYSKPEGEDREALLQLFQRTAEKSSLKNPRIVHCSAGVGRTGTFIALDHLLR ELDSGELLSPKYFIEDPIYETVNQLREQRMMMVYNEMQLQFIYEVIREQSIQKLGVSL GDEMSLDLRSPKVARLSGDENYTVSDKPELEPITNEGNPVAESPVQSPATSDDD TSTA_031950 MYLYCICIVFVCSFTHPPDLKPVRLAVRRPVKFQPARSFLINFE SLATAYESYTAGIIAKMRSSLFLAGVYVLAATVAGAEPEKRDNLSLTDLLPSPTVATT AASVTSEPTSSATTETSAAPTTSAEPTSTSLGPTTSASSSSSSSSTSTTSSSSSTSTS STSSKTSSSTSTTDPATTDPATAKTSATSESTTKATDAPTSASQTEQIVTRTTTISGV ATTQTSTSSVSSTSSTGTSSPSLSSGSSNSSSGLSKGAKNTIIGVVVGVGGAILLAGV GFVAWRVWGRNRHNNDNDEDDLMSAGTAVGSNLREKAPSPSSGGTQFRSTLDQYHNPG PVNAASNF TSTA_031960 MVETPAAVLLDLRHSSSGASGTNVITSNRTHDFYPSMKTKKATA ATASMGVDSLLMGFQMNASGVIDKRAGSPKILGAAPPPVTLTDDTKRPFSVQNNTFVN RFSLSETAEIANTDKTAQFSVSDCENQQSTSATYLYFRIDDYNVNVNFGFILINLYNI YVDINRIRYDYYISRNNISYLTKRYSSSSSTDDYHARRFYFDLRLMIL TSTA_031970 MPRYARRDFWLQYWWLHITLNYISERYSFRSLHHSDSQPNKSHS SYRDEKMLEMLPQQSSQYQYIPQTPQQQSYHCSQYLGGFPYTPTSPSPLGLRNVNAQA MNERNQNNQSAELQDQENSPSSLFHKLQNNDSKSFSFSPPAYNTVTPPSRRSTAYEEQ FKKSGGSRNPYTRIFGSPSSNGSPNNSGTSTSSKHRQLFLNRVKQNRDDARYGYRGES LAMMEYHSEQQSWDEQMRRRADTLSQQYHIEDGAILDEEEDEDNNDDYESNEMMLDPE QSALEEFIMQEEDIDSALVENFPNADNRPRSPARSDGSLFGGDDGDYDDIFMELVD TSTA_031980 MASTDQAGETADKRDEQKTPLAVENKAELEVNDTTKLMTDDEGS EWEDLDEVLDDFSGPKRPTQSTASLSSKPSHSQNDASEDAFMKQLEADMLAQLLGAGG EPSGEGKENAAAPTTKQTEKGALLDDLSFLQNMSEKDLDKFGELLESEGGMTKIVQEL FGSDGPPAGDSTKGKDKPVTAAGEPFQDTIQRTLDRMKESGDKITVEAQTNQDKLIDA SVMKLMEIMNETATSGGSFNIEEVFSDIIKEMSNKEMLYEPMKEYNVKYGPWLEENKA TLSSEDYERFEKQAGVVKKIVERFEAEDYTDEKPECLASIWDLMQQMEACGPPPEDLI KGSMGDGVLPSLTPFLDRNEPEGDKGNKDPDQIPPPECNPQ TSTA_031990 MARKGPGTDGPLQTALLESTSAATTRASEGQKIFSPIAAFLDKH RSQTTGLAPHLLRALTALSDDLASVAQQHFNAYISGISTTSILPALSPSPSSSPILNP LPPSPPPSRPPSGLNQSTYATITQYAPVKSTPTTHSKSPVKKPMPLVKQPLPDNRLFV RLPADHAARKMEAYAIYSSLRSQLNSNSAVLKEVQATKTGFALCPSSPEALLALEAQK ETISAFFVNCQIERSSRRVSYRVTNVPRKIGQILDGRYSLIPINPTLLSLEISETTGL KPISISETATSAANPNTLSSSWFVNFPEGTKSPLPIQLRLFGTITNARHLSKRTTVIQ CTRCWKWHNTRSCARPSRCRLCGSLEHTEEGHVNRCTALEPHQCPPRCIHCHGPHPAD FPECLLRPKGNTKHTKAQQAEIRKSCAINLAKARTEGGCSSQLSIGTQETPMALDEMP TQPPTHKIISPFRSVTPPPRAPTEDPPITARAKAPLKILQLNVGHAPDAHEIALTLAY TSDIDIILIQEPYTFKDLSRQITKKHPSYECFSPTDSWAISGRPRVLTYVRKKKGIRT SQLRPFTTDTKEASDLLFLQIFSPTGKSALIVNIYNAPAGSIRAGEAAKALTTLPEAY LPQATILAGDLNLLHNRWQPSLHRSPTPFAEPFINWLDLQGLVLISDIDCPTHERGNV LDLSFASSPLALAGAKASIASHLDATSDHQPLITTVPWDQRYKETAQKLRFDTLDHTS FLSLLASNLAGTESSAATEEDLDAFAEKLTSAIQGAYRGSAKRTMTQGIGQPWWNEDC KKALHNYRLGLCSKTDFRRITRWSQRQFWREKLSTVTQMKDVFDMSKWHKSTGIFRSP PLKDPLRPNSLPAVTVHKKRDVLVRNLLQNSAEAGDIPLDSPTVPSTSLYFPDISMLQ VEESVLQAGNTAPGADEIPTCILKVAWPLIKDKTNRSPISTWQRTGALGGTEYGMDLY TP TSTA_032000 MXXSVLGKGLERLVARNMAWISIHHKVLARQQFGALPLRSATDL TTCLTHDVEQALNQGMTASLLTLDVKGAFDSVLPGRLIRRLREQGWPTNLVLWIASFA TGRSVQIRLDGEIGPSTDITCGLPQGSPVSGILFMLYIAPLFRLGNPRNRFGYADDAA NLAISTSLTTNCEALSDSLQEALNWGAAEGITFAPDKYELLHFSRHKADQDPTRTPSV KAGSITISENTKWLYLRWLGILFDKKLTFKWHVRETASKALTVANALRSLGNTVRGVK PDLLQQAVSACVLHKAYYGAETWWPGRARAVLPVFRTTPKPVLYRESGFSPPEIELDR IALLATVRLRRLDPYHPLRRRAEQIASNGRQISRFARRTLALPNSEQINPLQYAPWYP REPRGNAQARIGAPMGRTKEQAAANFMAFQRTIPSSDIVIFSDGSRLADGRAGGGYIG LQAHHQFLRSSLSYGHGKEVFDAEAEAALAGAQAAIAYPTAQFATNLWICLDNLEVAI RLLSPSTGSSQEIFESFRTLAAAWPLRKRLPHTKSGSIQIRWVPGHAKIPENEAADLA AKEGAASIPPDPHKSSYASLKRYAKTQSLSAAQSQWEKVAPQSYQDLEITTSPKRPGE LQLNRLDLGHVIAARTGHGDFADYHERFNHDDAYLLCRCGARKAPLHFFFCHIAKRRA PRPPGPPSEVISFLLGTAKGAQKLASWLAETHFYEDICPRQPLLST TSTA_032010 MVSAVDTRPMNDHDMSMDTVVPKTEVAQDGHVSMSSSVSTPEPE EQSQDVAQTQKRKGGRKPIYATSEERKQRNRQAQAAFRERRTEYIKQLETTIKRNEES LQSLQQSHRSAADECLMLRYKNSLLERILMEKGIDVQAELRLKTGNSSTIPPIKLNAN TLAPKQPLPLDRAAIGRSSTQRRPTSGAIAPKPDHSGLPQSRDSVYSATSPQPQPTPS SHVSSPSTGRSPGFALQGAMSPKGTDFQSQQRNRPPLLPHPRDFTQQNPLGVNQMRPM DPYAATTQPMIPGATMTSHMQSYYSAPFQKHYDQLEQEYDAQADMLDDTENHENGSEA NTYVPDFNRPPVPTGQGRMGLPGPSSMQTGDDQGMYNAGGSLFNQYEPVLDSDTFGLS ASMHFQTPFSYEQEMLRH TSTA_032020 MADVRSKVRCDFTSLFIAVLHQLLIATDVLLDRICTSFSVLSSS LPKQAAYFVSRLHHPRKFLTSSSFSCDIGNDPELDSDREPEPPTKTIDKPAQRHGKRD APKEAPSAPPTAPRGGARGGRGGRGNGNDDAFRDRNAGSYNNRNRPVDEVKEAGRRGF RGRDDRGARTRTDRHPVRTAHTDTEKQVGQGWGAKKGDAEWNDEKAGEAIAKAEENEP QTPLEGGELAAAEEAADKAKSYAEYLAEQAATKRGELGVKEARAPNEGSKDKKWQNAK ELKRDGDEEAYIKPQEEKGKRERQRKEKNFLEVDMRFVEQPRGRGNGPRGGGRGGRGR GGRGDGPRREGGRGGASAGPTVQVDEKNFPSLGGK TSTA_032030 MRWSVAALAGPLLQAVLSAASTLTPPVLPLTVRNPYFSAWLANA RDVPWSKWPIFWTGEEIGFSLLAQVPSAGTVYPLLGRPQDSLRTDDKARYTINYLIYG GATYDASTTNLTYTLPISDHKLEIVLSFLSPITPTSTLRQSIPASYLNVYVTGNVDVN VYIDLNGQWVSGDRGSQLVWSFDHVNAQSGATKLKKWQFTRQNELLVSEFADRAEWGT LHFVAPKDVRHESGTSAILRDRFARTGILQDAVDTRFRSIMDEEPVFAFSKHFALNTT HSSTKSDSVQFTIAHTQDIVVQYASARGLTYMRPLWKSWFNTNDDLLAFHYADFSNAS ALASNYSAQLAIDAYKSGADDYVGIVTLSARQVLGATSFAGTAEDPLLFLKEISSDGN TQTIDVIYPAFPFFLYTSPRWLAYLLEPLIEHTLSGQYPNKYAMHDLGTHFPNATGHP DGRDEYMPVEECGNILILGLALVNSLRYSDDHESISLWAAQGALVPELTDEQAPLFTL SNLQTISEIGHQDGKWGGEGRGAKHARQWIQRSYRLWKQWTGYLVDFSLEPENQLSTD DFAGWLALQTNLALKGIIGINAMSQIADIAGEASDAAYFKRIADDYIAKWEEFGMSRD GTHAKLAYDWYGSWTTLYNIYADAQLCFHLDGAGNVYDGSVQVPLSSKKQGFVPRHIY QKQSNWYHYVRQRYGLPLDSRHLYTKTDWEFFSMAVVSEQVRSEILESVATWVNETET DRPFTDLHETEGKGGYPGIFFIARPVVGGHFAFLALQRACGGKAMDGLSFLDDVDPDS DTVAAAAATEFNRMLRPSLNGEL TSTA_032040 MQAVIPEPSPQKAGRRVLGEKTANASLTPATKRVADASSQKFQT TAIPFNVLSNKISPSKTRDVSSDVRHAGQKRSIDQVVEDIKEAESEPRKVLMTSQTEE DRDFEIFNDANGTESRKRKILEASTSQQTDGVVTKSRDNVEANREGSKDESLSRVPTE PAARKLFIQQRAALAKSRLQSAMRRVEDKSLDRALSQFEANSRSFLRTLSSSSASTSQ QPPQQEQISQNPSTTKQTRVLLPPLPLQPRSRPVRSSAQTSTHSPSAESLNIASPPAT DDEAEPNYEHDNDKTPKQSDIKRQDNITESHDIGVNMSQESDSVIDKLIKWTQSSTDS IPTAEA TSTA_032050 MGKPKIIEEHHIDEDSSQYSQKEGSDVPSINDSAAAHATRPAKR RRVSMSSNDSDDSTTENYTPIAPLPTLSRIKKKSNVTSSSNIPQIEEPVSSRDARDIG LQVTDSTFANLNVAPWLVKSLSTMAIRRPTAIQKSCIPEILQGKDCIGGSRTGSGKTI AFAVPILQKWAEDPFGIFAVVLTPTRELALQIFEQFKAISAPQSLKPVLITGGTDMRP QAIALSQRPHVIIATPGRLADHIRTSGEDTIVGLNRVRMIVMDEADRLLTSGHGSMLP DVETCLSALPPSSERQTLLFTATVTPEVRALKSVPRPANRPPIHVTEIGTENIAPIPP TLKQTYLQVPMTHREAFLHVLLSTEGNSSKPAIIFCNRTKTADLLERMLRRLSHRVTS LHSLLPQSERTANLSRFRASAARLLVATDVASRGLDIPNVSLVINFDVPRNPDDYVHR VGRTARAGRTGESVTLVGQRDVQLVLAIEKRVGRQMVQWEEEGVSVESRVVKGGVLKE VGEAKRDAAREIDEGRDILGRRTNKLKKVR TSTA_032060 MSSPLEIELQNNCHAWDPALYNDTNYGYVPSLAAGIVFTVLFGL SMIAHTVQFIWTRWWWCSVFSVGAMVEVLGWAGRAWSSQCPYMLTPFLMQISTLIIAP AFFTAGIYVILGRLINVLGRSSSILSPALYLWIFCTCDIISLVVQAIGGGMASSEAGK VDGDTKPGTDIMVAGIVFQLASITVFAVCVFDFLRRILKQRLLRTVQGNVTPLLLAMM FSIVLIYIRSIYRVIELVQGWSGYLITHEAYFIALDGSMMVPAVAIFNFVHPGWFMPT RKSVDDFEQVSMNERLVT TSTA_032070 MAKKVVVVDEVDTDVETRSYPRSRIAKIPRSVRFLLVILSSLLL SSSLLTVFSLQTAGHLAGISKHLEEWWEIAGLILWRATELGLAWVFGFDGWDVTELTL LTHAPIYFLLFNFYGVEPSAVAITFAIEIVSMTIPFVLFRGPNSVHALSSPRGAQVAN RDVLEDRLTTLYTSVAAAAIYAVVLSLSFYTWLPVHLVLYFDEIRDIRLVHAGAAGFP SVLVSLLPAGYAAYDLLFASSAGWSAKPDSTKMAVPEGEYLITSLYNRTWGKISPKGK ILASRSLILATMTLLNTIIAGTGSIKGVELMGSVGWGSLWAGASLVVSSLFAWVEGVP GV TSTA_032080 MYNPCPLVRKSVVSGFGRVRPHRPGPWSTITFNSARQTRLWSSG LQVAVEEDSSKDKSETSWKSTAFKMLETAGATLASIAILGLAGYSYHRYYKYLVLHKI DNAFEPGDPALDVAGASPGKEAPGAEHWVVRDEQDKIDQIIAGHSKGHYFLLIGEKGT GKTSMLLNAMRKINGARVAMFEAHADLEIFRVRLGKALDYEYHEDYIGSFFSIRGPRD TTALLDIERALNKLEKVALQRRRKGESPLIVIVNSTHLIRDDDDGRDLLELMQQRAEQ WAASNLVTMVFNSDDYWVYERLKRYATRMETIPVPDLPKQKAMVALANYRKRYHGEVV SDDTLALVYDQVGGRLSFLNRVAKSHDMLQTCKDICEAEKSWLLNRCWILGAEMDDDV MDEQKYSSAALVLAKALVDQEQEMDSIYDDEHGHILPQMPLHKARQVMTRADFIQSYD HDNIFTIDSKAMVRADSVPMQHAFRAICSEPGFDDHLEKTLTRIGDIESLGRTRELTI KDLWDQGKYRVVVRDNRGRESGTVEFSVKEKEGEDGDD TSTA_032090 MLTLATEKLTNGDHAGENAEENNGGGVFQITVKLPHEPYKIQVM VSSQEQVQDLRQSIVELPGTFQYTCFHLEFNGQRINDYIELSEVKDLKADSEVTLVED PYTEKEARMHVVRIRELIGAAGDRVDNLQGLSTGLSLHDSVANEVDKSESETHPLTGY DPNASGSFNTILPRPEEPTPKTIKAISLSPWNPPPYHLRQKGHLLYLQVTTNEGEQHQ ITSHVSGFYANKCSNSKFDPFPRPAPKNHSAHSLLTLISQISPSFESSFRALQEANSQ RDLLTTFPFQNAIPNSPWLVTPAAASLNAHQPDVARSQENLLIAGVDNSETLRDWNEE FQSTKELPRETVQDRVFRERLTSKLFADYNDAAARGAVLVARGEVAPLNPTEGRDAQI FVYNNIFYSFGADGVGTFASEGGDEAARVAVGKDVLGIKAVNQLDIPGLFTPGTVVVD YLGKRVVGQSIVPGIFKQREPGEHQIDYGGVEGKEVVAEHPDFVPVFEKLSKALRVKK HAVWDKEGKRHDLEGSVETKGLLGTDGRKYVLDLYRIAPLDISWADEESDHDAYPHRM SILRLELVESYWRSKMSQYVKEEVEKRRSKKAEEKAAKSGAETQPEDAEKAAEEQERV DISGFDLALNPDVFSGQVPQTEEEKKQWAEDEQEVRNACDYLRSRVLPELIQDLYNGD VGFPMDGQSLSQLLHKRGINIRYLGHLAGLSQQKGHRLQSLTTLVNQEMIARAFKHIA NRYLRSLPPPFAASCVAHLLNCLLGSDVNAKPQADIDEALREIYPEADFTFEKVTSSS LHAEIEKQVKIRYRFSLPSDWTSSMKHLQLLRDISIKLGLQLAAREFAFTKAQVSSQP AVTNGADEAKHEETNSKKKKKKAAGDNASPTRSAVAKPVTTFVADDIVNIVPLIKDAA PRSALAEEALEAGRISLMQNQKQLGQELILESLSLHEQIYGILHPEVAKLYHQLSMLY YQTDEKDAAVELARKAVIVTERTLGVDSADTILSYLNLSLFEHATGNTKMALVYVKHA MDLWKIIYGPNHPDSITTMNNAAVMLQHLKQYSDSRKWFEASLTVCEELFGRQSVNTA TILFQLAQALALDQDSKAAVNRMRDAYNIFLAELGPEDRNTKEAESWLEQLTQNAVSI AKHAKDIQARRLRKVNLSTRVSLGTKPQPQVGQSASGAAGGQNAPAATSQLDTRSIDE LLKFIEGGETSTPRGKQSKRGTANPKLRGTKQSK TSTA_032100 MSDIHMTAKWANRMLRPLTSLYHRLGKHCESINEQEEEINRIIT AATITESSKPDISGDAEHDSEYGDRDPSWIPRKPESKRVKHKYVARGQRLRFQPRVRS VLQSPETTKTLPGAIEVATPLITGKLANVAAPNNRGSESGSQQDEKSSEKPTHSVLPE GMAEKIVRRPKKYRPSHPDPQWRRSLNATNDIKYFDIVVSLDSLFVKFLEKTRVPNSG SKASPKGTRSLLMTVIRRLPDFIAKEQQIQDELDNTDEIDMADAYFTELESAYGSEGK GWQPLREAVRSQGIFLICDMVQKNWINQLTTCYLICECIKVKEYDAVERILSTLLAKL DPYNYPIAFNPWRPGTPGRDPIRLLQRYWELSGNNSFAFREIELLLLRNILPPQWLVT ITLKDCVVAACMSQSKWDDEYAAALNMMKVIMITAADAHRGRKVVKPQKKKRSKITKR RQSRESSNSQAGDPNSDPNRCPVHLQDATSNLVSSLNVALCCMYGVRMGQMDGPDFVS GARIVKAYTELALIIQRSLPLSRSEVEDNEEDRAFLLRKGYILLAHYLLKCQQDLLPL DDTDVETLDIVLSPDCELFFELVEQNAGIVKNLAAMVCQIIRLSERGEHAQCRQARRL CRHLLTFERFGLDNLMLFLGKIAIEVALDFAQYSQDAEDHTFAADIQEAIAALRIRLK SKGSSAPTPEKSSMQSFRWEEGIGEWIAKTPLDRIKTTLPRALSRPVVEIPMVGSPSS VSTSSPSSCVKSESSKTSVASPNPAGIKRRNSSVNSPLRNSKRPRRKYQRRSYEKARQ STWITTRLSDVSVVIQAGEQDDSGSVDDSEIISESEEWSQQNRAEKIPTDVQSIAAGA DFQGSVANRQGQRNRVDFDVVINAGVTAPVAPPKRGRGRPRKHPIEQVSSSSQATNHQ QREYLSHRRLLIPCSEDEDSDDELSFL TSTA_032110 MAGLTTPVIVAYMATTVYADQQAASGGGGGQPAQPTKAQELDEL QSEYTHFMLIAMAATCALYFAWKSFLRLTSHIRRLHGMTNDSQRYFTRDDHRMAWFKR NIVDAPLFRVRHNREFQLSRAINMGTLPSRFQALCLVGLITMNVVLCVLHIPFSNDIK TYGDTLRNRTGTLATANLIPLVILVGRNNPLISLLGLSFDSWNFFHRWLARIVAAESL AHFLSWLFTSAEERGWATIVAGFHTSRLLITGLVATVGFVALVLFASSPLRHAFYETF LHFHILLALMAFIGLWYHLDGLPQKSYLAAALVAWGIERFIRLAINIYRNLVGGRTTA KAEALTGDATRITLKLARPWTFRPGQHVYICIPSVGLWTYHPFSVAWSEKEHLPTDEK GLVMTQQDVLSVENETISLLVRRRTGFTDTLYKRAAKGVDGRVYLSAFVEGPYGAIHD LDSYGTVLLFAGGIGITHHVPFVRHLVQGYADGTVAARRVTLVWTIQSPEHLEWVRPW MTSILQMDRRRDVLRIMLFITRPRSTKEIRSPSATVEMFPGRPNIQTVVDKEIEQQVG AMGVLVCGSGPLGDDVRRACRQRQMISNIDFIEESFTW TSTA_032120 MAAQRTPDITLYTAQTPNGIKISIALEELGLPYKVKKLEFSKNE QKEPWFLEINPNGRIPAITDTFTDGKTINIFESGSILQYLADNYDPEYKISYPRGSRE YYEVGNWLFFMNAGVGPMQGKRYT TSTA_032130 MAGYEYANHFFRYAPERIEYGINRYQNETRRLYGVLDKHLSTSK SGFLVGDHISIADISHWGWVAAAGWAGIDIDEFPHLKAWEEKLAARPGVEKGRHVPEK HTIKEVLKDKELAAKHSAAASQWVQKGMADDAKK TSTA_032140 MSWRSNQGITGSNNIPLGKRRFGDDEGASEASTPTVAASDNGAI RGRSPTRADPPADGVKRRKKRNRWGDAQENKAAGLMGLPTMIMANFTNEQLEAYTLHL RIEEISQKLRINDVVPADGDRSPSPPPQYDNFGRRVNTREYRYRKRLEDERHKLVERA MKVIPNYHPPSDYRRPTKTQEKVYVPVNDYPEINFIGLLIGPRGNTLKTMEKESGAKI AIRGKGSVKEGKGRSDAAHTSNQEEDLHCLIMADTEEKVNKAKQLVHNVIETAASIPE GQNELKRNQLRELAALNGTLRDDENQACQNCGQIGHRKYDCPEQRNFTANIICRVCGN AGHMARDCPDRQRGTDWRNNGGYGGRGPHRAIGGGDAVDREMEQLMQELSGGGSGPNG EAPRRIEGGPGGYEQDSNYKPWQQRGPPPPVSDVAPWQQRGREQHGRRDDYEPRDSGD AAPWAQNRGGGNYGYGSHHGGYSAPGAAPWQQAPPPPPGGQAGYSYGYAGLPPPPAGA PPGLPGAPPGLESMYYGGAAAPPPPPPGEGPPPPPSDHPPPPPPPM TSTA_032150 MSPLSESHGLTSSSQAIGGEIALHPVSSFYSHTSSTRKNSWPTQ HQQEQSSRQSASQRNRSLISAHEPLDFPRAGTTHSDHHGSSTTADSGAWWKVHLFRGM IQDIKRRAPYYWSDWTDAWDYRVIPATVYMYFANILPALAFSLDMFEKTHQSYGVNEV LLASVLGSVVFSVAAAQPLVIVGVTGPITVFNYTVYDIMTPRGTNYLAFMFWIGLWSL IFHWILAITNACNGLTYVTRFSCDIFGFYVAFIYLQKGIQVLTRQWGMAGETSAYLSI MVALLVLMSGYLCGMLGESTLFQRYVRKFIEDYGTPLTIVFFTGFVHIGHMRDVSVET LPTSKAFFPTADRSWLVSLWDISVGEVFLAIPFAILLTILFYFDHNVSSLIAQGTEFP LRKPAGFHWDLFLLGLTTGVAGLLGIPFPNGLIPQAPFHTQALCVTRQVSDDDETNKG RPIRITDHVVEQRVSNLAQGLLTLGTMTGPLLVVLHLIPQDVLAGLFFIMGVQALQGN GITQKLIFLAQDHTFTPGSNPLKRLERRSAVWAFVILELLGFGATFAITQTIAAIGFP VIILLLIPVRSFLLPLWFRDEELATLDAPTASPFTMESVGGTYGHVEMDDRPISRGGT VRGTGGDGGILVGNDGRSSEESAVEDELERGELNQVSSRLSARKRSTTNTSTVEPGYN ERKATPHFY TSTA_032160 MPGEVIDQPNPPPLPSDLPEELDKFAVQLQKISLDQKDYDDLAK FRRAACYIAAAMIFLQDNVYLKREIKPEDIKPRLLGHWGTCPGLILVYSHLNYLITKY DYDMLYVVGPGHGAPAILASVWLEGSLEKFFPQYSRDTKGLSNLITRFSTTSGLPSHI NAETPGAIHEGGELGYALAVSFGAVMDNPDLIVTCVIGDGEAESGPTATSWHAAKYID PKESGAVLPIVHVNGFKISERTVYGCMDNRELTALFLGYGYQPRFVENLDDIDTDMHT SMVWAVGEIRRIQKAAREGKPLSKPRWPVLILRTPKGWSGPKKIHGEFIEGSFHSHQV PLPKAKKDKEELQALQDWLTSYKPEDLFNEQGGPIDAITSIIPKTDSKKLGQRIESYG NYKPLEMPNWKHFVVSKGSYESSMKTIGKFIDEVFVKNPQTARLFSPDELESNKLDAA LAHTGRNFQWDQFSRNKGGRVIEVLSEHMCQGFMQGYTLTGRTAIFPTYESFLGIVHT MMVQYSKFNKMARETRWHSDIASINYIETSTWTRQEHNGFSHQNPSFIGAVLKLKPYA ARVYLPPDANTFLSALDHCLRSRNYVNLMVGSKQPTPVFLSPEEAAIHCRAGASIWKF CSTDDGLDPDIVLVGIGSELMFEVIYAASILRKRVPELRVRVVNVIDLMILENQGAHP HALSTDGFDALFTPDLPIHFNYHGYNTELQGLLFGRPNLDRVSIAGYIEEGSTTTPFN MMLVNKVSRFHVARAAVEGAAKRNEKIRLRLQELISELDTNIRETTKYIVEHGTDPED MYGMPKFG TSTA_032170 MAKIILSVNAGSSSVKMTFYRSQNPPVPIVDAQVSGITSPPQTL KYSKSSGDKKEKLDETLDTPPKAFKYLLERCLSDAEISEIANVDDLACICHRVVHGGD YTEAIVIDDSTYHRLEEIEDLAPLHNFSALEIIRTCRKELPNVKNIAHFDSAFHRSLP LHVRTYPIDQEVAEKRGLRKYGFHGISYSFILRSVAQFLGKPKEQTNLIVMHIGSGAS ICAIKDGKSIDTSMGLTPLAGLPGATRSGDIDPSLVFHYTSNASSLSPASTKEMHIST AEEILNKKSGWKGLTGTTDFAQIAVENPPTENHKLAFDIVVDRISGYLGNYYVKLQGQ LDAVVFAGGIGEKSALLRRAVTQQCNCLGFDVDLEKNEKGIANDNSVTDVTKSDKGPR VLICQTDEQFEMAYHTLEELKMV TSTA_032180 MPESAPAGSKPSSSVKLVLLGEAAVGKSSLVLRFVNNDFQENKE PTIGAAFLTQKCSLPTRMIKFEIWDTAGQERFASLAPMYYRNAQAALVVYDLTKPASL VKAKHWVAELQRQASPGIVIALVGNKLDLTDSGAGQANEDAAEAENNGVPGPDAEGDE EVENNDISTTTDARKISTREASTYAEEEGLLFFETSAKTGYNVAEVFTAIANAIPETS LKGAKSGPGGGQTNLGGGRSGDDSSRVNLGDRAVATPKDGCAC TSTA_032180 MPESAPAGSKPSSSVKLVLLGEAAVGKSSLVLRFVNNDFQENKE PTIGAAFLTQKCSLPTRMIKFEIWDTAGQERFASLAPMYYRNAQAALVVYDLTKPASL VKAKHWVAELQRQASPGIVIALVGNKLDLTDSGAGQANEDAAEAENNGVPGPDAEGDE EVENNDISTTTDARKISTREASTYAEEEGLLFFETSAKTGYNVAEVFTAIANAIPETS LKGAKSGPGGGQTNLGGGRSGDDSSRVNLGDRAVATPKDGCAC TSTA_032190 MAALNKIAANSPSKQSPSELESSLANALFDLESNTQDLKASLRP LQFVSAREIEVGHGKKAIAIFVPVPLLQGFHKIQQRLTRELEKKFSDRHVIIIASRRI LPRPKRSVRSRNTLTQKRPRSRTLTAVHDAILSDIVYPVEIVGKRVRTKEDGSKTIKV ILDEKERGGVDHRLDAYGEVYRRLTGRNVVFEFPQSSAADY TSTA_032200 MSRPFPYTFISCPCAQQTRSSKLSKRNSREFSPKKQSSPRKPAA NTQQPEDPDLENDESDEESEQTFNPRSARANFSLYPPEQLLYCEDCHQIKCPRCITEE TVCWYCPNCLFETPSSMVRSEGNRCARNCFNCPVCTAPLSVTTLENTIENSAQQGPWI LSCGYCMWTTLDIGIKFSKPTNIRSQLSKMYEEKGHGGSVLTGDTKSSLSMGGNLEEL ESPTTAEAEDKEEPLAQVQHDHTELDTNARFAALKRFYTKQIEDASVSATDPLASDFG AAFSSPSALSRIMSIYTSSSHLYGGGAKKAKSKPPVMREALTPAEGIKVTTGAKERTV INRMASDDCTWDDILSKEQRAFQDPEARFMEELRPLPVLLRTKRSKRCKSCKHILVKP EFKPASTRFRIRLIALSYIPLPTIRPLMPAALPGQSVSATSLLQPNLDSLTPLRPIQY LLTLKNHMFDPVNVTLATPSITPGRIGSKVTILCPQFDLGANSDVWDEALQAGPAAPT SSSIATLTSSAGRAGTIAGSERVAEAGKVWEKGRNWTTIVIEVVPEVLPGTEQPGVQG NAGKKKDPENEGDDEDDIQLREDEDVLEIPIFVHLEWDSENQMDQDDGAGGDKSSLRE RGGGSDAQNPDSIKRELAYWMVLGVGRISPKLF TSTA_032210 MSIPSTVTKIPRRWRLLSRFSTHTRNYAVQAPGRPTFEVFNRAV KHMQKDRAARNVEQSRQVDYIKDEVAKRLCERLLDIKRSFPNTLDLGANSCNIARALT APNPDPAVESSPPLSNRIDTLTCVETSHALLHRDADLEFNDQLSIHREVIPDLESLPY EANTFDAVLSSLSIHWINDLPSLLAQINNILKPDSPFIAAMFGGDTLFELRSSLQLAN MERRGGVIPHISPLADVRDVGGLLTKAGFKMLTVDVEDIVVDFPNTFALMEDLQAMGE SNATVQMGTLSKDVLLANEAIYRELHKEEIGEAEHGQSGIPATFRIIFMIGWKEGAGQ PKPLKRGSGEVNLKDILGGGDFGPK TSTA_032220 MAQINYRTINIDVLDPESSINFPMDTLLPGSLPEPTNSSAAASV AQQVRQLLRGGDPEGALRHVLDTAPLGGDAQAKEVHLATVIDVLQGIRQGEMLKVLEG VISGDGGTERADCLMKYIYKGMAVQSSASGSQSPSSRKTLSPQATGSGFSQVQARNFG EGGGGQHMSVLLSWHEKLVELTGHGTIVRVLTDRRTV TSTA_032220 MAQINYRTINIDVLDPESSINFPMDTLLPGSLPEPTNSSAAASV AQQVRQLLRGGDPEGALRHVLDTAPLGGDAQAKEVHLATVIDVLQGIRQGEMLKVLEG VISGDGGTERADCLMKYIYKGMAVQSSASGSQSPSSRKTLSPQATGSGFSQVQARNFG EGGGGQHMSVLLSWHEKLVELTGHGTIVRVLTDRRTV TSTA_032220 MAQINYRTINIDVLDPESSINFPMDTLLPGSLPEPTNSSAAASV AQQVRQLLRGGDPEGALRHVLDTAPLGGDAQAKEVHLATVIDVLQGIRQGEMLKVLEG VISGDGGTERADCLMKYIYKGMAVQSSASGSQSPSSRKTLSPQATGSGFSQVQARNFG EGGGGQHMSVLLSWHEKLVELTGHGTIVRVLTDRRTV TSTA_032230 MIPLFEPRLLGWLLARVEQSDYPADRSHIVSNSAIHSGETFCGV HLEAGTVVGINPVVIHYDQSIFGTDTAEFRPECWTESVEDKVKITDRHLMTVLRRTKW RGEWRHLGFQESMIFSVGCQSAMKKAAEYVLYSTTDVKTHDEKIYTNLQYGESG TSTA_032240 MSTMPLTNLLKITSKAAFERATKHPFLEAAGKGELPKEKLSQWL AQDRLYAQAYVRFIGAMLTKVVLPNNKQHMFDILVEALNNIQRELEFFDEVAMEYGLD ITALSNQQSENQHSGASYFRPSFITRAYIDLFMSVSSPGVSLVEGMAVLYATEYVYLH AWKHAAGIMSHTAASCETLASPASFSTGAERDLDGGALRRKFIPNWSSPEFEKFVNRI GEVVDELAACIKGADVIETNRNQCIGWWRQILWLEEQFWPDVNEH TSTA_032250 MHPELGLLLSFQEEGMPQPQYLGRSSDKDAKDDLVSKVPALPAS SKDSIDKPSDGPEAPVDINNAFRQRMEAGLSATRKRSKVSKEKRRAVKIEKQREWVRS LKRAQCYLGLHPCCSDRTNDSYDSVHQSIQKEELARSLPPLALDMIAPFPFVDEPIFI SIDVEWNERQRKQITEVGISTLDTLDIAHLAPRQGGKNWRQWIRSRHFRVKEYAHIVN CDFVTGCPDQFGFGKSEFVSLQDAGRVVESCFNPPYSAQIPVKFVNEESTDSKPKVTI ETAIEGYKLHRRNVVLVGHDFQSDIDYLRNLGCNIFPYNDAIPQPQTSSQTLSSPTAQ TLFLDTLDTAMMFLALKRDVHSRSLENILNSLGIHGRNLHNAGNDARFTLEAMVHMII NARLALDKGAQELSGDYMDWAARPVTNADTALHELAWQTEVERRVSEADATREAQIRD DCKIWEMVTGWDSEEGPTADDIDGGEPKGFMVMLK TSTA_032260 MADDTDDSGGVIFEGPFDPDAQATVTDFIDYTEYLPSDLIRSLT LIRGLDETYLDATQKVHELTKIYGQLPDLPPESRRNPQELREQISTHLNRAINAREAS YAEACRIYDEILKENLSFYPNPASRDATPPAKRSRGGRKAEKGATRITLRVDGQTPDK NGLPKSRGRRSMIAGLDPDSPIASTEQSDNETPKARSRRGGPVINPEKEALQPKKEKK RGNKRLAGAGTNVHSSVAGISTSNALALLKPPPEDAKLGSDDLPWLRLTDWEMTKLRK KMKKNAIWQPSEIMINRELATGGRGWEGYRAAKARAQATGEELIDCDDIENTYVPGKL IRKSEATADISAAEEIKTSNRGMILNEAKRLKRENMAREQEAASAAAAAAAAAAAEAE LAAKRISDIGSTFKRLFSSTSGENNQVASTDSAKPNGTPVTPAAKGRAKITRKRKAEE SLPVEQPDQPLSSKPPSKKRNTGKALSAEATGGAADSSQPLLDTATASGEISGTKILK PSSSSPPPTRARSAASHENIPQTTTLTIKGAATPTPPTTRPSSRRRSAATSMEPGSAM NAPLVGLTREHLRRKSVTPASKTPGPDSGTTAAGTGARRKKRPAPGPVSSSLEGGATL SHGKRKSKPKKRAPGKETVKGEDYRIDEDGVLEKIDPNEPRYCLCKDVSFGTMINCEN PDCEGEWFHLECIGLKEPPSRRAKWFCPECRVKLKKAPDGIERVGGGRR TSTA_032270 MPSSHASCTEVSAQCPVEATTLGYYPNLGANIFFVVLYALCTIF TLGVGLWKKTWVFGVVVSAGFALETCGYIGRVLMHYNPWSDPGFKLDLVCIILAPTLI CAGIYLTLKHVARTVGPDFSRITPRLYTWIFIPFDVFCLCLQGVGGGVDAAASDKTPI DEKTLKTGNDIIIAGIALQVVNLAVFGLLSLDFFVSAMKHFKNPSEKVRNSANAQIWY SRRFRVFCSAIACAYAGILVRCIYRVAEMAGGWGNSIMRNEVLFYVLEGAMVLYPAML LTIFAPGFYFPEMGSSNALLTSSTDTATGTENNAVVSNEGYKPGTPEEGAESSNH TSTA_032280 MDAEVSRDTLGSDEDIQLSYDQRLIDSNYANSPHTSLGEPSIDP FDVTEKGFGLARRDTKEVTQTVTAVVATAIDVIIESGSQTIAQVTVTSLPAVVSVPTL GIVTIPADPNPSAVTAATAVVAATAAFLLLRWRRKRAQSTAGTTETTSRGIQDGSGPR SAEMASQRSSNTPLAAASVLGRWASSSSRRSGRREDGFGATGERGFQKISGRKIPSVL HTGGDGYGDEIGEEPVPELRTPPLEATSPVSPVSAIGGGNHHYPPMRDDFIAAGVGVG AFVLRPSPARTPTTSSVDLAAPSQSSQTGVSPARPDPLGRTLSRYDGSRSSRFTEGI TSTA_032290 MSESRQELLAWLNNLLQLNITKVEQCGTGAAFCQIFDSIFMDVT MNRVKFNVNTEYAYLQNFKVLQNTFNRHQIDRPVPVEALVKCRMQDNLEFLQWAKKFW DQHYPGGDYDAVARRKASGGPAASAAPVSAARTSSAGARRGGTTPTGAGVRPRVGGAA AGPGVAALNQEIAAQKEAIAGLEKERDFYFAKLRDIELLLQTAVEADPKLEEDDDSLV KHIQGILYSTEEGFEIPAEAEEGQEELETF TSTA_032300 MTPHDSYPHRSARRRPSLLLRARPKTAGPGEMPGADVPWVSPGP WAFNASCNNGDDSQISLCQQVHEPTDVFEEISVFPEPPPRHRSAKSFSSIRHGVDGLR ALGRRLSVTIRGKGPKHNLHVPSESDRYIDGEKHSGAVPEGRHRNAWFKGHSINRRPS LHSVSALHSFYAPTANVANFIPGMGFEPPVFSNDLSSGAAARAAAAAQNEMAKRSISL GDSKIFDSESGIGIDLRDRSDLSDCETVVVRLDPVAYLPAELISHIFSFLSPASLVQL EAVSKSWNKAASSHHVWRNVFRQTYGYKLSESSSPAARKTPSAGLGKILPNQDWKKMA MVRKSLDQRWKSGKAAAIYLKGHTDTVYCVQFDEHKIITGSRDRTIRIWDAHYPWSCL KVIGAPATQQRQSATNNTDQQPSANPPFLSICAPSQQWADVVDQSIALSDCHNASILC LQYDDEIMVTGSSDFTCIIWDVKNDYKPIRRLVGHRSGVLDCCFDDRYIISCSKDTTI CVWDRGTGKLVKKLLGHRGPVNAIQLRGDLLVSASGDGVAKLWNISSGLCVKEFSSKD HGLACVEFTEDGRTILAGGNDKVIYQYDANSGDMVGEVDGHNGLVRSLHLDSLNNRLI SGSYDFSVKVFDATTGKLSIDFPGWTTSWILSAKSDYRRIVATSQDSSVVIMDFGYGL DGIELLEE TSTA_032310 MPYPEEAQGFMIDSPETWLSFQKRYFKLKPFGEYDVDVKVEACG ICGSDVHTISGGWGSQNWPLCIGHEIIGTAIRVGSKVTNIKEGQRVGIGAQVFSCGEC KQCKNDNETYCPNIIIDTYGSKWPDSGIISQGGYSSHVRAHEHWVFAIPEKLKTNTAA PMLCAGITVFSPLVRNGAGPGKKVGIVGMGGIGHFGIMFAKALGAETWAISRTRAKEA DARKIGADGFIATCEEGWEKEHRFSFDVIINCANSSKNFDLEKYLSMMDVHGKWVSVG LPEEEGQVIKAQNLISNGVLIGASHLGSRKEMIQMLNLAAEKGLDGWVETIDINEENL KQAMQRMKKADIRYRFTLTGFDKAFPDA TSTA_032320 MHAYWRVLIFPPINRTPLETCNAEILISGNEFSLVKETRLDKMP ERTPLRPGVYCPTVTFFHPDTENLDIPSIRKHAVRLAKAGLVGLVTMGSNGEAVHLTR EERKTVTRETRAALDEAGYKNVPIIAGASEQSVRGTVDLAKECAEAGAEYVLIVPPSY YRYAVGNDDSIYEFFTAVADQSPLPVILYNYPGAVAGIDMDSDLIIRISQHPNVVGTK FTCANTGKLTRVARALDAITPKSPFPTKPVGPITKTAANHPYIAFGGIADFTLQTLIS GGSAIIVGGANVIPRTCVQIFNLWSEGKIAEAYELQKVLSDGDWALTKVAIPGTKQAI QLFYGYGGYPRRPLSRLSEASVKSLESKLQEVMQVESSLPDFA TSTA_032330 MAPPSVLMVGTGEYTTGFVGGGASGSDKKVGVVGLTLFDLRRRG KVGELSMAGVSGRKFPAIKQHLQKNISEVYNGLDVSFQSFPADDQTDPEAYKTAIDAL EPGSAITIFTPDTTHYPIALYAIQRKIHVLITKPATKLLQDHLNLLEESRKYGVFVYI EHHKRFDPAYADARAKARNLGDFNYFYSYMSQPKFQLETFKAWAGRDSDISYYLNSHH VDICESMVAHEYTPVKVTASASTGTAWDLGCVPETEDTITLLVDWRKKSDPTRVATGV YTASWTAPQKAGVHSNQYFHYMGSKGEIRINQAKRGYDIADDEQGHLVWYNPFYMRYA PDEEGNFAGQTGYGYISFEKFIDAVTALNEGRVTLDELDKRGLPTLRNTIATTAILHA GRVSLDEKRSVEIVSDGSEMGWRLK TSTA_032340 MPLSSRRRTRRKDIHLQETSDAEAPDSSPSRPASKKRKVEARPS SRHMQTPEPASADDADRSSPENDLSANQDLVETVIASLNVSRDEVNVLRDYSNTESEN KQSIQAYAKIAGRDWTYYVKTAHVNIGRPPDRDQKLDEQSSPVAIAARALPEVHVDLG PSKFVSRLHAEIFFYGEDEESSAWRIRVNGRNGVRLNNIMLKRGSDAVLKCGDIIEIA NTQMMFVTPGDKAMIHPAFVERAQRIAAGEEVLWDGSQHAHPTTYDAQQPGRAVDTGV YAAAATTTTTTTVQPGKPSLAPAPQFLKRQVTPPPRSPDTVGGQTATKQSPLYNRGMM MESTEEIDYSQDAAKDLKPPYSYATMIAQAIFSSEEEKLTLNSIYQWIMEKYAFYRHS QSGWQNSIRHNLSLNKAFQKVPRRTDEPGKGMKWMIAPEHRQEYWKKQLRKGNNSSAP SSPATKEPPSSRGTNGHAGSSYEGIFSATKTSPQTTSPGFSSFSVAPVEAYTPERGSR LGQRGTDRVRNGPHTDFDEQSPLPSRIRNNQHENGNNSQSNSASNANDGSTTRAYGLS GNATGSPPVLSSSYYDEAPSSMITPAPQRHQPRLPPPSTAQIPSKFMPMSSPAQFWKF ADIGSTPARLVPDMSPLKGGVGALGDGLMPSSSPPPPNYASPSKPSTSNVRALGPIKR EEEPEQIRHHRLGGDDEEEEEEGFDLARGFQPIGSYHRQLNNSARASATS TSTA_032350 MTDTSPATSAPPAAAAATTSPATAPNQQQFQNATQPAEAASTQS PNASTAPVANGQSQAPAQAQIQTQAQAQAQAQAQAAQAQAQAAAAVAVVGNQNNTNGN AGIEDFPCMWQACTERCPNPEALYEHVCEKHVGRKSTNNLNLTCQWGNCRTTTVKRDH ITSHIRVHVPLKPHKCDLCGKAFKRPQDLKKHVKTHADDSVLIRSPGPPDVRGQEIPY GMINNPKGFPAGAHYFEQPMNPVHAGQGYHAQAQYYQGQPHPQPPNPGYGNVYYALNT GDSHPAYDSRKRIFDSVNAFFGETKRRQFDPTSYAAIGQRLGGLQGLQLPLTAGSAPD YHGMGGGIPVGPGGGYGPALAAPAYHLPPMTNARTKNDLLDLDRMLETIHTTVYESDD HVAAAGIAQPGATYIPRMSHGGTTHQSPPISLPSSHAVATTSNMSNASSIVAATSPGS TPALTPPSSAVSYVSSHSPGSYTHRVSPPHDQDMAMYPRLPSTTAHEMAGGYTASTNA PPSTLSNSFDYSDRRRWTGGVLERSRPDDYAKSAIDEMMEDGERTPTRKDPLGNATRD SDDKFHHSLIDPALQQEISPSSSADPDADAEAAKRAAQAATEVTQRSEEEWLENVRIL EQLRAYVKERLARGDYEEEDEDEQRSDNAATPTGAAGSPAEDHMEGVEQTERSRSTEE IHKSEESNPLPSLEELTTSSSSSSPPTTTTTTSSSQGLYPILKGVEDYAESTKME TSTA_032360 MPNNPNINLSTVITWLFYLVPIYIFLISPALRILSPSNEHQRQD AANPIFDWSAFDDADYDLLVSGLNVTDDSFISPEDNDLDKLNCREDDYRVHIFSYKPL IIYVENFFRDAEADYLVAVSGGKWSPSIISDGTSVRVDPSVRRSDRALLDRDNVVRCA EERARSFQGWRPWLYIERMWTQRYNASGHYRHHYDWRGSAAQRGGDRLSTFMVYLDAN CTGGGTNFPRLRMPRKSQWCRFIECDDAHRDVYEGVTFKPIKGNAVFWENLRPDGTGY PETWHAALPVLSGRKVGLNIWSWYQPPVRRV TSTA_032370 MNDQFNSPQVPKYADEEFGKNAPSDSQPHMQDDGGDAETHDESL EDNHPSQGESGKHPIPIQKRRRVTRACDECRRKKIKCDGKQPCTHCTVYSYECTYDQP SNRRRNPTPQYIEALESRLQKAEEILRILAPDLNLNDPRLLAASPEQIVSALRRDDPR EATVSKPQGDDQPKQEPESCPDGSLLETMMENSGSLDLDDQGHWDYHGHSSGIIFMQR LRKQIGNVITMPSRTLVKSAPLFQMLESPKSQSESPQDLSNPNSPPTHDLPPKDTARR LCRSALDHACILMRLVHEPSFWATFERIYATPWDQYTNEEHTFLPLLYVAMAVGCLFS NNVESTLDKSGYEGAIGQGFQYFKAGRQLLDITDCRDLTTLQAICFMVLFLQSSAKLS TCYSYVGIALRASLRLGLHRTLNANFDPVESELRKRIFWIVRKMDIYVSTLLGLPQMV SNEDIDQEYPLDIDDEYITPTGILSMPSDRTSFMAGANAHTRLADIMVKVVKYIYPVK LTKSQSKADHTYMVSHSKIREIERDLQSWMEDLPPALRPGSEVSPELERMRQLLRISY AHVQMVMYRPFLHYVSNSFQAQGIDKRSYACAAACVSVSRNVVHITTGMYQKGLLNGS YWFVMYTTYFAILSLVFFVLENPDLSTAKDGILKDALEGKTTLAALAKKSMAADRCAQ SLTGLFKQLPERLKNRQSATGHVNLKRSSPSDTTTKSRIQRPVSMSLPFDTPQRSNSF PTQLAALHARQSYEPKKAVDDMRVPRRAQTWLWDGNSDSADSTASPSSMSALSHDQIT SPIGSQYLNQALTQQPFTSTQQLGASQILPDLMPIMFPSGDQFAYPAQPLSTLEDDHF KDDLPNSPMQFTPDSFASRGPNPLNGNFSQPMTNGFDGLSGLQGLPGQFPGSAATLAP QLQHLGLQTPSTHSSTPDNVQSPDLVSLPHNYVWQSFSLSNPTAPNEQTLKEQSVPGG KPTTADTAGPDGMSSLGMFDMDVNFGEILGNIGTNPGTATNMNDEWSQWMNTGA TSTA_032380 MPPNRWQFIDLSKDSADNLTQVKRHVMQEYMRQKRMETQQQEGQ DDEKDSDLKGSTKKTRRPRTKTIKPTKDKDSITGGKPQRQTATSGTARDAEGTEARGA FRIVTLPHGGNHRYTRSDYSDFSSSSSFARYPDTSNDLDNYTIDFGTDFQRTPPQPTR SVMLSPPRTVLSAARTDPFDTLPWHATKEDQRLFDFYVNEMPSLSYGHQYRTKRAHNW YTEIFVPEAMKGALTFVNTVLVHAANTWAWVRNENETDSTLRYRQLAISMLRDHMQKY PHDTTDNVITACMSAAALEDFDPRPEHKEISWIHMRQAQKLIRRRGGPIAFQNTKLAR LINWQDYILAGYVSDPESFHYEDENSYFHTTKTSPIGSSTTFFPSPPNSASPITLDSS PSPTYPRFANSAEEEIALQCEEFINFLTRCEHLSLNQRMTLSSKDAPVRYSAFGSGST LFRILASPAEKRRTLTGDRKQFLARMAALMMLNAALWDYRYSVLRTEAFIEHAVLQLR DSEVNVDASVEALLQILLACKDFAFTETMPYPLHTLSLPSASRETGDVSQYPEMATSP FDRPWFVGRMLKVAKRLGLQSWLRLNHFLLECLTLQVQEHTMLSWESDLRREILQAPL TSYVMPALQEAVFLGI TSTA_032390 MGVNPYEADPKRVSKKDPYLSRSPHYGRYAPQTDDFEPKFSDWH STESETRREYWTEIVRKYCMPENSLNVVGSRAAFAIGKVLIHVDSDRAEGAAAERYSR LNENELIASRKAEEHLKDLDVAVPVIVFCGTIDGKNVVIETRIPGVSLEVAWNYLSTS EKESFKAQCQRILRRLTAIDDYPAAPSYVCDDLNSIPQPDIADVEHDILFAQAEDDEV LCLTHNNMVRSNIIVDNGRVVAITGWRQSGYFGHERAKKIHRLLRIPERSHIFGSGER STQDGAWADLYDFLDHSRDSVQGYVAKDSEPKVKTEIPAASIEKVPAAQSVAHTPQYD GADEHPTPKKIHDLKRGSTSRASSIDRSSPSAPLIPSKLGPNARKTSSVSTKKASIAT KIPSSKKRKIDAIETDSVDERRSNSPASSAKGPSVKKRASASVNGSPAPEIKKRSKPT KKKPTGKQSTTAATSTNGQQQEEEEDEGGSEAENPDEVFCICRRPDNHTWMIGCDGGC DDWFHGKCVNIKQEDEELIDHYICPNCHEAGRGQTTWKPMCRLKGCRKPARVSKTKVS KYCSDEHGREFMRQMTGQLKMAHAGSSTLTPPALDRVRDMSRTRDSRSVDIDGDSVMD TNDEDDEHDHGGRMMSEELGSRGGILTVSDLKAVIMGVSSSAEFRRLGDALITPPPST SPPARRIESKPNKEEGEDEIMGKRKLKREKDSGETTAVQDSEEFEEEELFKSNKMGLD INPPGVNYSAAEEAKLQELRTRREQYRRQRRMISRRNEFLGLVRARAKAILDRLKAKE PKGGWKDICGWDARMSWNEEELDDWCQNTETGRKAFEEGKLEAEPIGNLLRNGVATTD DEDEDDDDDDSNSKKKNKNNHNNNTADAEFAELSRGVCMKKRCDRHKQWVKIVQQDIQ FEEAMLKDEFRACEKEANAIAEGAILRIHAG TSTA_032400 MTTDHQDVYSSHAAFSILASTLLPRSQQPSTNRPSTQTSTWDLR SSIDEFFELSKYRNDRSKLFRCGVTIGLSWLRDWGDGNQQNRDSLVGLLLEQPSQNLD SEGKRQDENRIKTTRVFIIHPIQSIIFAPEILFRDLVGAQQALDHDGGSNDTISEEQA ISLLDRVELLPVHDFSSATQAINQVSDAISTIRGQYRPTSTDEKEEETQPPETLLIIE GLDTMAEDVIHNSNAMRGSAILTPVLRTLTHLSRTHASFLSVLVVSTTPLGPSMLAQQ HSQSQTQVSSTQQTSLGDGRTTTIAGGGLYSAFARDYTRFKSVDHGQTQQPLLNTLLS RTMDQGIDTHLLLQARRGQTLVEVIKDRTGDGLGKWCIWRS TSTA_032410 MSLAPLSVPEHKPAQLSINLGSNNPFRNRAVSPSSTSPVPAGTR SERPRSTNPFLDNTEMMSPQSTSTGAILSPRSEKHSYMGNTAELFENLALDSASKERR PAPPPPDKSSSSRPPAPRPSASRPRPEIRDRERPRDPSKDKRRDEDPFDIFADPPKKK ESSRPPRPREGRPRPRRNSESSIMERPKGLDPEDERRRRERRHRERERERDGKSRSKR PPGYKLDVIDKLDVTSIFGTGLFHHDGPFDACNPHRNRKGSRQAPMQAFPKDSKNMAL GGAGPNNSRLNLELIHGHTAEGYLDYATIGSKKDETFDSARAEVIHGAESMGLGTSTF LEGTPASRAAIQRRQSESDQQPPTGGLQRTKSLAQKFKGINRNGTMRVTSPDSTQRSP VSAGATSSDRAQERNPFFQDYDDAYDAKGSRIEESRVSGRNRASSSPRRAAGLERKTT NGSMGAEENKQNGGGFMNRMKSLRRPRPERRVPSE TSTA_032420 MDNQPPPPSLTNPRFTLELDDEASSSASGKEARAFQAYLAYLYS YWKTPEYAQFLTHPGATLRALRLLQEDSFRRDIIRPQVIESLLAGGDHVQGASTAAEN EGSVERHNEVQAP TSTA_032430 MESVRQKVRPKHQVLILKCFPRYQKGVLDVKPNGSELSYLLYYA STRRSKLQKVGAFLEKKAARDVSRRRIGNVQVILQILSALVEKLPRELPLYGHSVLNV LEIVLRSHDIAMVEETLQTFETFCSHQDLAVLAAEQRYATQLEGYKEYVSSEGLNADG GKQLACIVPVILENVYDGGEELLDSLHRTAQNNEKSVPEQIRRRRASTVTVQTVDTTD GNVAEASGTTADADKAAELEVRLLAIKCLEQIFVVSSNRPQIRTAAGLVLNFIVDKDG DHKSETAPQDYGKWANSLMDIITKWCPVQDRFIVLFTAVEILRGISADGQPVDQQIVM ASLIDSLLKSPVNMIGLSVIDVLVGFVQHILRLLQAPSPSSQPSQGDSAIRRDSNTND TTQQETTITGEHVKLVSLLKQCIADLATHNYYADQISDMVLTLLRRIRPLAPPDITAG TLSKIISEPNASTNNISILTGERQAENYFYSTVSRIVALEAVKDILGVANQRKTTTGP EIESRNRVGLQVWEGTHWLLRESDRHVRNAYADAFISWLELETTKIDLKVVDSNNRLS RAQSKREHEGYKRNTSSAAVDKTYTHASSVFLQLLHLSLYETAVEYADNQEDILVAYI VLVQMVEKLGVNAVRFGLPMVLKLQSESFATVTSQVHIGSLVYGYLAALTERFTFSSS RLGSAISAEISRRQKNNAWLEMVQYPPLPMNQIPSSATGSLSRPDLQEPLRPFTAVED LVKHIEEAYNAALAAPQSPPGSPGKHVASQSTGYGYFNSAQTPATLPVDVKEDMLSTW SKEASIAVLEQEKARASSVAGSRNGTSARRSYVSHYPNGYISNDGGSPTSLNRNNQNT TGPVPGSSSSSQGYRYSGNQAADGASGISSRDSTIRVNDLKRMLTVTGNSNVRRSSPL RGRLDASNSSVLTSSSESFLSGTFSASDFDLESRPQSVREGSETPKAASAPITSSYSS TNVSNYDNNSIPPVPPLPSGLVIPGGFPDTSSTDLSRQSSSRSDGRSSVISPGQIKPG GSIKGKSNGSTVNRSLSGKRTRSTTSLHKAASLRQQQQEGSAGAGTENSSVRLDIEKL LDGVLPRDNSTTTTTLSFVDQNGGTRRTSGGIGRPPY TSTA_032440 MVRGMALPRVHRLQVPILVDAETNLSYPVMLADAENHDATAKSL VHSARVEAKYSSAQSQPRRPDMHDRLVQLESLVKSLITNSHTKKSSAGASSDPSPRSG TGGDTLETPTDGRSECGSMHISSSGYRYVGEDHWASILDRIADLKDHVDWEEQQKLVA NPENSAYEEWAGDSFNIPIRRPKSGGYALLLYGVGRPVSRDQILTALPPKASVDRYIS RYFNYLDLVSSFSEAPGLDNEHKSLQIDLYREKVVQCLLLGNYTNCGPYVLETIINYV YAEFCVHRDAHKDIWFLLALEVNLAMRMGYHRDPSHFPGISPFAGEMRCRVWGIVKMG DILISNQIGMPRMICDWKWDTAEPRNLNDTDFDEDTAELPPSRHENEHTISLGPIARG RILKALGKVTDLTDSIKQPTYAEVMRVDGILEEAARSIPQPLRMKPIAASVTDSPQII MSRLFLGHLFYRGKIILHRRFLHMHSPSQDNDSFVYSRKACIEAALGTLEIQSVLDEE TCPEGQLHTVRFQVTSIMNHQFLTATMILCFMLYSGQTQGRDDEIRTALQRSRSIWIR RSSFSKEAQKAAETVSIVLTKAGGYPDTCTNNWMTEIGAMSNNLDEGYDNSFMVSFNG SEMFTGSTCMIIILVMTWRPS TSTA_032450 MAFKPESIAPRQLESSSEEESQSKENLESWSKANNPSHPHNWPR HKRWAHVIVISILALITNMAPTMCAPGISEIATDLNMTSSVVSTLAITLYVLGVAIGP MHMAPLSEKYGRRPIYHTANTIFVAFIIGNALSQTPAQFMVFQFISGCAGGTPMALGG GTIADITTIKQRATAMSLFSIGPLAGPMSLVLGPVIGGFLAVGKGWRWKFWLLAILGG FFGIATAIIMRETHPEILRQRRFAHLHASTDSFNIQTKPPATLSPTQVLLQVLVHPIL LLVKSPILLVISLYVTLVFGIMYLLFTTFTDVFEGQYGFSISISGLVYLGLGVARRKH VPLQHCEWPGPRCTPCVALGLFIYGWTAYYRVHWIVPIIGTVLIGFGAFFVIMPAQLY LIDVFGSEAAASALGANNFLRFISSTFLPLAGPKMYQTLNHGWGNTLLGFLALAFVPG PLLFYKYGEKLRTRERSPAPM TSTA_032460 MGKDERTHINIVVIGHVDSGKSTTTGHLIYKCGGIDARTIEKFE KEANELGKGSFKYAWVLDKLKAERERGITIDIALWKFQTAKYEVTVIDAPGHRDFIKN MITGTSQADCAILIIASGTGEFEAGISKDGQTREHALLAFTLGVRQLIVALNKMDTCK WSQDRYNEIVKETSNFIKKVGYNPKTVPFVPISGFNGDNMLEPSPNCPWYKGWEKETK AGKVTGKTLLEAIDAIEPPTRPANKPLRLPLQDVYKIGGIGTVPVGRVETGTISPGMV VTFAPANVTTEVKSVEMHHQQLTAGQPGDNVGFNVKNVSVKEIRRGNVAGDSKNDPPA GAASFNAQVIVLNHPGQVGAGYAPVLDCHTAHIACKFAELLEKIDRRTGKSVENNPKF IKSGDAAIVKMIPSKPMCVEAFTEYPPLGRFAVRDMRQTVAVGVIKSVEKSTGGTGKV TKAAQKATGKK TSTA_032470 MPLTFCPHCSNALTVSRAEPSTTYPMGVNRFQCRTCPYQFVIDR LYVEEKVLKQKEVEVVFNDEEMFKNADKLPVQCPSDTCNGEYAYFYQLQIRSADEPMT TFLRCTTCAKTWRD TSTA_032480 MADDEGASPRELVVEACRRDQPHLIEQVLDSMNEKTNEEVAEFF NNVKDALGNYALHICAMYGSYDVMDMLFDIQYFECDPLTRIDNDTPLHVAVRYANEKD HDAGLAMIEMMCEAGCDPRVRNKHGQKPISLVDPRHTDIKAALQKAEYILNENIQHEQ EAEGGEGSASDSD TSTA_032490 MEPIPPNKSQRRRPWIKTPLIESATLSKAAGCRIFLKLDCLQPS GSFKSRGIGNFILSQLSDPSNDGKKLHFYNSSGGNAGLAAVVAARDLGCPCTIVVPHS TKQMMIDKLRVAGAADVIQHGASWYEADTYLRETFIKTSKQEGSSDVIREAGTVKNVY VPPFDHPLVWEGNSTIIPELVEQLPAREDVDEAQENAQFPAEVIICSVGGGGLFNGLI QGLHEYNKSHTSNSSKGKKVKVLATETKGADSLAYSLQNGTLKSLDAITSIATTLGAL CVSSQTFQYASEPPEGVEVTSVVVSDAEAARGVVTFADEMRILVEPACGVSIDVATGK KLREAVGDGKLGPNSRVVVVVCGGSTVTPEIVAEYRSRLAQGWM TSTA_032500 MILLGKISLAHFWTLALSAVAEAGSCWRNTTCTGPEDTSFPGVW EKNIYAPASRQVRPQSILEASGSRTEFKLGLGYNILTGNGSRIVFDFGIEVGGIVSVR YTASSPGLVLNLAFSEARNWIGEYSDSSNGAFKQGDGYLTYDITAAGEGLYTIPDNKL RGGFRYLTVFLTADSFSNATLDLHDISLEIGFLPTWSNLRAYQGYFHSNDELLNRIWY SGAYTIQTNIVPTNTGRQIPAVAHGWYNNATLGPGNAIIVDGAKRDRAVWPGDMGIAV PATFFSIGDLESVRNGLQVMYDTQASTGAFAESGPPLSQKGSDTYHMWSMIGTYNYIL FTNDTDFLQLNWAKYQKAMDYIYGNVDSSGLLNVTGTRDWARWQQGFNNSEAQVILYH ALNTGALLAEWAGDTTGLSSNWTARAKILAAVVNAHCFDEAYGAFKDNATATTLHPQD ANSMSILFGLAAQHRVQTISQRLTENWTPIGAVAPELPENISPFVSSFEIQAHFVAGQ ADRALDLIRRSWGWYINNPNGTESTVIEGYLQNATFGYRSSRGYDYDASYISHAHGWS AGPTSALINYVLGLSLTGPAGSTWKLALQFGDLTSVEGGFTSSLGQFQASWHRHSSSS YELSFRVPHGTQGTVVLPPVSGKKLEMALNGKNIPFGIEETKTISITKGGSYKIAVRI K TSTA_032510 MYWLTNLAPIAWICATALAAAASKGGVLKKGNVCTVTALGGKRD DVQNILEAFSSCGKHGTIIFPEDENYWIATRLNPVVSNVVIEWRGVWTFSDDFDYWRN NSYPIAFQNHHAGFILTGDHITIKGHGTGQIYGNGNAWYNAEQAVTQPGRPMPFVFWN VSEVLVEDFTVKDPPLWSLNIMNGTNMVFNNITCNATAVNAPYGTNWVQNTDGFDTMD ANNIQLNGLTYQGGDDCIAIKPRSYNIRVSDVKCHGGNGIAIGSLGQYLEDASVKNVV VDNVEIIRYNEDMHNSAYIKTYMGGRVPQSSYESAGLPNGGGWGTVENILFSNFHIQG ANTGPAITQDTGDNGTFKGTSLMAISNVAFVNFTGYLNNVANNRIASVSCSNVHPCYN IDFQNVKLNPSQNVSAYGNVSCSYIESGGVHGLLGC TSTA_032520 MPPGRKRRSEVQDNAHAADSDDEQRPSQRRRQSPENPSGASDVS EDEGHRAPSSLDVMVKKMVRLALSSEYARLPIRRTDISAKVLGEQGSRQFKAVFEDAQ KVLRHRFGMQMIELPAKDKVTITQRRAAQRVEKSSTGNKSWMVMSTLPPPFRTPEILP PSKAPTSFLDSTYTALYTFIISVITLSGGSILEQKLDRYLKRTNIEQYTPVDRTDRLL QRLCKEGYLVRNREMDGAEEIIEYMVGPRGKIEVGESGVSGLVRKVYGRNATSEEEAN DDFEARLARSVGSKRAAGGVQEIEVQGTTMQIIEDKGRAPKQLQCFRHLHVDNAYDRY RYVRTYHPGRSSQLRSSIPPNKRAGEVNPLRSSGPARKTSQVQHEAAIAHYRRRMALS AAGIVICGITMYATVKSNAFGLPEEASNTPKQEEQGDKPRNSNNGSIRLDGPSEKFPS SPSVIRIQGQDGAEQVKTGNSAVPLFPTTIKLPKSLSDTMLTPGQDLPVAPESEEEYQ LLGFGIRTVSFLAIQVYVVGLYVAKSDIGTLQRRLVQTGVQAPNVIAEGQSGPAGAAE AATSLVSTERDALKKLLLDPEYGEEAWTSILKEGNIRTAIRIVPTRNTDFMHLRDAWV RHMTNRAQKDVARIKDLVKSSGDATLRSEFDDESFGKAVSEFKTLLGGGSRKNIPKGQ VLLLLRDQQGALDALFQGDPKEPIQWLGRVTDERVSRCVWLNYLAGKQVASEPTRQSI VEGTMGIVERPVGTVTQKVV TSTA_032530 MSAAKVKAQSIIDENKVVVFSKSYCPYCKATKSLLSGLGAPYYV LELDQVDDGAAIQDALEEITSQRSVPNIFINKQHIGGNSDLQGRKDELPQLLKDAGAL TSTA_032530 MSAAKVKAQSIIDENKVVVFSKSYCPYCKATKSLLSGLGAPYYV LELDQVDDGAAIQDALEEITSQRSVPNIFINKQHIGGNSDLQGRKDELPQLLKDAGAL TSTA_032540 MAGTATAISSTDALSSPSSSSTAWDLAVPLGPEADTITPKRTSN ESSSLYNKPNYRHRTPNGHPRSRTSSQTGSRRGSNAHDSYGREIGPMTKDMADAYSNG HVWKGDYSGKPPVDTEGMPSDDNWIHRDKLARIESEELQQAAMRIQHRVRTGSKSSSM RGRSHDTQSFNGTITTPPEQTEPWAGSRQQLESPIPLEDSDEQEIEVERRNWDLRRPE EIAASSSEVNDDSSSSKFYKSPALKKSSSRIPVLASSPHQVSSDPNEPSPEPADSTTP PLSTSRPSSRAGMLSQAQSSPTKKAPTKGTTTARKSSAPPNNRKPSGAAKQRATSGSS TSRDRPVTRSGDNRPTTSANPPEGDPPWLATMYKPDPRLPPDQQMLPTHAKRMQQELW EKEGKTPTTYDREFAPLAVQPDEPAQLAPAPEEKNETTTDGDNSATESTSQWPLQPKS PEPTRPGTSGTNYSTMPKVQTAPQIPLPTTPRVPANMFPPPPEEKEKVEKGCGCCIVM TSTA_032550 MSWRDTFGIFRRSSSNYGHNAQGAPPQVSDSDYSYLTNDDIVAP PRTYQQQSHNDGRRVLRPGVNYPAEDESPDILLLKHRGVTYPLHFPAYAIDDGVLTVG AIRRRAAEQTGTSDLNRIKILYKGKLLRDDNKPCKDEGLRQQSEVISLSGSEALSLDS RSTDHRHQSPPSGSGGVKKKGNKKKKKKHDQQHLAPEPRPVETRHSNDNLAPPSDARP TSSGRSSAAPSPSPRLQQFSTPNERVEALLRYLRTELAPLCEKYFSNPPTDPKARDYE HKLLNETILSQVILKADGIDSEEARPARRALIKEAQGLLNKLDALAPQTQ TSTA_032560 MRSWEESVQTGPLPPLVWPISPPLYNIGSTLKQERKKSIAFGNI TADNKHPETAYVFVCLEIVYHPDAPLCALEDACSEDMQDDQNHEISWKSGLLVVAQVT CTAGKVFALGYWPLFNNTESSLGHSQHDSVLRWSLLPKHIEMVSLDSAYASMATSSAT PIGSTVFLSISFVAICLLTLLLLRRFLTLRATPAYITVPVFLALVLPASVVLLVPVDL ASSSREKGKGANGVWLPNHAVLVLWRIAYWLIFCLTWVILPLLGDFVDSGYRDPKSRL MYSLRSNARYQLTVLCCGINGFDFTSIRSLVMALAYVWGLILAIYLMGHGLVSIPRSF FRKANTADALRRLQAHAPKIHDRLTDAVTELEEVEAQVAQLQRRKTGTARMFEDWIEE LADYTTVPDSRPAALHSLAEPTAVPAVITERYMAGLSRRLQRARHQKARFVDEWDRLV RSAADYQMILNSKASKKLDFGPVGGSEAVSASRRAGLISPYMRYVIYVHVLPGLRLLL GATFSAASFCVVWSEVIRKIAPKLCIISLSLVPDADNAHIGLGGQLIASLWLLYMCTA ALKGVNDAQVWGNRALVRRNTYGESACWYAGQVAKLTVPLAYNFLTLLPRELQDDTTF YHFLGQYINLTPLGKGFEYFPVFLLLPVCATMFNLYGRIKKIFGFGFVDDEEDPEADP GGFGTGGWREGRALIERELVGLGSLGLTSRSLDSGRNTGLAASSSSTSLHTSRAASPQ PGWSSSSTLPRSSSRAPLIAPSSSANAVTATTVIDDGTAEEDNFFQSFAHRVRNTIDT ASTPKWLQGGFPNISAPKWLNSSRENSGSNNGSTEGGNKIFGGLFGQRSSDGHVRL TSTA_032570 MNPVNFSNVGTGAMLTGANPQGPMQQPQNAQVQRHIAVALQNQG PFTGWRAEVSIPDRAGKVLQMITSLRLIQPRIEIQNALQAALSFEEKAFREANQRDDY EKECATKLHAIKETRLRQQAVYNQGGLMQHGGANGGLPGVVRPNQMPPQFTPQMNRPM QTSPMPGQIPMHMGMNDPNMIQQQQQNFAQNQIGQQRPPSGMPMVDELSMLSQPDFKS LIKIAREMMGRLPPEELEKRRNAAAAKMTPEQKQIISQKYGDPLTWVMSLQILKSMKA RRAQPHNPRAQGLDPNASMVGGDSMMNAAQRQMTPNMMGLQRNSALPMNNQQGLDPAF IGSIENIQVQQADGLRSQEAGQLVVPASSAPMSSQQTFADPNGLFQAGVPQQNTQPNL NRPINPQQFLSQQQQQQLQNTQNVQQIGMQQTSQFQAQARAQAAHNAKMAQAAGQSTN QVNQNMPHQSPAMPMLNRPVGPNMSPAQAVGQIRPPSRQPGVNGQQHGNQQQGMRPQI PNMPNMTPEQVNNYLMQQRLALANSQAARAGNGQPMSMQTNISQVSQAGQFNGLNVGT SMPMQQSLTGLTGSNNAMIQGQQPSQPQQNQQALLRQHQAALMRSQGQNIEMTAEQIR DMDRMAFPPSMINSNANMNPPIPKAVKTWGQLKTYVTQNPGSVDPGKLLDLQRLHFVH TLSQQREAGRNNEQAGQQNWPGNAQPFMPGQNVTGRPQPTLPTVTPEELVMARQKLGP QFQNISDDQLRAFLARNKQKMFLQQLAARGFPVQQPKQAPNQAQPPQTIPMPAQVPNK QMVPPTTQAAQPAQTPQMANAKVQPTPTGAKVAKGGAAGKTASKKRPHSDDVIEIQNP KAQPPQVSPQAVAASVLARPPANVAREQLAGSSQRPQPEPQPQQRQTRLQISRTAAEE NWNNALPDQQKIWYHDMSKSIISNAAPKDISAEDKRVMAKQLQDSTDMLSRLDTLVQW FIKLSNYERNIKHLFSMRIHLLRQFKSTEWTVNDEFTIAPEYLKQCLGMIRSMFTSMI TRVQSQQLQQKQRAPMPPVGGQPPLNASNLQQLEEEAKRARRTQNQNVPAAPTALQPP FPLGDPSPQGVPQAYGPGGFSPDKLKIPPTKRRKQSHASVSVPQGGAAAKAAAKGDVA KASFKCTVADCEYHVKGFPTQAALNKHVEDEHKVKEEITDPLKYMVDSLDTGLGAPES VVGYLQGENDKKLVSGEASLVKQEVKAEGVTPATGATPMSRLMSQTDGKIISPASTSM TTPRLQAAKATKPAAAKQVKSGAKTDAAKAVLERAPVSDNAAVKDGWADSKVSLDVIQ ETFDIPMTDSYPGMGGEFFEMFLNSDMFSNQNEDTPDSVDSNTFVTQTPNDGDMAKDD SAIQIQDVEDDGSWPSNWFSHPGPIFSGNDDPWMDWETVNKELEAAPLNKGLSFSVS TSTA_032580 MAREAIIPFLVTMMLVTGVCNTILNKYQDMQCVRNCDSLNPADH KLFEQPVIQTAQMFVGELGCFLVVLLSYLYTRFVASRTSSTAPLFAGGYRRIREDDHI PEYHDGEEEAEEYAEGVETNPNVVNNHPSDPTITKPIDETDAGGRRILNGWRILMLAA PACCDILGTTMMNAGLLFVAASIYQMTRGALVLFVGLFSVLFLRRRLYMYQWFALVIV VLGVGIVGLSGALFGHDQGVHDPESGISNAMSRFARDVNTTARTPEAVRVIIGVLLIA AAQIFTATQFVLEEWILEHYAMDPLNVVGWEGFFGLLVTTIGMVILHLAIGSTDAGRY GYFDLKEGWRQITHNRAIAVSSFLIMISIGGFNFFGLSVTRTVSATSRSTIDTSRTLF IWLVSLGLGWESFKWLQVLGFALLVYGTFLFNDIIRAPLKACLPARREFEHEELLPEE PIEHI TSTA_032590 MSTATSNGSMTSQVLRARAIKSLQAADERLGTSNSIPDKENADS SGASTPVPEDAPPSVKSISTARKQVRARRRLFHTIDYIPRVSHFDPQSDYHNFRGFFT LFWIGLFIMVVTTVLRNIKDTGHPLRVKMWSLLTANVWQLAISDLAMVVSSGLALPIQ KLTRKGGNILRWYRAGILIQSLYQIAWLTLWIKWPFMLHWTWTAQVFFTLHTLTILMK VHSYAFYNGHLSETERRLSELDKPGQGSMAAAVRYPSSPARAETMNGSFEIKGEEPLS RLRDDLATELTSPLGQVTYPQNLTLGNFVDFLFCPTLCYEIEYPRTPSIRWTEVFFKA LAVFGCIFLLTLTSEEFIVPVLHEASISLSSVNTWSDQALILAETTSMLLFPFMITFL LVFLVIFEYLLGAFAEITRFADRRFYSDWWNSCDWLEFSREWNIPVHHFLRRHVYFSS KAYFSAPVAMFITFLVSALAHELVMSCITKKLRGYGFLLMMLQLPIIAIQRTPLIRQA KTWNNIVFWFSMILGLSMMCALYVLV TSTA_032590 MSGLGLPIRSRTRKMPIRVPEDAPPSVKSISTARKQVRARRRLF HTIDYIPRVSHFDPQSDYHNFRGFFTLFWIGLFIMVVTTVLRNIKDTGHPLRVKMWSL LTANVWQLAISDLAMVVSSGLALPIQKLTRKGGNILRWYRAGILIQSLYQIAWLTLWI KWPFMLHWTWTAQVFFTLHTLTILMKVHSYAFYNGHLSETERRLSELDKPGQGSMAAA VRYPSSPARAETMNGSFEIKGEEPLSRLRDDLATELTSPLGQVTYPQNLTLGNFVDFL FCPTLCYEIEYPRTPSIRWTEVFFKALAVFGCIFLLTLTSEEFIVPVLHEASISLSSV NTWSDQALILAETTSMLLFPFMITFLLVFLVIFEYLLGAFAEITRFADRRFYSDWWNS CDWLEFSREWNIPVHHFLRRHVYFSSKAYFSAPVAMFITFLVSALAHELVMSCITKKL RGYGFLLMMLQLPIIAIQRTPLIRQAKTWNNIVFWFSMILGLSMMCALYVLV TSTA_032600 MTSLKQFIRNVRSAKTIADERAVVQKESAAIRASFREESHDSNV RRNNVAKLLYLFTLGERTHFGQIECLKLLASPRFADKRLGYLGTMLLLDENQEVLTLV TNSLQNDLKHSNQYIVGLALCTLGNIASVEMSRDLFTEVESLITTANPYIRRKAALCA MRICRKVPDLQEHFLEKAKILLSDRNHGVLLCALTLAIDLCEHAEEFDEGPEDVVESF RPLAAPLVKVLKSLTTSGYAPEHDVSGVTDPFLQVKILRFLRVLGRGDAATSELINDI LAQVATNTESSKNVGNAILYEAVLTILDIEADSGLRVLGVNILGKFLANKDNNIRYVA LNTLIKVVAVEPNAVQRHRNTILDCLRDPDISIRRRALDLSFTLINEGNVRVLVRELL AFLEVADNEFKPAMTTQIGIAADRFAPNKRWHVDTMLRVLKLAGGYVKEQILSSFVRL IATTPELQTYAVQKLYASLKSDITQEGLTLAAAWVIGEYGDALLQGGQYEEEELVKEV QESDIMDLFTNILNSTYATQIVTEYITTAVMKLSVRMSDPSQIERVRRFLASRTADLN VEVQQRAVEYSNLFGYDQIRRGVLERMPAPEIREEQRVLGEPTNKRQSRMLKDKSKKP VKQSEDMLLDLIGGSDVPSVSSPTGANGVSANTADLLADILGGGSGTSSPAPPAATSN TAAIMDLFGSNGTPPPARPQTQSPLPTSASQDLLGGLGGLAISTPPPPTAAAAGGHTA FNKNDLLLTLQVQRNASGSGAQVLVRFRNQSDFTRITNVGLQAAVPKSQRLQLSAITK ADLGGGEEGTQVMKIAAVSGALPPKLRLRLRITYGKDGASPVTEQVDWSES TSTA_032610 MSPPIAVQEPQHVQVTGNSDTEASPRWLELCNIKQAERERSIPV AWRIPPSCLPAEKRLLDVPTTCGILTEEESKITSVFNVIELLEQIKSGRLSSEAVNCL TEVFFDETIPRARALDEGKRLHPEWPIRPLQGQDSSIGLACFVGKPATVNSILVDDLF SLGAILYCKTDLGQLMLTADSDNNVLGRTLNPTHIDMTPGALKRRGGPLRGSILGVAT DMGRSVRGPCSATGVYALKPSSGIIPYDNKQIAISPGIRPIMEAEPWKRDVTCHHIPW TRRYQQPKALRIGVIMDDGCFTSTPLIHRALRESIVRFEKAGHRVISYRKAIEETENT LAKYPTQKLGGSCTLQLVGKAQNDEEFANVAVQIDSVLDPKRTGA TSTA_032620 MLQSHRSQSPRAPVTLLAHLIAGSGALLMLPCLDSKVGTLRPTL HIPTALCQSEYAPLQTLGWAQRRAIGLNLQPLCAEGDCAQVNVLESNEEPMGWKGGAY SVHSVS TSTA_032630 MSSNLPDFDPYTVLGVDKNAPNSAIKTAYRKLVLKCHPDKVQDE SLREKAVNDFQKLQESYEILSDDTRRQIYDQEVYLNALRKESAAQKAYASREYRDGRM YEERVPADAGFFTSSDDMFYSEEPVSYSQKYYDSAKRPHTKASEERKKAKSMPVYTYS TTKAAKQSDRDYAKAAHNDRAKTRTKERRQEFSDKYERYATHVSDSDDEPGTVRYTAK VEVETRYPSHRESVPPRRSKTETAYTTTTSHRRHDSPDGSDSEHSYDYDEFDKEYSKL DGQQAWAKEYIGRKKDPVIRSHSSRHAEAEERERRHSETPRYSTRSSHNYKEVHVEPS SPRTSRRSHERLDSPDRSYDYERDRERDRDRDRDRDRERRERQERREQRERDIIERER ELERRERELERGRTVPSLSTAASQKVSSRAPPTMTRSATAPYPPRSSRREGSSRSESK GAFSGLVNMVSSLTTDAKVSASRPSNARATSRARGVSRTRVTERHDSGYSSGPSTPEM PQGASAKIKQYKYVDAADRSRETIVVEPDRYSPPPPPSSSKSERTRDRSAAPSAASRR IFKVYIEVNFKVFKVFINQSAPFRRGPTVP TSTA_032640 MVHYRKTRIVCVSDTHGYSPIEGFSLPAGDVLIHAGDLSNRGTR SELARTLKWIEEANYEVRIVVAGNHDVSLDPEFYAEHGSHFHGDVAAQEENATAIDLV NKVKGTSSTPIIFLNHESTVVKLTRPDGPQTTFKVFGSPFSRFSGLWAFGYSSSQEDG NRLWSQIPLDTDILVTHTPPLGLCDRQPGEDTATGRPKGGGGIYGCEQLLDSMGHVRP LLAVCGHVHEGRGYQRVMWNENVNGIASPTTINVNPLPPASSKKQSLIDLTGKKQRAL ENISSCEIQQKRHDYADRRLRKETCIVNAAIMAKSWPYTGGKAFNRPVVVDINLPVWD AASV TSTA_032650 MSTFEPVVVIDGKGHLLGRLASIVAKQLLNGQKIVVVRCEALNI SGEFFRAKLKYHAYLRKATRFNPTRGGPFHFRAPSRIFYKTVRGMIPHKTARGAAALE RLKVFEGVPPPYDKKKRVVVPQALRVLRLKPGRKYCTVGRLSHEVGWKYQDVVSRLEE RRKVKSSAYYERKKAIRRQLAQAQKSAKVDDKVKSQLAELGY TSTA_032660 MSGPKSRLSGLLGHFLHPSQVDHDVHRVPNYHTLSPTSFLPRAA AIEPDAEAIYHLTANNKVLRRSYIETADRARGLAYYLKKRNFKRVGILAPNTPAFLES IFGIAAAGCVNIAVNYRLKPEDVSYIFEHSDAELIIVDAEYVSLLDLFKSEHPNIPFL IDTDTDATEGELSGPFDAAILEGLDYDKDTGAKGWDALETQTTDENATIALAYTSGTT ARPKGVEFSHRGSYLAAMANVIESGLNYHKGRCGYLWTLPMFHAIGWTFPWAVTAVRG THYCLRKIDYPYIWYLLKNENVTHFNAAPTVNTLLCAAKEAERLPEPVRVTVAASPPT AHLFEQMTNLNLHPVHVYGMTETYGPITKGYHMPVWDTLPDKEKYQKMARQGHGFITS LPARVIKMDVPEGTIVDVQRNGLELGEIVFEGNICAKGYYKDPVATSKLFAGGVLHSG DLAVWHEDGAIQIMDRAKDIIISGGENISSVALESMLANHPDILEAGVVAVPDSHWGE RPKAFVTVQAGKNVKGSDIIAWAKTASGISKFMVPREVEVVPELPKTSTGKVKKNVLR EWAKGAKRET TSTA_032660 MSGPKSRLSGLLGHFLHPSQVDHDVHRVPNYHTLSPTSFLPRAA AIEPDAEAIYHLTANNKVLRRSYIETADRARGLAYYLKKRNFKRVGILAPNTPAFLES IFGIAAAGCVNIAVNYRLKPEDVSYIFEHSDAELIIVDAEYVSLLDLFKSEHPNIPFL IDTDTDATEGELSGPFDAAILEGLDYDKDTGAKGWDALETQTTDENATIALAYTSGTT ARPKGVEFSHRGSYLAAMANVIESGLNYHKGRCGYLWTLPMFHAIGWTFPWAVTAVRG THYCLRKIDYPYIWYLLKNENVTHFNAAPTVNTLLCAAKEAERLPEPVRVTVAASPPT AHLFEQMTNLNLHPVHVYGMTETYGPITKGYHMPVWDTLPDKEKYQKMARQGHGFITS LPARVIKMDVPEGTIVDVQRNGLELGEIVFEGNICAKGYYKDPVATSKLFAGGVLHSG DLAVWHEDGAIQIMDRAKDIIISGTSDNFYICAKNVN TSTA_032670 MHRSRAAARSSSKTPSESSTSSSPERAADDDTDFFAQANDSQSS IGVGTFREQTASNELDIIIPPIGRLPPEILISIFSKLSSPADMLHCMLVSRKWAANCV GILWHRPSCNRTENLRSVVTSVGKSDNFFPYSELIRRLNLASLAPKITDSELSAFLQC KRIERLTLTNCSKLTDRGVSDLVEGNRHLQALDVSELHSLTDNFLYTVAKNCPRLQGL NITGCAQISDESLVVISQACRHLKRLKLNGVSRVTDASILSYAENCPSILEIDLHDCK QVTSRSVTALLSTLRNMRELRLAQCVEIDDSAFLRLPPHSLFDSLRALDLTACEQIRD DSIERITDAAPRLRHLVLNKCRFITDRAVLAICKLGKNLHLVHLGHCLNITDAAVSQL VKSCNRIRYIDLACCNLLTDESVQQLATLPKLKRIGLVKCQAITDWSILALARSRAHA HSVSPSCLERVHLSYCVNLTMQGIHALLNFCPRLTHLSLTGVQAFLHEDLTAFCRDAP AEFTPQQREVFCVFSGEGVVKLRDYLNRNVGPFHSETEATMYDDEEELDEEETRVTGL MSAAAIRDDDDDMGDSVIEVGPPTG TSTA_032680 MARLRTGRWNPFAFYRVPVTVVITLIYIALLAPLIVVQHVLPSV PESNPEGLDLLEAWRDLQSLTNGFHPYNSRKNDEVRSWLLTRIDEIISTNAAETLTRH DSARTFVFDDNQSNLTVVESNLGVYFEGTNIIVYVRGQEDDKREWWNEPGLAPSGKGG VLVNAHYDSVSTGYGATDDGVGVISCLQLIKYFTTPGHEPLRGLVVLFNNGEEDFLNG ARVYSQHPISKLPHTFLNLEGAGAGGRATLFRSSDTEVTKFYKRSPYPFGSVFSDAGF KLGLIRSETDYVIFEGDMGLRGLDVAFIEPRARYHTNQDDAKHTSQQSLWHMLSAAVA TTEGLVSDTSRDFEGRPQGPGKVPSGTGSGAVWFDLFGTAFAVFQLHTLFALSVTLLI VGPLTLLITSIILANQDRMYLFGISVSADDGFASVPLRGWRGFFRFPFIFGSTTASVV GLAFLMAKINPMIAHSSEYAVWSMMISAWIFVAWFLSRIANFARPTALHRIYVLTWMF LLAWVLLVITTVYENRDGIASGYFVIFYAFGTFLATWISYLELFSLPKRSDYANKFSG QISSRRTSLGGSRLLTPNPDFAGQHQEDDEPTESTSLLRSQRTSFANYTRSAEDDGEG DLLSTGVGTDESEARDANVYGYEQPWSANLPKWTWILQFLLIAPIITILVGQLGLLIT SAIHQTMQDGSSALAPYLMIAIFTTLIFMPTLPFIHRYTYHIPTFLLLVFIATLIYNL VAFPFSGNNRIKLFFLQEVDLDTGANRVSLTGILPFVDDAAASIPSANGQNISCIPKG DRTECSWESDLIPHVITHPGSGKQQTPMNEWVKYKAIRLEPGRQNTNRAQFKISGLNT RGCLLHFDKPISQFHVAGSATDSRFPSNPSLEDGTEDGVREIRLWSRIWGNEWVIDVE WASHNNNNNNNNDDSDGGKDTLTGKATCLWSDANTQGTIPALDEVIQYTPEWVAITKL DAGLVKGSRSFEV TSTA_032690 MSVAQEFEAANANYASAFDKGHLPLPPARKVAVVACMDARIDVF RALGLKEGDAHVIRNAGGRTSDALRSVIISQQLLGTREIVVIHHTDCGMLTFTDEHLR NKIKSELKQNVDQIAFLPFNDLKQSVVDDVEALRNSPLVLDVPITGYVFEVETGKIVK VDV TSTA_032700 MPTQIYQRPINTYVLGSIGHHNVAIACLANGSYGTTSATSMALR MVMTFRSICIHLVWMGIVAGVPCHGPNDVRLGDIVPCNDHSDTTKLEVRRKRENDVPV VHYGKLRPGTSSGIQGLSIEMEIAGLMDDFPCIQRPRNHSIHPEGQFNLKAFLQQLAR KRDDFDSWATKQGPGTHDMGKAPGYPFVTKSEIEGRI TSTA_032710 MFTMWWHLLPLSALTALGAATAPSCSSAPTTLHLPDAPYDNFFY SGCNTAVQVVVTTPQPDSNLSLISPRLVIAWPAGNSGVCTYFAPQNGVNGSLSIEVVN STIGNPLSATYDERNSTSGNDTTPFYGVTGTIRFSSSAILTVPILGSIRTIRDFVEGP SLLRPQIQDAINVSSLSNGGASLKRIWLDNVTSTHLTFTPASSKGSVTVSKNNTLTFG TGDYIFTAEFNYPQLKQLSPAQVLTNSSTDLMQRDSERTSALSFLSYSTKLLAGAWRF LTYFGRDSMISALLLEPILSKGENGAMEAVIAAVLERINRTDGSVCHEETIGDYATWL NEQQYGLYSTEAQCDYKMIDSDYYLPILMNKYFVEDPIGRTRAASFLNTSAGAFTTLN SNLTYRQMVAINAERIMNLAARFAGHKNQTKENLVHLKDGQIVGEWRDSTYGIGGGRI PYDVNTALMPAALRAIASLARNNFFMNQEHWAKLADRYAQVWEDETLQFFRVTVPESE AKQLVSSYAQTIGFSGPNQTDTIDGDVMYHALALDGNSNQTQVQVMNTDDCFRHFLLN TTGSQDQLTAFVNQTANNIRRTFPAGLLTDAGMVVANPAYGQNPVYASNFTSGAYHGT VIWSWPLAMMAKGLEQQLGRCEVVNSSVGHDHHGHTKIPDFCKDGTVYGNVKAAYNIL WDSIEANQAQLSQEVWSWVYDNNNETFVPTPLGVMPPPPGTGSQTGMLIFVFLTYSLF ILVTNRSRAVRI TSTA_032720 MVSTADSAPSNGITGGYTGHDLGLQIAMGTFIGIAWYNATELIA LCFVTFRKYRGLYFWSLIISSAFGILPYSVGFLMKFFQLTSATWLSVTLLSVGWYAMV TGQSMVLYSRLHLLNRNPKVLRPVLCMIIVDAIVLHIPTSVLTYGSNFAYSNPHFVAG YNVMEKIQMTGFCLQEFILSGIYIYETIALLKANPESGRRKIMYQLLTINLIIILMDI ALLTVEYMNFYMIETTLKGAIYSIKLKLEFAVLGQLIRLVRTHGWKSQPVISGTIANG PNGLNIFNNDDDDDMVYPDFVDATRVASDLTHATPPPPTRPRNSSHHRHFDDMDDISI AMFEHSTPLADEEMGGGMREIWPRSTNTTLESWSGETRTNGNPQQIPGSETVDFGNDA HYPGPSNTAMDACKLSRPLSQLRGYGSDIPEFDRHLSID TSTA_032730 MAPVALSTVENELKDVIQHLFEIQSAVHGYLGSETQIELVRKIK NLTIALNTLSTDTKPDPSINPETFNNNNPINRDDPPVASIQLPPEIVDYVDAARNPDI YTREFVELVQRGNQDLKGKKEAFASFRDVLATEMRSAMPECRREVDRAIANTAGSSRG V TSTA_032740 MASYHSQTQSRSAAPHTYHPHQAANAASMASSAPAGTFVPGTKV QVGNHRVVIEKYLSEGGFAHVYVVKLPHPVDGVETAVLKRVAVPDKTALAGMRTEVET MKKLKGHRHIVTYIDSHASQLKGGGYEVFLLMENCQGGGLIDFMNTRLQHRLTEPEIL KIFSDVSEGVACMHYLKPPLLHRDLKVENVLISLAPGKSPLYKLCDFGSAAPPRPAAT STAEGRLIEDDIQRHTTMQYRSPEMIDVYRKQPIDEKSDIWALGVLLYKLCYYTTPFE DVGQMAILNASYKFPSYPNFSDRLKRLIASMLQEDPRKRPTIYGVLKEACNMQGKEVP IRDIYAERTQSESRRNQELPPPSSEVPRVGAVFSPPMQETQIIPEIAPMRRGRPSKPS SQQNSAHPSPSPYRARSNNDPFAALDGNKRNSQNADELAARFPTLDQFSLLHEKGGKF DFEPTTEKKPEADDLSQRVTNALADDAFVKPASSYLPAKEEVESHRAVSASVAEQAQN RQSRSLKTEEPSSRQASTQRPTMVSTGTMTESPPPTISDNRSSISRPPSGRPVYKFPP PSQDRQQSDRPWSTDTDKPSPGLGTVPSQTTKIQVVEPKPRVSSEDISRTQSSARLSM ETTRPSFRELGDALNRPQSANSRVRPSSVHLGGRFDPSSTREPVRIPALDARRLDYEG GEPLKHARTDGDYDPERTNITSDVDYLRAREEEEIARKKEKRLSGSSSKHVKRGSLSS LAHSGKGLLTGRFGDAFRRFEQNTSDNKSRTPSPGEADKQLTPIAGSEATDDRSDDDD ILGSEATDISPEMRRELERRRLSQEEKRVANAAAEYRLRVAGRGTGGREGTKSPAIQN RVQSLLQDNSRPPPKTASGYGKYTNSESDGPPQAPESSDREYAPRLPTRPKPPPKDLR GTPSPVKPSTPGNSAQPVKPSPIETIPSQRTAQRPAAPPKPKKLQTGGNPSSSTEVDP ASAATEDWERNFSRRYPSLSGLEMVETEIDVPKLSSIRTREV TSTA_032750 MEGPPPPPPPHGANPNTTEGTPRYRKSTDLPDGPYDIFVIPPHS AGGGFLYLPSLQCHRNSFIAGSLSTLAVVGLWILVQPIVKTWLATSNAPGGMGIVLLV LAVGFAGWYFGTLQNDGGATKGSSSSRPRPGAGFANGFQYGGAQPNPGGAYPGAGPRP TPQYNTTGGQYNPGGASQPPPFNNHGTQPNGAPQDSTANNAQSSTPKEDPKKAEAEWE RAREETKRKEEIRRKMEAYRKKREAEEAERRRQQEREAMERELKQRKEELEREAAAAK AAAEKEIRERAAREAAEREERIRKEEEERAERARKEAEEKEERARKEAAEKEERARKE AAEKEAAAKEAAKKEAAARFAAAKEAAAKKFAAAKEAAAKAKAATPAPAPSAASAPIP PRTPSPKKAPPPSARTADVEDDAYSFRPYDRPRRPYGTSAPSVYSESSYAPSQSTART TPPPSHRGPYMTKDPDKVIIRGVYLFNNAFQKTPIAQLVSGQGSVTDGLILRITTEGL FIDDDVRGVAQREWDVKAWTLKSIETIKLRGLQVVRASVRDQEGKRYVIVLAESEVWK VTLGFKKLKSGSQARHLSQSELSKNEANTYLTNLGY TSTA_032760 MAQNSEQATTPLLSEEHSDSDLASLSGSTVYSDPPVHEGKIDAK ADTESLPEYTEYEPTHLEKGGLLAEEEVGHNNNEEEDRCKRRGCIRRRCRRASGPCAD RKRRVRRHILMFIKAIMLFGCFSFLVTRMCLRHRRAEKLPVFSNHPSEEFGTGREIIL DQGSQAIFGRYPLYDLLHLKTTSGSVNIVVDPQPADPKKPGEPARLVIETTSGSVSVA FLQHSIDTMATFENGDIKKVDGIIDLDYLKNSGATGISQVTGQIPYRPYEVEVKTQSG SINGRFIFTTSALLESDAGHIAASFVPVVSPDEFVHATLTTDTDSGAQQISLSEPLII DASGKTYPGDTGLSKTSAWHTSNSGHIQVSYPHSWAGNVEAVSSSGWTALKGDNLQVI EHSRGHAVGIKQPNNDDDDRQPKWWGSRGDMEVALESSGSGSIEFCLKDRSYPVYEEE SEGVEVYILGDN TSTA_032770 MARKYWGGSGDALTVWISIAASTVIIFYGYDQGVFGNVIIGENF LKTMNYPSSNMQATMTSVYNLGCFVGAMSTIWTGDIFGRPRQVIIGSTVIAIGAVIQT ASFSVAQMMVGRVVAGLGTGMNTATAGVWQAETSKMRSRGKLIIIQMANCISGFSLSN WLTLGFSFLSGSVAWRFPLAFQIFFTLVIYILCPFLPDSPRLLIRKGKYDEAREVIAA LQGGGATPDSPSVMSQFNIIKDILDREHVATYTWFQLLTGRGPSGVLRRMILGAWMQA MNQISGINITSYYMSYVFINALGISELLARILAAAGSVDYLFFSCLAYFIIERYGRRK VMMYSAAACCTCWVVIAIVLAISDTGRGDSYKLGIVAVSFFFVFFASFGLGVLGVPWL YPTEINALEMRTKGSSLAMATNWIMNYMVVQVTPPGIANLGWKFWIIWAIICFSFIPV TYLFYPETANRTLEDIDRFFETKPGIIVAWNKTATQLERPEEYIRMDEEIERLGAANN EKLSDNDEKTPQVIDSETDHREHV TSTA_032780 MVLHQFFSKSNSEKDKEKKEKKVKSKDSREDSVSPRRKDTRSKG RPRSSVGDLSLDLDPDSSFSPSLSSSPQKSASSSPRKSSPTKTTLPYPNLRPTHSRSS STTTSKPAFFSSSNRNSRSEDSHPLNLPPDELRRHLSAMAAARDDSMRSSMDIDRDTT ASPQPDATPMGTNGTQNDDTDRSPTPPPHRSPPPNSDGGESHKLAGNKFYKQGDYQRA IQEYNKALEVNPNSSIFLSNRAAAFLSANRFIEALDDAQRALELDPENSKIMHRLARI LTSLGRPADALDVLSKVQPPASAKDRANAEAMLRHITQAEDSLNNGKGGSLVVFAIEQ AKQMLGPGVKTPRKWQLMLGEAQLKIGNENGFGKAHDVAISLLRENNQDPDALLLRAK AYYGQGDNDQAVKYTRMSLQLDPDNKKAFTLLRLVQRLVRTKEEGNAAFKAKDYKRAV ELYTQGLEIDPTNKDTNSKLLQNRAQAHIALKDYEKAIEDCTEALRLDPGYIKAQKIR AKAHGAAGNWEEAIKDYKNVAETNPGEKGIQEDIRHAEFELKKSQRKDYYKILGVEKD ASEAEIKKAYKKMAIQLHPDKNPNSSDDKFKELGEAYETLIDPQKRAAYDNGDDLLDP SEMFGRGGSPFGGMGGMGGMGGMHGVNIDPNILFNMMNGGGGGFAHAGGNPFAGAQGR GGGFQGFQF TSTA_032790 MTTATDQNNMNNHAYSSRIYQGIDSSDTFLSRELPSTAEMDSSS ATLPTQPQQQQQQQQQQYHQNSLNTVRKTMSTMETLGIRLPPPGFRADTTSAPTTNPQ HQPQQWRHDPVPNVHITPPNTTYPQSYEQPYASLNAGYAQFQAGNDHFDECGLSYTPT LSGHDGDNTQLLSNYYHNPYTNVVPCQDEHYHYPGMPGSSSSFMMMRYPDYQDAEGLY PFDPYNNHNMMQQYSSASSSSLTSSSEIHPTMIRPLSSTAEGMSLPGYSLTSYCDQAY NHAGLEGVFVPNSNPSVASVPSLHMPTYDTTKSYESRQTHLNKRMATEDPSPGSPHVS KRPRMTLTPSLKTSSGGIQKPVHQPSRSEALAKLNTPSANTPISRPAQSPHHYQQLAP PFLLPSNADSSGVPMKPLHSSSRRTASSSSTESHHKQAENNNNRKLSYTNSSKPPDLL GPLFEEQESPPLKDMTPDDPEMIPHKQNLRFENDLYTPRWVRGHGNKREGWCGICKPG RWLVLKNSAFWYDKSFSHGVSAVTGRAFEGPKSIRRIKRIKNNPDSRTNSWPAGGGGE GSENRGIVSTSRLGGKTTTTWEGLCGSCNEWIALVSSKKKGTTWFRHAYRCQSQFKAR ERDSRSALSSPLPSTSG TSTA_032800 MEDLSSLEGPPMQDERFSPVSLAIQSPVSPEDTYIAYNPYRDIP QGSVSPLTSATRSVDARSSISSIRPTLRTSRSHSALREDATDIPRRPASTDNSNNPNT LSSNNTTAPFWLLVNGRLVWIEDLQLWVLWDDVVASRDLSAYYSQQQQQQQQQQQQQQ NHRQENERQIRTWKSLPPLPHEIHHLLPVSSTEGGFDGDGIRRQDQYYAQTTSAANAR IQLQWASLAGQLEVTIVTAVIFESSVTTGSARWLSLLFITVSPFGDYGESE TSTA_032810 MRFSLATLALFAGASMAQITVTSVDVETITSCAPTVTNCPARST SVAPAPPVVTPSSVAPVSETLPAPASSKPATSAPVVPAGPSTTLVPVAPYPSGSVSTS VITVTTCVPTTSLSTITFSAAPTPVVPAGTGSPKPVSSGGVVPGHSTTPASPKFTGAA NAVSGSFMMAGAAAVAAVFLA TSTA_032820 MSSPAPLLRPPVPGGRNNSGTRTPRLTLGIPPSPSTKPVNPSNE DPRISDIPLPQPSSRPAPPQLRLATPMRSSNNQPGEVGRPTPYLSSISTSSNSLGDGS NGLSTSGSVTHQDGKTSGPGSASSSSYSTLSFAMGLRQPTIGTPDPSSAISSVYSDRD GGVSMERDNSVNSLLPDLDKLSLDKGRPLDVEDLDDQGWLAASEQKKIVELGSLGEGA GGAVTRCKLKDGNTIFALKIITTDPNPDVKKQIIRELNFNKDCASEHICRYYGAFMDK STGTISIAMEFCEGGSLDSIYREVRKLGGRTGEKVLGKVAEGVLNGLTYLHSRKIIHR DIKPSNILLCRNGQVKLCDFGVSGEFGTKGDANTFIGTSYYMAPERITGQSYTITSDV WSLGVTLLEVAQHRFPFPADGTEMQPRAGLIDLLTYIVRQPIPTLKDEPENNIHWSDN FKYFIECCLEKDPPRRATPWRMLDHPWMQDIKNKKVNMANFIKQVWGWTD TSTA_032830 MANLDSLSDAQAILLACELCTAKSVSALPHLQQHFPTSLTTVRL FRILLSFLPESTPPQQYTTVLDEVDRGVALTPYSGDIDTTKVKNVEESVAWKRVRQLK LLPLKYPHADKTQATDSLTDFLIHRAHKIDVETGLQTYILELILPFYDRSELLRQWLV SKILPLLRSNYEYYPDKEDMISLAVLEAMDQTTGINVLLSMTGNNPSVTDLTRNLRGL VGPWMCGTVQSKRRRLSRDIDTNNVADSQKESGAAGWDDVNDWLLSQSLLEFDSVANT LDSWDGPEDVDLGGYMDIDYSTESGRLRRSYGQVGLAIVYATEPARGSFERLFQITMR VARLLQIDTAMDTLNEASLNALELDLTTISSASKASLLQNGLLRGDNALTIPSSQSIS FLQAILLSTRILRDYGHITSCRSAASMCLHGNEETQSWEVTAVLDMIVKQPMPVQDWR KIREQILWLRDWKGSNAFGKIGECHGLFWKVSKTTLEIEILKAMVTTGEYRLVAEVYT EANSPLDLAQVETAVVDTIISFYDTASNGNRSRGKMKKAVEILNAFQPRFPKSNRFKE LTALIAATHALSFYSLTLQHGVPFQPVSIRVHPDPLSLIEKVLDQNSKSYTKLEDLLS IGRNFVTAGLVSPDKLSQAQQVSLLAERRIISMAISSALAADDFGTAYSYILTRLTPS SLLPSTTSEISTTSPVEEDISWRAAYNAGRYRSPTLSSESDLTSQITNLSQRMELLSL SLILAPTADPLPEVLGAWRRCDEEMTILRNRESQEAEEWDRRGDQQSFVSTVPGSFAP TDRELDAYENAQRQKSRGFKTESGRRFDAEAPLGLFDVARGAARAFSKNLTPLQQHQQ QTKEVKEEGEEMSKSTESLERERVRKRDVVSNMVTGGLASGIGWVLGAQPVNR TSTA_032840 MASGFGLNGGPSRCFAFWQELLGCYVVNASEGVDGKKKCIYALE DYSECLHHSKEIVRAKRLQAAYRKAEATFPRENAPKAEDIRNLGLLGKESESAKVLGL TSTA_032850 MTSRLDRLVTLLETGSTPVIRNTAAQQLADVQKQHPDELFNLLG RIVPYLRSKSWDTRTAAAKAIGLVAANAEQYDPNADDGLTIKEPEDADDVEIKSEVKE EERTPTSDDLLRLETLDIGSILKFGRKLLGSAGKEYEYTIGGMDPAARLQHQKKTLTA RLGLAGEYLDDDLVDEADFTSAPKSEKPVPIPSRQNSMMNGSSNESLNGEESGLSKRQ LNQLKRKNKQNAKLGANKVRVVDLSSRKPSDSLATPSVATPFHLKSEPGEDEAEKKPD YFSLERSSVDDDSKIVSEFKGPIVPEKPVIQTEAEEQGKEWPYERMCEFLIVDLFDPN WEIRHGAAMGLREVIRVQGSGAGRQLGKSRKENDLLNRQWLDDLACRLLCVFMLDRFG DYISDNVVAPIRETVGQTLGAVLAHLPSQSVVQVYRTLHRIIMLRDLGLERPIWEVCH GGMIGLRYLVAVRKELLIKDAQIMDGVLEAVMKGLADFDDDVRAVSAATLIPIAEELV KSRQGSLSALINIVWDCLSNLQDDLSASTGSVMDLLAKLCTFPEVLEAMKANAADDPE SSFANLVPRLYPFLRHTITSVRLAALRALTTFLRLEDHDSNAWVDGKAMRLIFQNLLV ERNEGALRLSLEVWYDLIKALDTRNLFTAEALGSSVQPLITLAMGPFGVPRYPIPMNA SLFIKPSGVAYAFSHPQTPGRKSSPANVSESAKGRRRKSEKKEVPPPSAHNVDGHMLS GDIDLVGLDTMIRSKIFAAQALGQFLFMWDSKYLPSLWQPIVGGLTMSASSSQLAAAM IIEEYAKRAGPDSKYTSLLCENLRPIVEADRPGWYSDIACYLQITRAQCHSLLNAFRD HAHVPSGRLPTLAVVVQGDPEAGPNAFSIQDAEKVVGPDFERLKKSLTPAQRVTALQV LNDTRSSAESSIEEARNIKDQRDMRIRAATAGALVALHDIPKKPSNIIKAMMDSIKKE DNSELQQRSASAIVDLIAFYTTATKRGPVDKIIGNLVKYCCVDTSETPEFHHNAHLEK SILSLRKEEDRRDHVDADKFEREARHARIMRRGAKEALEQLATKFGSELLEKIPNLAA LIERPLREALEGDLPANIGEPDNELGQEAVDGLSTLRALLPKFHPGLHPWVIQLMPVI AKSLQCQLAVIRYAAAKCFATICSVITVEGMTMLVEKVLPTVNNALDVHHRQGAVECV YHLIHVMEERILPYVIFLIVPVLGRMSDSDNEVRLLSTTSFATLVKLVPLEAGIPDPP GLSEELLKGRDRERKFMSQMLDPRKIEPFEIPVAIKAELRSYQQEGVNWLAFLNRYHL HGILCDDMGLGKTLQTLCIVASDHHMRAEEYARTQAPEVRKLPSLIVCPPTLSGHWQQ EIKQYAPFLNCVAYVGPPSQRSQLRGELEKADIVITSYDICRNDTQVFTPISWNYCVL DEGHLIKNPKAKITLAVKQLISNHRLILSGTPIQNNVLELWSLFDFLMPGFLGTEKVF LDRFAKPIAASRFSKSSSKEQEAGALAIEALHKQVLPFLLRRLKEEVLNDLPPKILQN YYCDPSDLQRKLFEDFSKKEQKDLQSKMGSTEKSAKEHIFQALQYMRRLCNSPALVIK EGHKQYDDVQRWLHAKNSHIRDIAHAPKLTALRDLLVDCGIGVDPNTEGELDTGASYV SPHRALVFCQMKEMLDIVQEDVLKKMLPSVQYLRLDGSVEATKRQNIVNQFNTDPSYD VLLLTTSVGGLGLNLTGADTVIFVEHDWNPQKDIQAMDRAHRIGQKKVVNVYRLITRG TLEEKILSLQRFKIDVASTVVNQQNAGLNTMDTDQLLDLFNLGETAEGAEKPTLEGGA GSGGNEVDMVDIDGEVKEKGKKGWLDDLGELWDDRQYQEEYNLDSFLASMKG TSTA_032860 MPGQLTQLVQAAAKPLPEIKDPLFGQMFDTFRNYKVVLLGDGSH GTSEFYSARAEITKHLVDFHGFNMIALEADWPDAEAIDRYVRLRPGPKGRIGGKSKIE PFKRFPTWMWRNREMQDLVEWMRDRNAKVRPEERAGFYGLDLYSMGESIKSVIQYLDL VDPELGKNARKRYGCLQPWVDDPASYGLAALQGMENCESKVVHMLKDLLKNRLEYSAS EHDGEAFHSAEQNAHVVRDAEKYYKAMYYSSASSWTLRDTHMFDTLDRLFQFKPPNSK AIVWAHNSHVGDARFTSMGQRRKEINIGQLCRERYGRENVAIIGCGTHTGTVAAAHEW DEDMEVMRVNPSRTDSWEYVAHSTGIPNFLLDLRPDFAGSQLREAFAQEPPKLERFIG VIYRPDTERVSHYSQAYLHNQLDAMAPRRSARLRGNTPLTEDQFPEVPTTTPKKLSPV AENDETKIKDTDPKPNTTPKSQSSLKKAILSPNGKPSIKTPSTVGAVRPAHEEMHPSK VHQSTTKQADSGLILGFQPIKRDSNGRVIKEDPVTNTPSKIISSPPSNLGTPSKFGFK FSSDDAQLSEEARKLMASVREDVARIKAQMILERGEQQRNAEAAEQPLGNRKIIKPKG KAGRFSDVHMAEFKKMDSIAGHPSAFRAQPGRFTPATQSLKRKSSKAKLDEPEAKPAP SKSPGIAAKRVKRAEIQTTSTTRPLANIGTQRKTGIPQPGTSMFRSSSLMTPTKSSTA RFASARPSKTSMIPSLAGSPSRPAVPQTPKTEFNPRLKKSLPSLGNLKSILRRRQPLF STDPAKIAAGTHITAPNFSPDIDLKGLPTATFGSVDQTPSPKKHVEFTSSTKSPRESI LETPTAIGPASDAPVASTSDIMYPTLPLRMTPDKEVIDTPTIRRVRESITAAQPASPL PKIPAIPHGIPNKERKHDHEKEQTVTLSSPTFNPTFSTHPTASPFPKMPTVPHGIPNE KRGREEHSKKPDIKSTDAMLKAPISPLKAKSPSICLVAVPHGIVNKKRQRADVSDDED TENIPPADDERNAKRLKASPMKLNPVSPSPIKKRPIITPGRMTGNRGRGATPGTASKK SVLSLSRLNMLSKPKSRS TSTA_032870 MCDDRILRRVILPAAKRTTFRLPNDLKCMRKALISRRIIKSYTM ETLATTRLHRFERSMESLYGNFSNIKNLENWTPPPNSGGHRGRYLWTDAFGVVNFLTL YQEYSKIAAAAGSAAASGSSPGSRWTGVVNTTDKYLILARRLVETVHDILGRTRDGKS RLPGATDENPLGGGLRIGKLDETGVDGDGQYHHYLTIWMFALNRLSLATGDPTYNRQA ISLAQAIHPRFFVNRTSTRPRMVWKMSMDLTTALVPSEGNLDPIDGYVVYRLLQASAL AMNPTEGGSANHSKGRILDEEIADYKRVMERKGEHFVSADTLDLGMTLWTCHWFSDKE KWAAKLAERCFQQIYELFEIDRYLARNIKYRLAFREFGTSLGIGCHYAKSANPERSVD LRTYSESIIDSWEPYMALSLRADGDLLADDLRPITRVMYSSALIPGAFRSGYLGPEPE TIP TSTA_032880 MSSEKSFTSSNPVVDNSALAKVTTDEEVALENEQDTAQGAAATT AVDPVFPRDVHGWSWALVILSILCSTFLFGLDNTIAADVQPAIVESFNSINKISWVSV AFMMGAGSTNLFWGQMYSQFNLKYLYIISIVLFQIGSALCGAAPNMNVLIVGRAICGV GGAGSYVGVMTLISVLTTDQERSAYLSIPSITWGTAMVLGPIIGGAFTVSKAGWRWAF YINLLIGAACAPVYLILVPSKDARPGVSLKDRINKIDFVGFFILSGIFVSLLMAISFG GAVYPWGSGHIIALFIVSGVLVIFYWLQQGLSIGTPRANRMIPFEFIRNVQLMLVFLC GTTSATATYIPVYFLPLYFQLVRGDSALMAGVRLLPLVCFLIASILIAGQVVSRTGHW QGWFLGGSVIVLIGGVLLYAIDEHTSNAKIYGYSILVGTGAGSYLQLPFAAAQYSVAP IWIPVAVGLISFAQLAAPSVALSIANTVFVNKATLNLSVYLPDYSGDQITRIISGVGS QYLELMSPSQREGVNSIITHAIGKSYILVITCGGTSLILSTFLIGLSIVNRRKSKTAR NGIY TSTA_032890 MEVEAAQLKMEHIDSFNANSVGLALLIPMDSSGYNEAPGTGTGI QRRNRKPVSCTPCRQRKLRCDQALPVCDSCVRRGIVMSCSYQYRRVGLQRHWSSSHGN SSSVQSRMDRLEQLILALMNQKGPSVGDPANNLVITHRDVQAPRYEVPKEELLSNRRV GPTTTRIFRTDTDNGVPYTVGEAPWAALLNEIHEVRGYLQTQQKQYESQSQKISQRLK QTPEDSGPSLLFGTSQSSGHGEILSHVPSRYICDLLLERYFKTLDPALHILHSPTFQK QYEEHWENRSRAPVIWIGLLFAMMRIAAISWQRDGDEPLEFRGKAQDLSSSYRTRVTD CILLADYTKPQDFLIETLALHLYGEYASHRDADSSTWALLGFIIRLAMRMGYIQDPDR LASLSPFQAEMRRRVWTFVRQADIFFSFQHGFPSMIKVEATNGGLPRNIYDEDFGPSR IELPAPLPDSEATPISYLICKARLALDLARALKELNREDIPPPYERVLEIDRSIRETY AKVPEYFRLRSMAEQEHDQPSLIAARFTLANVHHKALCVVHSRLLEAARVDTRFISSR RACLESAMALLNLQAIQHQEIRAGGQVRSLTKYMTSLNAHDYLLAATILCRELFIDHR RPPLPFAVSGPTRNEMIESLDRSAAIWSQMRDKSIEAYKASDVLGMLLQKLRHPNNNL QEVTPQFRGYSDLSTEYIPEIRNTRNSAQMRLKTAIDDGAMAFASPREYAPRDQLSST EATTVSRATPIVATMPLVPVQSRFSFSNVDYPSFQDPVRSCHPYQRKSVYLICFAAAC NVGTVRCTVRFWRSFINFMGVRYKSVGHLLVK TSTA_032900 MRHYLTVLGLVLLPICCTASFVTDCAALSTLLPGKVFYTNSTVY DSSVKSYYFVEERLNPTCIVRPTSTSDVAIVVKYTADCPSALLSIKGGGHSPNIGAAN ADVGVTLDLRTLNAVSIQSGGLITSVGAGALWQEVYRVLDTYGLAAVGGRVATVGVGG LITGGGLSAFSPEHGFACDNVVNMELVLASGAIVNANETSHADLFAALKGGQNNFGIV TRFDIRSFQQPDYWGGGVEYTSDSDEDQILAFAKFKDPENFDPHAEIEQSFLYTAADN SRISSNNMFYTKPVVNASALADFTAIEPQLSNTMRISNTTDFAKEMAMYQPADTLAVY ATTTFNVSLPALQSILGIWNTSVHELSHIKSLLAVLTFQAIPPVPPASGPQNSLGFTP NSHPERDLVLCLLSNYWTDASASAEIQAATQSLLEEIEAITQKDGVDVRYKYMNYAAK WQDPIMSYGTTQVEKLREVAAKYDPSGFFQAKVPGGFKLSRS TSTA_032910 MRNHSFDAMAIIDLENLTPWLFWLAVTGLVLSELYFALQSSRIS KFTGSTESKLRSVIKDFNNGRFYKPWGTPPIIIDGGKKRLLELSDTPVLSQRAVYADM FGFKHTMNKFNHTEVNIIKSRLFGRVLNVNGPNQVARLYPVLQKRLSSFLKDELEVLD TPDSRTTVIPLASTAKVLSSRLMAVTFFGENLGSNPDLAEALLRHPSQTIACMGAFQF TPSFLMSLVHNIMTRGGREMRKIQSYLMPIIDQGVDSWDEAKGIKESTVFYDLIEQSH PNRDYWTPFTLSQSILGLWLAASHQPWVNLYHILYELCIRPEWQQIIREEVMQHGALN DFTKLNQLSLLDGFMRETARLNSLDKIAIRRKALEDYTFSDGYTKVPAGAVVCVDSYA ASHNPRIYPRPETFDAGRFTNGQSHDQTNRFTDVSENYLIWGFGSLACPGRHHASLVL KLVVATLLVDYELELDAKRSRRHWMWESFSIPYNSTRLVLRRRSEPTSHWQ TSTA_032920 MPQQSVLITGCSDDGIGYGLALTFQQRGYLVFATTRDAKKMSKL QDLPNVTLLALDVTEPSQIAAAAKVVSNQTGGTLDYLINNAGRNHFMPILDEDLNATR AIFETNVWGPVAVTQAFAPLLIKAKGNIVFITSISGYVNVPWMGSYAASKRSIEIIAE TLRLELGPFNVGVLSIVTGAVKTQGQTYFGDFKLPSDSLYHSIEDTIAARAQGNDGTT RMNLMTYCDQVVTKITKGATGKFWCGDSAGAVKFGSSYLPTSIMDRGLVKGTGLDKM TSTA_032930 MLMASSMTPEELATTPAGIPPPGVVPNLIDPPSDGNLLIIIGSI MMALMLITAGLSFYTRIFIRRGTAPEDWTRLVAVIGAIFYFVICVIAVVKGKFGTHMY DLSLAHVSSDAFIISGYFANWVTSIVWGFAKTTFFLMYLSMFQSITWYRYAIYFGLFV NWGFYIAIIIATLYFTSPAPGQTWQESFASPRYAKSLTMTIPIASGSLALDLYILILP MFPIWGLRMDLKKKLGVLSIFGTGVLACVASSLSIYFKNRLDHHTEDFSYYTLPVLIM CLVEMCVGITASCMPSMALFFRTKGGVLSRLFSRIIPHSSRLGSSKAKRMNGDLSIES SDQWPLRPMPDKIQYRRMEDALLDSTTLNKVEPVRTTIRAEPRPHSLANDGIRLEYEI DHGIQYNSNAV TSTA_032930 MYDLSLAHVSSDAFIISGYFANWVTSIVWGFAKTTFFLMYLSMF QSITWYRYAIYFGLFVNWGFYIAIIIATLYFTSPAPGQTWQESFASPRYAKSLTMTIP IASGSLALDLYILILPMFPIWGLRMDLKKKLGVLSIFGTGVLACVASSLSIYFKNRLD HHTEDFSYYTLPVLIMCLVEMCVGITASCMPSMALFFRTKGGVLSRLFSRIIPHSSRL GSSKAKRMNGDLSIESSDQWPLRPMPDKIQYRRMEDALLDSTTLNKVEPVRTTIRAEP RPHSLANDGIRLEYEIDHGIQYNSNAV TSTA_032940 MTQRSYLTGSRLSDMLSPSLLTSMDHWKMLCRFPPTTTASHLIE SLSKKNGSSKEPFAITMAGKPIYLLTNPKDIAETYRHEDTLSMDMVVKDLYSRTGISM DKVNRLFIANPESPHNKGLPRPLHYIDISMEYFRWELSPGESLDRFVNEAAIPAILKA FDFDRTPAHSAVLHNDSDDSLVVSLEHLCIEALISGVVDIYYGPALWKINPDFVHSFM MWERVNWKYIFQLPGFLSKDMLEAKRDLVDTFVTYFEMDRTERDCGNLFVNSVEDTLR EAGMGNEEIGRIFFLHSWAILGNMYKTAFWIIAHLVYNPSLLEAIRIEVTPAITKGEV DHQYLSKDCILLDSLYADVLRATMSSPMVRDITETTTIGGKKLRKGNRIMVSYRQLHL NTDVWGPTPELVQPDRFLRDKSLKTNNSYRPWGGGSTLCPGRFFAKKTIFTFISVLLV RYSAIHIKEETVGDNKGGRKPAFPRADFSKPIPGIVSPMMGDDISLILRESD TSTA_032940 MLSPSLLTSMDHWKMLCRFPPTTTASHLIESLSKKNGSSKEPFA ITMAGKPIYLLTNPKDIAETYRHEDTLSMDMVVKDLYSRTGISMDKVNRLFIANPESP HNKGLPRPLHYIDISMEYFRWELSPGESLDRFVNEAAIPAILKAFDFDRTPAHSAVLH NDSDDSLVVSLEHLCIEALISGVVDIYYGPALWKINPDFVHSFMMWERVNWKYIFQLP GFLSKDMLEAKRDLVDTFVTYFEMDRTERDCGNLFVNSVEDTLREAGMGNEEIGRIFF LHSWAILGNMYKTAFWIIAHLVYNPSLLEAIRIEVTPAITKGEVDHQYLSKDCILLDS LYADVLRATMSSPMVRDITETTTIGGKKLRKGNRIMVSYRQLHLNTDVWGPTPELVQP DRFLRDKSLKTNNSYRPWGGGSTLCPGRFFAKKTIFTFISVLLVRYSAIHIKEETVGD NKGGRKPAFPRADFSKPIPGIVSPMMGDDISLILRESD TSTA_032950 MSGRVLFLVVLFSSLGMVYSLALANAMACGALAILMPEKVFNSN TASYTAALTSYFSRQEQEVKPECVVIPQSTNDVSEIVATMTAFAWIGAQFAVRGGGHS IYAGAANIQGGVTIDLSSLNEVTVSPDKSTVDLGPGGKWGAVYEVLDPLQITVSGGRD SDVGVGGYLLGGGMSYIGPLAGWACDNVVEYEVVLADGKVVNVNNDSYPDLFLALKGG GNNFGIVTRFTLKTHPLGNFWGGFLVYPITSIGQQIDSLSKFLGTQPYDPYAAMIQSW GYSSEMTVISDGIYYTQNVQSTPAVYQDFVDNSTLMQSSLRTSNMSSFAAETDKYQAY SMRQGYYTTSFLHTPSIYSTIYDIFNTSLTSVSKVQGINWYLTIQATPALNGTNSLGL KPGNARLINVLLTTCWSNASDDAAVAAAAKSLISSIESATRAAGVYQNYKYYNYADIS QEVISGYGSASVEELRTVSKKYDVYGVFQNSVPGGFKLW TSTA_032960 MATSTAYPRSGSPSQTKRHTNAKTHPPATVLLIYPLTLILGSIY SVISPTARSSQNFGSSSSLTPTIATDINLPTSQRQHRPNPVNYFARKNNIFNLYFVKI GWVWITFAFLSLLLTRPYYTKAPGNLRGKRSVQALLRYAIVTCAWFLTTQWFFGPAII DRTFVITGGKCERLPAAMANADDNTAWEDLKVVFTAAACKASGGLWKGGHDVSGHVFM LVLGSAFLALEVLGTSQSVSNGTAENSQVHDKCESDGEYTVVNEHSSTDPVARYSTKF VWVVIGLSWWMLFMTAIWFHTWLEKLSGLLISVSVVYITYFLPRSLPAWADIIGIPGF EPAVRIE TSTA_032970 MRNSWLIPLAAAAVAEGKAYSPPAYPAPWARGAAEWAEAHERAV EFVSQLTLAEKVNLTTGIGWESGQCVGNTGSIPRLGFRSLCMQDSPLGVRDTDYNTAF PAGVNVAATWDLDLAYLRGRAMAEEHRGKGVDVQLGPVAGPLGRVPEGGRNWEGFAPD PVLTGQLMAGTIQGMQDAGVIACAKHYIGYEQEHFRQGSQGNFTVADAISENIDDKTM HELYLWPFADAVRAGVGSIMCSYNQINNSYACSNSYTLNHLLKGELDFQGFVMTDWSG QHSGVGDALAGTDMDMPGDVAFDSGTAFWGTNLTIAVLNGTVPEWRIDDMAVRIMSAY YKVGRDRTQVPINFASWTLDTYGNEYFYAGEGYKQINEHVDVRGDHAQLVREIGAAST VLLKNVDGALPLTGQEKFVAVFGEDAGSNPDGVNGCSDRGCDNGTLAMGWGSGTANFP YLVTPEQAIQAEVLKNGGTFSAITHSGASNTTIQAVASQASVCLVFANADSGEGYITV DDNVGDRKNLTLWQNGEATIAAVAGACNNTMVVLHTVGPVLVEDWVHHPNITAVLWAG LPGEQSGNSIVDVLYGAVNPGAKTPFTWGKKRSDWGVDVLYTPNNGEGAPQQDFTEGI FIDYRWFDKYNITPTFEFGYGLSYSTFSFSNLKVTPVAASPYKPATGKSKPAPVLGKV LNATAYLFPDYIKRIEAFIYPWLNTTDLKAASGDPHYGWPTSKYVPEGAQDGSPQPVN PAGGAPGGNPALWDTVAEVSVTVKNTGKVAGVEVPQLYVSLGGPNAAPKVLRGFGRVS LGPGEETQWTTTLTRRDVSNWDTVSQNWVVTNYTKTVYVGNSSRNLPLKQNLLLNIKK TSTA_032980 MSCKSEDADERLNRLARVRENQRKSRARRQQYIEELEQKVAVCN AQAQQREIEHLIALQKLEAENAKLRSLLQRVGLAPNVVEDFLKDASQPTVSEKIAIPR LKVTTSLQPSSSQYHTPTIKSDEPYTPTSVTSITATCTTNVPCAPTNNYDVTRKKPDG CCGPASATDITASCSSSNSCAPASQYAATMQRTNEPCIPPSSESASCNNNVSSESLNN STTPKPLEPPVLIPVDPVATQQQNIKLPPIASLCDCGPESISKWPRINSPTNTTLCEV AQDLIDQYNTHGVDLNVIKQRLWAGFRNGDTNGCRVQNNVLFEVLDEISGDAPMSGGT TSTA_032990 MVSTRHHPKDFPPPTTPSSASDGTKYVVRSSSTPGNGNRKGVHT PSMVVLAWLTISVPLVLWDTGYVLLRPHSMPGGKFHSPIWTPYALYGTIDYVYGWPAF DARNGFTAAQGLLNLFETAAYVLYLAVVYFYGTTATSSGRATTKKVKKSLPWFLFEEK VVPGRIGSLALLIAFSSSVATFSKTILYWCNELFSGFANIGHNNLFNLIFLWIIPNGL WIVFPAYMIYVFGGEILYALESSNPRPPKVGRSKNQ TSTA_032990 MVSTRHHPKDFPPPTTPSSASDGTKYVVRSSSTPGNGNRKGVHT PSMVVLAWLTISVPLVLWDTGYVLLRPHSMPGGKFHSPIWTPYALYGTIDYVYGWPAF DARNGFTAAQGLLNLFETAAYVLYLAVVYFYGTTATSSGRATTKKVKKSLPWFLFEEK VVPGRIGSLALLIAFSSSVATFSKTILYWCNELFSGFANIGHNNLFNLIFLWIIPNGL WIVFPAYMIYVFGGEILYALESSNPRPPKVGRSKNQ TSTA_032990 MVSTRHHPKDFPPPTTPSSASDGTKYVVRSSSTPGNGNRKGVHT PSMVVLAWLTISVPLVLWDTGYVLLRPHSMPGGKFHSPIWTPYALYGTIDYVYGWPAF DARNGFTAAQGLLNLFETAAYVLYLAVVYFYGTTATSSGRATTKKVKKSLPWFLFEEK VVPGRIGSLALLIAFSSSVATFSKTILYWCNELFSGFANIGHNNLFNLIFLWIIPK TSTA_032990 MVSTRHHPKDFPPPTTPSSASDGTKYVVRSSSTPGNGNRKGVHT PSMVVLAWLTISVPLVLWDTGYVLLRPHSMPGGKFHSPIWTPYALYGTIDYVYGWPAF DARNGFTAAQGLLNLFETAAYVLYLAVVYFYGTTATSSGRATTKKVKKSLPWFLFEEK VVPGRIGSLALLIAFSSSVATFSKTILYCECPRGRSYRYHILISLLRVQ TSTA_033000 MTAKQIITLITGANQGLGFEVAKNLVLSSGSYHVIIGSRDPSKG AKAVANLQSLPDIKGTLDTLEIEVTDDESVDTAAEAVAAKHGRLDVLVNNAGILGQLP SLRDSLRAVLNVNVIGAASVTEAFLPLLRKSEEPRLIFVGSSIGSITGASDPSSPYYR PQGTDYRVSKAALNMLMVQYHHILGLEKKNFKVFTADPGLNATNFTGDADSLRARGAA EPHVGGAVIADVVKGVRDADVGKMVGKYGVSPW TSTA_033010 MRAKPPNNVHHIYQFSFSTQQDRSRELELEISPTLISPAFVHYT FSYAAGPTGVGPMATEGQANGIQNPVTYRANNDENMSETSTPDHDQEDRNGYGQNEEG VPNKSQNGDTGTGTARGESEKPAWSEMKTKAGKERKRLPLACIACRRKKIRCSGEKPA CKHCQKSRIPCVYKVTTRKAAPRTDYMAMLDKRLKRMEERVIKIIPAGETRDMRTIGR SQVKPPPQGQTPNQPKAAQQKKRSADEAFASKLDSWVNPKQDLSAEDLVPMSRETKSH EGTDLLTEGAEYLPSMEIQEHLSEVFFDCVYGQSYLLLHRSSFMRRLRAGTVPPVLIL AVCAVSARFSSHPQINTEPAFLRGENWARPAARIALDRHDEPNITILTVFLLLGLHEF GTCHGGRSWSFGGQALRMAYALQLHHEVDHDSLGQKGKLSDLSFTDREIRRRTMWASF LMDRYNSSGSQRPPIGNEKFIQIQLPVKESHFLMEIPGPTEDLNGDVPNPIPKGQGQV SDPKANMGVSAYIIRAIVIWGHIVDYLNLGGKKKEPVPLWDPASGYTRLKQEIDNFAL SLPSSLVFNSDNLQVHAAEKIANQFIFLHIIIHQNILFLNQFAIPLAPGGRPPKGMPK SFLSDAGRAAVEAAHHISMLIDQASGYSLTVPFAGYCAYSASTVHIWGIFSKNAQLEA RSKENLRHTYRYLNKMKRYWGMFHYMVESAKDRYRQFADAAIRGLPAQPNSTDEAPSM FQYGDWFDKYPHGVSSSHWDGPETNRRREIGADAVMGQRPDLQSVEDFFASLSPNQSN IGPRKHQKLARRNSRQASSIQTTPLSQPSADVDQQSTTSRYKQQQEPQLLGKTPVGST PTTVIDPETPYPNFNGQDIFAAPPQSFNMPTFDYSMMPDFLPQLDRHFVHGAYTGTDL SSLAADHLNFMSTPTDNTSNPSNANSNANNSVSATIWTDPFTPSGTGEFHQPSAWFLP FNLDPFSTGMLGDSTGGNTAEVDTSGVGCGGAGNMNGGNDLSYRLM TSTA_033030 MKMLTRPTLLATFNAILLLPLSQHVGAASEAPKKDTNPPCTVQS VTTGAFFDLGTISLSPPELKDGRKVHKNDRDASWEARGHDYGTNFTINICAPVIESVA DVVGVEKARWQNVSAYYEQKGKVYSIGEQNSEPIFRGKRLVLNYTNGSPCPSTDASSL NSRFYTDYNDDDDDDDYAAAQNYNRKTVATNAASDSKSTRRKSTIMSFLCDREAPASQ ATVSFVGTMDSCTYFFEVRSFAACGGVAAAPEGGLGPAGVFGVIALIAVFVYLLGGCA YQRSVMHQRGWRQCPNYSMWSDLLGFFKDFVIIILSSLTRCFTRKGSSRAYSRVNGQN GLIGAVGGRRGSDRGGRGDVDAENRLIDQLDEEWND TSTA_033040 MGPKKGPKATVPVQVVPEKRGYEFGGPLGALGIVFGLPVLIYCF TFFCNDISGCPAPSLLHPSTLTWDKLKQEIGWPENGIIGLYDTEVTLWVLAYYTLLLL LQIFLPGQEVEGVELACGGRHKYKFNTFRSSLLILGGLAAGTFIYGAEFPIWTFLWDN YVQVITANLLIVVVLSILVYVRSFSIPEPGQPNPDLRELAPGGHTGNVLYDFFIGREL NSRVTLPIPFVSEASRIIDIGVFCEIRPGLLGYIILDLSNVAHQYRTYGYVTDSILFV AIAQAFYVLDAFYMEPAILTTMDIIMDGFGFMLCFGDLVWVPFLYSIQTRYLAMFPAK MGLQGIALSFAVTGLGYYIFRSANNEKNRFRTDPNDPRVAHLKYITTASGSKLLISGW WGTARHINYLGDWLMSWAYCLPTGFAGYTIIEAINSSTKELEKHAVQTPESRGWGSIF TYFYILYFGILLLHREGRDEEKCHRKYGKDWERYTSLVRSKIIPGIY TSTA_033050 MSRPPKTMSGGTKPVSGFLFIDFEADTSNDPEVTRQKHTFIQRN FHQKLKQTRLEKLKASKPRIGWIFQPVKGPVLEPPQADDAIKVEPDRDPVQQANLLPE AWTVNQYLDASFVDPFSSPVLPMTDAMNLYWRHFRLHTITSSYPFDTARMGMWWWQKA ITEPALLQALLFLSAGHKAALESNSGVSSMAVRKSFKDSFRLRGGALCNLKYILQDPV MAVAESNTLIVAFLLAIEGVDANAKAVEAHLKGLKRLIQLQGGIDALDHMTVSEIYQA DVRCASFKCCRPHFRMSTKWRSEVISDKKIFRSRDDVNIPGQLPLLATRFSTTNWSSD LDLPTHCLIFGFQRLVRYYEAARLQPSMVVPTDNDLFVIFEHQLLSVCFDPATASTTT GLISECVRLSLFLYSNIRIRDFQAFPFIGCIVAYLRQMLEMCFADMLLASVDLLFWIC FIAGMGAKGYHDHSWFVAQLADIAHLLGLHDLSQVNGLLIQFFWTVRVNETSQRELWE EVLLEQRGKSRPQIMNIKTSCESA TSTA_033060 MTSHSTVPSPTSRNNMGPIDLPLNYDMSRFYMNFQSPPPVSAAM LRQGQATPPMSDLGDSTEDDFGLDCTFYSPPVSATMSSQGQLTPPMSISDLDGYTEHD FTTFDCTLPAGMTPSNGKFYLQEKRRMQNREAQRRFRARNQKHIKGLQKELNDLRAEN TKFLEENNKKDEEISRLQKKIKEMNRETKDSIESMETDTKMDSDMPARCQCWTRNGTL ARFRPTDLVCRKTSMPGSPDSTGKEDDCRSGYGIVMELVPADRRHSEHTYESFRKRLE AKAGDESEETPETTDNDRMTANEPEGEYFLLSPLTVH TSTA_033070 MQAFVPKNRRPRFELKLSIIDLNNIPLVAGTSYVKWHLPSSIAA EHRGHTDRAVIQDHRASWDYEKAIPVKLTIDRNHMLQECDISFEIIQEFSSGGRGDRI TLGNIKLNLAEYVDRSEDENGIVRRYLMQDSKINSTLKVGILMRQVEGDKNYITPPLK SAMVFGGIAGILPPEHGETEEPGSLPSINASRETGDLQDMYRRTLAASWTTQDDELLP DQLIEDIFAGGRGVRSSARSGARSERADYDDDGSISDTASRTTVQENRADGNTNNKRP KSVMSSRSRTDLRDTESMLSSSGGGSGRGSLESSFQEKAWKSSKATHEISEFDVRDDL RTWEITVND TSTA_033070 MQAFVPKNRRPRFELKLSVWYVPSHTAQILLIQVQIIDLNNIPL VAGTSYVKWHLPSSIAAEHRGHTDRAVIQDHRASWDYEKAIPVKLTIDRNHMLQECDI SFEIIQEFSSGGRGDRITLGNIKLNLAEYVDRSEDENGIVRRYLMQDSKINSTLKVGI LMRQVEGDKNYITPPLKSAMVFGGIAGILPPEHGETEEPGSLPSINASRETGDLQDMY RRTLAASWTTQDDELLPDQLIEDIFAGGRGVRSSARSGARSERADYDDDGSISDTASR TTVQENRADGNTNNKRPKSVMSSRSRTDLRDTESMLSSSGGGSGRGSLESSFQEKAWK SSKATHEISEFDVRDDLRTWEITVND TSTA_033070 MIIDLNNIPLVAGTSYVKWHLPSSIAAEHRGHTDRAVIQDHRAS WDYEKAIPVKLTIDRNHMLQECDISFEIIQEFSSGGRGDRITLGNIKLNLAEYVDRSE DENGIVRRYLMQDSKINSTLKVGILMRQVEGDKNYITPPLKSAMVFGGIAGILPPEHG ETEEPGSLPSINASRETGDLQDMYRRTLAASWTTQDDELLPDQLIEDIFAGGRGVRSS ARSGARSERADYDDDGSISDTASRTTVQENRADGNTNNKRPKSVMSSRSRTDLRDTES MLSSSGGGSGRGSLESSFQEKAWKSSKATHEISEFDVRDDLRTWEITVND TSTA_033080 MNYSKVNNEWGCDAVEGDHEGGVATTGRKWRHDKPKSLDIKEHR KEMSRLPSPPSEEDNARPPSRALDPVPLTSSIRTTPIHPQLPDIRIPDDAKIPSTHYH PVTCEPLNIAEIQPQLQHLRKEYPSMTAMLKAREDAVKEIKQKLEEKDRKRDEIQKAL DKKVKEWDVEYKILSKYQASKS TSTA_033090 MRVFSTIATTAVLANLAVGSPAVGNGSSSGIVQGAAAAVISTSV TSTTAPPAAQGPNEAAASTAPAPASPTPPADTSAAGSDTGAANPAGFTSAPIVSVPIP SAADSTAAAPTAPAAAGSSASDAATSVGGSVAASGTSKTSPSGTAAASDSSSTTSSPK PSTTTSASAGDLAGLLSGLLGGSSTTSNGLGNLITDLENFLSGITGLLSPTLLKDLES FIHNFAYFLDAPTTDQTKEIIAVAHQLINNSTASSLVNLLTSAEQLLNPTFINETTNL ISKVSPLIDELGPLLNSKTVDQIEGLLTNAADLLTPEFVKDTQALITDVAPVLNDLKP LLSNQTISELENLLTNANGLLTPSNTKAIMSLLNNANGLLTADNTKAISSLLGNANNL LTPEFVKDTQSLITEVAPVLNDLKPLLSNETISELETLLTNANGLLTTQNTKEIEALL SNANNLLTADNTKAIETLLTNANGLLTADNTKEIEALLSNANNLLTADNTKEIETLLS NANGLLTADNTKEIEALLSNANSLLTPDFVNETKSLIGDVSPLLGELGPVLQAAQPLL TTKSIHNIETLLTNAGNLLSDSNTQEIESLLATAGGLLTPENTKDIEYLVTNATKLLT PSFVSETSGLIGDAAPLLGEVGPILTMVKPLLTNTTIAELEGLLSGAVSLLTPKFINE TRDLIDGAGPILATVSPLITPKYIEELGWLLTNASNLLTPSFVNETSGLIGDAAPLLG EVGPILAMVKPLLSKDNIEDIEYLLTNATNLLSPTFVNDTRGLITEVAPVITPDLLAQ IGGLLNNAGDLLTPTFVNETGSLIGDVYQLLPLLSSLINAL TSTA_033100 MGAFYETIPQNIYTWILAQKIFWVATAPLSGTGHVNVSPKGGPY FGLLDDKTFWYLDITGSGSETISHIYEPGNGRITIMFNAFEGAPRIVRLWGKGRVLEN NGSREFTEFVERNNVNLVTGTRSIIIVDIHQVGSSCGFSVPFYEFKEFRDTLIDYHVK KEKRYNAGNEKESFPRYWASKNTWSMDGLPSMKTALAVSEEEKIKPVGKMVGPLAPKH PGIPSRELVVKHQRSRAANLQSSLLLLVALLSFVLGVGATLLVGSDKIMYWFGVSS TSTA_033110 MGPYLGLRGMPLVIAITAACSTGFLLFGYDNGVLSGLTTDELFL TIMGNPNSTLLGFIVAVYELGCLVGALASFFWGEALGRRWLTVSGAVWLIIGTVIQCA SYDQAQMIVGRIVTGFGMGCITSAVPIWQCETTPAHLRGRTMAIELSCLIVGIVVAYW IDYGASSYTNGFQWRFPIAFQIVFAIMLIAMCFFLPESPRWLASHGREQEALEIICLL RDGNPGDENIRAEMAEIKDAIALEEEEAGSWKDCFRDGGVMGWQRVAIACSAQALQEF TGTNIITYYAPYVMVNSVGLNAHQSLLLSGGLQLWFLVASILPWFFLDKIGRRKLFFL GSAGMGACMLISGLTIRAGGHNNGIGAVVVLYMFQAFFTWGWMSNMWAYPSEILPIRL RQTGSALSVVWQWLITFLVVEITPVGIQNIGWKLYIVFCILNWATIVVVYFFYPETAG KTLEQIDFLFASQSSLRQVVKLSQRKDLDEMAAIREAQAQAHQQKLEAKQVEDVDAGE TVE TSTA_033120 MFFQGYLKVPNSGRAEAEEYGGDVEDKLINGDSSEISKITAKSN RLQIPMVLSIVVNLFLLGIMIFRHESPHPLYRSEYAGLARTVPRPWGPNTAVNDTEQD ALWDATSYDLGNIALSDTYARSKGLPRAQRFPWDNKKGIYLINAYHNLHCVKTMRTAL IEFRDGKPQSSPFGHLEHCLLVLRDEAMCNADDTPRYTGFQPNQKSGLGQVRMCRDFE QLEQWARDHTACWRHVGEIHEEGFRELDRYRFCPEGSPYKEMSETMYLKGDWWKQYKD GGL TSTA_033130 MASFYRLISLVILLTGAIALPLDVARSAEPFELSDEASWKAEIL ETGAEPTPQSDLR TSTA_033140 MLTNELSISFIPASQVLAAAIVLPCVGIASVFARIYLRLRHEIS VKTDDWLIVAALVFVIGMGTVQIYGCAKKALGYPTPTYSSDSVMTELTELSEPQRTVE LVDWITWVLMTPANGLIKLSSIFLYRRIFATGQERMFNILSWALIGICALWTVAFFFA TIFGCGRHFDYPWGPLVEISSCNTNVRLEGLMISDLITDLLVWILPVPMIWRTQMKFG RKVAATGVLLLATISLAAAVVRLIVQEQITNGGYAAHTDVDQTLTILLYWSMLESGLA LIASCLPTMRLHTTLTTMVSLISLRSWYPSSKKTASSLPYWHGLSPDESNQRKQWQSN SLGSSLYQKKSRSSQSLPLIQLDLVRRSQER TSTA_033150 MPESVQSSNTTNSQASFRLADGLGYALQQNHRSAIRLNLQHFLW RQVFGFHIHPSVPTNLGRIADVACGTALWLIDVSRRFPHSQLDGLDIDLTQAPHPKWL PSNIHLQSWDIFTDVPAGLKAKYDLVHVRLLVLVLSGLDPMPVLHHFFQLVKPGGYLQ WDELDTVNMCIKKVDSSIRASALQEIKEASHAGGRHDWILELPRLLTEAGFQDAKIHF YDDPPELHLLTMEEFATSLASKGHTEAAARFTHLIQSSYQESVNGAALCFPRVVVVAR RPL TSTA_033160 MINNLKDSEIIAYDADNNFTPQPLVKEKDEEIVPLPEQWDDPTA WPLWRKWSIVICVALMYMLANFGTIIIVPGVPLILSEFNESGKLYQPLIVSIWELGEG VGSFLVGPLSERYGRKIIYHIGNAIFILCSVASALSVNVSMLVAFRFINGMAVTVLTL SPSIIGDLFVREERGTAMAVAISVPLIGPCVAPIVGGYVASALGWRWAIWLIAIAVGC VSMFSLLVFKETYKSKIQEKQSRRNPNSGPDSPPAQEIARNESPQETKLQSFLRPVRL LFSSPVVLVTSLFTSLIYGFSYLILTTLAEIMQETYGFGSGPVGLTFLGRAIGNLIGL ALYGLTSDRYLEYRRRKAGNSIPEDRLPLMILGTALLPVGLFLYGWSAHFHVQWSVPL IGTGIVGLSMLLTKLPTDNYLVDAFAPQGTSASILSADATLKALFGAIFPLLGPSLYR NLGLGWGNSLLAFIGLVFLPLFIILWREGQELRTEGWGYLNVMMKRR TSTA_033170 MFRRNSSKELSDRIHYLAQENYFCAICNNVISIPYQETVAALLR FSLLLVGSKDSPTRSIAREGPLTLKDLEQYVHCLQEIWIEFTPQNMGEEAEGYPWDRM DRLHEEVQSSGLKVSRDEWKEEIERQREWRIQKEMKRKKIRG TSTA_033180 MSRKEASRKTILPKTLKDLRSAKDILITPLDTARTTDQPLDDLL WKEEDPSTKYILSHKFNQVGAGWIGFENCQGLRTVFVKIVKATEVFHSKNVTYLIYDF DRCDLPLSMVHASPNVQFTEADIAIVCRQVLTGLYHGSIKLLDLVLSQSGQVKIAARK DSFYMCVRGLVGAYLGNPEDKGGYPRLRPGAKGPYDILLRKPAVTDGRMHVNDPRDVS REACKYIEMTKSATYRELFQVNSRKGKPLLTNRECDFLLKAPPKLAA TSTA_033190 MVSRLLRKRLVAVGMAYGFFYEGAFYPLLYYASIYFQVVVGVHN LPLLINCGIDSGLSGIPVSVYRHYVPLMLWASAGGCIGSGLIYTLNATSPAAAWVYYQ VLAGLAYGSGLLLAIIAAQGKVSARRTCFNYSYAVISSTSLGAGQSVLSNLLLSKLPS TAPGVNPLSVLATGAMEIRHVFSADAVPSIVQGYLYCLRAVFLIVTAYAGIVVFFAVA NR TSTA_033200 MPTALLPASAAAFAPRGNPNVVLGRKVEQWLTLTLKRVNRVKRP LNNPTQHTRCLTETLSSPNAIWTLCSLMFPKAPDSELRKDENPLVEAIFNYQMIHIEA YVVHVDMVSQNEVAFKLTPETIESLVDFHKDIFSVDTAASTWDWPDKETQLKKLQEEF VQSANKFIYRTKVQALEGMEEDGAGELLGGRSEDAKTAILNLFVPLLPPPPPRVVDVV RPPLLPSSTIAEDWWSSPVEQPVMPVEAWKVIPSSPSPVSSCDAAPNMWTTMSMSMAE AQLPSPAPSFSQPYTTAPYDAAQYYSAPVTMAALAALPLPSMLIQPCSTAASMGGFGG YNERYQEFPALSYGTTIRHNEGVSV TSTA_033210 MTELHEALSYLKPVSWDEIPPSSSASDLHKYIREILTKARLVAE TVPEQNPSSASLSDIGTSAASILKQTPAGSFSQKQLDTLRKEWGKPIKMNNAKENPLN IPIYKLAGKDGKGAWFARRSVHKGLPFSRWKSKMQVEMGETLKARQEEIKQGRTPATS IRGIGGDRLLADVDIRDPNTDKMVGKVEVYELSAQFPGPTTPRDFVTLMITSDVMLGD GSEGGVDLAPTYMIVSKPCRHPDAPEREGYIRGQYESVELIRELPIEKSTAKGGHAHR PTSARSVTTYGDELLQPSKTLDDGTKSTSRGRPRGKTEPPVPFDLDGQTENKNDDSPN PVEWIMITRSDPGGSVPRWMVERGTPKSITGDAVKFLNWASKPDELGEGDDTADISQV TESVEKERRDRADDGRVSSKDGTMVADQEPDAVGTGDKGAKQLDQSLSQTEIYDSTDE AQQADGSLLSSVAKLVQNGLQGYAPRAVLSYIPGSMTPSAASEERENRTVTMKDAKSG RSEGEISDEPGEENIDSEEADDDTSSMASDDSFASAGSHISPTLSRDLASGVNSEAAL ATTPQTDPAKSKPTQKEKELAKLNSRKREIEAKLATIQSEIRELVGHVGSRSTTKSDV DSNRGSMISVESSKTDPATKHKDEDESGSSSTTRETQKRIDHLSRSESKLVSRLSKIE AQQLKIIRKLEADQRKAAGREEKTRTKSEVDSLRKEITSLRQEVRELRDERAKWLDIV GRLQKENTTLVARMQKSGQSASGYGTD TSTA_033220 MADKLRTLQNLEALQTRYVGTGHADTTKYEWTSNIVRDSYASYI GHPPLLEYMAIGIGEPKEKVRSMMIEKMIRGAGNPPEDTSYPTSLDMEGMRAVTIEDA ERNSSRGLACKHLRCQAECSNQLFSHWLRSSGLMTESAKSCRRLWGWLSER TSTA_033230 MEREKHILLSTRIAALVHAHFDALPARYKPRTRDDGSREWIPMS GFVVVQGQNTPSETLTCVAIATGARCLSASQIPLCHGMVLHDCHAEVLVVRALNYWLI NECSAIIQQEQQDAASGRDQIKPKIPFVRRRVNTASHDMDPPFEIHPDVKIYMYSTCA PCGDCSMELCMAEQEDPTPWVISPATYEKGDQPILLDGRAHFSVLGVVRRKPCRADAE PTLSKSCSDKLALRQVTSLLSYPANIQIAPTSSAYIAALILPEEEISQVGCERAFGGG PTGRMRALVGQTFPATDASNSDIDYRFRPFEILPVPMDIVVPRWPFGKFRSDLANKAG KASKPGNISAVWIASPSSLEPYQVCHTSQTEYKPRISPESTAVVECIIGGVKQGSKLK SMSLRGASVLSRVKMWDIVHETCTRIGGEDSRWQDILESRSYSDLKRNHSRFPWLVAR SLAAKEAKNVLKPWIPNSGDGDWSPLPTRRLVGVSSHYSNFSSITDSDGSVDRPDDNN KTYSSSNYNDEDPHTERYRRHQARQHKLDVDALGKPGQILVLPLKKRRRPKVKRQNDH KSDDTSTNDASPVSADLFGTHKIEVDSSNYAAYLDELHAPYSPGDTVSREEYLELQAK IEIGFTLGQLSKYYSTFPRPSAPLRPKEDIEATPNDSDMISDGEQWLAIESTDPIIDE NDTSLNGKPSKPRENNKAKLRKDILAERILRDCWQLEVHDEMGSLNIHLPSQVISVIL SSEQYSLEELAHSHGTKIEPFGSLNFIRVSGNRSACESVREIVKSYGAEIRTESFEQP LLNDKSWVVDGKQAKNRFLESIAETFGVFINDPRRSSVTSAAYTAKEDDFVRLKRDLE FANSTQSANEQVPFCTDVPSSAAGFMKRISTKRRQVMSLLERGKPWSRWAIPTSIQDY NENQLPPLFSQHRPTFSSEILQILREKPSNQGGLEEKLSATIGQCLFRTPNSLDSLTK INATQLGERSFPRYFHGWLHPKGESLVKTRRIFPGDRTQIRLHRFRMTPCKPNNHKLP ILEVEISVPWVNAPEAKFPFSGPELLSVKAIVEESSIDYLLPEVNYDIRFTRTLTREI FNVTGTEQPEALRVALLSSLNEVLSDPRKPFPPSCHVPVPGLPTQAQDSSNRAEVGTD TIEGTYWFPGVQQAIGTMIRTYEYSGERLCYSPNVKGSLDSKSDHLNLEMFVNSMPSY YTRLQRRNNVDETLDPASDTLEQEFRAFYMTASQYPKKNGLMKDDSIGPFFLT TSTA_033240 MQSNPSPPTATDIFRYRYQHGTNLGSIFVLEKWLHPRMFEKDSK GSSEIEAVTQSLKSNGLQATRQKWEHHWQSALTKLDLIWLTDTAKCNSIRLPIGHFSL GPQFCKGTPFEGEVAQVYIKAWRAVKKIINDCYDHGIGVLIDLHALPGGANINAHSGT NSGKAELWTFDRHLKLATECIKFIVQEIVTYRLSNVIGVELCNEPSRAASSAVFKWYD DALAMVNTIDSSIPIYIGDCWDLPTAIKYAMKKNKLDNARNPVIVDTHKYYTFAAHDH SQAPQQIIERVKGSLGDITKNKRDIASCKTALSVYVGEYSCTMDGKTWSKVDNAHRQA LTQQFGRAQTDKWQNMTSGSAFWTFKMNWMDGGDWGFKQQVKTGAVTPPKCLTFSVDE IKSKCHRANECREQHRTRALVQHSNYWDKTSSGTKFEHWRYELGWDLGFNDAMAFLCS KLDGKLPNIRQVAGGDKIGALELWIGKRMLETGQLSSPLAWEWEHGYRKGVKDFDKLI YT TSTA_033250 MELKRKLEPSLEIMPDQMTFSVVINLIVQAFLFSSEGDGKLMEL YDKEDEEQEGEEAEEEALQSIPLPGKSKDKRKKKNEEPDERGKNIRDIMGPMGKLHNN VVHIRKSANRTTWFKDRAGKIIPLDNRTSWKSWFAMLSVALEDKVKAGLQLYVEHYQD DVSKDDILTTSEWVQLRSIHDFLQFFHEATLYLQGDHTTLERVLESIDILQSIIQTTL ETASKAKDEFMAPQLQRAQEKNITTEGEKKLYIVRKLWERFRDRIPFSAVLYESESVG KHALQPEENLSVFHKTRRMHILKRIRPRYQDEFDIYVGENPVILENDTTAIQWWSRPI LHGGYPRLSQLAIEVLSIPGMSGKPERVISGYHRRVPWDRTKASVRLLEASECVKDWV AQGILVDTLL TSTA_033260 MDRTRVAKVEDVTLARRGEQVTGTLHLTPHHLIFSHTPHVSEEA LASGTPIRPRELWITYPIISFCTLRTAPTVSRQPSSVRLRCRDFTFVCFYFASETKAR DVYDTLKQWTCKIGRVEKLYAFTYQPPPPEQGLNGWQLYDARKEWNRQGVGREGSNAN WRISTINADYSFSPTYPALLVVPSNISDNTLNYAGRYRSRARIPVLTYLHPVNNCSIT RSSQPLVGVRQNRSIQDEKLLAAIFSTSQTDRPLAGFTPPSFEQDSTNSSWEEGASIQ SQEFDLTNAEELEDEVVSAARGATQEKPSVYGAQQRNLIVDARPTVNAFAMQAVGLGS ENMDNYKFATKAYLGIDNIHVMRDSLNKVVEALKESDVTPLGPNRELLAKSNWLKYIS VILDGASLIARQVGLQHSHVLIHCSDGWDRTSQLSALSQICLDPYYRTLEGFMVLVEK DWLSFGHMFRHRAGLLNSEKWFQIENERIGGDTNRSFGETSEPRKALENAFLSAKNFF NQKNNSRDSLADDDGEGSNYDTDSPLRKTSSTPRSTVSEKETTKVKETSPVFHQFLDA TYQLLYQYPHRFEFNERFLRRLLYHLYSCQYGTFLYNSEKERVDCNAKTRTRSVWDYF LARREQFINPIYDPLVDDKQRAHERLIFPRIDEVRWWNEAFGRTDAEMNGTRTTSNTA FPHRSGQTTGRNSPVLAGVETTDTTIGDVPPSKPIPAGISNLTTELSNMSIPKFSQSA DKSARKEMELEMQ TSTA_033270 MAATQGAISKRRKFVADGVFYAELNEFFQRELAEEGYSGVEVRV TPTVTDIIIRATHTQEVLGEQGRRIRELTSLIQKRFKFPENSVSLYAAKVQNRGLSAV AQCESLRYKLLNGLAVRRACYGVLRFIMESGAKGCEVVVSGKLRAARAKSMKFTDGFM IHSGQPAKEFIDSATRHVLLRQGVLGIKVKIMRGSDPEGKSGPQKTLPDAVTIIEPKE EQPILQPVSQDYGAKALAAQQLAEQQRLAEQQEAEGQEGAAPAEYAQE TSTA_033280 MPTVSVDKAALFKELGRDYTTEEFDELCFEFGIELDEDTTNQER PDNEPPQLKIDIPANRYDLLCFEGIALMLNVFLGRKPFPNYRLVQPASGQLEKIIVKE DTTKIRPYVSGAILRNVTFDEARYQSFIGLQDKLHQNIARQRTLVSIGTHDLDTIKGP FTYEALPPKDIRFTPLNQTKEMNGEELMAFYDKDRHLGRYLHIIRDSPVYPVIYDSNR VVCSLPPIINGDHSKITLDTKNVFIEITALDKTKLEIVNKIMVTMFSLYTSEPFTIEP VEIISEHNGETRVTPDISARTTQAELSYINQCCDLNLSAEEVSKLLTKMAYKARPSKT SSNLIDVDIPPTRADILHQVDIMEDVAIAYGFNELPRSFPSKSGTIAQPLPVNKLTDI IRLEAAMAGWSEVLPLILCSHDENFAWLNRKDNGNTAVKLANPKTLEFQVVRTSLLPG LLKTLKENRYHTVPMKIFEVSDVAFKDLSLERKSRNERHFAAAWYGKTSGFEVVHGLL DRVMAMLKSAFITGEEGLENTAVSESRYWIEELDDPTYFPGHAASVHVNIGGKEQVIG SFGILHPTVLENYELKLPVSTLEINIEAFL TSTA_033290 MDSTAESDELYPIAVLIDELKHDDVLLRLNAIHRLSTIALALGP ERTRDELIPFLDDSVEDEDEVLTALSEELGNFVEYVGGPEYGHVLLSPLENLAAIEEP LVREKAVESLNKICDQLSPKQVEEEFIPLVLRLSKADWFTSKVSATGLYCAPYRKATA GMQQTLRQNFGSLVRDETPMVRRQAANNLSKFVKELTPQVIIDEIIPHFQYLTSDDQD SVRLLTVEILISIAQEIPKEQQSSHGILLTSLRNLFEDKSWRVRYMVADRFEQIAKAV DDEVVTRDLVPAFVKLLKDTEAEVRTAIAGQIPGFCNLIDRDTLLNEIMTSIEDLVSD QSQHVRGALATQVSGLAPILGKEETISHLLPMFLQMLKDEHPEVRLHIISKLDIVNKV IGIDLLSQSLLPAIVQLAEDKQWRVRLAIIEYIPLLASQLGVKFFDEQLSDLCMGWLG DTVFSIREAATQNLKKLTEVFGVDWANQSIIPKVVAMGAHPNYLYRMTTCFAVSTLAP VLNLDIIENSILPILERLVTDDIPNIRFNVAKSYAVLVDTLRRLPASGTLQDLEKAGE SGTPAPHGQELIQQRIIPQLEKLQQDDDVDVRYFATTAAGGQDEAMQTSP TSTA_033290 MQQTLRQNFGSLVRDETPMVRRQAANNLSKFVKELTPQVIIDEI IPHFQYLTSDDQDSVRLLTVEILISIAQEIPKEQQSSHGILLTSLRNLFEDKSWRVRY MVADRFEQIAKAVDDEVVTRDLVPAFVKLLKDTEAEVRTAIAGQIPGFCNLIDRDTLL NEIMTSIEDLVSDQSQHVRGALATQVSGLAPILGKEETISHLLPMFLQMLKDEHPEVR LHIISKLDIVNKVIGIDLLSQSLLPAIVQLAEDKQWRVRLAIIEYIPLLASQLGVKFF DEQLSDLCMGWLGDTVFSIREAATQNLKKLTEVFGVDWANQSIIPKVVAMGAHPNYLY RMTTCFAVSTLAPVLNLDIIENSILPILERLVTDDIPNIRFNVAKSYAVLVDTLRRLP ASGTLQDLEKAGESGTPAPHGQELIQQRIIPQLEKLQQDDDVDVRYFATTAAGGQDEA MQTSP TSTA_033300 MAWPYRFILSLSDEELERRRTTLDNRGHYAQLSALILLGAFFLF SLGKGNRFEYNRGKSWWDVPAIKGASETRRQYAVALSWLLWLVTLSIWRTDDVFFKRI RDSDVQYGLAGTSFAILILVLGRTNVWKLRDLIGASRPEARRQLFYVVHLVLVGIFYG LAYSHVKYARPFVLEAMGAATVNLYIPLAFVNIAPIISKH TSTA_033310 MRVSRTLYLLCFLTIAAFTLFFLRSPETDTGPKAPFEKSLPADF ENLLQPTTEDSHPIARLINDANTDFDAKLARQSKSLTEAVEEYRRRYGMPPPPHFDKW FAFAKKRGVQLIDEYDTIYHSLLPFWALSPRVIRSRVHEALGYDNALIGLLIREGKVT KVEGGGDGYQWQREATVGMLESFVEYLPDMDLAFNIHDEPRVVVPHEDLHRLVSYAKD TAIPATLEKTSLHNKWSPRPSDLNKGDRIEEVRTTRFNKFAHQPTWTHSRLSCPVDSP ARSLEENPRDNMEPYATSDLGFIHNTTAFTDICLSPSLRNTFGFFDRPNAFNIVQDLF PIFSQSKISSFQDILYPSPWYWIGKVSYNATRDYVWEDKISQMYWRGSTTGGFSRDGG WRRQHRQLFVKNVNSASDATIFSQREDGRWITSNVPRQDVKSLFNVTFTYVGQCDPGD CRAQEEYFDISPSVDQQDAWAYKYLLDIDGNAFSGRYHAFLRSNSLIYKMALFREWQD EWVDAWVHYVPLGMKGTDAVESVRYFAQEAEGKIQAPRLAKQGKEWAQKVLRNEDLEV WFFRLLLEYGRLIDDDRENIGFMP TSTA_033320 MAKTYLGALNSLLIQAIGLMFMLYYWFLTIINGQFFKKPTAEYK SELALARDHFWNLSKQPFDLHHRFLTLRDGFKFHYVTNAIPDPQTPASKPLVIFVHGF PDSWVVWRYVMGSIWLRETSNLVAVDLPGYGGSDLLKRYSATEVHEKMTEFIISLREL YGIDNPERTGAQQRVIIVAHDWGCVISMRLAADAPQLADRFVLTNGPLISLVQSNIKR LISSSVKMLKLFSHSPLQSRSMVKKALHTMNPVIRQAVASGYVFALQLALPIVRLQGS GGDTALLRAIHKMAHGVNSIPSAKEIAEGVAGCLGPSLRQENTETSDSERYSKDVVKQ SYGEKYVSMTNYYRQGTSTGRWKKSLETITGLYSLSDGGEIRRKSSHSGVFDEGPEGS LKAKATIVWGMEDVALNPHLNLDGIADYLSRDSQVVTLPRAGHHTPVEKESRLALQKV VEWAVAGEEGDVGSVAKAVYPGAVVTVTA TSTA_033330 MTSSTPFVPPYTGSTVDVSVILADGTGVPCAWMFKNAIPGHNIL DVPCFSFLIENKRSGKKILYDLATFDVQQGVADQLIASNIPIDSINEIIWSHHHMDHT GDPSLFPSSTSLIVGSGFKSDKTTFPGWPRNPYAITVDDAFEGRKVIELDFSSSDLHI GGFPALDYFGDGSFYILQTRGHNKFKTFLSTELFLAMELINLNFTIAHDHISALARTA EDKFIFLGGDISHHPGEFRPTTQLPIPSQIDSSLLGNHIHQGASHPAIQKDDGSDCKT SPFYEHNHMTNASPQEAQVTIEKLQGFDCVADVFVVIVHDSSLLDILPFSR TSTA_033340 MPSDSYKKQELAIKIATEELAAKKFKNIKDAARAHAIPYTTLYN RVKGRASKSTRKATNRLFTEYQERALKAWLAYLDNIGVPLTTELLKTQANLILRNITP LGDVPRVVGPNWANRFFERLGTDYARIVQKPMDPNRFNAQDFGVLQTWFDRLKIEIDT YKITPSNIFNFDETGFRLGQGKREAVITAYERNEVIDSAGSRESITIIECINVLGKAH MEEWYRDLEDDYVLAISPNGYISDELAAEWLMHFDRSTEEEENKGYRLLLMHNCGAHL TWQFLDYCQRHRIICYSFPPHTTHILQPLNGVLFQQYKHFHGRAVNRQTRLGGQSYDK SDFLHGLHKVRLQAFTRRTIKAGFRNAGIYPYDPKVVLRPLQAKIYSRGSPIL TSTA_033350 ERNIPSSPTTGSRSPPRDTLAFEKDVKRLRRDIAAISDFFDQIS PFLSRRVNRIVDAGLSKAHQSDLYEHHIELLTEIGGVLTVNDTNPAIENRKLDEMEKN KRRIERERERAREREAREMAQQQSLQAIQEPIDESAVSYLPDSSLLFIDIEGEARL TSTA_033360 MADPCLFHATLFSAAASIDILMGRKATAVTLYHQTSTIGMLSER LNQEFPLLTYGTLGSVLPLIFYNMLLSTPKPLIDEMGPLIGVIKMYVLPSYITLSQSA AYRGYRTMLSYSCVFDTPPVWDCLHAEFGRSNSILRSLVSSSTFCIGGLLFEKETIES VLDVYEAFSKLDQLSNASAATITTQLVQAITPTNDNLSYSEESKDLSMDNASRMNACC RLSCLIFWELLQCRSQTMTNILIINERAKLLKESMAKISYSFWIRKAPEAFTWITFTG AAGCIDANDRGTFIHRASTILTAVDNENLTLMTQGWKYFCLLRRISGLCRSSADSIYG VD TSTA_033370 MCVPYEETKDGFEMQLAVNYIGHFLLTKLLRPILVTTSKLAPKG EVRIVNVSSDGHVKLAPKQGIVFPEMNMKEYTVWTRYGHSKLANVLHTKELAKRYGDI LSISLHLGTVKTSALLDKRD TSTA_033380 MTVALESFVPNLSGRVAIVTGGHAGLGFGTSIELAKNGARVYIA SRSAPKVEAVIQAIVAECPNADVHFLRLDLADLTSVVEAAQEFKKHTSFFVLSAELRV LLACSKGESRLFIC TSTA_033390 MATPGEAVYCTLLTSDHYLPGAVVLAHSLRDNGTRAKIVALFTP ETLKESTIRELQSVFDEIVPVQRLSNSTPANLLLMGRLDLVSTFTKIELWRQTQYSKI VYMDADVVALRAPDELLSLQEDFAAAPDIGWPDIFNSGVMVLRPNLQDYYALRTLAER GTSFDGGDQGLLNTYFKKWHRLSFTYNCTPSGNYQYMPAYRHFESTITLIHFIGQQKP WTQSRHAFASGTPYYQLLGRWWAEYDRHYRSMPASLLSAPSPISSQFQPPYNASTTPQ KNIPFYTTTSAQSSIPVQDVHKVYQHQVHHHEPSRSREKTPTQIAEDLHVLRRSPVSA GFDDVSTAFAPVLAHPQPIHPPSATQEIRGHDHHHAPMEVPAEVRSVVPLYVHGEEQS AVYVTVPSTGTQNFTDLRAQFITEKAFAPYHRPFNDVPATTQFQAQSQKPPTPPPPPP QQPEERPFSPPKSEWDASREPPPRHSRPEALSLETQTYTMSEDTHLFRPPPSYPEAPK NMYYEVPSTKPEPQKLAPIFPWEARASKPTRVFAEEPPETSFVPSISDVIENEPRSEQ PLQTEPRLPEQPQQPLSGITAISEYTTPDFVPAKSWETFARGNAWDEVPEINKYIDAI QQRSKKTTNGRGGKAGSTKRPQSLKLTDFPTEVDRPSLPVTPAPIRRSFWDCQEGQQQ ESSEFPIAEGVPNQCDWVGVTTDAFLQLLIAIYSYWKITEPARSTRGVTAAPVRTLQD DDYKSSGANRVVRRD TSTA_033400 MPYLKSDSVQGHQADNAFEKLVKDLSAALGPMSGLDSEDVDPLD IQRLMEKYASDETEWNVYALADPSRSYTRNLVDEGNGKSNLLILVWSPGRESAIHDHA NAHCVMKILKGSLKETLFSWPEKTNTPNAPLQITKETVYGENQVTYMSDQLGLHRISN MDPDNYAISLHLYTPPNAANYGFSIFDEKTGKSSHIKQCDFYSRGGEKV TSTA_033400 MPYLKSDSVQGHQADNAFEKLVKDLSAALGPMSGLDSEDVDPLD IQRLMEKYASDETEWNVYALADPSRSYTRNLVDEGNGKSNLLILVWSPGRESAIHDHA NAHCVMKILKGSLKETLFSWPEKTNTPNAPLQITKETVYGENQVTYMSDQLGLHRISN MDPDNYAISLHLYTPPNAANYGFSIFDEKTGKSSHIKQCDFYSRGGEKV TSTA_033400 MPYLKSDSVQGHQADNAFEKLVKDLSAALGPMSGLDSEDVDPLD IQRLMEKYASDETEWNVYALADPSRSYTRNLVDEGNGKSNLLILVWSPGRESAIHDHA NAHCVMKILKGSLKETLFSWPEKTNTPNAPLQITKETVYGENQVTYMSDQLGLHRISN MDPDNYAISLHLYTPPNAANYGFSIFDEKTGKSSHIKQCDFYSRGGEKV TSTA_033410 MATTVDKIKEIEAEMAKTQKNKATSFHLGQLKAKLAKLKRELLT PSGGGGGGGVGFDVARTGVASVGFIGFPSVGKSTLMSKLTGQHSEAAAYEFTTLTTVP GQVMYNGAKIQILDLPGIIQGAKDGKGRGRQVIAVAKTCHLIFIVLDVNKPLTDKKII ENELEGFGIRINKQPPNIVFKKKEKGGISISSTVPLTHIDNDEIRAVMGEYRITSADI AIRCDATIDDLIDVLEAKSRRYIPVIYALNKIDSISIEELDLLYRIPNACPISSEHGW NIDELLEMMWEKLNLRRVYTKPKGKQPDYSAPVVLRSTACTVEDFCNAIHKSIVDQFK VAIVYGRSVKHQPQRVGLSHELADEDIITILKR TSTA_033410 MATTVDKIKEIEAEMAKTQKNKATSFHLGQLKAKLAKLKRELLT PSGGGGGGGVGFDVARTGVASVGFIGFPSVGKSTLMSKLTGQHSEAAAYEFTTLTTVP GQVMYNGAKIQILDLPGIIQGAKDGKGRGRQVIAVAKTCHLIFIVLDVNKPLTDKKII ENELEGFGIRINKQPPNIVFKKKEKGGISISSTVPLTHIDNDEIRAVMGEYRITSADI AIRCDATIDDLIDVLEAKSRRYIPVIYALNKIDSISIEELDLLYRIPNACPISSEHGW NIDELLEMMWEKLNLRRVYTKPKGKQPDYSAPVVLRSTACTVEDFCNAIHKSIVDQFK VAIVYGRSVKHQPQRVGLSHELADEDIS TSTA_033420 MVLFHGKSTRIVMQGPVVYLYQRGEIFLCMMAFASTMYCQVKRG EDGHLRTEASLHKSDIPPVKLNDDAMNQSDPETGDLLRDKNVPRTLGGK TSTA_033430 MDTDAPNGQESDYGSSPWVDLGAFESPGSHHSPPLPDFHGFSYG SSPIMPLEPAYSMSIPPPYSTINLTMPAHPWPSMLTTQSPFPETSLSPAPTPTLSIPQ PVPIRPLHTTPAPSAPTPRRTLTDEDRRRMCLYHEENKSAKQTDIGALFGVERSTVSK VLRQKEKYLSMDDGSRSPIKRSKGKVPDIEKALANWARNYQRQGFVLTDAMIKEKAHF FATTCASPDGKQKLLTASWLEKFKQKNNLGGSKSRKNSVDTVASDGEGLPHLQSPTGT LISTGVSPVSPTGLTPSPLSPSLSQETLKRELGDSAFLDFSKDPARHSHSQSTTSLDT TPSLSAGVASPTSPLVSESPYTPTVRSRLPSISSAASRPRSQTFPLTGDAECGNGEQH NITSSKHSMNGSLSVSVVDSPLDEDQEHKHPTEITSDYDNKNSMKHRGSNSDLKSITT SMQPPPVPTSKSSAVSSPVSSPGSPTQDEARRALELVMNYIQNQPSGLGLQMQDYVTM GKLMEKLDLVHNNQSISGRLHRIDEDSDGPQMTKKRSINSLT TSTA_033440 MSSNQVPARFDKHILLLFTVRTTLFPSNARPGSASTNNNVVGQQ PSRMSNDASSPVQQQQKGQRSNGTDPQTDTDNSDTKNSSIPAPADSTPSPSEIAAIKR QCAAEILSLIPKSIARAFFGGGAKHRANNDTTNNRNESSHGELPPSTVNGSSRGSSAA TNHTDENKDDKTEELLEAIERDLLDPFSDAYCNKHLIYAIVELFLIKLMPELSEHSIS GLMEERGIVS TSTA_033450 MTTSLPRRGPSPFNTAATTSQSRPSNGPYTSQQPGRTKSRTEHR RNTPDAELTDTSGDKNTVTLIRRVLCPDASAYGSSAPRPLQDLLPPLTSSNDVDLQLY ALIAVIIKEFVYSWYARITPDHVFVDEVLQLIAHCTRALEQRLRRVDVAQLVLDEVTG LIQAHLTAYRIAAQGSDLISLKSSTPEIYHNLHAHPALSPVPDVSAASRISEQQENEN VYRHLLAQGVLAVLLPTEDLENVCLRTLVEDVLSDLILGNQVNGRVCEGWFIWTAISK VITVVKQRNSDSTMTVGQRLDVVADANRLERFGLLSDDGDRDENARESKQSTVSQWLW KILYSVYLAYFTMRFIIGGLLHTALSNPEAATAEILQADPVTTIKTANQPSLCRPVLR YRAFSFISQLMGVPQKMPWLTGSASLVQSLILAGPGKLGETGSVLDR TSTA_033460 MPPSTIPVWLDCDPGHDDVFAILLAAHHPSLNLLGITTVHGNSS LANTTANAGSVLEAIGRPDVLVYPGSKKPFSRAAVHAPDIHGYSGLDGTDLLPKASRP PVLDINPILAMRNALLAQPPNTAWLVATGTLTNIGLLFATFPEVADHIRGLSIMGGAV GEGFTDVPMSRLPGEESRIGNTTPWAEFNIYCDPEAAQSILSNPILSPKTTLIALDLT HQVLATVPIQERVLKTTTSQASTASKLRRMLYELLVFFANTYHSRFGMEGPPLHDPLA VAVVLSSLNSDYQQAHPDTVLGFNDKNGERFAVQVVTDGQHGSTTAVTGQLGRTIAKP VEGQGVSIPRAVDNDAFWDLVLLCLERAEQCTPLGK TSTA_033470 MPPTSSSTETPGISSSAVANPYLRELQAISNPVPNLAATLISVP VEADDAHHENVNFLRTRPHSPSESSSRARRRRLALLPEVDLESMDIDEQRRFLPAEAT PRLPTSSRSHEAYNTNLSSEGRISGPRSLYGWAPGSQEEHGNEYMGYQHTAQNEVPDS IWTVGRGLDREAGRNTSLSRISRREGSGVILPSYRIESTETTEPNSRHWSDHNSSTFT EALLQSVRRGARYASRTRTIQNYLLDRERLSRQEANERDREERDRERDRTGAASSSSS RALRMSLRNISGDLRDRLNSHRQFLTDNPPSAKLRETIKYLERVRTSSSLSESIESAV RGGVVQYDHLKGAYNESDFILDTSFIKPPTPSSWLRPGTVFSGSQKAVNSVGGRNFEM LSHRISQPTESSGHDSSSTRISVSTSSGRRYWANDSSLSPLPGHHFPSQRRENSKHEL WPVKVTIHSIDYSTMTLTGTMEAYNIPDKTSPTRDAHIVTFLEGEIIDLSTHSLETTS FKADADIDSTYWRELRPFRGLSDDQMTKNLLSKKWVTDEMNKNWILMRWKERCFITPT DARQGLTISGFYYISLHRGTGEIEGLYYDPGSSPYQQLSLKPERPTMAFPAIDFR TSTA_033480 MSDGEETISNPPVAEAEDVEVPAESSGGQMSINDALKGVLKIAL IHDGLARGLREASKALDRRQAHMCVLNESCEEEAYKKLVIALCSEHDIPLIKVPNGKE LGEWVGLCQIDREGNARKVVNCSCVVVKDWGEESQERSILLNYFQTEQ TSTA_033490 MEQFPLFMKLQGSTQSLGVQYQHTIRANSHKLTQSKEGSLVKWI SDLDKCGLSPRCSLVREMANCLLSQRGNQQVGERWVYNLIQRRPEIESRFSRKYNYER AKCKYPKITQEYLDRVRDVIAEYGILSEDIYNFDETGFAMRLCATAKVITVSDRYNRP NLLQPGDREWVTAIEAVNSTGWALPSYVIFKAKKYSIKLV TSTA_033500 MSDADGPPSSSLDAASTDGPDMVQRDNVARKSSPSPHRQDEKPS ASPDNVNVDRDEGSTRADSEAETIIQSGRESLSPEKRRKHIHHAAHVSADKGRHGDGL ADKESSPNLRKRKRAEEDLRDNEQFVFATKPRSRTSSLSSVVKREGTETTEPVKARRN SLRSLPDSRQNPDAHPRSRKRSPSGSVMDVELTDHDTHNQEHRRRHSTKERERRENTH PTATSTSSVSKNRSVSPSYHSHKRASSGPQQGSSEIRKRKLPAPLANNHRRQSSEDRR SVSSSASASPMPSARSRRLTSEGAHVSSAKPTAHKKQRDQNGRTRLARACAAQEFEAA VARHAERPEDLNVADNAGNTPLQIAALEGCAPIVKFLLDAGCEIDTRNIDKDTPLIDA VENGHLEVVKLLLDAGANPRLGNAEGDEPYDLVPSDTEDYEKIRRIISHAKANPPRKR RSEEHGTSSSAKGSVPRATSGASPRESSPAHMMISPPPGSSTFSRRKTVRSEATRNDL LWTKATPENLCNFAAKGDMAGVANILNVIQKADTESLIAAAKGGHEDVMSLLLGMGDA DADPEPVQNSNCKPGFNTPMLAAIGRGNLAIIRLLLEYPGFNPARRLYRGLAYYEIAE DRKGENWEEEVELLKRAYDKYKGMKKARKAEAKSPKRSREQEKSSKKPARQQSSSPVS TLRKPVRSPASSRSVDVGKESIKKRDPSLQRKEKFATTVRQKPTTQDDVLSEHSVDHE ICKPKRSLAHRRQSDASTANRGDDVPKRRRLIAGRPPDRDRRRLSLMSTDSVSSREEP PRSHIRVTKDGKEIKDTLEVPVKLKRPRNSVSPERSRSRGSERGRAFEDISQKKKRRL QHEESSRKLVNGTHKKSDESSTEATKVSASRKDHHEIGKNSKDAVSEKRVVRTGEASI PVKQEHKKQDVEELERIPMDENSGKEAEASAVKAKEEMLRKERDARKAQEEEEKKAAE QLEKERVAKEEKAARRAEEQARIAREQGEEEERKRKEVEQRRARQQEEERQRRAEQER LRIAKLRREHEEQEQRRRDALPNRLRISANLVGANDPRAKSHSWLKKFMPVVTARTIQ LDSSCGPEVAEEVWVPNFLVAPLLATNDLQLSQYASWEKRQATYTQRLNLWRVTRRIL VQGDDMEVPCSSFGEVIQRDGETRPKYFGMEHIFWVKLSDFMDLVPHIPHLNGLDIEF LKMHIDQEPSSHELPDSLDGPKVNGNGYYPSDRHETTATVNGLTNGFGHSRPSTYV TSTA_033510 MPSSETSIVQNILGMDVADLIRLCFIFAACTTFTFFSIPVLNIR FVNYGARTSGRNNAVPSKSAAHEPTDESSSTPSHNAGFISQLMDTLTTWQVPHRYFTH YYVLSVLSSAFWISQLLSKGPAFRFVASNMSEEHLQNSMTVHQVILCTTLMLIQGGRR LYESFALAKPSSSRMWVVHWLLGLCFYAGITTAVWIEGSGSVLSTTLTIHDLRVSQVP SLRTLLCLPIFLIASGTQHDAHHYLSSLKGYTVPKHPLFQWIICPHYTAECAIYLSLA FLAAPHREIVNKSVLCGLLFVVANLGVTAYNTEKWYKAKFGEDSVRGKWKMIPGIF TSTA_033520 MTVLDTASVTSLPEHAYYIPNFITADEEEHILKQMNSVPLPRWT QLSHRRLQTWPSALTKLNALLAAPLPEWLHDPIISPRLEQLGCFSDAPHKAPNHVLIN EYCAGQGIMPHEDGPAYYPLVATVSLAAPIVLDLYEKKMGRESVGVGAGQEGMGPKYR VLQEPRSLLITTGSLYTQYLHGIAETLRDDKLTPESICNWDLLGDPQNYATGTYERKT RISLTYRDVLKVAKLGNSIKFLNRGK TSTA_033530 MGPKKETKGGKGKGKDSSDGDDKGKGGKGLKAANSINVRHILCE KHSKKEEALEKLRNGAKFDDVAREYSEDKARQGGSLGWKVRGSLHGDFEKVAYELEPS TTANPKYAEVKTGFGYHIIMVEGRK TSTA_033540 MARTKQQAPLQKTPSSDIMERLSDLPESNATLQNGHSTPSKPSA NGSANSKPETSGTEDNSPGLVQLAICVGGIYASFLLWGVLQEAITTTHYPAHEAKGES ESAERFTFPIVLNTIQSCFAAVTGSLYLYFSTPNGQPVPSIFPNRRILFPLIFVSISS SLASPFGYAGLQHIDYLTFVLAKSCKLLPVMLLHMTIFRKRYPLYKYVVVMLVTLGVG TFTLYHPGTSKKMAASTHSGQTLYGLFLLFINLLLDGLTNSTQDHVFSSPNLYTRYTG PQMMVAQNFLATVLTTTYLLIAPYLTDNGPIVSLLPFQIPPSAGLELSYSISFLQRHP QALKHVLGFAACGAIGQVFIYYTLSKFSSLLLVTVTVTRKMLSMIISVFWFGHSLTHG QWLGVLLVFGGVGAEGIVQRREKKAKEAAKLKKNH TSTA_033550 MFTTDAANKRIWSLRQGRMPSSTEDAPKPSALTTLISTTSKTKS PDPLHALTTQVYHNLQHQHLWTSLRIHNPSDYSSLPGFSVPLISGIPPQRMYTHPDEQ LCLLDLGLREEDLPSERLWVLPSTQGQTWTLGKLATVFDALPPRTGADISTGTAEEIK ENVNKDKEAKLAKYFEKKQEADKQNKWGGKRLLLAMVDRLVGGDGTVVYYVVQEGHVK PRQN TSTA_033560 MAASPQPTPIPQVGKLVSVVPVGLKEAALDSPTFRATTVHFADQ IEYVERWLDGYAKAAGKLASELTVFEQSMTSFMSFMINSLNVSEAVIDHDYTLLAVKR SGDCLKDTWGSLLGSIRKADRLVVDPIKNFIQGDLRTFKETRRTLEHTQRNYDHLLSR YSGQAKQKEPSALREDAFQLHEARKAYLKASLDFSIQAPQVRNTLDKLLVKISFDQWR ETKLIHDHNITNFPKWGQDMDRIKGWVHEMENSERYSLKELLSARKQIEDAAELATRP SRELDDYSVSTVPYLGQTLSIKSTGEKPSKAEKQGWVYLRTTTGKPTRTVWVHRWAFL KNGIFGCLVQSSRTGGVEETERIGVLLCNIRPAFQEERRFCFEVKTKKNTIMLQAENQ KDLMEWIGVFEAAKQKALENPASSVAGKLVVQDPAFSISQPPAPEFTSDSTEFLTSNA GDDPSNLERTPTLPVPDRDPSSVRASGDFANSARRIPGLDGEAGSGRDHASRIIQKFD IHRKSTSPVAGSPIGGSSGGIASLITASHSLLPYSPATVLTRSQAEIDASQLGRDDQA TTLAPSTLANPPAPTSMSKVAVAVSNERGIGVGLADSTGGMPSGMMANLWGSANWGFV NTFHTEGIRLRDPKASSEERASAASSNTVVDDSKASAAAPSTTRPAAARHRQTVSLDG DASKLQRSVIGLTHEYPSYYPQQLRFQDAQFRLLFPHVKREESLVMVFRATWNPNDNQ EFPGRAFVTTQNLYFYSHHFGLVLTASQPLDSVSEVTAASGRDCDFLFLHIVPMPGTE TQRRVTVKTFLEPLRLLQKRLNYMIKVATSEEPTDLETIFKALVKMETDSLPRSVSLD SWEDVSLDVPTDSRASRSGTGGYKDLKAPVYVEKDLSADFERAGNGRDIQKFKLPNQP VQYVPQGNLHVAAEKVFDISSKALFHVLFGDKSVLWQSLLHERMASDIKQGPWRTLDS GHMRRDFDYFIERNDLFGRLIKTRVSDYQIIDVLNDHLCYVVTDKRTPWHLPFRRNFR LVSKIVVTYISKSKCKLAIYTKVEWLWSPYVLKSIIDRQAMNDLEQDALDLVDLISDQ VRKLGPRSRTKRAITIFGLIGHEKGVFQYSTADARAITQAHKSRKQRSLTYLIFESTV SFLESAVSALMMWFLALVRWIWKTCNAHSLILVLLGSSLLINGFYSTRDTYEWWHERK ATSLLTRLGVHSDNFMSKAIYVRDLEDAIAYADSAWARGPDSNSSYCFSTFHEQMMFN NDNPLSFSSKYPTDDYVEWNANRRLRATRQRLGTYRHDLVVALRVVNRIENEVLKGEW ERWLERETSRCDRVSGILESQVGDREKNESVLSGHEQDVKRWYDQYCSSCHEELQQLE SSLV TSTA_033570 MWIYKRMPRIVAHVDISAFLVNNAVLDCVKVYCQTLPTVAHVAQ L TSTA_033580 MEPPSKKPRKLLEDSESDSDSDSQGGVSVAPDAGFKINEEYARR FEYNKKREERQQLEAKLGKTSINSRNQGDGDEQSNDEEDSSDEEEEDEDGELATEALD TEIFATLDAIRSKDPRVYDKNAKFYSEVTESEAAADQEKRTEKPMYLRDYHRQNLLNG GVADETKDTPKTFAQEQADLKKSVLQEMQAAANDQSSDEEEDGDFLIAKPKPKDDEVA QKKVDIELDVENADKDPETFLSNFLSARAWIPTKTAQFQPFESDDEEEEKRAEALEEA YNFRFEDPNKLNEKLITHARDTANQFSVRREEMSSRKKKREAEREKKEAERRERENQK NRLRKLKMEELEEKVQKIKQAAGLKAADITEEEWARFLDDNWDDEKWEKEMAKRFGED YYAEDDAELDGDEESTGKKKRKPKKPTWDDDIDIKDLVPDFQDEAVPPVSLTDDDEEA ANEEEDDEESGRATKKSRTKEKHDQKRAARKEKRLIEQAVDRNLDLDPTLLPGATRKN ASQFRYRETSPQSFGLTARDILMADDAQLNQFVGLKKLATFRDPERKRRDLKKMGKKA RLRQWRKDTFGTEEEPEFVLPQAPAAAPEKNDDEMKVDIREGGSRKKRKRSKKH TSTA_033590 MASQGDKTVFGMPGFVVDFLMGGVSAAVSKTAAAPIERVKLLIQ NQDEMLRAGRLDRKYGGIMDCFRRTAAAEGVMSLWRGNTANVIRYFPTQALNFAFRDT YKSMFAFKKDRDGYWWWMAGNLASGGAAGATSLLFVYSLDYARTRLANDAKSAKGGGD RQFNGLVDVYKKTLAADGIAGLYRGFSVSVAGIIVYRGLYFGMYDSLKPVILVGPLEG NFLASFLLGWSVTTGAGIASYPLDTIRRRMMMTSGEAVKYKSSFDAARQIAAKEGVKS FFKGAGANILRGVAGAGVLSIYDQVQLVLFGKKFKGGSG TSTA_033600 MPPIRTFKSAPINPHSTANNPPSTTATEQQQQAEQGVVISSSKG NEKPEREGPAWAPATPTSATTIAENSNNISNYPAARPGALAIPAPTSSPSTSTSNSTP PAPQPGSRPVPPSSSSPSTSTPSRPANNIPPPPKVGEPIQQASYYAPPPQSQATIPTQ GQRAVPNSTSTPYLSTYQPPTSTAPYTSTPGLPLSQPETSQGNTTATGGSMFDASTGQ DILNTAKSWMASAGSKLAEAEEEVWRIVNKKS TSTA_033610 MAPQMTAVSNIGMALAAKSASVDIPIPRDAVKDGRGGFTVASAR LVQPAMLPTPPNSISPTLPPHSFKNKSRHHVGNFPISPPVAPMHVDSDIDLEDAVDHA KSQDHHPHVSTSTQNVDSVGAITPAMLAKYHLPDILLAHGPLAIRHVMGHLTTTVPGF AGIPPAKARRLVVGALEGRGNGGEGGGVDGNIIFEKVGWGRWDARRSGQPPRERQAYT SSYTSTSPPSSFPSTTGGMQIPQQSQWRTTNDRPVYGTSIADSAIFSHSDLDYGDHEA DKMSLDGDDVPEYCSSSDMPEDVIPDDEWDEGDVTDEEDWAGIGADALRARSLNGGGI VNTHNSVHTRVQHHPGGPAPSALAKSAPGVTLQQLGFSLPDGIDADYEERAAVEALLR LGSM TSTA_033620 MGSISNVSYSPVNEALRILGFLCKQSEALNLPDEVVENQDAVTF HSTTDSIYFPIPFKETETLAALKAVEGLVAGEIANLRYGETSQKKRSVKVSLEAATYF GCQAYMAKVGGLGKLDPGVRVKIKDTDLLAAQSNGYRRMSANLYRTKDRQYFHIHGSL EATTTLNMIGLEGHRPDLTDYQEIIKTIEGEVERFTAAELEALNAEKRQAGVTAYKYE DFIRTPHGQINVKESPWSVTPLKGKIPPTKFPASPEGNHKILQGIKVLELCRIIAGPT ITRILAEYGADVLKITSPNLSDVPFFQVDGNMGKHAADLDLKTVEGKVEFEKLLADVD VFVDGYRPGALERLGYGPEKLAELAEKRGKGIVYVNENCFGYKGEWAGRPGWQQIADC VTGVAWAQGQFMGLNSPVVPPFPISDYGTGCMGAIAALIGLYHRAKTGGSYHGKSSLM HYDLLLFAAGQYSAEIQEKLRREQSPEFFALRHHDSVDRISATTLRMLRKRFPDLFSA DSSYSPSPFTEKWFSEKYGEEIEIVKPVAQIEGVDNGFVRASRPNGSDRPVWEDFVAD EKDYRI TSTA_033630 MTAYRYGAKASRTGFSSLSLKTRLGYLLDKNRNELANIMEEIHW EIVGLEELNYFSNHVSQPAHYASKFIIEDEITQNELEAACKD TSTA_033640 MARRRVAPENRVRAAQACENCKKRKQKCNGTFPCANCSKRQVQC FFAGSVPATGSFPAVSSTAIEQRSNGQKRKFSDLVANMDATNRSEEPTRTADDQPYQG SAFPANVNDAAYHTTDRTVSVSHHEQQHRNRDSLLVRNIPTTSSDQVEQAAHDEISDE TDEAELQGMSRMLDDGKGRMLYIGDSAPLSYLQTIRQLVGSVIGTSMFTVDPRRHNIL EASMQAQSTGFQYAFTLPDREAALYLVDSFFISTKGMVHLFNEQVFKQRVERTFQNPL AADQSWMCILYLVFAVGLQLRCVTPRPSPKEAAILKRLLSPDVDRSEMFFLCARHLKD SASGIEDGDFASIQALLLMTLYMLSVGKRNTAWAYIGMAVRLAYALGLHRAETQRAYD ERERQSMSLPRVLLWRSLYVMDRFLASCLGRPTAIQDEEISEELVPHRERFRDLSPGS VENFEFEALFASVQAAQINGSILHQIYRSRKVSLKIARQIAVQIHEWTQSLPEILQWR PTPMPHDDTGKAMGQLHIWMTYFSTIILLTRPFLLLHVKKVIAQERRRPNPPHAHKSP DSSEAVASSPGEIRVAENPELKKYSAACVRSATHMIRAVQTIRVKGCLPRRNPFIINW MFIAALIVLTNSFFNVYENPENDSIAQMAIYLHQHFAEFDLLAARYLHILKSFQKTIA DRRSMNGLLQTNGRNYTLKDPIEDLFSGKGLPSQRRGHSNNETTMLSTDQASNLAPPT QPPVSWEWPATTTSLPRVTSTSTTGGIDLNSVPNGISGDGSNGFGDNVEGLVFPGAEE LLGPDPGPVLEEVIHFDMLWPLNQDTGLYSGNIPMYGMDSYL TSTA_033650 MPYINVNQKHLNYSDSHPNGPPAGGLTYIFIHGLGSSQNYYYAI IPLLTPNHRCVAIDTYGSGRSAFTGLSQSIDTIAEDVVKVMDGLKISKAVLVGHSMGG TTVLHIAAKYKDRVVAVVAIGPVHPTASTGQVFEKRIQVVSEDGMEPMANTIPNAATG SKSTALQKAFIRELILGQSPEGYISLCHVISEATAPDYAAIDVPLLIIAGAEDKAAPL EGCKHIISSVSSSLKTLDILPGVGHWHCIEASEEVGNLILKFTRSHRD TSTA_033660 MATHTYDPTFTDRVINAMGPKTNSRLRQLMAGFIRHIHDFAREN ELTVDEWMMGVKMINWAGQMSDDKRNEGQLLCDVIGLETLVDEITYKVASEADAPTAT AILGPFFRADTMVRQNGDSMVTGVDDGEMVFMHGQVIDYKTKKPIPGTTVEVWQASTN GLYEQQDPNQVEHNLRGKFTTDENGRYWFYCIRPTPYPVPGDGPAGKLLELLDRHPYR PAHIHILATHEGYKPLVTQIFDRQDQYLTNDSVFAVKDSLIVDFVPREGDPNASLELA YDVKLVPKDS TSTA_033660 MATHTYDPTFTDRVINAMGPKTNSRLRQLMAGFIRHIHDFAREN ELTVDEWMMGVKMINWAGQMSDDKRNEGQLLCDVIGLETLVDEITYKVASEADAPTAT AILGPFFRADTMVRQNGDSMVTGVDDGEMVFMHGQVIDYKTKKPIPGTTVEVWQASTN GLYEQQDPNQVEHNLRGKFTTDENGRYWFYCIRPTPYPVPGDGPAGKLLELLDRHPYR PAHIHILVSINLYLSKSSNNLTRDLRPHMKDINPSSHRYLTDKTSTSPTILFLP TSTA_033670 MSRRGGGRAMPREVVLSKALSHLLRHSAEKENLKISKEGYVNVA DLLETRKVKSLKVTLPEIIQAVASSDKQRFSLFYISPATIESGNQESQEQQEAVVATA ASEEKQKNATAHALSVKDNDPSHFLIRATQGHSMKSVDTTLFLEKLSLDDTKEDGAAS NLPDTVVHGTYHGAWPLILASGGLRSMSRLQVHFATGPTMDEVYPNGRDAPIALLDPR SKATVISGMRSDAEILIYINLRRALEAGCPFYRSENGVILSEGMNLGDSGEGKIVPIE FFDLVIERKTGLGVLWEDGSVVQELPSHLAEKRNPKATRGGGRGRGRGMGQP TSTA_033680 MSQRPSKRPRLQASDDSVSEDIALRERLLRQSVDIFQGTVFDDG AIERFHNALRNQSKSRLLTTYARFLVPSVEKQDLGEVSVLEDAIVVYNEKWLNVAPIY GPNPRPVVNMKNMHSMCIAMRAVWSLTSISNSMERIHRRILGFSISYDSERLQIYGHY PEIDDFDGILTANFSLFQEKGYGFTGKDLGTDRSLSYADKEVDKKTQEESIASQDLSE SQNMFQTLQRQSDDREARYTKYLTASEQEIIGNTSRQKELLAER TSTA_033690 MNKLYDVIIVGAGPVGLFLACELGLARISVLVLEREYKLESPFK DEPLGSRGMNTSSVESVYRRGLLGEFADLSKRAAGLQKTAGFTYGGHFAGIGLNANKL ELDRWKYRFPGPSLVPQPTTIQLVESILNKRAEQLGVTILRGHGVESITAQDDNSVTV EAGGENQSFRGKWLVGCDGGRSAIRKAAGFGFEGTEARFTGYGIKCDLGHPEKLKLGF SHTKTGMYIRGQKDSLHLLDFDGGSYDRTQEISMEHLQEVLSRVTGVSDLKIEKVHLA STYTDRCMQATTYRKGRVLLAGDAAHIHSPLGAQGMNLGLGDAINLGWKLAATVRQES KNDKTPVNLDLLDTYEAERHPIAAWALDWTRAQVSILQPDAFGAAIRTLVRDFIDTTD GTNMLMDRFWGLSQKYNLEKDGGTLHPLVGRSVPDFELGDGSRLGSKMTGGQGLIVDF QDNIELKNLATGKYGGRVDYLSLTANDRRGLGALIVRPDGVVAWAVEEDEKPDIDAAK ASLERCDHIV TSTA_033700 MNITFGTDDFLHYNAQYKLLICLKCKYAIQKTAVGNHLLRHKIY RAERQRLLSAISDLELLEPDDVQFPLVRCEPVEGLPVIAGYKCTATDCGSLYASIKRM RRHWRESHGLSDLPQGYACAVYFEATGGTPSVAEPVVLADDRRPEEQRQKRQYANTMS HAQPDISNRSPKSDLGLDQSDLLKMRYFHHFITTTCLTLPAGSSNPVNYWKTNVVTQA LRLEWLMYGLLSISASHLAVLSEDRVLKDTHVRRSRRFYRRFESGMGETDYLEMEVQM LCIHRLIFWTSEGRVQLPVPLDWLVATVKGCTNPKVTLDSMARFSGGYDVNLDRLWAT HPPDSNTSLRTDISRSSALKRIFNLPYRLTEKLGRPDDVTDFIAVMSAIRILIESYHQ SFDAENLEIVWMGMNGWLSKVSDRFTRMAIVEKKPAALTVFAYWLLLVARAEQLCWFL RGLSMNLLHQVAEDLGEDSGLRDLVEELI TSTA_033710 MNMAPNKAAWLQKAGTPLEVGDAPMPIPGPNEIIIQNSAVAINP LDNHMQDAGVFVQQWPTIFGCDVAGTVYEVGSEVQRFKKGDRVIGHAINLVTGKPQDG AFALYTAVPEDKAAILPDAIPFTQGVVVAFAIEAAVCALFVKQAGTAMPGVSTPALGL PYPSLRSVSSNKTLIVYGGSSSVGSTTTQLATAAGIKVISIASERNFDLCKDCGATEV FDYHVPTFVEKVIAAASDPEREFVGIFDAISTQETYANDLVILNRLGGVHLACVHPPP ENVPDSIKAGMIFAVNDVATPVWTEYVTPALETGKLKCLPHPTVVGKGLESIDEALHK CKAGVSATKLVVEL TSTA_033720 MPGNRILCVAEKPAIAKAVAQHLSGGSMQTMNIHGQQYVKNYVF SFNFGGAWGNCEVTMTSVIGHLTGLEFGKEYKGWTSCPPSLLFEVPVFENVDSDKLSI ADNIKKQAQYCKALFIWTDCDREGEHIGTEVKKQARLGNARIEVKRARFSNTERAHVL RAAREPIELDEKQANAVAARIELDLRVGAAFTRLQTLQLQTLGSPLDQGPISYGSCQF PTLGFVVDRYLRVQNFKPEPFWAIAVVHKRDDINVNFNWRRVRLFDRAAVTVMLERCL DAKDAKVTKVTKKPTSKWRPLPLTTVDLQMMGSKYLRMTSHQVMQIAETLYTRGFISY PRTETDQFDKAIDLKKLIEKQVPDRTWGQYAQGLLDGNFRTPRAGKNNDQAHPPIHPI CAVAPSALKDEEKRVFDFVVRRFLACCSDDAKGQTSEVEIQYGDEYFHAKGLIVLERN YLDIYVYDKWESSTQLPHFEVGEVFEPTEAKITEGKTTPPTYLTEPELIALMDANGIG TDATMAEHINKIKERQYVAARPRTGASNNEAGGRGRGRGRAAGGNTGVQELIPTRLGV ALVEGYDNVVAGLADCPSLSKPHLRKEMEQLMRDICNGTRTRTEVVEQSLDMYRGVFI QTQRRIDMLKEACRKYVFQQSTVTMTTTNTNGARRR TSTA_033730 MALPLPPILSPEEAAQYGRTLAPYVFSSQILEFPARVVEAANST DVLHNLQELYLGTNPMLEAVAIALALCPFFVLAAEIRNNYSQVDCWWSLLPTIYNLHF YAWAYGNGLPTDRLRTIGVISVLWTVRLTYNYWRKGGYSWGAEDYRWPIIRKKINNRF LFFVFDVVFISLTQSLLLCAITAPTYVFTLLTQLPKTGATFDIADLVFSRLLFFYILI EVVADEQQWRYQQAKTKYRDTGIVTQGYDKEDLDRGFVVSGLWSYSRHPNFAAEQAIW LTLYIWSAYKTNTWPNWAGIGALGYLALFQGSTWLTERLSLGKYPEYVEYQARVGKFV PRWSVKARGQKGKAKAKIEQKKKE TSTA_033740 MSAMSPSALAAVRLDLESDPNWTRPRRQTNPDLIHRPSVLLRQA TRRSADVSTLQNSPNHNYPSSPLADQRPRTSGSITHTSVTSSSATVTPTKRTSTSSHR SSLSASALSNPALAEIPSRKYNALRLSTGSIDGFAENTHHQRHNNLGGEPCAAPRDPA ATNAPATSTFNSPNSVSNYPSYFSQASPGSETVPPSSASSSTTKRPLTAQRLGSATSP SVANSSAFNDRLLRPPSKQASTPQTARSNNSNSQNSPSIDAVLKNKSILKKAGSSADM ASPSRHRRESTPLSPRSAATANNLRGDGAYDDPAADEQKDYSTDDTRSRSDDVFLNIA KSSVNSRRNSLGKMDRRRKLGLSGISTRSSLAKEQTPSPEQLKYQSSPLYPQSASQLF NSNNYSTPLSAASAHPLDDSSRLRRPSNTAGSRSVVGMPRSRYNQETSPESPHIDFKS SLADARLRYSQISNQSSRTVRQSSTSDAAERARLDGEKAKHDGTTESTLSTTAPSTVW DELDDLKSRIRKLELTGKFPSSSAAAMSNVSGERPRTAATTATTLSSSPKQKHTRKSS ISPEVMAGAAAPSSIQSLLQSALAKAKTAVGPEVYNALEATATDALTLTNMLASSTTV VSGNSSTVTGSGLSERQAKRKADGLCRGLTEVCLALTEEQTITQPSNQQQPQEQIRPR SRRQASVVGDNSDAVSSISTRFRRSMSHEPETTGQQDSGLRTFSRLEGNRANTINYGS VGRRERLSHDETAASPRTPSLAAPSSRLHRLSGSHRVKREEDSDDRGSVFSRTITSRA MTEAEGYSTDSPSARYATPYQQTLSQPAKASPSLSSSIAQRRSYATPPSAGSGIPTAS SLKIQPGFRRYGASMMAERGASEPPGQITSAFSPTQGLPQTRISAPSSKMATSYTAIQ QPRLRNETLGSRRLLRMRPSMIGTNNDH TSTA_033750 MPVQTGPSLVYASIPSFARDFENSANYASTNSQQHSENRSLFTR SLDPTAENPISFEHRESVSSSGNSESTDSSPTTTISTFDTTSVTDTSPSSSPESPSAL QLPYPKSTRIPEITERMAMYSDASASALAPLSAVVSTSRPVSPGRRARNLKNLSLNMP CHISRPAISTASVVEATSQNYSAPPSPVHRPAKTARRKPANLTIRTPASDQTTFARNI NDIIPPTPGVPQRSLRHFESSPSLSMFSPTAAPLNSMQLPRPVTQDGAPLMPGSWQES PPRQSQVVSGTSLQQVTEEEDYNLDSRESTKRTEQSYPDGPIKIYDSGVYLYLEPTRD EASKFDVVINVAKEVLNPFAIETESKPDTVVSTLRRPVSLAKRLSMAEPMTAISEESF HSAFESLPESDSPTTPKVEKNSTPEYIHVPWDHNSEILDDLATLCQLVDDRINQGKSV LIHCQLGASRSASLVIAYGLYKHRDLDFNDMYSIVKGKSRWVGPNMSLIYQLTDFRSR VQRDEPIKAPNPDWFKTPHLTRAVQPDFGEEISQPEPVQPAQKQVQQVQNESALAEMI FSPLQPTFTQPFIRPFSLQKQHGSNSRGLLPRPLPLREKYPSSESLAQSNKSETRKVS HVRYPTVQMDLVMQDVPSSPSILSPRAAPFMCSSISRTLAGDLAGDGPAAFGFGQPLF DPRSPPQRQELLITRSIDEAYDQSGLCCLIFLLFGHFHSVTEHFISSSIQHTFLFIFT LAFSSAWLSMWSMAFLEFLQMTGLVWEEP TSTA_033760 MDNQHPQYLEPSELGTKEYWETYYERSLEHIASKTKTRTNPSNN NTEEKEDDDDDPGTSWFSEHDAPSKILRYLTSRKFPLSPRNILQKGSRKREPSILDLG TGNGSMLALLRKRGGFKGVMVGVDYSEKSVELARELQRLRLHSAYESGDDDDYDDDDD EEEEREEEEVVVASTNDSNTVQIEEGIPVQDQDIRFEEWDILDPANENLLSNTTAEKK LSWFPYETAGFDIVLDKGTFDAVSLSDETTTANSRICHQYPVVASRLVRPGGFLIVTS CNWTEDELVRWFTSGSKTELEVWHKLEYPRFRFGGMEGQGVCTVCFRRRELIRT TSTA_033770 MGLTYNVYLNADKIFGCRECKAHLADYNDIISRNFRGQHGKAFL FLRVVNVIEGAPEERSMTTGRHLVRDISCRTCLSVVGWKYDKAYESSEKYKEGKYILE EALLCLVDQK TSTA_033780 MRREVHEVGFPSKNLQISRKEVTTTPTTTETTGYFSDLTDFDIG PPIVRTAPRFAQLVTSKQNQVFRPSNRGQSAVHEVQIQSSQLNLHPNNVERSRSANGP AKVDDIDSAVTFSDLWSSAYREAVENLREELDITILKGKSVAQLFEKLEEIDKDVTHE SAFVRGVKYLHSMQKLALDSASPLANLEAFATTVVGELRSVTAIAISVAAADVEFGKQ IAEMLKRISYIDDCDTLGQKADNKDIHKVIILRTVS TSTA_033790 MNSSASRQSRYDSVRIMLSESSADWSSHYRDGQREPLQTAVRLG DLEMCSLLISHGKADPLSALPYDSEPQVGLKDNCPEDEEKAVVQILQLLCEYADREVS HQGNGSKVEFKALE TSTA_033800 MASFKETSVSRPVPHMGTPVRQPQAQRSHSHSISLGAINANHRV TRRKSMSRRGTESTSIGATSGFSSYLSRGIHAASPDSIPGRKPSPVVIDENIAVEGSG QEDKHVATKNRNRRASEGSHLVRGEGKRVSKEIRCDQCGKGYKHSSCLTKHMWEHNPA WAVTSKLLISKHQQVQLLEAATVLVAMNQETADAAAEVTAAAAAAASVEETNEIESDM SSASPGASSDIHDGISSAETTPPPMDEDDEIELDEKPYIRNGSYSFNHPSAFSRSYQS VASSSYADNGSLHSPAFSHFRHSSIDTRPSTAETTGIHEEDSEASDLAAAIRLCNFGT PRSNAVAGSSDVPPVPPLPARYLSQQSGISNAGSLGVPGSNAAVFGSFSLDPSQSYKV SDERDVKMGDADRESRHRRNNDVDFGSRQMHDDDDDGVFGRMEE TSTA_033810 MLVSPLLIICAASLVSIFSPWLKELQEPSLVLLQSASEASRDCV KTVFSDWLHISTTGTIRSPPVIISLSPSTASTVVLSRPWNGTKDYVLFPRESALATVT SYISSLSLVNPFKVDPPRFPLPSRRYGKCGSAVNGAVTFNWMAFCAWKRVLAKAERVV DEEAATAKPIEDLRRAKIVLGNKKESFLKGVLEDIDKISKESEASNDDRQVTILERLY YIEDPTSSSAGPTLFSEKRWTIAKSEFDSTYVWRTVLRVPSVDPSTVMSDFLVDAASS GVVIGEREQFRVLRRPTGIFFDTPKRVVGSSKRLATPATPRRIPVAEKSEESDLGGQT RPAVTSDVPPSARQFDNNDDLCQSFSQSTPERTSTVVFDFHVKEPSDPQEEPSVTEAV DDRQTSSLPSPSVVVDTQTECTAETNVRRRTENTTNSSTSVDIRSRTPQASEPTSPTD QTPSSTATSPASAVNDSSAGASSTDVKRREEDVTSPLSSVDIRARGPQIRHGDSASSS SPSPPDTTNTNTTNIVEPGDSIQVEGTSVANDEPEQSGSASIDSEATAVVEEGSSKEQ DGYESSTSVQTAILTPVVSLSVTSTTSTTPQPEASSAPEETTPSQTRRRRNRMGQRQR RRLHELNENQTQRATRGESVITTHC TSTA_033820 MRVEVCSFCSRPVYPSKGITFVRNDARSFRFCRSKCEKNFKMKR QPRKVKWTKTHRALRGKEMIVDSSLLLSQFAQKRNVPVKYDRNLVAATIKAMERVEEI RQRRERVFTKKRLAGKLARERQREEDRRVVAQGEHLIRKELREMQEHNVPLTTSAEKE KAVGTVFGEERPRQKKKAKMLVDGGMEEEMDVD TSTA_033830 MASAFLVGLGLATSAFLGRAGYVALQRYRGGVNKVGRAFYKGGF EPKMTRREASLILELSERTLTKDKVRKNHRQLMLLNHPDRGGSPYLATKINEAKELLD KTL TSTA_033840 MESFGDDSQDGGGYEMPPPIYPNYGGAGGSPFNANQPGQGTQDE ERDGDPKRRRIARACDMCRKKKIKCDGKMPKCSHCINYKTECIFTQVEKKRNPPKGAK YIEGLENRMRRLEGLLKMAGIADNESDIPSIERRLQQAQQQSQHGDLNTFSAAVAAAR SSLENPQKSSTGSLHSTPRDSNSSSRVAESPTSQEDKEHEVEALSDMMCSLVTTNCGE TRYIGSSSGFSIFSPRGIQWVNEKTGDTSFHDMIQAAYIDDNKWLYWKPEIFSDIFAR RVFKPLPPKEEAMSLFRDFFDNFNAILPLFHEPTFMHLVERQYSRDPYEGSGWWASIN IVLAIAHRLRVMSHLVPQEEDKKAWLYLKNAMGVLTELTMRNTDLLSVQALLGMSLFL QGTPNPQPSFFLVAAAIRLSHSIGLHKRGSLFNLNPVEVEQRKRVFWIAYLLDKDICL RSGRPPVQDDDDMNVELPSEIPPDNAGLIPLIGEGTVNMFRLMCRFAIIESRVYKRLY STKAAKQSDGELLNTIGELDRELEEWKDSIPVDYRPEHEIKATHPPLILHVMILHFAY YNCLTTIHRMAVHHGYWTSRLSNYAIQGLYARPLNPRVFSSANICVTAARASINLIKY IPQGDFACVWLVLYYPVSALVTLFANILQNPQDARVRSDIKLMNIVVNFLSMLGTDES NGSVRRMLSVCAEFERIAKVVLDKAEKEALSKRKRKASTEDVDTNNTQRVDTSNRPAA TAADAENHIDTTASSLREQQQQQATPPGTQPTTQPPIGVVSPNPSDMMSGTFGDISSA NMPMFNPEVSFADAPNLQTTTVPTMASPSDTQFYPFQQSFVPQDLWQMPMGLEWDWAD FSNVNLLNMDQPDMFQATMHNGM TSTA_033850 MASLLRRPAHIARYSRSATDLFTTSRSIYPHHTRPQLWSLVNAR QARSYASINPGGDPKRPDDDKNKKKKVEKSKDEELAEFFESLKKNGPAPKKTFNSVAD EKEFLEKSAQFIEQLVHQTGMPPREVQLLRLIQTLLADAKYMPPEMEEFFRKHVDQSQ PMTLEDFDKFSRFWIQRLGEDNITATEAEKQSAEEVKALFEKASQEKAEGGFGGWGAQ QQKKTGGQQGQKQKDGKDEKNGPKVFEFKFDPSSFIVTSILSYMLYTTFFPGESSRDI TWQEFRSNFFDKGLVEKLTVINRSRVRVDLNREAVAGEMPDSPASQRNFHYYFTIGSV DSFERKLEEAHNELGIPTSQRIPVAYVDEVPWLATALSFGPTLLLIGSVFYFSRRAGG GAGGQSSIFGIGKSRARRFNHETDIKIKFADVAGMDEAKMEIMEFVSFLKEAEKFQRL GAKIPRGAILSGPPGTGKTLLAKATAGESGVPFFSVSGSEFVEMFVGVGPSRVRDLFA NARKNTPCIIFIDEIDAIGKSRSKQNFGGGNDERESTLNQILTEMDGFNTSEQVVVLA GTNRPDVLDKALMRPGRFDRHITIDRPTMKGREQIFRVHLKKILTKEDMDYLCGRLAA LTPGFAGADIANCVNEAALVAAREQAESVKMKHFEQAIERVIGGLEKKSLVLSPEEKR TVAYHEAGHAICGWYLKWADPLLKVSIIPRGQGALGYAQYLPSSENYLMTVNQLMDRM AMTLGGRVSEELHFDTVTSGASDDFNKVTRMASAMVTKFGMSKTIGPLHFEEDQQQLH KPFSEETARNIDLEIRRIVDEAYKRCTDLLTKKKKEVGLVAEELLAKEVLSRDDMVRL LGPRPFPDTGEFTKYFGGGIIAPPEPHEPDESSTGKDGRDQTPLPT TSTA_033860 MAAATENHETSVPGEDSLNESFARVNIGESGELEEQPKTDEEYA QAILTLRAIVSSKEAGVIIGKAGKNVADLRDETGVKAGVSKVVQGVHDRVLTVTGALQ GCARAYSIVAKGLLEGAPQVGMGGVVQNNGTHPIRLLISHNQMGTIIGRQGLKIKHIQ DASGVRMVAQKEMLPQSTERIVEVQGTPEGIEKAVWEIGKCLIDDWQRGTGTVLYNPA VRVSIGGSGQLNHNGDRTGGSYGGGRSYNRTGNGADFSEPSGGYNRRGSGDNGNRNLP LVTDDGEEVQTQNISIPADMVGCIIGRGGSKISEIRRSSGARISIAKAPHDETGERMF TIMGSAQANEKALYLLYENLEAEKMRRSQQSPE TSTA_033870 MALPENMKAVVFHGPYKVAVEERPVPRIQASTDIIVKVRYTALC GSELHVFRGHQASKTGFIMGHEFTGTVVEAGDDVKTLKVGDVIVSPFTTSCGTCFYCK QGFSSRCASSLLFGCPLLDGGQAEYVRVPMADSTVSKAPEGIDEKLLVLMADIYPTGF FAASNGFKEYTKDQAANLTVVVIGCGPVGLLAIVNALEYKPKHLLAVDSVTPRLELAK KLGAEPWNFMTDREGLDKRVQELTDGRGADVVIEVVGLSPALRTAFDLLRPWGTISSV GVHNAEIPWTGSEAYGKNLKLQMGRCPVRSVFPQALEALKRNQHLLGFMADKIMPLSK AVEGYDLFDKMKAQKVVFEA TSTA_033880 MSADLLAEFGTNSNQPSSASQGNDSFFDDDFDSFVSPEPTDDSA LGFQSKISGAEKHHSGSQYALDTDTFPPVSEGAEVLFDAAAEVSSGKDDEDDWGAFET ANLTPSCQLLDIENDIQPLPTSISRPDLVVSNVSVSVDLLSLEDKPESTQSIVSQIAP SSRHLQTLKSPAFSQKPAAKSKSRPNASEDDFFGEWDDFEDGTAEKPQSQTPISTSTK EARTSISTSSTKTRKSSQSQSIVRPTNIPPPSVLLQIIPSLLEQFRGQLNDSKRGSTA PNPEFITNLIQTLRAASRVIAGRILRWKRDTILSQSMKIGPAQSGKSSGMKLSSISRG ESIKEEQEAVGVLEAWRHHASALNSAIQASGERPVPVITDKVRVITVSAEQGALKASH ACALCGLKRDERLPKLDDDAQDSFGDWWIEYWGHTDCKWFWEENSNKLNHR TSTA_033890 MKTIEEARYDSHLFTLRLDPSLIIVIRRRWETLLGYGQSTYDLK QAIISEDGENPCETGLRSVCWKIFLLCDDLDRSKWIDRLSDTRSAYDSLREHFLKYIK HPDDLQSAVDPLAEDEESPWQVLRQDEATRVEIYQDVERCLQDNFFFREASTKSMMLD ILFVYSKLNPDLGYRQGMHELLAPILWVVERDAVASQSSKITPADAADDESVMLQLLD ASYIESDSFNLFCSVMQVARSFYEHTDNKTVNGQAETAPIVARSQFIHNELLMAADHE LATHLNTIEILPQIFLTRWIRLLFGREFSFDDTLLIWDLLFANGLRATLIDHICVAML LRIRWQLLEVDYSSALTLLLRYPALQDHGPQTLVHDGLYLEQNLSPARGAFLVSKYSG RPPELAKDPLQLPPREPSPRKVDRRSHSRNLSGGSSSGQSPARNNQRTLESLFQDVSE GLQRRTEGWGVAKAVRGAVSEAKRNMAHAEVPTSRGWRGSPRFGTPRLGASRSSDPVD LVQQVASLKKRDDTLAGLLSEAIHDLKLIKESTTDLGPEATETLDRAFQKIKTVQTNL QGSSGATAIKPTSFTTEDEKVAPNRPETIKREVEKPEAIQHRPTETAPVSESKMAEQQ ANVSNADTESGTVSSTSFRTPALSPPPRSAHTPLPRRPLAQSEFSWMLGENTHRSSFV SSTSLPPDQSRQSESRSRQGSLFGDGRDGKREQSQKEEDSLSLNDFT TSTA_033900 MTGRGRQHDEKGDLSPLSSPALGALSSSPEQTIFNLKDRSDGNL SIPSPGDPLRLSMDTSTSSNDYDQLSRSPLLEPDAGLGPSGLDRIRQQPLSRVFTLPN PSTSSVGQHNSPPHRSSVSSRAPSVYGPTAGGSIPPFEDLHRFPSESLHSFSFAQRSE ELLATRQNILKRSIDFMKDRLGWAATSPGVVNAQARISGDSEFQGMVDLLSKASVLDR DSQGRQSGSLGPITSPPEVESDNVFERSFHSPTLPSHSTPFPSYPGDAAEAVDDSQLL SPFYDDNVYSRRRDLRSAPASRRVSLKRTFTDLSSLSLQSKLMETLAQPYSANDSLSA SGYNLGLGIGPTLHTHSSKWTPVSQAVFRTESKAPWTIIAANDLACLVFGVTQSEVRK LSILEVVQEERRQWLESKLQDPTTDATAKFPNSNIKPRFNMPKGNGVTAQLLSKPSSR QKAGRRAQTDDGYGSSTRNARKPNHAANKSRGVLLCGDVVPIQKRNGTTGSASLWVME KRGGLIWVLEEITENVAYLTYDENGHMTKVEGDTEDIWGHEVAKLDTPITELFPKLPA ECVGNFERLVDLKYFGACGPGKSNIPTTVTKNPNGDTRSLRVSSFPHVAGMMVLSSST LNVISSNSVFSSALFGQERPEGHHISELIPDFEKLLSTLTEEDKVSLVDGMVIPEHSF RRARDLLLLRENRANAVSIFLESEGLPARHRDGSQLSIDVQMRIVKSETVFPDTQSKR EDASLDNHSDHDDESADTIAVTEVVYALWVTYSRQIHAGNPSALSANDQATTERKPTT SIHQTRSGQRTPSPTPTLPSHSNTPSLDNKTPLSLLSHKLNEAASTPLADRSMPEPVR ATPLIKEPPKKRTISDYVIIEEMGQGAYGQVKLARLKKNPTKKMVLKYVTKKRILVDT WTRDRRLGTVPLEIHVLDYLRRDGLRHPNIVEMEGFFEDEINYYIEMVPHGLPGMDLF DYIELKSNMDEGECRNIFKQVVGAIHHLHTKALVVHRDIKDENVVLDGEGRIKLIDFG SAAYIKNGPFDVFVGTIDYAAPEVLQGKSYRGKEQDVWALGILLYTIVYKENPFYNVD EILDHPLRVPFLPFSEDCIDLIRRMLDRDVDNRITISEVAEHPWMVTI TSTA_033910 MASTLADEIVSSSAEPMSPASDQMPIEQPPKLKGRKRLLQNLQR MSSSPSLVRRTRSHSTGYQRDRKASLSCVSLSPAQCWGDPTTSIPGDLESASPLSTAG NARIRMIGGSPRNASQTTVPLPADLRPASSSRNLQFELAEEESVTVNVVERKTQYAKR TVDFWNDMPNEIKTKILGYLTPKEIIDTSEFYSKISSGALMKIITTAGPFVRDLNLRG CVQLREKWLFEGEEISSACRNVVNFSVEGCRIDKISLNGFFLRNASLQYINVSGLSTV TNSAMKIIAQTCPQLETLIVSWCCNVDTKGLKRVVESCSKLRDLRAGEIRGFDDESIM ECLFEKNTLERLVLHRTDVTDGSLKMLMVGRDPEIDVLTDRPIVPPRVLRHLDIHQCT SITDAGLRSLAHNVPALQGLQISQCTELTDDSVVDVIQTTPQLSHFDMEDLDNVTNNL LVELAKSPCSDTLEHLNVSYCDSIGDIGMLQVLKHCRQLRSLEMDNTRVSDLCLMEAS SLVRKRGYGTDLPKVGLRIVVFDCVNVTWAGVREVLSSNAYIPRSLKNQATVTVKESR TDSPSSSSSSSTSLPPPVVVSYYPKEIIELKCFHGWQMTANEHNKRVLRGSLASANNL DRKWADYMMATEEAGAGGANARRRRRRAREAERLYQFDEEEEDLSLDVYVGGRRRARS GGCTVM TSTA_033920 MSSKEGPARFHPYDTSKKPKIHRSNTSNTENTTGSKRNHNASTT QKQPANKNSTGDKGLSINDLKKRIRDVKRLLTRVEHLSPEARIVQERALKGYERDLEQ EQARRQRSEMIKKYHFVRFLDRKTATKQLRTAQREYGKTKQQQEETGTVDNTKLATLQ KQIDIAQIDVNYTIYYPLTEKYISLYPQEKKRRVVKDHNENAANGDDNAIEIDEEMQT SEDDTGNGKEEGKPPMWDIIKKCMAEKTLDQLRDGKLNIGFDGKPIQKLDTATVTNVS TSIGANEVKKKKEEKTETRKEDDKKKNKRKRKEVEVEERKEDEDSDGGFFEE TSTA_033930 METAIRWSPSSTTQEQRFLYVDVIGKSFKLCRITSVKGNDLEYD VLSTHVRVPGFRAFDWSAADESLIAVGQSSGEVNLLRMDGNSNESWSFPLRNQRYCNA VAFSSHGLLAGGLDKVRNDVCLNVWDVNHRIMTGSSRGTLASDRQGAEPLRKLSSDPI TSIKFFRDQPDTLVAGVKGQFLKLFDLRDSSSQAFLTFSTKCVHNTAVDWLDENYFAS CSSSADATVCIWDRRMGPRLTAGPNPDGGQVGPSLSLGNSVQNASIWSLRFSRTKRGC LGVLSSNGQFKMFDIAKEYLSAGDRNSVDETLGQGSSSSYPEQIYTKNIRDIRHPYHH HTRGYNKLDRVVSFDFLNMSRANEPTAVTLLGTGKVEFVTVQSSAPPIRISSQNVLVR GTPSGERDFKTINPRPLREKISTIIQSLRDNAQIPYFKMNGLPEEDKKCLSSRESREQ ALFLGRVKPKLKAADVLAYLSVSQARCREGYLFDCEKNIRIVSDDPDLQEFWNWVRHS REESANGSTIVNRIDMSYLGICDVWFNEIGASSKELRQHSSINAVDLAPDQMIKDMVQ QLKIPEGKGCETDFWEHRQLCLHICGAIESEKELEQIVDDLVKKRQHTKAAALAIFQN EAKVAFHALRRNEPTQAHKLLAMAIVGAAKGQQSDKDWEETCNEIATELTDPYARAVL ALVSKGKWESVLAETTLPLKYRVEVALRWLPDDDLTTYLQETKEEVIRQGDIEGILLT GLRHSALDLFQSYIRKFNDVQTAVLAMSHTTPRFIDDETSKAIFRAWRETYRRQINSW KMQIERTRFDVGSRRCAVTWDGRRLIAPAPQQVSLTCNYCTRPLHQTESISSTISGGL STSTSTAGTASAASDLLQGGGTTTPNPAAAILANNQSSYLGAQGPILMSGTVCPKCFR HMPRCGVCSLWLGSPDPMSRAAIAADAKREEEEKLNIEEVEGNLQVNSNAPGTKKRNG RSEDDITRRFVVFCINCNHGFHANHAKAWFAKHRVCPVAECSCVCDR TSTA_033940 MSGEPNAAWPVADEALTQNILDVVQQASHYKQLKKGANEATKTL NRGTSELIILAADTSPLAILLHLPLLCEDKNVAYCYVPSKLALGRATGVSRPVIAVSI TTNEASDLMPQIRAIKDKVERLMI TSTA_033950 MAEKEATVYIVDVSKSMKQHNHGRDISDLDWAMRYVWDKITTTV GTGRKTAAVGVVGLKTDGTKVPLDDDEGYENITVMQDLGQVLMPDIRRLREEIKPSHT DEGDAISSLIVAIHMINVYTKKLKYKRKIYLVTDGKGAMSSDGLGDIASKLKSDNIEL IVLGVDFDDPDYGFKEEDKDLRKAENEALLRGLVEDCEGVYGTLAQAIQELDTPRVKV VRGIPSFRGDLRLGDPTRYDTALRIQVERYYRTYVAKPPSASSFVPGGDPSQPSASIQ TLEAGKDLDANLTNVRFVRTYHVDDPTVAGGKRELEREELAKGYEYGRTAVHISESDE NITKLETTAALELIGFIQADHYDRFMNMSNSNVIIAQKTNEKAALALSSLIHALFELD CYAIGRLVVKDGKNPLIVLLAPSIEPDYECLLEVQLPFYEDVRSYKFPPLDKVITISG KEVKEHRNLPNEDLVNAMSKYVDSMELIDKDEEGEVIDTIPMEDNYSPLLHRIEQAVR WRAIHPNEPVPPPSERLTRLSKPPKDAQERAQKYLDRIIHAADVKKVPPKTKGRKRNR DVDKPLSGLDVDELLHREKRAKISPTNAIPEFKQMLASAENVESIKDAASQMQKIIED HIRNSFGDSNYDRVVEELGVLREELVDYEEPGLYNEFIRPLKEKILKEKLGGDRQELW WLIRRSKVGLIDKSVSDRSEVTEEEAKEFLSSK TSTA_033950 MAEKEATVYIVDVSKSMKQHNHGRDISDLDWAMRYVWDKITTTV GTGRKTAAVGVVGLKTDGTKVPLDDDEGYENITVMQDLGQVLMPDIRRLREEIKPSHT DEGDAISSLIVAIHMINVYTKKLKYKRKIYLVTDGKGAMSSDGLGDIASKLKSDNIEL IVLGVDFDDPDYGFKEEDKDLRKAENEALLRGLVEDCEGVYGTLAQAIQELDTPRVKV VRGIPSFRGDLRLGDPTRYDTALRIQVERYYRTYVAKPPSASSFVPGGDPSQPSASIQ TLEAGKDLDANLTNVRFVRTYHVDDPTVAGGKRELEREELAKGYEYGRTAVHISESDE NITKLETTAALELIGFIQADHYDRFMNMSNSNVIIAQKTNEKAALALSSLIHALFELD CYAIGRLVVKDGKNPLIVLLAPSIEPDYECLLEVQLPFYEDVRSYKFPPLDKVITISG KEVKEHRNLPNEDLVNAMSKYVDSMELIDKDEEGEVIDTIPMEDNYSPLLHRIEQAVR WRAIHPNEPVPPPSERLTRLSKPPKDAQERAQKYLDRIIHAADVKKVPPKTKGRKRNR DVDKPLSGLDVDELLHREKRAKISPTNAIPEFKQMLASAENVESIKDAASQMQKIIED HIRNSFGDSNYDRVVEELGVLREELVDYEEPGLYNEFIRPLKEKILKEKLGGDRQELW WLIRRSKVGLIDKSVSDRSEVTEEEAKEVCILTPLRK TSTA_033960 MCQAELPSGVTLRHHLYHPCFFYFFDTFVLLYTTFVGDKIEYTI KMSYLGPFHILSYGTLLGAEIYQSFIGGTTAYKALPRPQFSTLQNKLFPIYFSLQSAL PLALALTFPGKFGTSDLSSIYGVIAEENRYTVLLPLTLISVSGLVNMFYLTPLVGKVI KERFQQEAIDNKKAYDAPPHSERMTELNKRFGKLHGISSLLNMGALIATIAYGVYLGN RLH TSTA_033970 MAESEKVVQTDKVVREDNSVRSELAENVKTESSGILDAENLHNR PADNLNVVFENPLANVPREKLLKDVEDFCQKFNLMEHVDDFRKGALVSQNPAAAMDLT ELTDEERNILLREHTHKWHQPWELYWLVIMCSLAAAVQGMDETVNNGAQAIYLKNLGI ESKFSGKQLNDITGLIVGAPYLCCAIIGCWLTEPMNRFFARRGTIFISCFFAAVCSIW EGVANSWVNLFIARFFLGLGIGPKSSTVPIYAAECSPAPIRGALVMMWQMWTAFGIML GNIMGVAFMSLSDDLSWRLMLGSTVVLPVIVCTQVYFCPESPRWLIQHGQVEKAFRSF RKLRSHDIQAARDLYYAYVLVQLEREINKGKNFFTMFMELFTIGRNRRATIGSWIVMF MQQFCGVNVIAYYSTTIFTSSGYSIQSALLASMGTGILNWVFALPATLTIDTFGRRNL LLTTFPFLAICLLWTGFSFWIEPDIPDSKKRVAMVTTGMYLFEVFYSPGEGPVPFTYS AEAFPLHVREVGMSWATATCWCFNFILSFTWPSLLTSFKPQGAFGWYAAWCIIGWFLV LFFLPETKALTLEELDQVFSVPTWKHASYQLKNAIWHVRTWVFRQKLEPLPPFYQGIE KLNES TSTA_033970 MAESEKVVQTDKVVREDNSVRSELAENVKTESSGILDAENLHNR PADNLNVVFENPLANVPREKLLKDVEDFCQKFNLMEHVDDFRKGALVSQNPAAAMDLT ELTDEERNILLREHTHKWHQPWELYWLVIMCSLAAAVQGMDETVNNGAQAIYLKNLGI ESKFSGKQLNDITGLIVGAPYLCCAIIGCWLTEPMNRFFARRGTIFISCFFAAVCSIW EGVANSWVNLFIARFFLGLGIGPKSSTVPIYAAECSPAPIRGALVMMWQMWTAFGIML GNIMGVAFMSLSDDLSWRLMLGSTVVLPVIVCTQVYFCPESPRWLIQHGQVEKAFRSF RKLRSHDIQAARDLYYAYVLVQLEREINKGKNFFTMFMELFTIGRNRRATIGSWIVMF MQQFCGVNVIAYYSTTIFTSSGYSIQSALLASMGTGILNWVFALPATLTIDTFGRRNL LLTTFPFLAICLLWTGFSFWIEPDIPDSKKRVAMVTTGMYLFEVFYSPGEGPVPFTYS AEAFPLHVREVGMSWATATCWCFNFILSFTWPSLLTSFKPQGAFGWYAAWCIIGWFLV LFFLPETKALTLEELDQVFSVPTWKHASYQLKNAIWHVRTWVFRQKLEPLPPFYQGIE KLNES TSTA_033970 MAESEKVVQTDKVVREDNSVRSELAENVKTESSGILDAENLHNR PADNLNVVFENPLANVPREKLLKDVEDFCQKFNLMEHVDDFRKGALVSQNPAAAMDLT ELTDEERNILLREHTHKWHQPWELYWLVIMCSLAAAVQGMDETVNNGAQAIYLKNLGI ESKFSGKQLNDITGLIVGAPYLCCAIIGCWLTEPMNRFFARRGTIFISCFFAAVCSIW EGVANSWVNLFIARFFLGLGIGPKSSTVPIYAAECSPAPIRGALVMMWQMWTAFGIML GNIMGVAFMSLSDDLSWRLMLGSTVVLPVIVCTQVYFCPESPRWLIQHGQVEKAFRSF RKLRSHDIQAARDLYYAYVLVQLEREINKGKNFFTMFMELFTIGRNRRATIGSWIVMF MQQFCGVNVIAYYSTTIFTSSGYSIQSALLASMGTGILNWVFALPATLTIDTFGRRNL LLTTFPFLAICLLWTGFSFWIEPDIPDSKKRVAMVTTGFPIACTRSWHVLGDCYMLVL QLHLVIHLAVTFDVVQTSGCLWMVCCLVYHWMVPCSVLFARDKSSHVGRTRSSLLCPY LETRLISTQECDLACSHLGLPSEIGAFASILPGH TSTA_033980 MAAKKSFWTPQSHHSPKVAGLHSVEDSGAQSPSRSQSQGLTSEQ KEDTAAKEATVTSPTTEMSEKDKAAHDASKEASLNIRRHFLAESSWTTSEEQQVATLP RTEAASDSAAPDVSKEES TSTA_033990 METSVAQQQPATSNTALGLSSREETGETSENSLSNVSGQSLSEN QLGPSEEKTEEKPWGATVSKPIGVSEEEKKKRDFAVQHKSLTETRWAVLEQLTDTPPR NEETAEVSEGHDSTHSQEKHVEEKDTASREATATGPTMESSEEDKVAHDASREECSGT SRPFFCPKPLGYIQATGGYTTKE TSTA_034000 MNIDQQTPESIHPNAPAPQYSSALQSNLNPLLRITIAPAEQTST ATDEMNIDEQTPALAPIQQNIPMVNLADFPCSTGSATTGQVDIEEQGIRTHILPQVSG TIQPSFVPQLNPVSQYPPPPQAPVDQTNIHQQALVPVQSHGPVTPQDSSVSRPISFYS LLLPNRLLLIRLRQLLNPLRVPLVPPPPPSNSEVSRNRHIIKAKGQLKKRGVLQQSQA EFTTLADKKRTEQVSQVNPTHTPQADPEAVSIRSRRATFQMVAPLHTAELDPDLDEKE MRNAQQEAQESSHI TSTA_034010 MPVKDVAKETLNKEPIAALKSDCLRYYHLRRKWKVSLIGSSKKG CLKYRDGLRPLKTESMSKPDSCRSLEMILFQNVRVREELEKNFEGLVDKKLDQMRKEI PNPPVVDEKRLEALMDGKLEQVRKEIPEAPVDGKRFDDLVQKVEQAHKEGPKVYEKDL QTLLDKKVEQVLEGIPKALAVDKKIKQVCNEVLRAPAFGKKEAEDLVNKKLEPVRNEF SKVQAVDNEKVQDLDKKTEGHAPVVSKLEGGVKELTERVNTMDEASKETFRSQSESLS NLRENVEKLENEREQFESGR TSTA_034020 MKIKVSLPPRYLVSSVVTSLGGLLNGLDTGVIGPVTVMSSFTAT FGEISFSLQGLIVSCVLLSATFASLFSGTLSDTLGRTRALAAGALVFGVGAVIEAIGA GEGLFLSTLVVYICEISPAETRGPLASMVKLFITIGLMVGFFMCYGTVKSSTSFSWRF PLALQAGISFALALSALYYLPESPKWLNHRGHLGEAERAWNKLEVAGADRGPDTFQES VQHEQQLDTNFITRFRSYYHDAVLNTRRVMGPASQKQAFLAVFLMSMQQLSGIDVLSI IMAIIGSLYASGSVHQTSGPGRWVQPERQSRALLKVQIV TSTA_034030 MPSNVEITIPTATLTGDYTTYNITVRLPLRSIVVQKRYSEFATF HDDLVHQVDAQPPVPLPKKSWFYNTNSNEKLREERRRGLEKYLQAINNSDDQRWRNTS VWRSFLNLPIQASAGENTSSARLHKAVTSPGSAGSAPITDPVLWLDCHREMKSHLHDA RLYLTRRDQATTPQKQHESSAHAKSSLAKAGSMITALEDGLKNPGNENWAGSGLGEGE IRRRKDLLASAKKEKDGLENLHHAMLQKSRLDTAVASIQDKNELMSSSKPRGGGRVLG KETDKTRELDNQGVLRLQKQTIESQDKSVGELLKIVSRQKELGIAINNELEIQNQLLS MADEDVDRLQNKIDIGSKRVDKIS TSTA_034040 MIVHPPVQLDDSVDSTNNQDASLCMTLSNISSTENTSGDRYASS AQRPPPLPLRKDASSSTISLGPPGDPRDGRRRLLLIYIHGFMGAEDSFRKFPAHVHNL VANALAESHVVYSKIYPRYKSRRPMSAARDEFSSWLSPHESDQTDVILLGHSLGGILA AEVAQAGSENESSPSRYRHRLLGTLNFDVPFLGMHPSVVSTGLSSLFNPRRIEDPSQE DLNRTTSPKTTDSLPSDQSSQYSTESTASSWYEQIPDSNFDPPFVNDVHRVKRNQLDG AMNFLKKNSGNLRTAVKEYASSYFEFGGCLADYSGLRRRYALLKELDGIDDLKPKVDK HGRLQKRVRFVNYYTASSGFPKKSPSESLQVRNSTDGSPSSRALATGSLENLTKLPSP ILSGDNDTDEHLTEVEPSPMQESPSPKWSFETLPEHLSPNADYEDNADNKNYTSVLES LPPLPTIPPKPSIFDPSKYTNKEVFKQAQKEHERLVKLHERAQKELDTTIKEREKLVQ KLNENKQKPETVSLRSQSRGDLDNSIIPYNENDDIEDRQPPSTSTSIREESLSSMVPS ETTKTDTNPISPSYIHEPTSSSSLTQSTSTKLPKDRLFCALPPSPVDPQWVRIFMPDV DQVVAHQSIFLPNGLYYEWLVNDTAARIEQWVQDDCTRRAIWEQFGEIS TSTA_034050 MPEKRKTESVLEDSQHSFLAKRARQQISYDDLDESVHTPPVAQP ERSTRNDLEDDVVDADAFGNEGEEEHLGYNSKTTTASNKQSGRQRNNQQPRVDPIYGQ RSAFPGLDDAGFDELLYGPPEDGLEYLRLVRSEANSLPPLFVAPKAKMEQKEIEQADV TIDQIGTELVYQTKGETEQAQDESGYVLIDGVVIATSTTKDATEFDGEEKRDAQSSYY NLLKHRFVLLRTMLRCSPPAASIADLDESHPITLPFHSKAARTAWTQLLYTAEPQMAQ LACMDMESVLGVIRLLGPVMIKCVKDNDANQLRRIGAWAWGLLGRCRDVGELGSEEVG ELRIFGRKALNLLKKLNRNKDTSIPNAMVVDSESEDKESSDDEEEIAMESVESAETQA IKDLEAAKLQLQAQFLGKDTEEEEGERRRRNTSSPLEAGVSEQEMVTLQETTIRKSLP DTTVTGEEQSRILLDMIITIVGEFYGQRDLLQQRDIWN TSTA_034060 MADTTLPHRPKPEESSEDSPAIENAPIAEGGAAAPSKSALKKAA KEKAKAEKAAARAAQEQAQKAASTAADTAVGLYGKLPESEDLVPAIDFSKLGEEHYEK EVTVVARVDNTRSQSAKLGFLMLRQKGKKLQTVIAAPQEGPVSRQMVKWTTGLNVNSI VQVTGIAKKPAVPIASATLTELELQIKKIYMIAEAAQVLPMQVKDAERPPPLTDGIEG EPELDAEGVPVVTLKTRLDNRVLDLQTETSQAITWISSGVAQLFTEFMLKSGARWIFS SKLSGSSTKEEGYLAQSPQLMKQMAIAGDMESVFEVGPVFRAENSNTHRHLTEFTGLD FEKTFRHHYHEVLDFAEELLVFILTELKERYKDEIAVIQKSYPKAGDFRLPKDGKALR LNYMDGVALLKEAGVDTSEQEAFENDFTTAMEKKLGQIIREKYDTDFYVLDRFPMAVR PFYTKADPNDPTFSHSYDFFMRGEEIMSGAQRINDVKELEESMIKKGVDPKSEGFEDY LNAFRQGCPPHAGGGLGLNRIVMFFLGLPNVRLATLFPRDPQRLRP TSTA_034070 MLLNRLPRSVFFSQFRYNAGLRILVSRPVQYRRDFLTSATEAPD IAFAFDIDGVLLRSSKPIPGASDALRTLQERGIPFILLTNGGGKHETERVAEISEKLN ISLDPSVIIQSHSPFAELVNGAFGQEALENKTILVAGGDGDSCRQVAERYGFKSVVTP ADIFVAHPGIWPFAKVFKDYYKSFARPLPKPIDPSDPQKSLKIDAMFVFNDPRDWGLD AQIIIDILLSSQGILGTLSDKNGKSNLPNRGYQQDGQPPLYFSNPDLWWAASYHLPRL GQGGFREALEGTWSAVTGGPDYGVELIKTVVGKPYALTYRFAENQLLRNRSKIFDSDS LPPIRNVFMIGDNPESDIRGANSYKSEHGSKWHSILVRTGVYGGGTPSYEPTIIADDV KYAVEWALKSSEY TSTA_034080 MTTTRTPKGCSNNRVNVCPIRRSYVSKNYGPSITDIMAQPTKSR IFMTGASGYLGSVITEHAIAHGYEVHGLSRIEASDEKLRSLGAVPVRGDLQALDVLRH ESANAEIIIHLADVMTRNPDYHAGLRIDAAAVDAICETIQGTDKPLLVTSGSLVVEAD PNGEETTETSPLQKKPVNDRFKAEDHAINWAREKGVRVIAIRLAPYVYGRGGSGIRLF MQMHARNGEVTCVEDGSTRTSTVHVDDAARMYILAAEKASAGEVYNCTSSTDVTALQL AEAMGSILALPVKFFKFDEAVAKFGPFFSKFLCAVNRASSAKAFQRLGWQPREPGIID DIKSGSYLAVTEKLRGKQVSNT TSTA_034100 MDQEVPLPPLRSIRHRSPAKAPEHPFRKPKRLSSRLSQSSLPSS DPALFSSDDIPSSSLENYYGQQLEHSRKRRYRGTWWGEMAKDAKRKRADFKEKRNLDS GVWMGSDESSSDCLLSSEASSCEDFIARAWAGNEEGELKLPHWNTESIKNETGQVAGP VFAARNIQSTDESQEHRNARRMVNDCLDKGQESVDLSNFGLKSIPTDLLRPLVHLTKQ PAVADGPVTDEVFTSLTPALRLFLAGNHLTELTSELFELGNLNVLSVRNNELVEIPGA IRKLTNLQEVNLSVNQLTTLPWEVLWLIRKGDLKQLTIHPNPFMSLEEAAADIEHWHH GDGPEDDLRSCEYEGPAPEDAWAPIHIATSRVQRFDAEGKPVLNTKSSGKFQDPSHKS PRSRVPSLRELALLECSKAPYLDQFLAGDATSVEYPEPVIRLLRKAVSVRNAGGMSCS VCHRSFVIPRTEWIEWWDCATYENGLKFPRASGAELRPLPFLRRGCSWGCVPQVDES TSTA_034110 MADRVKTIAKSEIERISSLTYRAIKSGAYLYPFHGIIYLLSHRT LWSPLRSRLVPTLMLGIGITTAMFFLTYIPQMTLLAFTSGPFVAPISAALLVINESSA ITGFFASRGMFFGLDGQGKSSSTSSVTLDAFDATLMLKGHEALVRGGREIKSPAADNN ILSRLGGFVGMSKRNSFNSGGSTADGLIRSLLYLPLNFIPVVGTIAFLTLKGKRMGHN ALDRYFELKGYSAKEREEWLKDHEGEYIGFGVASSVLEMVPFASFIFACTNTVGAALW ASNIESKSGTAPGLREQSKKAA TSTA_034120 MRPPRLLLLIFCFIFLPILLTLLSLVTAEPRRGVVEGEAPIAGR SSRLRALFSFNTPTSLFPPSAIISLTDDNSTFFLARPAAFGPLLPSKGLSGQLWIGSG FNEDTLRKGVGTAGFQGELGCSDIPGWDKDEQSKKDQVSKAAHTNLPASLSTDKEMVD KRKSADTSAADKISGPAPNDGTDDHLHQPISASKDEKASEKQPPTHADIQSLQESAEI AGKVVLLSRGGCGFLEKVKWVQQRGGIALIVGDDTRGGSLITMYARGDTSNITIPAVF TSYTTAHLLSSLIPERASLEGKTDSKKVSPKQKRPAQPTTTTTRIASQPTTTKVPKKD TSEKKEVRKTKDNYLFSALWSLFGWGRDNSITDDSHRPPSSGNIDWVLIDEYDEEDVS KSKSMQSSKDKGAKTDKSPKNSDNKLANDDGFEIGVHDWRDPDLVPTKSPKGKSSSAG KTEFKEKVEKADSKMDANFKGGSITPGSGEYSHMVKVPAGTDKDKSVIGNGGKLSSDK SKSDEKSWFARHFGWNQGLDDELDQILKNRVQPASDEVTYVREGKQVLDDHPGLWVTL TPTSMSTSPFFDTLLVLVVSPLITLTVVYALLLLRSRIRRRRWRAPKSVVERLPIRTY HTITTHSTSTSNSPRSPSPGSVTSVTSTSPLLGSRPREAQGRSRQRSQTVSGTNIRTH DATPVKEGVFSGSDLWRRKYIGRQVECVVCLEEYVDGQSQVMSLPCGHEFHAECITPW LTTRRRTCPICKGDVVRSMALAEPTTPTQEHTSSTRTASVHILPDVSDDSNLEEGRRA DEPLPSESHNVNDNAASSSTPHHSNWRNIPSMTFSVLSGDGMWHSRNDRSR TSTA_034130 MSSIINKVKDAVTHDKHEKPSYSTNAGPHDSNMANKVDPRVDSD RDGRAAYGTTGTTGTTGTGMNIGNTYTHGTTGSTNAGPHNSNVANKVDPRVDSDLDGR AVHGNTGYSGTHTGAGVGNTYGTTGSTNAGPHNSNVLNKVDPRVDSDLDGRATHHNTG YNTAGTTTGAVAGGYGTGSTNAGPHNSNMMNKLDPRVDSDRGKHLQKLVVVTAANSFS DGRNAFGSAGSADTTTKSFEQSQNESTATSTTAHGAHSSNHGPHNSNLMNKLDPRVDS DRDHHAAHSGMTGHSGTTGTTMGAGAGGMGAGAGGTTTYGHHGYGTTTAGPHDSNLAN KMDPRVDSDRSNYGTTTTGHGYNTRSTNAGPHDTNMANKMDPRVDSDMDNRARHHHMG TTTGAAPAGSSYASTGTGQTYGSAGPHNSNLMNKLDPRIDSDLDGSRTVGNTQRQY TSTA_034140 MAPRGGPSLTSQARAAELNAAAAARARMMNSSFSDPRPRNSQAQ YSYGDWSSSQTVNIEPATVEGFRRPNRPVDRYYPPAVTPHTVPLYAYQQPQYVDPGVV HPMYASAPYPGAAEYYQPMYQPMQSYQQMYPSYVNDYQSPVPPRGRFPTTRQTPSRPV SRPVSRPVATPTKPNPSESVQPATPPPVKPQSPSPRKQLSEKPSPSKLLRKVLQKEAP RFFAPDDLSPSKMEEDIMCFKAEIAKIKAEEAKLVPPSDDQQPTANAEQENTFEKSQT KSVNGDGSGNGHNKDKRPGKVDKNGQLTPHIKHSGLVDGIRGLNLNDQSTIEAHPEAR SRRPTLEDSPLAQKAHRRLLMQSAPSFPPGLMPPPGLNDATAAKQQLQIGHMNKGRST NPPGSIHQQNDGLLHGAQMADETNGEERPRAAPRRLQSPFQSRPRSPVEYYEPIVNRY ERNVFASEESRAEELRKIESGQVWLEYCDRPRVKNFPGPFRGFPRLPVINENAQRERA TGFLVPPPGFAVSGPTLVSRPDPWNPGSDSGNRAAVYYDRILEADDWFHQSLPKKKEE PTNKFQKQLSQVHSTLVNHPEKPVETQLSKNANESELVKRILDNVTAYLDPEENEKRG FQFRDVERETDLKKKRGKGASRRRRVCGGEYEKEEGLAPGSEIINKDVFGSVFFEGDG I TSTA_034150 MIFLRILAAAISGALAFITYFHHGEHHLHGARYFMQFLVICSIS TAYLTWIQGHNLSESLQATFRIATSFLTGLCTTCILYRLSPIHPLHKFPGPPNARIST LWLFFQVLKRKQAHLTLLAAHKEYGPIVRIGSNHLSIVHPRAVEKIHLTCRKAPFYDL NKPITSIQTARDKALHDQRRRLWSMAFTETRLRDYETSIKKIHKHLLARIDRDSKDKD GRPNRPINATRLINHYAFDVMGEVAYGTHFDMLATGNNHQAIDLLNEAMAMIGFMLPI WLIRVAVAIPGLTRKWWQFVAYCFEQMEICRSSKTNLPFIASSLLGPCKDRDPTPDEK LVLQGEAQLLVVAGSDTTSAALTCALYELVLHPQITTQLREAISASLQPGDTEVSACN VQNLDILNGIINETLRLYPPIPTTLWRLTPADGIWIDEETYIPGNVVVSTPHYVLGRS NNIYERPYDFIPERWYSKPKMVKYAKAFAPFTIGK TSTA_034160 MRDPDLIMEHSNHNGDTQSGPAPTVNGIRRNQNRSVRVSFEPGP DSVIPSRDRSPTPLNSHHRSLTEVEPQESSLAQPRKDGSSNDSNGSAATENPSRPSLG GRPGTLQRAKSDYGPRSVMSSQATTNEEDDFAMRHGWQEEYTSTEYLKLLHSNFYMYF TEKRHETSGLPREPAGSWAIQEWRMRDRLKTVSAALAICLNIGVDPPDVVKTNPCAKL ECWVDPTSTTGGQNKMMEQIGKKLQEQYENLSLRTRYKQYLDPSVDETKKFCISLRRN AKDERVLFHYNGHGVPLPTQSGEIWVFNKNYTQYIPVSLYDLQSWLAGPSLFVFDVSH AGNIIQNFHSFVEKHERENLEAKKRDSNAPIQNYGDCILLAACQKNETLPTNPDLPAD LFTCCLTTPIDIALRYFILQNPLDVNLKLEDFKIPGRLQDRRSPLGELNWIFTAITDT IAWNTLPRPLFKKLFRQDLMVAALFRNFLLSERIMRTYQCHPMSSPKLPETHNHPLWQ SWDLAIEMVLNQLPALIESEEGRRQYEYQHSTFFAEQLTAFEVYLSSGPTEKNPPDQL PIVLQVLLSQAHRLRALILLSKFLDLGPWAVHLALSIGIFPYVVKLLQSAAQELKPVM VFIWARIMAVDHSVQNDLLKDNGIHYFITILNPTSPIPVGNASEHRAMCAFIVSIFCK NYPQGQNVCLSAELFDSCLNHLMDVENPLLRQWSCLCLSMLWVDFPDAKWMGIRYAAP QRLCELSLDPVPEVRAAMLHALTNFLGIPDLTDQVGKIEEFIAMAVLPMAADGSVLVR KELLVFFSTFVKRYENKFLVAAYEELVEEKHSLLRRAQKEPQQLALLGESADDSDEKP HFLSRNTTFGTIWKQLLILSVDPHPEIARDGSTVVDYIHYALLQSPMASMANKARDEI MDIASRLNLNKPQLAEPVEFKMTHPAPPAQPQPKNEGYFSLSMRRTASVAASLKNLAF GPSTSTDQEPSSPSLSQHKASPTKNRPPMTPRGRAPPEWTRPPEVNDQVAPATAYHQA PAPLSRGFESRDLNVPPSIPLKSRFLDWSTEYFREPQMKPNEPDEPGSADYNERLWRR SRNEKIIAETQPLKEKAGSSRWQSSTALLTNDSQPMKMTFHQFEDHLAIGDDRDTISI WDWQQNQCLNRFSNGNPPGSKINEIRYINEDDQALLMTGSSDGVLKVYRNYERHKKIE IVTAFRALPELIPSNRNAGLVFDWQQGQGKALVAGDVKVIRVWNAATEVCTNDISARS GSCITSLTSDQVAGNIFIAGFGDGAVRVFDQRLKSTAAMVKVWREHKQWITNVHMQRG GVRELVSGSRNGEIRLWDLRMNDPINTIHATKDTLRTLSVHEHAPVFTVGTNRHEVKT FNVDGTFLSNFEPYSSFLHHNRSSPISATAFHPHRTLLACAALQDNHINLVSC TSTA_034170 MEAIWRFHHETWDEPWSSDDFPAGESEKEIDQRLRNLGQSRDKE LTSQWPWGYTIYCTVYTPKSDQHWDALLEAIPKNTYTGLGPDLHDDEPSRIFKEGYRP LVFNDPAQFDGATLDEILIDEGALQSINRHPSWVTVVDPNYRGGSSYNTQYYPGYFRL YLSDLWSLTRIGRAFGLDDVCGRMKGPNDVPWFDSDMY TSTA_034180 MECVGFCPAGKVKVAMNTYNHSCYNRGYESYCCEPSYYTETTRL SDDIAAFQDALASYGANPTCSTTATRDLVGRDDSPVQQVKSFLTSFFLACNLDELQQL KGKIQVWDAWAVTTSRGTNPTRTSLVGWPATQRSGTRTSMTMEAMSQFLATFDLCAGD NFLCTEEGFDNQNLISGGGSDELKQWLQWKAQSRSIFGATDILVVGDWNTDDSIYDEA LDNENVEDCEDCKINIESVVVEHSTNFHTEHIIELQSMSTFFAWLVTESSCNIDCQFF LKFFNKDVLKTTAMPGGYNSGVPSLRIMEALGSWSNTNQFRLLEKRLNGIKASLWSLD NLKAPDKWKAAYESAAPDEAITILKGVITVFSYLNYPSVWERLKATNALIRQELETAQ DAYNDATGSQTKIADCWDQ TSTA_034190 MTEPLLAGGNEILVDSWGFGMYANSSGTFFAQQSDLATMERNVA LVGSKSYVKPNFFTRRRPQYYNLQGSQVFDVRSYGAQGDETTDDTVVLNSILGVAANL SAIVYFPYGIYVIQDTLTIPKSSRIIGQAWPQIMAKGPKFQNVNQPHVAVRVGSPGDV NIVEIQDLLFTVSGPTVGVILMEWNIHESFQGSAGLWDSHFRVGGAKGSQLQSSDCPK QASAINPNYIAASLLLHITPSASAYMENVWAWTADHDLDIASQDQINIYSGRGILIES QVPVSNAKNLVMGMLQTESPYFQPVPKAPAPFTIGLFPNDPIFSECSASSLTCAISWA VWVVESSTIYILGSGMYSWFSSYSQDCLTTDDCQDRGFYIEESSDVWIFNLVTKAIRE SISPQGETPLYAKDTKNGYTSSLLGWFREPTEVIGKRNFTGYYLYNSPAYDDLLDTVS STCKTAMTRLIECADETYSFLQPAWPQAYANDTLADLVCDSRCELSIKTWYEDVTTYC SEFDTKQDVMNYRGGILWAGWNQTCLKDPNSGSYCGNVMQKFTAVDFERDMPDSEMCS YCYMTTLQLAQASPYSFYDEYYQRVLELVYSRCGVVGSTDIPPAVEDRTEDSTDFCAS DTYTIVDGDTCDSIALANTVSSAALFIGSETIRNCSNIEAGLSVCLPFSCNPVYSFSP DETCASIEQALAPGSTADAPICKIGALPTFGHVLYVSPQGGVHTGTVPPPGVTSLPSS SSGYASSITLAPENATVANGTTTNCGRWHVPVDGDTCASICVQEKFEYSLFLAVNPSL SANNCTAELLTGTAYCCGPIQGWSLTPPNPPLTTVPEPTPSPTSSIGV TSTA_034200 MAFLFKSKKNQPQPGGAGLPPTSRNIHTSEGVVQEKQPFANGGP RDMARMVSPTPSGSINNSLNSVTTSGSPDPSRVRQRADSETQVQRSGSSAATGSPTTG TNAALYPWSQRRLNFTSANANPFPRYGAAINSIASKEGDIYMMGGLIDGSTVKGDLWM VESSGGNLSCFPIPTVSEGPGPRVGHASLLVGNAFIVFGGDTKINDNDILDDTLYLLN TSSRQWSRAIPPGPRPSGRYGHTLNILGSRLYVFGGQVEAFFFNDLVAFDLNALQSPN NKWEFLIRNTHDGGPPVGQIPPPRTNHTTISFNDKLYLFGGTNGSAWFNDVWCYDPRT NSWSELDCIGFVPSPREGHAAALIGDTMYIFGGRDKDGMDLGDLSAFRISNRRWFSFH NMGPAPSPRSGHSMTAFGRQIIVMAGEPSSAPRDPTELSMAYVLDTSKIRYPNEPAQN GEKATDGRKTSSEKSVIPAGRVSREAQNAPVDQPRRMTSSYRESITGPNAKPQGDPSL GPVGSRLPRASIAQAPAGPPPPGQAPTPNPRMNGQGPQAPRSKTPTKQDRNYGPQVDT VRAAAVDRDMPSPTMRGSPMDNNRPTANASPVSGGRHTPSSQPPSRMAARAMEAGEAA PLMSGPTRQRSLQKQRQRASMDSTDESILGRQPSMDGSVESRNYRNSRILGDEPRSPR LTPHQEALIKELEAARNRNAWYASELALARKAGYNPTPSTGSALDERPPDVFGDEDRP LIEAFLAMRAELAKMQVTVDRQAAIASKRVAEVEHQRDAAISEAAYARAKLAAHGGSQ RSTPQLEGTSRADDDTGSDRAADLSRRLAIALAAQAEMKSKLETMAIDLEQEKRAREL AEGTNEATRKRLAELEMQNHALELESLRAELHQLQTHAREESALRSEAEANFKQASID KEELSQKLEDVTTRLKDHSGNMASLREAVAASAAKASLMEKHLEEERERREGLERKLL QLRADHEEMSAELETAHRRLKEAEELAESHAREAESHKLAFISGLDRASSIDSDSSIR SISDQRVVALQAQVEAATKLARSNQAAADEAADKLRRAEERIAGLEAYQEQVSREGLQ LRRQLQTAIKESQTMATENKELKSQLESQQRDTSALAIQHGALKDLLGERGVHPSDSR RSPLLDSPGSRFGTPEQTRLRELEQQLQASLKAHEETKVTFESREQEADRAYREKLEQ LENDYQSAVHYVKGTEKMLKRMKDELARYKTHAATLQSELETVQKNAEKSSDSPAPAS WESERSELQKSLSELQTNMSRSINELESQITKLREDLSAAQAESHESRSAHEAVKQEL LAVADRSRAEIEQLKEENNLLESRALHAEQRVTMLLEQMVTSVDNHRRQSQHGHGFSS ISRTHSNASTATAGVPDGGRPRGNSNVSRDDLFLDNRSSMALDSLANELDALRSHWES TNRSHRLSSTTFDLEATPTRETHEGTTLTPLSESVSNWRRRLEEEEARASSPAVDFSE GLRTPTATSHANASEHSGNNMI TSTA_034210 MTTMDLRVGNKYRIGRKIGSGSFGDIYLGTNIISGEEIAIKLES VKAKHPQLEYEARVYKSLAGGVGIPFVRWFGTECDYNAMVIDLLGPSLEDLFNFCNRK FSLKTVLLLADQLISRIEYIHAKSFIHRDIKPDNFLMGIGKRGNQVNVIDFGLAKKYR DPKTHFHIPYRENKNLTGTARYASINTHLGVEQSRRDDMESLGYVMLYFCRGSLPWQG LKAATKKQKYDRIMEKKMTTPTEVLCRGFPNEFAIYLNYTRSLRFDDKPDYSYLRKIF RDLFVRESFQYDYVFDWTVYKYQKNAAMIVDANNPKKDKETEEQQRRAAQAAPALASQ VAAKPGAISSQRRKVIERGTVDTPDTNRAVGGSDRM TSTA_034220 MNYSYFNPAALQQPYQLFATISPPNVDDRNTNNDSAMSQSSTPF EYTTSFPGSSSYFSGPGGAVLLPPQQQHQHSPSTANYSRHNSNDMSNPNADHLGQYIF SEFLEDPLAAAQTSTRSSSEEKDVTTPAQDRRKAQNRAAQRAFRERKERRVKELEQKL TDLQAQSMTLHADNERLKRELAKVATENEILRATSSTGEAINDDTNNRSNHNSPIDDD SNKAASSSTTAIINGPKGWAALDVRKQYAADYKTGNLPHRVVLSAENGERLLDTKATW DLIQNHPLFQKGLVDIGDVCERLKPFTKCDGQGPVFEEGRVRKVIEESVACGNDELI TSTA_034220 MSNPNADHLGQYIFSEFLEDPLAAAQTSTRSSSEEKDVTTPAQD RRKAQNRAAQRAFRERKERRVKELEQKLTDLQAQSMTLHADNERLKRELAKVATENEI LRATSSTGEAINDDTNNRSNHNSPIDDDSNKAASSSTTAIINGPKGWAALDVRKQYAA DYKTGNLPHRVVLSAENGERLLDTKATWDLIQNHPLFQKGLVDIGDVCERLKPFTKCD GQGPVFEEGRVRKVIEESVACGNDELI TSTA_034230 MFLTFESSQANKTGLRNPKKQISPTSITTRRSERLNRRRTTPRA CTSCRQRKIRCDGEKPCEACRWYKKAELCSYPERERGMGRERNSQEEPAHSSSDYRKA LERLFPETAPENIVHLSREKLLGLISKSSAAGSQHHDSMTIATSASVETRHSTLSIER PAGLESLHSIPGEQQKRIHLDDETQCIDGSEEESIEHISDDVNALSLPARNLTSYLGV SSIQAALKVIAWLHPELDSHLSRLSSKDQRRQHHRHQEQPGVLPTEEQMLDAYFTNFQ SFAPLIDEDTIRSTFLSNRRKDDKWLALLNIIFALGSITAAGVDNHNHRTYYERSKSY LNINTLGNPSLEVVQTLGLMGGWYCHYISQPNLAYALMGASLRMAVTLGLQREPPLDR NLPVIGTGYQEFKRRVWWSLCCLETWGHETLGRPSMDFFSPSITVNLPRVLDKENYIK ILPLIENVHFIRLASKIQDSLAALPTKTYSEMLTLDSQLVAWWNNLPPVLKDCSPCPE SIWPVRTVMRWRFYNQRILLYRPSLLNYAMRQIPFVAVRDEERVVVQRCCEIAKMAIE DISGTTTSTIGMNQMIAWNAVWLVFQATMVPLIFLSTCSTAAAATQDDGEVESCKVQV QTAISTLDRMRVYGHTAERSLEMISAILDTVLGISTVRAYNSAGLNENIESQNLPPMT EHQPITRDRVLDWTAAATTSSSFDNFSSQHMWEYLSWGESNDLWPELYTNLDPQDSQI EGADFFNSN TSTA_034240 MAFFEKRLSTGWTFRDVDDEGSDAWMPVPSIPSTAQQDLIANNK LENPYIGFNELKARWVNEKSWIYRHEFEQPEIPADATVALVFDGLDTFASVTLDGQKI LESDNMFMGYRVNITDILIAKGPHVLEIKFDCAQFRAREIRSQHPDHKWVGFNGDMAR LAVRKAQYHWGWDWGPVIMTAGIWREVRLEVYSARVADLWPQITSISSDHQKASVTMI AKIDAAGILESCTASFRLTLRGQELAHQDNISVNATDQTVQAEFQLTNPELWWPHGYG SQTLYEVSISLWSNGKELHKKSKRFGIRSAEVIQQPDKHGKSFFFRINGVDIFCGGSC WIPTDSLLPSITAERYRKWIELMVAGGQMMIRVWGGGIYEDDRFYEVCDELGVLVWQD FMFGCGNYPVWPRMRESIRQEAIYNVQRLRHHPSIVIYVGNNEDYQVQEQAKLTYHYD DKNPENWLKTDFPARYIYEKILLEVVAEHSPGTFYHPGSPWGDGKITSDPTVGDMHQW NVWHGSQEKYQIFASLGGRFNSEFGMEAFPHMSTIEYFVENEADKFPQSHVLDFHNKA DGHERRLATYLVENVRTATDLETYIYLTQVIQAETMMFGYTGWRRQWGDERHCGGALL WQLNDCWPTISWSIVDYFLRPKPAYYTVKRALKSVAIGVRREHHDWSVVHAQPPTSSR YDVWIASSRQEVLRGKVELRFISVVSGEELRSAMIFEDIVIKPNGTTNVLTNGMIDHI AEPEPHVLSARLWVGGDIVARDIDWPQPFKYLDFSDRGLEVKPETRTSSTGEKQQILK ISAQRPVKCLVFEERDNVRLSDSAMDIVPGDEQVVIVTGLDESSAPLKYRYLGQ TSTA_034250 MERNENIEGNKAAIASEEVEHTTLRVVTGSGAFNEALVQEPPKL SHPTTISLFLCLLVGFFCQTMNGFDGALFNGLLANTVFLDHFHGKDSGIWAGIVSAMY QIGGVSALPFVGPAIDTWGRRVGMFLGSFLIVLGTIVCGLTISNASAGQFMGGRFILG FGVSIAAAAGPIYVVETTHPVYRGMVTGYCNTFWFVGSILSSGAVRGAITLHTNQSWQ IPIWLQMVFAGLIISFCWMIPESPRWLYVHGKQEKAIETLTKWHGYGNRDSLWVKLQI SEYETHLNVDGSDKKFWDYRSLFNRRSNFYRLACNCVFAIFAQWAGNGVLTYYMVPAL KGAGFTSDITQANINLGYSCFQFFFALCGAAFVDKLGRRPLMLGGMAGCCLVWVAVLA ASSQVYNSSGVLNNNASNATLGFIFIFGAVFSFCLTPLQALYPVEVLSYEMRAKGMAF SALAVNASGLLNQFAWPVSLEKIGWKTYIIFVVWDAIQTVIMYFFLPETKNRTLEELD QIFEARNPVKVSIRGTEIAVDQNSRVVAVKDDA TSTA_034260 MKSSIIVISSLAHFAVSQTADWGQCGGTNWSGDTTCNAGWYCSY QNQWYSQCVPQSSPSSSATTLSTVISSSTSSISTTSPAGSSSSGSASSFPSTSGTQFV IDGKKGYFAGTNSYWLPFLTNNADVDLVMGHLQQSGLKVLRVWGFNDVNSMPSSGTVW FQLLSNGQQTINTGPDGLQRLDYVVKSAEAHGIKLIINFVNNWNDYGGMNAYVKNYGG NQTGWYTNDAAQAAYKTYIKTVISSYIGSSAIFAWELANEPRCNGCSTDIIYNWAQST SQYIKSLEPNRMVCIGDEGMGLKVGSDGSYPFGYSEGNDFERTLTIPTIDLGTIHLYP SQWSETDSWGSSWLTAHGQACKNAGKPCLLEEYGSTSHCRSEAPWQSTAVSSVGADLF WQWGDALSTGQSPNDEYSIFYGSSDYTCLVTDHVSAINSS TSTA_034270 MDMPVNVPVDNPEADTEWNDILRKHGIIPEKPPSPTPIIEEAIL EAQRRAHENRLEDKDLDELDALEDEEDEGFLEQYRKKRMAEISTLQKASVYNQVYPLQ KTDYAKEVTEASADAFVLVNLTSSTGGNTESRVLSEIWRQLAPKYGEIKFCEIRGDMC IEGYPDRNTPTILVYKDGEIRRQYVTLRELKGVRTKVEDLEKVLVDLGAIKEGDLRLR KQDDSDDDRNRAPEKEEDDDDDWD TSTA_034280 MTYMKKDEDADQAMIKLDRTTVFQEARLFNSSPISPRRCRTLLT KLAVLLFTGEKFPSDEATTLFFGISKLFQNKDPSLRQMVYLILKELATTAQDVIMSTS IIMKDTAVGSDVVYRANAIRGLCRIIDATTVQGIERLIKTAIVDKTPSVSSAALVSSY HLLPIARDVVRRWQSETQEAASASKTSTGFLGFSSSQSHAISQTNYMTQYHAIGLLYQ MRSHDRMALVKMVQQYSAAGAVKSPAAVILLVRLAAKLAEEDPGLRKPMMQLLDGWLR HKHDMVNFEAAKAICDMKDVTDAEATQAVHVLQLFLSSPRAITKFAAIRILHSFASFK PHVVNQCNPDIESLISNSNRSIATFAITTLLKTGNEASVDRLMKQISGFMADITDEFK ITIVEAIRTLCLKFPSKQASMLTFLSGILRDEGGYEFKRSVVESMFDLIKFVPGSKED ALAHLCEFIEDCEFTKLSVRILHLLGVEGPKTPQPTKYIRYIYNRVVLENAVVRAAAV TALAKFGVGQQDPEVKRSVSVLLTRCLDDTDDEVRDRAALNLRLMQEEDEVANRFVKN DSMYSLSTFEHQLVMYVTATDKEAFSVAFDVSSIPVVSHEQALAEERTKKLTSATPTL KAPSTAPTKAKANGAVEGAAAVAATQKYAEQLMQIPELKEYGTLLKSSNVVELTESET EYVVSAVKHIFKEHIVLQYDLKNTLPDTVLEDVTVVATPTDDEDDLEEDFIVPCPKLP TNEPGIVYVAFKKTGGEHSFPTMSFTNNLKFTSKEVDPTTGEPEDTGYEDEYQVEDLD LTGSDYVIPAFAGSFDHVWEQTGANGEEASETFQLSNVKSIADATEQLIAALSLQPLE GTDVALSNTTHTLKLYGKTVSGGRVAGLVKMAFSARTGVTTKVTIRSEEEGFAAAIVT SVS TSTA_034290 MKIIPGMLSTTRTEPIYIPTEIILQIVSRLDRSLRGQERQRTLY NICLVSHQWYSVALSFLYSRPRLEKGNAYRKFTDVLCPPAGLPKTSKDYKDLGGLVRR LNLSRLVHHSSNSMTARLIGRVRENLEVFIAPAAGFSVNCLSPLSKCTNLRYLDLSLV HEPLSFESIIKSIRSMKRLITFRSPASMRITHEPFSKHTEYPKWPPNLTTLQLSGTIE TSSMDTFHWPENITQLCLKNCLTLSLEVMTAILSNPQLGTKLKRLTISTYNRGLQPEC IHLIPAFLPNLVFLSIPGDLVQDMFFSMIAYQCTELALEVLELGHTHTGERFDFEIQS LIDLLDSKLPYLRAVGFHTMYGEYPDLDDALLERAEERGNDLRVSEETEEFDVGTYYF D TSTA_034300 MNHEKNDKEENFFIASWPNERRTPTYALVALHKTINMKFSVAAA GLFLAVSSFASAQDTSTITTTHTITQTVIHASTVTASSKPVSTPVASPSSTPVRSPSS SVVSPTSTGSASTTGPKVPLSTGAAVPLAGSVSAALVAGSFAALLAAF TSTA_034310 MPVVAGPPQAHGPSTFEKMKMGALMGTSVGMIMGFIGGAVSIFQ YGAGPNGVMRTLGKFMLGSGATFGMFMSIGSIIRTEGPYHEAWRRAHANPIILPRESQ GRR TSTA_034320 MTAVASPPSVQSGPRLGWYSSGNGGQAALGSMNTDDVSRMFMPR KSVQRSNSSSSLSSNASTSSTNTVSHISNGTSTNGESATWSSKRKAGRGLWPATKSEP VSGITNVRSQPVSASTSTNGGPTASSAMSAIHQPSPVPSQHMIQSPQQNGLSAASGMQ GSSDPPAILTLVPLNGTFEKKHITVPYMPEVLRIGRQTNAKTIPTPVNGYFDSKVLSR QHAEIWADRRGKIWIRDIKSSNGTFVNGQRLSPESRESEPHELRENDALDLGIDIVSE DQKSIVHHKVSAKVEYAGIYNTGPNIFDLNFGDIDPTSGGGLLPSPLSQPVVHLRGRA SSSLSNRSVQSAASSQMSALHQQRQMNYWISPITIEHVVKRLTTEIKQAKQQALDLRQ TDEFLQTLISSDGSDKDKLNHKLENNHRQMNGRAKMSRIDPLRFSEPPAPPPQQPLPE KPDVARRSAPDPLTGSLKRSDTEKPSKDSASKSPVSTESSQILSLIEALSLTKKELEA QNSRVKELEELLRQERSARENAEESRKQEAAEHPTEPKPNEQREDVEPDVSQVDEATK TLDAPSTEAEVVESKSLEDPVLDAQTQKLQQQLDNMVTELAEMKQQVETFKQRAEKAE GETVEVRKTLAEMIETIRQERELAAQTKESALTDEEVDAAVTDLRGIGKSEEKENRAT HKQPSPHKIKELEHAVTSLTQQRKPNMLEHSGPYASMVGVVLLGIGLMAYLNGWQKIE R TSTA_034330 MTTTTPAAPSSTTPKTTLSTLTFEKNSNTKFLHIPSNIKNKKYR IEKRPIPRTPVASPYAGARVPKIVYVGTKTPFMSAVKRVEKLLRLAERRAMGPVIGSR RSYNNNQTAGRGKGGEVLEKCQRRLGEDKVLVKATGRAIERALSVGRWFEEGKGGGGG IEYEVVVRTGSVCVVDDIVEEELQDDEKKEEEKDVSMTNTDENPEMSSEEKREGKEES KHQRRRRRRRERIREQRLKAFRDEDGETPESRTRWVNMVEIAISMKKSVAIPQYFVFV LICIERRIHIMQEEYMK TSTA_034340 MMLPKQLLLALTLSSSFLGSAMAAGNGFNGGNKGNNGNNGGNNG GNNNGGNNLALSPNLVQKGSQQTGGNSDGETASATDNANFINFCQGKTLTNGAQVQGG SCNGIVMGDIPAKNKMVSTVILNPKNMQDLTPNQQFNVQLQVSNLQAGAFTNATSTYY AAPQAVNSQGEIIGHVHVTIQDLGGNINPTTPPDPTTFAFFKGIDDAGNGNGLLQATV TGGLKAGVYRVCTMSSSSNHQPVLMPVAQRGAQDDCRYFTVGQGNGNNGNNGGNANNG GNTNNGGNTNNGNNANNGGNANNGGNTNNGNNANNGGNANNSNANNGNNANNGGNANN SNANNGNNANNANNGGNANKGGNANKSGFGGFGGSKGNSGNGKNVNTDSGSATSGSGS SSSDAAAAASSSSAVKRGGKGRMNDGKGKRSNDRFVLRKFIA TSTA_034350 MDEQSSSSKPQPKGIAGSDGSAMPYILEHYMLNPTSYEIPLRSL YALNCQTLPLSPGANFRESNFANPPMQNSTQPLADAASQFKAQLISHISKGPHQYNLP VGFTISFVRRVFAETLEHVDFPQALTALDYLNNLESRRKKEILAAFESLGVELSTSPS LTIRASEVYKQDLKQTYPGVVRWIESIEAKERDAVAIYRKLRLSLRRWTLINELWLEP FDKIACMSMLNTLFNFQAQDSKAPKPEFDYDDKVETARQRQLFFENIRTLEKHGKVAL ENLLKSGARPGDETAWPLVHGFLKKFLNLADDTIHECTRVKDRDYLEAELRSKTHRRN ADSGVSFTSSMNESFSSANSRAVTPSEKSRSPTPDSRPGKSTLERISNQLRKIRSRPD IKETTKIKPALSKKKSLSALVSRRSASSSSNETNFDVDEMRRQKMIWEAKQRKKVQAE VQATEDGRGTPQLGYAV TSTA_034360 MMRASLFALATSLLVLLQQPQSVVASPDVNVALRASFDAGPYLV ELLETAAQENSSAYFPLLDRIATGTFEDATTDKDLYETFLQVLIEDNHLQSKESLDSF KFALAIRSAAPRIEAHYQYYNTSVQSTLGTAQDAACPVWVHMDGKQYCSSTLERAQQD VSGDRDPRELPFDRTLGDRFATPAVLYADIASPMFADYHRTLKGLANEGQIAYRVRYR PAHDGVSRPLFVSGYGVELTLKRTDYIVIDDRQAEEKDTTKSNAKTPSAQDLDAEEDE SPLDLKPLSSSEVAKLGMSAASFVLDSSDPFATLVKLSQDFPKYSSSIAAYNASEQFL EEYKRNRQAGLPGGRNAMWINGLHVDARQIDAFSLLEYLRRERRLLAEFQKIGLSASE AVDLLSYPALAEVQGNSEVQRYDWRDEIEGGGVLVWLNDLEKDKRYTNFPTTLQALLQ PTYPGQFPAVRRDVQNVVVPVDLANTDDVQFVAKYLYAFIKRMIPVRFGLVLTTNSEE SKAQAKIAHYLHQAYGLASMLQYLETSLSAEKVSRAHKPSFTAAIAERSLRSGQENLS FEEVLQSDALDTIISNTEKYLNRLDLKGSSPSTLVNGVAMSRGGNYMQELPMQLSRDL QIIQQGLIEGAFSDDDYVPDYFLSKAAQSRNEWIVPEHDSDIVIADICGVADLHNDFA EILRFPADPKHTASNVQLIVVADFNTEHGLALLLSALKFRQTHPGSEIVPLYSSDAGE KVGSIPSKLYELLRHPEPVDFADVLTQIQSRDNTHQADKKEEEQAYWTLVQQLVTDIG LPAGSSSLIFNSRVVGPLPSSVIFKEEDIEALFSYETSQRLGPVSTALKDLGIESKVA GPLNFAKLTSLVMLSTNSDAPEGVFEQRSKYRVDVWKRWNSTHSAVDASTNTKDASIN IAAAIDPTSEISQKWLPILKTLSKLAGVNVRIYLAPNGQLSELPIKRFYRHVLESEPT FDENRALARPEASFHGLPQDALLTLGMDVPSSWLVAPKWSIHDLDNIKLSAIKDSSDV DAIYELEHILIEGHSTDMTLRSPPRGVQLLLETEKGSFFADTIVMANLGYFQFKAQPG FWKIELKEGRSRDIFQLDSIGGGQESSGTNEVALLSFQGKTLFPRLSRKPGQENEEVL ETGPRPGSAMDYVSKGLNFAQGVLSSVGVKQTDKSESAEKHAEINIFSVASGHLYERM LNIMMVSVMKNTKHSVKFWFIEQFLSPSFTSLLPHLANEYGFTYEMVTYKWPHWLRGQ REKQREIWGYKILFLDVLFPLSLDKVIFVDADQIVRTDMYDLVTLDLEGAPYGFTPMC DSREEMEGFRFWKQGYWKSYLGNLKYHISALYVVDLQRFRELAAGDRLRGQYHTLSAD PESLANLDQDLPNNMQTMIPIKSLPQDWLWCETWCSDEALKTAKTIDLCNNPLTKEPK LERARRQVPEWTVYDEEIAELARRVASSSSTSPENESEEEEEGEGKVYERKDEL TSTA_034370 MSTTSIVLPGDQVPSNILPSATSTTPLRLGPGLRLLQQQSQNSK NKTSSPTSHIIQSTQAGIISIDHNRKSVSVQPFPNRRYIPTVNDLIIAQVQRSSQDFF HCIVTPHAPLAVLGQLAFEGASKKTRPNLKQGDLVYARVLSVGVGAGTEVELTCVNPA TGKAEPGGLGLLSGGMVFDVSTGLAARLMKASSSSSSAEAASVVIPEELGKKFESKGG FEIAVGRNGKVWVDCSGSGDIAIKITIAIGRCLTELDQRHLTSIEQRNAERGHVYGGL SSRAQLNFSIDNAAQWTFKLESRRKTQSSSSSQVQHEDTNQPATDQINENISPQLKIE SDILTQSPTQPTSNQPPNPFRTPKRKRRFSFEICIPTPAECSQMARPSDVPMSEPMRP AKRKRPSDDQVTPSSQRVKLKDRLSLGDGVGDEGSVASTQDYTPSKQTPSRKRRRAST NGAPRSSQVRREEDYDESTFRPGHVNENNDKRTGFFSPEEVETLEHFKVEFCNEHKIS AETFDASVQSSRVYKSGIFETEEVNITSHEFWQQIYELIPDRDKRSISRFMRRHFSTG STPHQWTEADDDELKRLHDRYGTKWARIAREMERTQDDVRQRWKNKVEHRSTMKEGPW DEEEIVLLLDTITETRKIHLNLEKESLGRDIYELDEDYISWGAVSDRFANTRSRQQCA DKWRKIKRNVQKRRALGEVDAIYVPSDPPRPGRRALQLRSSQSETSDNEAENERRPAK KPKPAQSSKPAYKSKEIVESSDEEEENVNGNAQQTNASNGDNAEEAAKVNGTSKSPAN ELAYESSDSSSDDDSDDENSSDEDNNSAHEGNANEEVDGTNNTNELRNVQQPNEPSDD ESIIPETQPQSRASSSPVQEAQTNGHADKPSPELGDDNRVVNESEAEDGEQVDSKVAS LNVKKEIKSDSESGSDSESEIDSDDESESGSSDEESGSEESGSAESGSAESGDDESGQ ESGEEEEDSEGIEKKISKREPSDDVE TSTA_034380 MSEETGAGERFAIGISFGNSSSSIAFTGPDGKAEVIANEEGDRQ IPSILSYVDSEEFHGTQAKAQLVRNSKNTVGYFRDYLGKDFKSIDPTPAHQSAHPQQH ESTVAFTIRDTDAETPSTVTVSEITTRHLRRLKQSASDFLGKTVNAAVITVPTNFSDA QREALVAAAKNADIEALQLIHEPVAALLAYDARPDAVVEDKLVVIADFGGIRSDVAVV ASRGGMYSVLATVHDYELGGANLDQVVIDHFAKEFIKKHKVDPREDARGLAKLKLEGE ATKKALSIGTNATLSIESLVNGIDYGSTINRTRYELLSGKIFSQFTGLVESAVKKAEL DVLDIDEVILAGGTSHTPKIARLLQNVFPEKTKVLAPSTTPSAINPSELSARGAAYQA SLIQEFDKEDIEQSLHPMVTVTPHLSKTIGVQLVSADENAEDAVFAPLVNAETALPAR RVAQYTVPKEGGDVLVRISEGEREIKVTKPEPKPKAEKSEKDEEDSDSDSDFDSDEDE EEETREIIWKSTKTIAEAAIKGVKAGGKVEVTININPDLGLQITAREVGGKGGVRLAV EAPQASANGSA TSTA_034390 MAAGRPILGFLALFFVAGALLLMFLTFLGGVNNHVPLNDIYFLQ ADTSRIPGAPSTSRWTFWNVCPVSDGKSQCGDVHPDFPFDPPSSLNFGTTENVPLEFI GTRHYFLMSRFMFPFMLIALFFATLSLFLGLLALCTRIGSYLSSLLAWIAWVFQVITA SLMTACFVQGRDHFNSFGESARLGRKAFGFMWAAVACLTLSTVLYCMGGAAGRSSSSG YSGRETRRRGFFASRRSSTRSRGSFRSKREDAV TSTA_034400 MATWAYPPLPADQLRHEEETALSKELEWLLASLQESLASLRDGL QECAGLLAPKEPGSTLVVSSVRSESVKGFVTRVGTRIVKGDIHLRLTSLPPPRGANST RLNVSSVPGAPELVLDQLAAVRNLVNQGLDVVDVSTFTGDPLNASFISGQLRLLYEHI SEAKSALKGNAETSKWWEHSADSSVFDPPLPPYLSFHLTIVDAALVLHLRTLEPNPAN QASGSFGAEIGLSIRDRLFGPRLPSHDEQNDVFVWQGEEVKVKEKIRVETQDPSLIAV MAKLSALEHEVLKCRASLKIVMGDEDSDLE TSTA_034410 MPDMFSWRIAAHHPAVATVRRNSKIWFIRTEFTARASFDEAKLH GRNWRNDRVRALATTTSDSTDVVQDSTGRKAEIYTLIDTIHQHEDELAELLDDLLPSN YLDYIVDTRSWGMDDVFSGTIGHRNYESLENKVRGARQQFGEYLPEDHLNEAELELYN RLYGEAIMRPAESEAVIDEREPDILLRDDGEGGFEEIDVPPENGLEEEEEEISVAYET EGILDEDEDSSAFDRAKQVAERLGGVLLESGAEIAEEEESESGPRLHPLTEAGKYSTN PRTVFLPRDSVTGPISVILSNYSNRHIAEAAYKIFGGRRLPHSTTTLPPSAQIAQVPI PLSASQHQMSDMEANAYLAALYPGIYASTLSVLVEVRKRLGGKWLRDLMAQESGPRVL DAGGSGAGILAWRDVLRAEYETMVPDHPKDAPIPTGKSTVLSGSDALQSRASVLLDNT TFLPRLPDYVHVRDSSTLDDDRAPPKRKQFDVIIAPHTLLEIDEDYMRKQHVKNLWTM LNPNGGVLILLEKGRQKGFEAIAGAREMLLEKHISSSGSTEYESLTESGDQGSIQKEA GMIIAPCTNHGKCPMYHIHGHAKGRSDYCHFEQRYIRPPFLQRIIGAKDRNHEDVEFS YVAVQRGVDLRQTESVVQGKAATDAAFEGYEDSISTSEDGETGPPSTSTVNPLSLPRT VLPPMKRRGHVIFDFCTPEGKIERWTVPRSFSRQAYHDARKARWGDLWALGAKTRVPR NLKLGSAASAESKKERLQRRAASKMAGMDGEEQEAAFNMMEASEERKVAPALADALEN QARSLERRKKGHNIPSWKRHADKKRIRQAVRKVSSNTAGEDFI TSTA_034420 MVDSLSSSVTSLRTSLQLLESSIDILDTGVNDFPRLSKVLQTTR HFELLPEPTLQEAQQSLLDEITPSIAHLLSITTNHVERLARREDSLRAKCQLQEGRLS DERRDPNSTTKTAVASRAGERSDAGAKAAQLRRLVQKKERLKYAVDRLELQSRQKERE LRKSMAAQ TSTA_034430 MSLLGKKWPVPVAKPMFPFFAAGLIVLYGVNAAANASMASNEWK DDPRNPNAKPKGKQ TSTA_034440 MSQFRAKRLDLGCFINARVIRDHTKRKVYEQFEPERQALRYAIR NTSLPQRVRAQAQLQLAQMHAYTRSTQIKNRCVAGGIARGVFRDFRLARYQFRQQALA GELPGVKKASW TSTA_034450 MLVYKIKDIPADPVFPVDLEKLGFFINDQDQIRQITNPEEGFKY RVNTNERWNDVRRNSFNECIRRIVLSRLEDVGLSTLRLPLGVQANDKHVPILCSSNLS TAKRIILILGSPDQDLGIWTNRSIAERGISQGSMVEIAQTILSSQADTALVIANLGQL VYHCGSGKALSQRTWGALPVETAAHPPPRQTYRNVIPRNANWNEHVACIFEDVLAPRN KVINSEAKIDIIGVEEGGLAAVEYLVGRWDKWKNSISAICFSRPQHHKHHLILPDESD SDDTATKSGTLAHFISTRSRAYVLSDKPLECPVPGTLQYGCNTYSGNESLDHEDIIVS SWKNMLQWLDKVHADPSYEEVEFIIEEPVEVNEGWEKWRRGEIDAVDDMSTLS TSTA_034460 MAVTVVASSRAVISGRTTPATIVISPSTGKIVQVYDSIKAASDF PDCDSYKDYSPLVLLPGLVDAHVHLNEPGRTEWEGFYTGTQAAAFGGVTTVVDMPLNA IPPTTTVDGFKEKIRAAKGKCWVDVGFYGGVIPGNAHELKSLVEMGVRGFKGFLIDSG VEEFPAVSSPDIELAMKALADQPTTLMFHAEMLPPITDSVGDDVQTSLPPLAPAGPLQ SYSTFLASRPSSFETYAVAEIIQLASTAPNLPLHIVHLSAIEAIPLLREARANGVQIT AETCFHYLSLAAEEIAEGDTRHKCCPPIRSRLNQDGLWAELERYADDGVIKTVVSDHS PCTPDLKLLPSHIMTPEPTPFSSVGSSDSSAVATPLHNSSSSSSVSDYFESKEQEIPD GNFFSAWGGISSVGLGLPILWTEISRRKQIAAPGGSKSIEQDLQDIVRWCSTNTAAQV GLSTSKGDLAVGFDADICVFDDSAEWVVEPSTMLFRNKCSPYQGRTLKGMVKETWLRG TKVYSRSEGFSRVPQGKLLLEKRTV TSTA_034470 MVLLTTTQTIITALQDLSPSARTELHLPEPIPSTGAPISHKQLI SLSKAFTTDSDSLNANNEYTLNSLLRGTKVYIPPPPAKPEPSPEYLALKARLQALADE QAYNALIAPSSVHTTYKPSPIFSGIPSTDTIDKAEEDDMITPSLVINIFMSVLLCGFA TFWALKNFQTPSFLSWILLSSPSGKKTTSTAASKDPVFVLVSMFVGILVGVAETVVYA AYLRKVKYAKETEKRIKETKEVVSSEVLDESKHDDHGKEEVKIWGKGVNGGVRRRVRE RWENANEDSRPECQQN TSTA_034480 MTSAQKPGEGTNPRGIPYAPFVDNVNDYVSNRSEVESTLRSFQE MISKYQFMEMNTQRRSVGLKEKIPDIKKTLDMVRFLKLNQQNASDEDDEGAKEIETHF ELNDTLYARAKISTKDTEEVYLWLGANVMLAYPIEEAETMLTEKLSAAELSLANCEED LEFLREQITTLEVATARVYNWDVVQRRKEKAEGKDDEDKEEPDG TSTA_034480 MNRTNPRGIPYAPFVDNVNDYVSNRSEVESTLRSFQEMISKYQF MEMNTQRRSVGLKEKIPDIKKTLDMVRFLKLNQQNASDEDDEGAKEIETHFELNDTLY ARAKISTKDTEEVYLWLGANVMLAYPIEEAETMLTEKLSAAELSLANCEEDLEFLREQ ITTLEVATARVYNWDVVQRRKEKAEGKDDEDKEEPDG TSTA_034490 MVTAFDKCDTRPAHIDAILNGLDRYNPETTTVFQDYVVQQCEDR TFDCYANLALLKLYQFNPHLLQTETTTNILAKALTVFPSPAFSLSLALLPAHTQPFGL SDDNAAQSSDFVESIQKLAHLNTLLESAQYALFWSTFNSDDLYADLVADVAVFEELVR VRIAVEVGKTFREISADTLAQWFDLKSREALQKFVTDVCGWVVEGDLVRVPKNRENEA RSEIKSERVGMDMFGRVIRRGFEQPA TSTA_034500 MPDISTHESNLQALKDGTYPTVGVSLGSQHKSINPGDHIPKADT KPTPTISLPSALPPANDETYTVIAIDLDAPFITFNFLSPIAHWIQTDLRSTNGAELKS EAQPIAPWAAANPPPGAAAHRYVFYLYKQTKELKDRKQMGMRQRVRFDVGAVVKELEL GDVVGVNYFVSN TSTA_034510 MDFILSLTHFCEVHGPTSILCSQVLPFSCTQCHPSEADGSVSPS GTPSTSHETTSSTTSSLRNKLSSSLTASIKSNSANASQNIEDHPYFLKAQKSQSQIRV DPASERVNRVGGVNGDTCASCSISLPEDVSKKLPASSSGQSDGENGRGAKNNSPVLRS REVVHSCGGGNRHSDICVAEDDDGAHEHDAQHASLPDSVHSVSVTSSSSDTSCHTHIL TYLSLHGPPNPADYALLRQSSIRTLSCELLPRGLSSGPLCFGDPVAGYTIAYVFRLPD PMARGKRRSYALIALAGKDAGRAFRASPIIWRIFQRIAAGIVTAAEKHQEEEKMREEQ AFRASTTSSTTGRHDYTPISSFLTGRTLDANGNPRRAGQIRARNLAEIVGNEYIFAEL HAQFVTLLQQLGNMFGGVPISDERFVCSTVSSSESPVSQSRRTSVAVPKQGHSRQPSE LALSSLNISSAPQPIPISPRRPIVA TSTA_034520 MATLSAGGNDIDFKGILFNCILETTEFGGTPTKPCDQQRQDTHT ILQSPDLINKADHLIKKIDTKVFNEDDPGGVVLIWNVDVAPGREIPTEA TSTA_034530 MVGKIEEFGRTILALHWIKDAIIDNGNTLKIYGDADDTIPSSPT IKSISPSSIQKLRRYVNIIEKSIDRIKDILDEAIPGLARRIETFNQGSFIMADLGELH RESFAKAKRQVKAVDALYVKDANRLIKRRHDGDLLKIHKQYVLDERQPEEEEVTIEPQ NLVIIYKIYGVGGLQNISQILVVDLMGHDTWRQKITTSLKAH TSTA_034540 MTRSLKQLGRYSKVEICPPETLADLAYSIHVLVHQIEGSQSYIF QRPKHHQMTAEEYKKKEIIAKAVNAYKRGKIKNILRLAREFGVSRSKLYRRVSGTPSC STRPPTNRLLSLDQEKALTSWVQYLDNMGAAPTALQIEENANCLLLKDFTGPGTPRRA AKIGYTNISNVYQKNINESSKNLKELNVRLPGIMVRLNGGLLISNWLWMTSKLPPRIF TTLTRLHLLLERGKRRQWLQHTQKHQKGFLACLLESLTVVECINAEGKVIPPLIIPKG EKHMEEWYKHIKDED TSTA_034550 MTMEGKRYATSRRKACQHCSSAKAKCDLRDGGCSRVWARVVLLP TIWMGAVEQSGGSVAQGSIANVNGSPTNTSLTASSEGHTPNASLLNIRRHVETPEFTE LDLVCPINVEDISNRWINSYVPLPGQKRKDYPASVTAFMYRILNSYVAVAVHGRGPPP FVHSSQVLPTSTKLPLSTCLSLVRICEKPRPGSEIVAADMLRREMNNIYEQRSEYDDM TLLAAFQAYMIYCMALFFTLNQTSDPFLRQAVMNLQELACATCRQGLVCTAEQQHTRP KWEAWIVAESKRRTLYAMYLLDGLLSTQDGLPTFLGTELYGLPAPSAGVLWKASTRQE WERGYNIHLADWVEASLYIHELWPIPPDMDDDGVVERRSRVDQWLENVDEYGTMMYAV TNCTHGG TSTA_034560 MGKKLSEEVVESDSDESMQDAPETTGMNEKKDSKVSFNQVSTSP SSESESSDESSSETQNNNAASSNRKKTFVPPAGFRPSKLKTQPSAAVSSALSNLEGKQ VFHISAPSYLPLSEIKQIDFGKATSGEPILSHKGVDYGLMENSRQQKQGLETLLVYDE KTNTFLRKPELRKIESYNIQEIVRLPGLDTLVPSSTQQDAAKKQPGARPQPKHLRMRF HPVGSSNHPPETVGSSSESEADEPPTFRVPPGSSKEKEEKERKRKTDGKQNGTEEKAK KSKKEKQQPSSQVEAESGGRRDKEKKSSKHRDETSQERRARKEEKKKRKAEKGK TSTA_034570 MKRKADKQQNSAFPTAPRSAVSAVAARRARQQQQLQQSDSSHDT APSENQESEPPTKKARSCPERHLQDVPSNHTSLEGSRLAAPVKAISEDLAFELSNADA AEDDLSGSDEIIIDDHVGEEEGTDLRDNANQGTPSRISTISENFTLSKNRVRKSDIVY SDEHMLCVRLKEKMTLVLIGIYDIWVKRGVISLMGAKLHPSTKTYRVYAPSTHSLPVI KCVSGVEGFAEIELQSCSSNLYGLKALSPHYSRIWNSSKTVGDSTTLNGSFKRSFSIL YTSSDDPLNRPLRPLHLEKKWSTTIKSVSRRAHSLRALICGPKGAGKSTFGRYLLNHL LTPAPDGNSDNLNGVAFLDLDPGQPEFAPMGNIYLAHLREPCFGPPFSHPNLESSRYG KIVRCHHIGATSPKEDPDNYALAIMNLFDQYRMLSAMYPRCPLIINFPGWIFGLGLEV ATWIIRSTALSDVVYMSEKGPAEVIEPLGHAASEASVTLTTLPSQPVDLVTRSSAQLR SMQMQSYFHMTQPEGLSDPLWTDSPLIHNKAISVSYSGPRPGISGIMVLGNRYSPQVL RDIIDGSVVAVVAVENVSAIPGYSETEAKRDGDSHTEEEPTDAIDEDLIDDNGGSNVD DSVIPQSNQQLKSLLNNSITRCPNSGLPYLFWGAGSSTPLDPKASRSLGLAFVRSIDV SSQKLELISPIPSSSIREAINQGHSLVLVRGMIDSPDWAFSEEYYAARNAEQDFIMTQ LSYTKRNKEEGHGKDDDETQKGQNEELRRLQQCVRAASRLPYLKVIDDASALHQNEGK PENGTWRLRKKVYISSDSELD TSTA_034580 MAGAEGRRRRPAVSCILCRRRKIRCNRETPCSNCVRSRSATCIY ENVSSPKYNIGQSQQSFSSPNHKSRNSIPFDGQSTASGSTFPSDQSSSQIDGPVGQST DINQLSVRGEESMRLKLRIKELEEQLSKASLKPTSKPVETPRLSIETLVSRLGGTYHV HCEKLAGQTETIARGITHKSRLFGQSHWEVNVILMVRDLFETIEIHIQKATLKAWPGM EMCKSLARTIKALRAPPWPSPPTLVLPPKETSDALLDCYLQTSEAVHRILHIPTFLRD YEAFWVSGTTPDMGFLVQVKLVLAIGTLTYDDRFSLRTSAIRWIYEAQTWLSEPKFKS RLNIQFLQTYLLLLIAQAQVGAGGDSMWISVGGLFRKAVHMGLHRDPIHLPKRTIFAA EMRRRLWNTILELALQSSLCSGGPPFISLDDFDTAPPGNFDDDQLVAGDPIAKAEDQF TQTSIAIALRKTFPIRLAVVRFLNDLPASGTYEETLRLDAQFRTAYKDLSRTLQACNR SSSHQASASASLSSLLFGIRVVDMIMLRYLSSLHLPYYGAAIHQATYAYSRKVVVDSS LKIWRLACPSPSPSTVTTMTKPHHEKDESHKFNDTLAQLTITSSGFYRLVAIHAAFLI CVELRAQLQEEESLGPVSVRPDLLCVMQESKIWTLKSIAAGETNIKGLLLMCLMTAQV NGLMNGLEADGLALEVVKAAEYAIETCLPMLEEMVAAQRRESGGTASGDEFQWLSSLG TPREMEDWSFMTPDALFSLGDDDPMSWVLKEGMDTTVPSLW TSTA_034590 MAKEVGDVETSINTEETHPPPTTEAEHENENAIFDAPEGGTRAW LAAGGAAAIFFSTLGFSNSFGTFEEYYITHQLRGESASKVSWIGSLGSFLPFFSGMVA GPMFDRYGEKIIRPATIVYVFAMMILSLSVSQYFHKKRAAALGLAVAGSSIGGVIIPI VLSKLLNGTSLSFGWSVRIVGFIILPFMVFACVTVRARLPPRDSQFWITSTYRNIKFL MLILGFLFMFVGMTAPLFYLPTYAVSQGMGKTLSGYLIAIINAASTFGRILIGVLADK YGRINMFAIGGVASGVAIFCMNSAKSNDSLIVYSVAFGFASGTIISGGSAAFSVCPQD PRDIGTYMGMGMAISGLGALIGPPINGAIEGKYGGFFEVSMFSGAMCLLGGLVVIAAK LATKQGLLGRT TSTA_034600 MDPYDSDSSGLEDAGDYTETGVLLGYASTEQTDDTISHLGGWPT WLDKNTPPPGDLAKCKVCNNPMLLLLQLNGDLPERFSNDERWLYIFGCPRKACSRKQG SIRALRGIRKMKGQSEIQKKEDSTSNGVSTGATPAPKQDLGSALFGAPSQGGGLSSNS NPFSTSSSPAAQNNNPFAPLPPVSTLAAKPPQNPALNFPETFAAKARISTPETLSEPV TAGPVLPWPDKSVFPAPYTEYYLDADYETLSRPSTPTIPTNTTVENVEEESGGTADVK DAFESSMDKDFLRFSTRLAHNPEQVLRYEFSGTPLLYSGTDAVAKAFPSHQTQGRGVQ VAPNAGTNNRIPRCPSCGRERVFELQLVPHAITVLEDGREGIGLGKNDAGMEWGTIIL GVCAANCGTVKEGDLVWKEEWVGVQWEETK TSTA_034610 MHSLRGFLYGVLLLLLCVCALGADAKEQAPLKSKYNTASRNVAI IGAGSAGASTAYYLRKFAELSGVPPNITVFERETYIGGRSTTVDVFDNPSYPVELGAS IFVSINHNLMDAAKELGLKISSAGGDRPKDSDKMLGIWDGDSFVYTQGHSLSWWEMAK LLWRYGLAPIRIQSLMKNTVNKFLEMYKPPYFPFSSLSSAVQALDLLQATAVTGAQFL ETNGISKSFSNEIIQSSTRVNYGQNLGLIHGLETMVCMATDGAVAIEDGNWRIFSGML DSSRADIRLNSTVTAIEVNSDETLTLSYSINGSSISSSTFDDIVIAAPFQYSNIELSP SLESIPDKVPYVKLYVTLFASPHRISPQYFNLSKQKDVPDMILTTLPKGLDLGSREDG VGPTSFWSISLLRIVEAPAEGPHQQHYVYKVFSPERPTAGFLASVLGVEIPEANQNGT IGDISRKDVSWVHEKTWHPYPYEYPRVTFEKIRLASNIWYTGGIESFISTMETSSLMG MNVAGLMTNEWQDKLQLGKPVNWSGWAGQRNREL TSTA_034620 MASSDNPEKKRVSHRIRSLSKSLHRLSSNNVPDRLKNNDDEQED FTAPPKNLSGRDIPYMQQSVFSLIAAAGSRSDFHSRFDESSDSDGDDSEGKKRTEPLH RRFVGKLSQKTGKELSSAQAIPEEAGQDARPSRKPSTSSVNTHRRTGSGNRLIRSIPG LAPKQNDKESKSDQFAIPVQSQQDESHTPAQETKKTTPRTAPVLSRMIEAQTRFDPEA LSTEEHTQAEKQTDDKQSDEQKSKVLLPIRLKEIFGFENPEPVIGEYPCWLMQNVALQ GYMYITEGHICFYAYLPQKSNVTVKSGQLGKRGRKNPRYKRYWFSLKGDVFSYYADPS KLYFPSGHIDLRYGISASLADREKGKDTRDFTVTTDHRTYYFRADSASSANEWVKALQ KVIFRSQNEGGRVKISLPLEDVIDIEESPMMDLAQTFKIRVVDIYETYAIDEHFFSFF NFGQDAYEVLKGLINDTAARRIAQDPAATQVLNQVVGESYRQRADSSASETQKAKIES GSAQKSETSDQHQESDSFVQSMGHGTDSSLSLQSHSETIVTASQILTRDDVFNSPTIH SFHPNTSLDGVSFENPVISPSTEPIKKTGQETPKKPEQDASIERKTYVTSAPTIHELV KAGTYPLQRAAGFAEYLKSRSRQMSNLLATESMGYIEKVSGMWIGGGKHYAEGEDPAL EQFMDGEDAETSGSYGDRFRAHFALPPTEKLQATYYGYLHRVLPLYGKIYIGSSKFCF RSLLPGTRTKMILPIKDIENVEKEKGFRFGYQGLVLIIRGHEELFFEFNTADSRDDCA VTLHHRLESVRFLAQSSILAQKDKDEADAAKAEQQLLQEAMQGPLIEDSQEVHPIFDD PRASIVNFKPKESLRITCLTIGSRGDVQPYIGLCKGLLEEGHRPRIATHAEFEPWVRK HGIDFAPVEGDPAELMRICVENGMFTYSFLKEASSKFRGWIDDLLTSAWSACQDSDVL IESPSAMAGIHIAEALRIPYFRAFTMPWSRTRAYPHAFAVPEHKMGGAYNYITYVMFD NVFWRAISGQVNRWRKLELGLRGTTLDKMQANKVPFLYNYSPSVVPPPLDYPDWIRVT GYWFLNEASNWTPPTELTDFIIKAREDGKKIVYIGFGSIVVSDPAALTRTVVESVQKA DIRCILSKGWSDRLGDPKSTRSEVPLPAEIHQIQSAPHDWLFTQIDAAVHHGGAGTTG ASLRAGVPTIVKPFFGDQFFFGSRIEDLGVGICMKKLNVGVFSRALWEATHSERMILK AKLLGEQIRMENGVANAIQAIYRDLEYARTLTHQRASLSITPHSPATATDENHTAEEG EEPEDMEEEESWTFVDEYADPELLKNENNSSRQKDSTSSPASSPTFAK TSTA_034630 MATYALSDSHKELMEVHLADFDPEIAEIIKKEIQRQRESILLIA SENVTSRAVYDALGTPMSNKYSEGYPGARYYGGNQHIDAVELTCQARALKAFNLDPEK WGVNVQTLSGSPANLQVYQALMKPHDRLMGLDLPHGGHLSHGYQTPQRKISAVSTYFE TFPYRVNAETGIIDYDTLEANAQLYRPKILVAGTSAYCRLIDYARMRKIADSVGAYLV VDMAHISGLIAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGVRSTDPKTGKEI LYDLEGPINFSVFPGHQGGPHNHTITALAVALKQAATPEFRQYQEQVIKNAKALEVAF KEYGYKLVADGTDSHMVLVDLRPNGVDGARVEAVLEQINIACNKNAVPGDKSALSPGG IRVGAPAMTTRGLGEEDFKRVVGYIDKAIKISKETQAGLPKEANKLKDFKAKVASDSI PEILSLRKEIAAWASTFPLPV TSTA_034640 MASRSPVSAVSQQQKISSTPDVAPGTVPTSPQPTSTAAQQVTTG AVPFPSPQTFDFLPPLHALILRLLSSTNTSSAAAAAVASQSTIAGGTTSGAASQSQSQ SQSQTEAGSQSQTQPKQPQSQQQKPQPSQEVTNTATPSSSLPPLEAKDLFTAASAIRI RIQKARAVVDNLPDVDRTTEEQEDEIEELQERIAALKGVIADFGRRAAGVQHTIEGDD RMDVGV TSTA_034650 MAKVDQKVALIVIDGWGIPGPNSPPEGDAIAAAETPVMSSFADP NAKVAQGYTELEASSLAVGLPEGLMGNSEVGHLNIGAGRVVWQDSVRIDQTLKNGKLG EIENIRKSFQRAKDGNGRLHLLGLVSDGGVHSKNQHLYGLLQVAKDIGVPKVIIHFFG DGRDTDPKSSTKYMQELLDKTKELGIGEVGTVVGRYYAMDRDKRWDRVEIAMKGIVTG EGEDSSDLVKTIEERYAKGENDEFLKPIIVGGKENRVQDNDTLFFFNYRSDRVREITQ LLGGYDRSPNPDFPYPKDIHITTMTQYKTDYTFPIAFPPQHMGNVLAEWLGKKNVTQS HIAETEKYAHVTFFFNGGIEKQFPGEERDMIPSPRVATYDLEPKMSAWAVGEKVVERL GENKFEFVMNNFAPPDMVGHTGVYEAAIQGVAETDRAIGHIYEACKKHGYILFITADH GNAEEMLNEQRKPKTSHTTNKVPFVMANAPEGWSLKKEGGVLGDVAPTILAAMGIEQP GEMTCHSLLIKS TSTA_034650 MAKVDQKVALIVIDGWGIPGPNSPPEGDAIAAAETPVMSSFADP NAKVAQGYTELEASSLAVGLPEGLMGNSEVGHLNIGAGRVVWQDSVRIDQTLKNGKLG EIENIRKSFQRAKDGNGRLHLLGLVSDGGVHSKNQHLYGLLQVAKDIGVPKVIIHFFG DGRDTDPKSSTKYMQELLDKTKELGIGEVGTVVGRYYAMDRDKRWDRVEIAMKGIVTG EGEDSSDLVKTIEERYAKGENDEFLKPIIVGGKENRVQDNDTLFFFNYRSDRVREITQ LLGGYDRSPNPDFPYPKDIHITTMTQYKTDYTFPIAFPPQHMGNVLAEWLGKKNVTQS HIAETEKYAHVTFFFNGGIEKQFPGEERDMIPSPRVATYDLEPKMSAWAVGEKVVERL GENKFEFVMNNFAPPDMVGHTGVYEAAIQGVAETDRAIGHIYEACKKHGYILFITADH G TSTA_034660 METINAYAVSPWTDRLHMRGDYDREKAMRIANSAEGILIATSSS AKGDMVGMGGRLKLILPWIFNSNQSALAAIG TSTA_034670 MLVSFKSCITNALLVLLATAHPLDAGHRSCEAPSGAKAIYFLTN EHSNSVVAIAVGANGTLSGGVKVATGGNGGSTVNSTGGSNGPDALGSQSALTVVDNYL FAVNPGSNTLSMMKIASTDATDLKLIGKPVAVSGQFPVTVAASSKNRLVCVGTTGAQA AISCASYTSKGLGSMSTRAVFDLNQTTPPSGPLNGVAHTFFSADETRLFTTVKGDPTS NKTGFVSVLPIENPSAKDTRSSPNGTAVLFGASVLPGTSNIFVTDASFGAGIFHVNPS TNDVSLVSKAAIQDQKATCWAAFSKQRGSVFVSDVANNHLVEMSAVDSHIISTVNLDN GDPGLIDLKVSGRFVYALSPGNGTTPAAVTVVDSSVGKQIQHFHVSQLGAGKSSQGMA VLE TSTA_034680 MASDIGLPIPEAYNVSPRTGFLPEVVSCESDLHPYYQPWIRVTQ DLQNLIMDNRIRNVVDGIPVLSCERLETISQRRKAYSMLGFIYHAYIWGGEEPAEVIP ESVSSPLLLICKSLELPPVVTYAGLDIKPLYRIDNLSTQITFTGAIDESWFYLVSVAI EARGDPLVRVILDAVHYAQGNEVNAVAAQLICLLER TSTA_034690 MYDNCDPHFSYNKIRVFLAGSRGSQKLPNGVNFDNGTHPMQPVR FAGGSNAQSSLIQLIDLALGVRHHPTKIHAGKRFTDSTHEEESEFVASMRDYMSGPHR RFLIRFAKVANIRAFVEMNRDHEQLINSYNACIAALITNRYILLQDANSNRNARRWQG ACEPCQVHINSKPRYGGLTTAELSHEDSEVAARGTGGYSAPSVPQTDPRRDLLLVRLN CSPI TSTA_034700 MSSPFRIAILECDEPIGETKRKYGSFGNLFHLLFAADGVRLQRD SSREGPEIVESSYDIRLGRNYPLLGDIDAVVLRHQIIGRALGVMPVRNENGWEVAVTE IEMTSKGRRLFGLPSLALHQMHRDMLPACPANVELLGWSERCPVQVMYQKGRLLSMQG HPEYHRSIADELLERRGPIVFGEETYNEAKSRVHLPHDGAVIAAIMLEFLLE TSTA_034710 MESVDIHRADDDNFRLEYKPTQEAGVAHSGKEVDPSKETTSSQR SQDPPSLQGVQLIVLLSCLFLGNFTIRFDSSCIGTLLVIITDHFDALQDIGWYQTTYL LTLCSPILIMGRLYTFYFMKTLYVLSLTIFVAGSILTAASPTSTAFILGRAVSGLGAA GINSGMYIIIAHTVPLKIQPIIFGMCGAVECAALAFGPLISGSIAHADNWRINFWTIV GLGSAVALGVSGSVGHLRQNADDKQPSVTQRLKRLDWYGLATELPMTICLILSLQWAG TAYAWSNWRIILLLTVTGVLAILFFVVEHIGGSNSMISLPILRQRNVAFSCVVGFCNF AALWIFANYIPIYFQVVRGANTLHSALMYLPTTLSMSICALASGPFTSTIGYFNPALL FGSALSVTGAALMATFETHTPAARWIAYQIIYGIGVGMAFQPPFIALQTVLEKPLVPA ALVLLNFVQMLGGIIFLSISQNVFLDKLTNNLTQNIPNFNPAIAKQNGATGLKGLVPV EYQPQVILAYNSAIMDVFYIALGLVSVGLLAALGLEWRSIKKDDKKKE TSTA_034720 MNLDDNRFEDSHGTRQSTDFAENKQAKTKGSSVLDKEERRKWTE MQHCPDSSFLRVQSSQIEDAFPCTPLQEGLLALSAHLPGYYVAQYKLQLRPDVDDVAL QATWSHAYATTPILRTRIVDLAGEGLVQTIIDEPFEWMTADDLDGYLRHDSQRTTGTG TPLA TSTA_034730 MHHALYDFSSVPLLVKKVNDVHLGKQLAASAPFQNFVKHIREMD VSSAERIWKQHLDGNEAPIYPPLPNIKYRPKADQTVSCVIKELPWSREAGTQTMPMVW AAWAVLMGQHAATDEAVFGVTTFGRQANVAGIESMTGPTMATIPLKVELSKKITVTEF VCRLQRSAAELDTVEHYGLQRICKISIDAERACEFQTLVDVNLQEEYLNPKDGPFDPS SLENVSASDNAQNGPLFSTFALKVQFKLLACVGRDEASSPQRQLEHVLRQLCDPRNAG VKLSDIDWTGPSDLERIWTWNETVPQRANDCVHDLMSQTARSQPDAPAICAWDGKLTY GELDRMSTDLAHYLVSQGVERGTIVPLCFEKSMWTSISLQGVMKAGGACVTMDITQPE AHLQRIARQVSGKVLLSSVANKAMAERLAGDHTNVIIPEAFFSRPMSRKSNTYLPTVD PSDRVYIVFTSGSTGTPKGAMITHSNFSSAILHQRGPLGMQPKDRVFDYVSYAFDVS TSTA_034740 MLSAGGIYRSPSTLMGLPSVRPRVTHTITSPSKLANEIGWGTEL NSWVTQPENPDKLAIISALGELWLEGPLVGAGYFGEREKRAAAYIENPDWLLSVGQVI LAELGACTRLATWCAIIQTVKVRGQRVELAEIEHHVRNALAFQKGGDNKILTAFVSTS VTDDNAGKSALGARVSQATETLQEQVPSYMIPGAYVFLQRMPMSATGKTGQKKLHAIG SSMTLEELTSLGNTYGEGGRRAPTTATELRLQAASACTLQTSAEKLGLDDNFLRIGGD SILAARREGLSIKVSDIFRKPTLAGLATLATEIPTTASDLPEPIAPFSILRPGISFAH IRSHAAAACGVPHDLIQDAFPCTPLQEGLMALSRHALYAVASIFQGAAKGTT TSTA_034740 MLSAGGIYRSPSTLMGLPSVRPRVTHTITSPSKLANEIGWGTEL NSWVTQPENPDKLAIISALGELWLEGPLVGAGYFGEREKRAAAYIENPDWLLSVGQVI LAELGACTRLATWCAIIQTVKVRGQRVELAEIEHHVRNALAFQKGGDNKILTAFVSTS VTDDNAGKSALGARVSQATETLQEQVPSYMIPGAYVFLQRMPMSATGKTGQKKLHAIG SSMTLEELTSLGNTYGEGGRRAPTTATELRLQAASACTLQTSAEKLGLDDNFLRIGGD SILAARREGLSIKVSDIFRKPTLAGLATLATEIPTTASDLPEPIAPFSILRPGISFAH IRSHAAAACGVPHDLIQDAFPCTPLQEGLMALSRRVTPHHRDGRNTPG TSTA_034750 MLGKALLLLLSSPICALAQSAADYYVKSIPGQPDGPLLKMHAGH IEVDAQTNGHLFFWHFQNRHIANRQRTIIWLNGGPGCSSMDGALMEIGPYRVKDDHTL VYNNGSWDEFANLLFIDQPVGTGFSYVNTNSFLHDLDHVSSHMVTFLDKWFAMFPEYE SDDLYIAGESWAGQYIPHIARAIVARNKNIDSKQQPWVLKGLLIGNGWISPLDQYPAT MQYAYAEGLVKEGSSTATSLDAMNDACAQKLADPGSQNMIRIGQCESVLDSLMRLTRT SEEECVNMYDIRLKDASCGRTWPPDLDPMTRYLQRTEVRSALNLDREQTNSWTECNDQ VGFNLRLENPGVPAVHLLPDLIESGVKILLFSGDRDLICNHLGTEQLIHNMKWSGGTG FETKPGVWAPRRDWTFEGDAAGYYQQARNLTYVLFYNASHMVPYDWPRRTRDMVDRFI NVDIANIGGTPADSRLDGEKLPQTSVGNTTSSTSESDQVDQEKLKDAEWKAYAKSGEA ALIVVIIGVSVWGFFIWRARQRASRGSSPSKKGYRSVYPGGSNNTSSSDGAGLLSRFR NNTNNNASSDLEARDFDEAELDSLSPGLQNARERDHYVIGEEDEEDEDIGNGAKSSLH TSTA_034750 MLGKALLLLLSSPICALAQSAADYYVKSIPGQPDGPLLKMHAGH IEVDAQTNGHLFFWHFQNRHIANRQRTIIWLNGGPGCSSMDGALMEIGPYRVKDDHTL VYNNGSWDEFANLLFIDQPVGTGFSYVNTNSFLHDLDHVSSHMVTFLDKWFAMFPEYE SDDLYIAGESWAGQYIPHIARAIVARNKNIDSKQQPWVLKGLLIGNGWISPLDQYPAT MQYAYAEGLVKEGSSTATSLDAMNDACAQKLADPGSQNMIRIGQCESVLDSLMRLTRT SEEECVNMYDIRLKDASCGRTWPPDLDPMTRYLQRTEVRSALNLDREQTNSWTECNDQ VGFNLRLENPGVPAVHLLPDLIESGVKILLFSGDRDLICNHLGTEQLIHNMKWSGGTG FETKPGVWAPRRDWTFEGDAAGYYQQARNLTYVLFYNASHMVPYDWPRRTRDMVDRFI NVDIANIGGTPADSRLDGEKLPQTSVGNTTSSTSESDQVDQEKLKDAEWKAYAKSGEA ALIVVIIGVSVWGFFIWRARQRASRGSSPSKKGYRSVYPGGSNNTSSSDAATSKQEIS MKQN TSTA_034760 MAQAPSQRMLTFVVEHLDPELGPWSALEYACIARESKESGAQFL LTSVPEALQMPDNLARMEELKVERRNVEEIFADIKSRVCLLDPAAKTELSPADGDDFD VFLFGGILGDDPPRDRTSELRKKGYTGRRLGPVQMTTDTAVRVTRMIALDRIPLDKIP YVDHPELRINEHESTEMPFRYVRDSNGKPIMPDGMVELIKKDADKSLDDLF TSTA_034770 MSTASITKMNPHQANKVDISSLSPDEQRLLRLYGKVPTKKDLLQ NKLKERKYFDSGDYALSKAGKASDVGVTNIGSRHPVPENIPHLTATSPGAQNPANGNG NGTHIGTPSAPGTITSQPIPGTVSGHPGSIGFQSRSSPVKEGSFVQRKSSLNRDSHNE DNDEHTEEDRGRQAEKDDAAGREGVSNQ TSTA_034780 MWKISLVSDLTPPSLERIWQSSPHPTLPLVATSSADKTVRIYSL VNYKLLSIVSGGHKRSIRSSAWKPTPPATTGSSGRGESVLATGSFDATVGIWRRWDDY NNHNNDTGAEEGKDDDDEDEEWRFAVLLDGHDSEVKSVSWSASGSLLATCSRDKSIWI WEDLDDGDNNFETVAVLQEHTADVKCVAWHPVEECLASGSYDDTIRVWREDIDDWGQV ACLKGHGGTVWFVDWEGVDYAPFTSTTPSTEPDDDQSAKIKEKYREHYRLSGPRIVSC SSDKTVRIWRRQAKQAQISTAFSASTTGIPSIIRPTGLDETWHQDATLPAAHDLAIYA VAWSKRSGLIASTGADGRIVVYTEEFVDNKKQKGNDEEMDTSPDTPFKTEWRIVASIE AAHGIYEVNHIAWAKRGDRRGEQKEDEMLISTGDDGSVKEENRATPNLMGV TSTA_034790 MGPTTCEMNLLGSASPTSLSDNLLDNYPDEKAIRTVVDTTNTSK GRENDDSSKDDSDTEFRLVQNCGCEDSTVKRRNLNSNAPDSSWTKSSKTKHEAIEVDL QNKNTDLTMLLRKTTTSFEKEVIKQEAKTFQKALDVYLRAPRPIRKSLCVETARLDRK AAHTYDPPQQFSGQWALPFSLWIW TSTA_034800 MDDLKLYTVHTSIVLSDSEQKKMSQGLLLSLQKDDNQRLENIPT TKAGNHQLSFDMKVANNQAETGLQSHELPQVTTVDAIQEHESNIIICDWINIIAGAGM GFGGDNRRAIVALTRDRADSHSQQGRKDR TSTA_034810 MRSYHAAMIRRNSDLEIHLPDADAPSSAGINSTDVEMLTSDDEK RVLSLPPHIAARFYRRSASARRASDKLSRRGSVSSIHSHHSNTSAHGGPQSDHVAQHL RRASILESRKARLADRAAYAEKVRLRAAVAKAATRNLQREERALAAQQARERLLAEIT AKCEDEVRRAKKKAEETRELKAAKYARLRLEMAEKFAEAEKRRLQYQQTHRRPRTASL PSGEESKMVKTVLNSLTRDAAARIIQKAWKNYVARKVMGSFRSLNLSREQTAIMSFDD LSSLLSEDTVLETMGRVLRLCGLQDMDGGPVSERGAVRTFLSSYLILSHPSSVMSSGG EQEQDLTEKARDLVVSFEQITERLLKHQSITSMSSELQVLREAYGVFFSAFHAWKTHD STVLIEIMLAQFVELELIWQTVKEDRAGGAADEYYQGIRHNQIMLLARLKRLAGSDRA LDMVKEALRKAKREKKRSKSSKQSIPRSAAVLNNADAHADSVSSPISETFNNVESAVL HELDKQRLSPHESFTKALTALPENRVLVHELLINREFRIEQTQYTAPRQQLMKHMTDM MRSDVDAGLGTKWIVAMATVIQDRLLRSLRPGNSLHVLISEALDPKLIENQCNAGTFS YDFFFEFMSRILPQLCAPARDAEVKAFAEDKSADPIDRLSKLMGIIDLLSLDHTNFMI QVAAPQLIQEAPGYEQRTFQKGLEDGTINLDKTRRFWQKNHNLVVDDMKRRDPEIAER QIQPPAAKVYAQGLVDLVLSNAPVPSDLVPETLELDNARLEKLHMTAFKIAATAAVLL TAKNLLKRDVRSQWKAEADRILSLDFAEIKPERIQSIIESTRPMPAAARIQLLSTMRR VLNPVATATTSVDYTLSSQMPSIEYVSGAGSSSSSTTSASTSTVTESTTTSSSSSFTD PVARLMLSRLRTHILSRLSAASASERVRATTTASQSLAAAGMPEFVNEVGKLVDELSK VRDVDWTCHSLVYERVISESVTS TSTA_034820 MSNTDFLGRAIDTVKKAIEHDNAGEYEKAYQGYYAALELFMLAL KWEKNPKSKEMIRAKTGEYMDRAEKLKNHLANADNKKKPSAVGANGKVANGGGKGKED EDGEDAEAKKLRGALQGAILSEKPNVRWEDVAGLENAKEALKEAVILPIKFPHLFTGK RQPWKGILMYGPPGTGKSYLAKAVATEANSTFFSVSSSDLVSKWMGESERLVKQLFNM ARENKPAIIFIDEVDALCGPRGEGESEASRRIKTELLVQMDGVGKDSRGVLILGATNI PWQLDAAIRRRFQRRIHISLPDINARMKMFMLAVGSTPCNLTQADYRHLAEISADYSG SDISIAVQDALMQPIRKIQTATHYKKVLVDGVEKFTPCSPGDNGAMEMNWMEVDSERL LEPPLVLKDFIKAIKNSRPTVSREDLERNAEWTKQFGSEGS TSTA_034830 MEAAQKALQALSEEYQNLQAELESIILARQKLESQQQENQAVQQ EFASLDDDSKIYKLVGPVLLKQEKSEAVMAVDGRLEFIEKEIKRIETQIQEINSKSDR KRTEIIQLQAQIQQQAAAAGAVSA TSTA_034840 MWILRVFSSAIYLTAIVLSIPLAFDVGGKTCGLAYSLSLASFYF FFSLLKLATPDSSRFRRLFVILVGSTQWIIVPALLIWSLNLFSVDSKSRNNTNGSWVD RYTSGSHAKEIDSGLWNGISTWVFGPGGLVESFAIGSWDKLLRWSSPFFQLVEGFCSL LVIQAAGQITRWLVNRSGGDTWMISLLVVSASIISSSVYFLWRILQFPEISNVDAALI GVAITSAVILCAWGIGSGRGNAVESSLLFAYIVLCVYQIFTDYQPSAPVEQAPPAQAA DFPPLPPIIMASYSTLMNLLSLLPSILHAAFNVIAAAFSAVTPSILITLTYRLFVFYA STRIIPAVRESGARALSQEASLDDDDGANKLLGLLSWFSPSILVAVYTSLLMQHFAAN SQGAAGGAAGGQWWLSQGDVGSNFWRWINLACTMALYAFELWIAQEDVDTGLMGHWKT D TSTA_034840 MWILRVFSSAIYLTAIVLSIPLAFDVGGKTCGLAYSLSLASFYF FFSLLKLATPDSSRFRRLFVILVGSTQWIIVPALLIWSLNLFSVDSKSRNNTNGSWVD RYTSGSHAKEIDSGLWNGISTWVFGPGGLVESFAIGSWDKLLRWSSPFFQLVEGFCSL LVIQAAGQITRWLVNRSGGDTWMISLLVVSASIISSSVYFLWRILQFPEISNVDAALI GVAITSAVILCAWGIGSGRGNAVESSLLFAYIVLCVYQIFTDYQPSAPVEQAPPAQAA DFPPLPPIIMASYSTLMNLLSLLPSILHAAFNVIAAAFSAVTPSILITLTYRLFVFYA STRIIPAVRESGARALSQEASLDDDDGANKLLGLLSWFSPSILVAVYTSLLMQHFAAN SQGAAGGAAGGQWWLSQGDVGSNFWRWINLACTMALYAFELWIAQEDVDTGLMGHWKT D TSTA_034850 MDTDILDNILSLEEQFYKEGYDLGVIDGARAGYTEGSVFAVEKS FENSSTTTEGMNEDIPSKDEDENAINTDICNSMPALHPGSRLAKNIQTLLGLVDPATL VLQNTEDAVSEIEERLKGALVKVKLIQRALGESTNVFVEEGGVGAGDGSGSIEDISSL RVRH TSTA_034860 MSGLFGNVGTTATATSATNTTGDISKDVALNSPPEDSISDLAFS PVSNHLAVSSWDKKVRIYEINDQGQSEGKALFEHEAPVLNCCWSPDGTKVVGAGADKA ARLLDLGANATTPLQVAAHDAPIRCCEMIPNPTNSAQPLLITGSWDKKVKYWDLRQQT PIAQVECQERVYTMDVKNKLLVIGTADRYINIINLDNPTTFYKTMQSPLKWQTRVVSC FADATGFAVGSIEGRCAIQYVEEKDSSSNFSFKCHRETPANNRDISNIYAVNAISFHP VHGTFSTAGSDGTFHFWDKDAKHRLKGYPNVGGTIPCTTFNRDGSIFAYAVSYDWSKG YTGNTPQTPNKVMLHPVNQEEVKPRPNARKPR TSTA_034870 MTEELTRIDSAVAGLSISPKDEKTQTKTVEKEKKAKTHKRVGST AEGVRNIKDLEKEQIPIEIAIETQKTGWKLNTSPSTIDDREILSKFLVTPPVKKIDLH FPLGLEVTARNLKGVTIKDALDAIHKQFKKKADDELGENPYLAGFEWDPEESWTKLIV HQKKAGAPAATSKKSKKKEKEEQ TSTA_034870 MTEELTRIDSAVAGLSISPKDEKTQTKTVEKEKKAKTHKRVGST AEGVRNIKDLEKEQIPIEIAIETQKTGWKLNTSPSTIDDREILSKFLVTPPVKKIDLH FPLGLEVTARNLKGVTIKDALDAIHKQFKKKADDELGENPYLAGFEWDPEESWTKLIV HQKKAGAPAATSKKSKKKEKEEQ TSTA_034870 MTEELTRIDSAVAGLSISPKDEKTQTKTVEKEKKAKTHKRVGST AEGVRNIKDLEKEQIPIEIAIETQKTGWKLNTSPSTIDDREILSKFLVTPPVKKIDLH FPLGLEVTARNLKGVTIKDALDAIHKQFKKKADDELGENPYLAGFEWDPEESWTKLIV HQKKAGAPAATSKKSKKKEKEEQ TSTA_034870 MTEELTRIDSAVAGLSISPKDEKTQTKTVEKEKKAKTHKRVGST AEGTGWKLNTSPSTIDDREILSKFLVTPPVKKIDLHFPLGLEVTARNLKGVTIKDALD AIHKQFKKKADDELGENPYLAGFEWDPEESWTKLIVHQKKAGAPAATSKKSKKKEKEE Q TSTA_034880 MAPTQDTVPPELPRSRGTQDANTSRRRKSLPGRSTSLPRQRPSG HVPPMPPASPEIISSLISSLSSISSPARTHFDNLPHISAQTAPSSPGFHQTEFAQSQV SGQGFGVDYGAYKTPNDAAESPFLHPDDAALAPVVRMAPAPTPSSPRSPRSPKLKNSF GRDRSPLTHRSTSSFSSSQAAMEEQSLSGFGIITTEPGPRVSRAGSVASTSSDGRRSL RGALGMLRRGSRDSWQDKEAERSIKSSSQNENLKPNLARSRTSLKSKNSMADVVEEGA ILNPAEDLSFKNIKDATATKQSSHPTPNPSLPSPGGIGSGRIIPTRESSLRHTINKAP RKSRHSRSTEQRPTTSKERSYGESAKTSEAKAATEQTTKRIQQVKEQQKRIKNELAKA QEVPAGRTSIDTFNSRAKTTQPVVAEHTSTRLSQDDALISRYLQHAETDPFEESAPSP AIQTRRTREKKRQSLDRADVPPSPSVPKNHKRHSSGAFTMATRQSIAEERPSSADSID LAVEAYVASPRLTQKVPHPRSGRMIAFSEVGDPKGHVIFCCLGMGLTRYLMAFYDELA RTLKLRLVTLDRPGVGESDPSGEGEGTPLTWPDDVAIVCNHLKITKFSILAHSAGAIY ALATALRIPQHIRGRIHLLAPWIPPSQMTNLGTHKEPLPNNAVPYSQRLLRALPTPFL KVANSSFMNATSSSLTTSLPKSPRRNNKRKSFGRDTVAPTVTELTSSNNNTSTNTNGN QTKNDRNSTMTTASNSTSTPFLRNDQRNSTLSQTSAINANRPTAAEEAAILASQQKER QTEYDTRLTYRIWELATTNANPAVDLLICLERRQTIGFRYVDINREVVIHHGTKDTRV PVDNVRWLGKTMRRCEVRVLEGEGHGLMASAVVMSNVLTEMAKEWEDWTTVVQGRAGR GRRSTVTATTAHYTSRSVAV TSTA_034890 MDAYPNDYIVHNLPLILLSGIAHDEAASRPEYPSLQQRGTAIES DFPLLTGPLVDILRSAFIDHDASEAAWRPPTDTGKFNGSPLRIKTIGRSYRLPPQKAE FPSDADFSSSSVVPVVHSPISPLTPGSPTFPDGILTPLWMLKHQDLVPAATINVFPLT SDPSMSTLRDNQLKIELRDLKESWAASGYRSRFVVILVAEDGISSQDIEDRLANIRRA TNLDPRSLHVLNADLSPVEVKDFVRSFLSSIQASLVDYYRDLSKHARRKRNRGTIPPP TVPPTQGTSQTLSTQGWNVRYEFKLGVFAEFRQEMEAAQRNFEAAYETLFGQEVFESI AGWSPRFNEARLLGDVLAIRIIRCLLWTSQPTAAVRFWLNHKSNTEDIVNRRGKGTKN YGWEAWVARWSMVMAQLISRAELPAFSAEFLQNPARLYDSIFHPPEKGTSSDGNLLPW EYLHHQGYWLDRSARHTVRRRQLAEQIPEEHRVAIDQVPASQAVAQSQFYDTYLAPEP AVEAPNPEGSGFDHNTLILQTLKAALQHFAARDQVRKVESLSLEIAQFHIIAKQWQEA YDILKPLWPHLTWRKGHWWDLMGEFAWALRSCALELHDIDTLFWVHWELLSKALPLRP DWDYNLHRCLDGVSVGSPKPTIVLKAEDVLTCLSASMVFQKGEGNVGQALQLQMAITS HAHQHAAPLKLSQVKVIFEGGLRPITIQADDATGKPNSSSSQIFDIKLRDSAHSTESS TLLSPTGHVSSMIGQADLTFRPSEARVFNMTAIPRESGESRIASITIAIEEEKFNLLY VITNHEQGMSFWWRDGLRGPSKKRIGKGRDTTACKILPKPPNIQIQLPDLKPHYYTSE RVSLTVAIQNNEDDAAEVAMQIRVRGQPDSPLKISWSDDADETTEFDGVSVESTNHLK SRSVGTLSPAAISEIPIILSNTADALEYEVEISVNYFLVSDPETPISKSISATLVFIR PFEANYDFLPRINPNAWPNLFHLNTDSDGDKPDGLQQRWCVSPKIVSFASEPLVIQKV NVGLLEIHGGAICDIGPEKLTTPEAKQILPEELRTSEFILNVQKISLDDRRSVALDLS LNIEWRRPSSADGDEEIITTATSLAMPRFMIPMGEPRVLASASASKELPGLIHLDYTL ENPSMHTLTFSLTMDASEHFAFSGAKTRALQLVPLSRHTVRYNIFAFRSGMWIQPHLV VVDTYFNKTLRVLPTEGMRADKKGILVWVDAYES TSTA_034900 MAAQAALIEETIIGLKKALRRENDYSGSDEAITQPTNRGNKLRV NARYVHEGALGFSNPRDFYKQKIEHAGYTRYILNRNPPRYDSDGDEIDGDEEVDSEVE AEAADDNPFAGIALEQILCPLKQASELPDHPTLSQPYRSKALPTMIKAIDEKLRKERA LLARAHNLHRQFLGDSIWIPCGTLETEDDPFIFRPRHAQASNQQHRDKRSQTGQGHAG NVNSGDNTQLDTASSNKDERSAGDDVEMAEAPVSETDKYAETKNLKTEDGSSSLRDVL RHNENGDADSTTRETNSSENGKFDQKEQAPHTTESPHAQNTEGGDIVMEDASESKSMR DKEDTSTPDPPRRMTTRAQANATNENEVITSSSSRYPSPDAATQLSTAHPLFLVPENI RPDKDFGLPPNEAEETRRLLWSYIQKQEETVRGFTAMLKSLRRADQLKEDVFEWCKAE GHIGEMSDGEDWYDREKLGLAEGEDLKKGADDDEVENTVHEERTTGKRGRRRQ TSTA_034910 MSSITDYIDFSQPSLQISALAIAFNPIFWNIVARQEYKNHFLTR IFGSPYYGCYFLAVVIFSIGILRDHLYLKALEDQPFYEPVHQPYIAYGLFATGNVLVI SSMWALGVTGTYLGDYFGILMDAPVTGFPFNVTGSPMYWGSTLSFLGTALYYGKVAGL LLTLEVFVLYWFALQWEDPFTAEIYAKRERERAKASKRS TSTA_034920 MALSQRHLLLAGFSGFVSWGLVVNWIPFLRYLGYAFIAGVLFTC LCICSAILLTVKTRSDYFDRRTTVPNLRFLAADAWSTEVSVYRKATEYEAKSLYPQSF VVSESIDELLQLASRDFIGSWYGNISKNRKFINEIDRALRIALENIRNRLSSEDVTSL VVSRIVPILTAHIKDFDRAERAVRGRNLARSVTESEELGLAIASKYRDGRLHPAVTVS LVDQKLIQQDYVRKLVMGLLPQLLPDSLLTSRTVSVLIREIVACAVLLPVINLLSDPD TWNQILEIYGRTALNDRKTVKKLRAALDKHASPSKPKGSPSFPRLGPNDSERAFERFV RAIRRCNNLSDARQFRAQVASQLKRESMVEGQDQVYIRRLETGKRVLDQKVSKLAANA SIRRPASMKPEFRRDSIPNHKDVSLVDLMHDASGLSYFMEFMDRQNKMSLVQFWIVVD GFRNPLEDDFGDENSASSAVWTSADVSDMILINETYLSKPELNVPEESRDAVKAFLKA GKKANPEQYRKARTAILMTQSAILEEMEIKYYPKFKDSDLFYKYLASDETSLISAEQE RPPRASTPAPEQRERRPLPPLMTRTQSQPSMQKPQDLRRAAVSNDVQSNGKLFDDADS SPRRSVDSDRSAPLFDDDYDTDPLSHSFSMSSQNGDSEAKNTQVIENMEQALNEIIVD QPNNGRSEDSRLFGADSSSSSLFPEREQISARSSSEVPRSDVRSGEKNKPSIASLGLI ENSSRTGVFEDELFPDEKKFIEDEYADPEGDEKDPADEIHQAAPGDLGLTEAIVALTE EIEKLSAQESVLDTLTRKAELTNNTAELRILGKSKASIQREIRRKEMQRQQYVIQESD NSLYGRSTIHIQSIMVGKEDDGNEFAIYVIEVRRKAGEQMPAATWAVPRRYSEFHELH QKLRMRYPSVRQLEFPRRRMMMKLQKDFLQKRRAALEAYLQQLLLLPEVCRSRDFRAF LSQQALLPHDAPATEGETKDIVSRIYNSVADGMDDFLGNIAMLDQLSTAGQNLISAAT SQLTGPEPALATEDAVTAAEAEAELNAFEDRELEPFIKPICDLFLEAFELNRGNNWLR GRAVVVVLHQLLGGTIERKVRESAKSLVQDDNLLRYLSLAKETMWPGGVLRQSVVRTP SQKSKTKNEASFMLAALIPDLAGNVVGRANAQAASRKIYDILNNPRLNAHLIFTILDE IVLVLFGGTDGGRSRQQSTA TSTA_034930 MRSQRRLRLYVVVVVVFLVAFTYFTTDTGGGIQNHRFYKKTVTA MNNAHVATTKKNSEGKDRSHIQRLDLDNNNNNNNGARKTPSSPSALNDKQQEKSIGSS HNKIDTSDEISVAGRTKMSVPKPKEDQVPLSNKKTDSVDTPSVKSEAETHVAPKEHET SKEDEEEKEASAELDFILKRSPIIVFSKSYCPYSRKAKSILSQYRIVPAPYVVELNEH PLGANLQKLLGKVTGRRTVPNVLINGISIGGGDDVEALDKDDKLISKIKSVGGKSIME IERMRVMEGHEHEI TSTA_034940 MRFIHSEETLPIPENVSVNIRSRVVTVEGPRGKLTKDLSHIAVS FGRPNKSTISIELHHGARKGVATLRTVRTIINNLIIGVTRGFLYKMRYVYAHFPINVN IEKNAETGNFEVEIRNFLGEKIVRRITAQPGVEVITSPNVKDELQLSGNSLEAVSQSA ADIQQICRVRNKDIRKFLDGLYVSERTNIIVE TSTA_034940 MRFIHSEETLPIPENVSVNIRSRVVTVEGPRGKLTKDLSHIAVS FGRPNKSTISIELHHGARKGVATLRTVRTIINNLIIGVTRGFLYKMRYVYAHFPINVN IEKNAETGNFEVEIRNFLGEKIVRRITAQPGVEVITSPNVKDELQLSGNSLEAVSQSA ADIQQICRVRNKDIRKVRQPLRFLDSHFRLY TSTA_034950 MPLLTLGAKLWSLSAAIVGMILDVLQFWNYRWMLWLRSRSPKDQ LSYALARADTFEEWEETAFRLDELTSADLWRQNPTSKHYDYRLILQRLESILSARESE DILTLVSILRSGLVRNLGNITSMRLFNHAYTGTKLLIDDYITHVALSIQYVTSLQTMP MHVSGFTSQAKLELLHDTRQAFGRTSLVLQGGSIFGLCHLGVVKALHLRGLLPRIITG TATGALVAALVGVRTEDELLDFLDSDILEYCVFEGQSQKGKWKGKGSTTHNEQSRIGF GRLRRYFVEGNFLDESVLEECVRTYVGDMTFEEAYAKTKRILNVTVAASVKGAFPNLL NYLTAPNVLIRSATLASNASSETLHCKDETGAIVPWPHTQDVTFRSWRQVNLSGRESP LARLAELFNVNHFIVSQTRPYIVPFLYPDTHPGQRNSNHPSLNRPLMRLIMLEMRHRL RQLDYLGFLPMSLRRLLMDENIPGPSLTLIPDLTTSDFLKLFQAPSKAGLDYWIRKGE RGVWPAVSALRVRCAIEIELDRCYQMVRRRRRRSDVSQQPAVVPHYRHGANEGVLRKR RSIGHESVE TSTA_034960 MDANGSSATYYGSILIVTNPGQSQPQLSLRHVGPVSQEAANVQP GSQAPSTYEGVKLYEDPVKAFWRFQFSFPLADYEARWAYTIPGFRYVNESASNASEWT FVVPAWHQSMRIMFHSCNGFSVGTDTESWAGPALWNDVLRVHEKRPFHVMIGGGDQIY NDGIRVDGPLNEWTNIKNPIKRQAHDFNGKLRAECDEFYYKNYVRWYNHEPFKTANGQ IPQVNIWDDHDIIDGFGSYTDHFMRCAVFRGIGGVAFKYYCLFQHHIAPPKSTFTTDA TEPFDPRQLENTFVLEEQTADPRWIMGKTPGPYVEERSRNLYMRLGRRIAFVGIDART ERTRMQVNYPETYDLIFQRMDQEVSQANGEIKHMILLLGVPIAYPRLAWLENLITSPL IAPIRLLNKRFGVAGGLFNKFDGQVDLLDDLDDHYTARHHKAERRELIHRLQHFSKEH SVRITILGGDVHLAALGRFYSKVDLNIPVENDFRFMPNIISSAITNKPPPKAVANLLA RRNKIHHLDHETDETLMPLFDNPPGGVEKSASWNKVTMPSRNYACITEIVTPATNGSS SQPHSLAPSTAPKDGHSPLHIGEEGTGTSHPAADGYSNTSQLAGGLDVAIRVEIEQGN RDGNAQGYGLSIPQLIAV TSTA_034970 MVSLLGVVLQSQRDKYQKSENWQVSPSPSSLSSPSWSFSESSPL LLTREPSLEVVRAGNEEDEEIPPSSPEYSNACHITAMPPLITTDQSMLYFEHIVVDRF NLSTEPVLVDLASVYKYPALLYSVTAVANAHQHLSYCPGRRDAFLSKRTARLKAIYNF RTQLESPTQNHQHQVRISRRYLPLDLFIANVLLCILDGVIDPNDEDAASQVHYRGGRA ILSQWKLQRQLLQRQKRGLPALMLSCFATMDLTYSLLSGEEQYFRDTTWAHFAGSDGW WGVLEPCDPFLDIMRILSRLAQMGHAVHSSGDSSLFTDELDALSMTLCGHTPTADGPD LLSLVQDEFFKTSQNTQAPLAPHLLAPMYSTEPQLDHDQSWTVFCNGYRLAGLIYIYR VFHGLDTSHPLVQQATSYGLRAICEPRLRGKLAHCLLFPALVIGAHCRTEPQQKASLT AMRSTAAFLNFGSMRVMENFLKGLWARYLSSSSSESESWWECFKPISERAFLF TSTA_034980 MSTEQAEKLAPVSESLIAKDTNGTGQAEGLEKLGYVQELTRNRS LFTLLFQTLAIAAIPYGEGGPLMSAIYGGGPLSIFVGWIVVCILDECVALSLAELASR WPTSAGPYYWSFQIVPQRAKTVLSFINGWVWLIGNWTITLSVNFGFASLLSGTVSMYH PDWSANDWQLLLIFYAICLASFVICTFGNRFLPMIDTICAAWTAISILIIMIALSVKA DLGRHDASYSLGHYDTSLSGWGGFTFFIGLLPAAYCFSAVGMISSMAEECSNAVVKVP QAISLCVPVGGIAGLFFIIPICVTLPPLEDIILAPAGQALPYIFQAVMGSPGGGLGLI FLVLAITLFCSISITVAASRTTYAFARDEALPMSKLWAQVHSGLGVPVWSLALVTVVQ MLLGLINLGSSSAFTAFVSVGVVALAISYAIPIGASVFHKRQEVNKAKFNCGPVLGLV VNIIALLWIAFELVLFCMPTVLPVTAVSMNYAAVVFVGFMAIAAVWYGIYARKTYKGP PASDGL TSTA_034990 MRLRGGMIDPTWIPYRMRTITSANQSSARKLLGISITAALSSDL SSVNKFNTTINLPSQRVNTTHVEMSNVPSVAWHGSCLVGIRALFRSQCAVQSSANSIA RHLRRCWTQQQLSYSSLGYQQTWKSTRPTSHTVPRLSNALSRIRFASSAGKQQKEHGV PLDSQPLSAAEIKAIFRSSTVTPEMGNRILSVIHGRRLAGTLDQDLPSDITRAVRSKT IENGLRWLRANHPLDEDAAILARIEREEKEEEERLLRYVKEMGPQSGHWGAQLGEGND IYGRSTFQEKRKVNEARLLAEQEKKRKEWLEGEMKDREKIQKQLKGNTELQKYNPSAV VEARPRADPRERPFLAWAQKHYIRAENTDTDFTSMTTARRILPALGVTLLVLGLCYFY AETYQPPAYGTRMWRDIPPAAATILGIIATNVSVWMLWKIPPAWRMLNRYFISVPLYP YAMSVVGSIFSHQQFKHLLTNTVILWLIGLRLHDEIGRGNFLSLYLSSGVIGSFVSLT SHVLLQRLTVTSLGASGAIAGLVAAWCMLHSDDKLVPSFLPNEWRNYLSANGSTVLLA IVAFEIFNLVSPFKVVGKLDNYAHLGGYFAGAVWAALYKSKGERERQRKRNEQRGFLE RFGSM TSTA_035000 MSAEAQPISPIAFAEAIKELPLSSLYAKVSELRNSISHLTRSNE ELRTYIIESEEGPDSPDNKELEAYVLENEEVMQAMAERIRLLKVEVEGRGQRWMEEVL EDGDGGEIEEDAQSPPLVNGVSSHEVDGVTDDNSERRVNGLTNPSNRQDGDGEEQRNG DDEDDEGIYL TSTA_035010 MALFLIRASGGWEWILLHAETNNRGRSDGQSEQQLLSSPLLRVP LLSAAIVGAPIIDLIFLLRLSSCLSIHYSRWQPSFRACFGRGGNNKNSNNQPKMNTGG QGQQVAQPQQAVPGAQGLNNSPSIASNMSADIVSNNEDAPKYFFQEKYAPLNVKGNFL TLCACPKNVELGEWLAHQIVEQYRLLHGMLQVIQEVNTVNGYPICNESTCPTMSAGRL TYTWLVDGRAAKISAPKFINRVEKWIVSKIHDPVMFPTDPVQGAPHTFASGDVSTPPA TTPIAAGPTNLNASLTTLAGQDWIGKSSGFPPTFYKDCQGIMKQMFRCYAHLYHGHWL DPFWHINRHEMLNMCFVHFVTVAKYYKLVADKEMEPMQPLIDLYMKQEKIPPEAIAGH WASQEAAAAGSSTPAANVPST TSTA_035020 MSSSEDEAVRRPGRGGRAYNEDVSNEDDNANVFGDENDDKDLFG SGSEPDLEERPHRTLDDSDLDSGDDEGRHDRTEDRMDLGEDGEEGFGEVKVMDLSLGR APEPRSTNEEIYTMEIPNFLSLETEEFRPETYVAPPYFTAATSLCWRYDPKDQTSLQS NARIIQWEDGSLTLQLASNPKEQYRIASKPLAPLNKAGNYDSTLDTHTYLAAAAETAS VFKITSHLTHQLRPLPTNSETDDAIQRLQENLAAAGRGGKANANGNGIAIIDIKEDPE LAGKRAEAAEKLKMREDRKRQQYADREQNRTARRGTYRPSRGGLTAAGLEDDDGMFAT RPAKRRPRTNRHGEIYSDDEEEHGRRRQDSYEVDDFVADDDEELEEVEDEESLPDDEM DAEGEDDDEEIEKRAPSPKRRDAGEGGSGSPPARKKNRYRVEDDDDEE TSTA_035020 MDLGEDGEEGFGEVKVMDLSLGRAPEPRSTNEEIYTMEIPNFLS LETEEFRPETYVAPPYFTAATSLCWRYDPKDQTSLQSNARIIQWEDGSLTLQLASNPK EQYRIASKPLAPLNKAGNYDSTLDTHTYLAAAAETASVFKITSHLTHQLRPLPTNSET DDAIQRLQENLAAAGRGGKANANGNGIAIIDIKEDPELAGKRAEAAEKLKMREDRKRQ QYADREQNRTARRGTYRPSRGGLTAAGLEDDDGMFATRPAKRRPRTNRHGEIYSDDEE EHGRRRQDSYEVDDFVADDDEELEEVEDEESLPDDEMDAEGEDDDEEIEKRAPSPKRR DAGEGGSGSPPARKKNRYRVEDDDDEE TSTA_035030 MSKESVTDNQMPLEEAVRDPDPAMFESMLKQLIDTGAGKMDKQK ILFIAAKRGDVAVVKRLLERGTDIEARDSSGWTPLLIAVMRHQTSVVQLLLEHGAKTD VKCSMGLRTPLHQATEAGHEEITELLLAHGADPNLYNVNGRRPLVFAAAEGHLVLVKM LLDHGAYPQTKEDPSTPLCWAKRHEYDDIVKLLEPLSKIEPEQPSSEVPEPEKIIRIV GSSTLFFEEFVAFWIIPFPPRAPPVVKE TSTA_035040 MASNNNTFSMKDNGPKRHAACDECRNRKLKCSGEQTGCRRCTAQ GLYCHYSIQKQMGRPRKKQKLDGRRNDNSDGSEPRLLGAISDIEKEWTSADLGPDTTL LCPQVYLSRYGGYLPSKDVLHFDSSSLPQPPQLQPIAATIDPWPDFSTTSASASMLSN VPSSAALGEDAPTCPCLSYLYLCLSTLSSLNSFSINRETTTSLCTAARTAQSVIRCEI CPLRFATGMQNVMMLGTLLSVMADAWYKISLTDASTLGRELASPEFKQLVMNNKDPHA EELAWNHWLRRFLRRAIIGTPIDPKLCPPTAVHNITPDLYSLIRELEDRQRYWHAVRI SHPTNPFDTLRASYGSVSTPPSSTSTSDCSKESNADANSSSSSTANTTGEGVSTALPP DPAEIHQNAQEHDYLCLKIVGAAKHVIKKFEFGPEEYPEGVPPILLSD TSTA_035050 MAFVHLQSLLSRYNARFTLTITRHFASKKGNATQSGLWNESELS KAKDWLAKFDINSIPRNICSISFSRSSGPGGQNVNKVNSKATLRVPLSSLLPLVPSIL HENLRTSRYVADRADALVIQSDEARKQSANIESCYQKLYKIVEESARDVIPGETSEEQ KERVKNLRKAETEARIKSKKMHSAKKSNRRNSKYDD TSTA_035060 MAAEKARFYLEKAVPELKEYEQKGIFTKDEIRSIAKKRSDFEHK VNTPGVTDSDYVRYIEYEMNLEALRKKRSKRFGIKATPQHAGRRVLFILDRATRKLPG SLGLWIQYIEYCRRQRMFRRLSDVFSDALRLHPANADLWVYAAKYAMEDHADMTQARS YFQRGLRFCKSQRNIWIQYGRLECIYIAKLFARRRILGLNESGKAGDVPSSAADEDAD MIALPAITEEDINPSSKKNDEIDEGALEALNSTPALTGAIPIAIFDAAMKESNDNIIL SHEFFDMVFEFEDLPCLRKILDHIVTHQTAKSPLHYRTAICHIKVPVAGLKVTSPEFP QALGISLNNMKKYQLDPNLAKELINWLKPLSEIEELDPSLQKVFEITLSRAQKCLPSM DE TSTA_035070 MAPKFRDGDAVVSLNGKWISHAHTLFAAIAFIGAFVVGVQLHFH KIVQNEHFGYPDEWFPSVSATIGDRYPERSVFQVFIAITSGPRFALVFLWYLMTARPG STLPKVVAFTGLFRTLTCGGWTYVTSTDDHDWHDIFMISYLVATLPWTLGCLALSPAN RRAVRYRKILASLFFGTLVPLIYYFIQHKVHKVAGAYTKYAFFEWSLIVFDVGFDAVT ALDFDAFELVVRDVKGVSRGQLKTTADKVIEKEKDKPIGNTFGTGFSWPEAFDVAADI YNGFVFWSNYTAMPLLVWYFPLWHMGISGYEAVIVTTVSPLILAIPSIRSLVIRNRAV FQFLPLTGLMAFLVRDPVYRLFISGFAVAMGCLIWSATFYGERALNVRLESRISAFAI GLIMSSVAKFAFQTNNPVWPTMNAENGGWNKFGLFLGILAAIRSARQPAVSGGDYYPP AGKKGSAILAGIGLGGVIFGLHSLLSDSSTMISWVWEGFPIRGPIQVPHGALTIFAMS AGYLFGLYYPRLAGSWTAFGIGSLGAAVLTCYSHWFGFYGGLTIAFYLMAITPVFIAS AVHHSPASTFGTAFSVWVFLCLFHVWVVAYAFVPGGPLVREHTDWLMTVTMLFVGAGV FSSSVTNSGPSKKNFINPNSRRQRSYYIYALAILQLLAVAVAYLRFPTNDYTPYHKED KVATAGIWTIHFSLDNDMWSSERRMINLIREAELDVVGLLESDTQRIIMGNRDFTAVL AEELGMYVDYGPGPNKHTWGAALLSKFPILNSTHHLLPSPVGELAPAIHATLDMYGEA VDVVVFHSGQEEDPEDRRLQTEYLSKLMGSSPRPLILLSYLVTKPLEGNYNTYVSDVS GMKDIDSTDWDRWCEYILYKKLKRTGYARISRSTITDTELQVGKFVIGQPESEEEIRI PEEMVPEGQRFPALFRGEGVRGHRYHVFDEPRYFQ TSTA_035080 MLAMHNLQSSVQNLSSAVKNPSARSGAGPFRCAPTTTTTTSLFQ PHVHGSAIESPSSSDDTQSHSLNDDAADELVNNWHHQADNHQIFSSANAYNRMRRESD RTSPSSATSATFASADLNHNNVCDVIDANSPDGLPPKIEELDDDGEVLMTLKPGDDRS ASDAVTSLPRKRGRPRKHPLPVPGGQMKVAKGRSKTGCITCRRRKKKCDETKPACLNC QKNAVVCEGYPPKEIWKSGKQKMADAVRRTSISLLPRGLPILIDGIETEVDRRFLDHF VFDFSRVLTLINDDSNPFKEILLPMATQHRGLMHSLMCLSGSHLSARDPEPRFKERKH YHFDRAITDLRESINAAPLAEDAQEPQLLVEDPIIASTLALCLNTICEGETSGEYRSH MDAARFLLVNQKPKNEKFRQFIIEFFQYHDVSNSLTSLDRRPVQLDGDLRLPDFVPHA QAGTFLGIFDGLFNYISEITRIRDRIRARHSEGFEPAVDYQTLSEAVRIDNALRIWEP SYAKDTPNWYASQLYRQSTWVYLYRTIRPSRPSEKICQVVDDALQYLDHLPQDAGAYS IMLMPLFLIGCSAFLPRQRERIKVGFDAMKQYSSLRNIEPALKVVERVWQVMDTKMEE SWDWEKIIEEMHMDFLIT TSTA_035090 MFRTLQGVAVSLCLPTSVAIVSQAVPAGRKRNISYSILGFVQPA GFSAGLVLGGIMVNTIGWRYGYYISGGLTLALFVVSIWSLPADRHGNAGRIGWARLRN EIDWIAGVLSCACLAMLSYILAALTNDVSNIKIPGNIVILVICVILMPVFILWEQRQE RLGKAVLIPSSLWRNAVFTNVCLMVIFSWAVINVMELYCSLFFQQVQGLSALQASLRI LPSVVIGSICQLSTGLLVHRISAFYLVVGSTLLSAGAPLLMAVINVQWPYWYDAFFAQ LLAPLSADILFTVALLVISDVFPQKTQALAGAVFNTVSQFGNSLGLTVMAVISSVTTK HAAASPADDESREPLLVGYRAGFWGAFAWMMCAFVIGGYGLRKIGKVGLKRD TSTA_035100 MAPSQSCSTCDSHGIERIRSVKIVGVHRFKDLSISYGVNISDIT CYSMIDNREWVIAIEAVSSTGWALPLCIVFKAKKYTRLGWFEDLSDG TSTA_035110 MALLHTPTALVYAVLLLLSSTLVASIQYCHTGADFCFAATQQHN TSSDSHDVYLTISATPSRNGGWIGVGFGREMKGSLMFIIYEDRESRSLITSVRSASGH VMPKVLEDVEDQVSVLQATVSDNKYLAEFICYSCWSPDVEVKGLSPYIFAGNREQSFH SADKYSSLMYHEFKGKVWGNMTVDTEDTTENSAPSIQGDEIIGIASDSPDSPKKPKGF FTPVRVHGTIMTISFMGLYFAGSVAIRSPVVRAFKYHWMIQAGASVLALGNGLYMFLR STHFGPHKIIGLTILCSLIIQAAAGYKHHIDFVKIRRQTIFTLVHRWLGRGILLFGTM NVGVGMYYRKWSTLGLFTWFVIWCIEIAGYGYVLLQHQRRQSQQRGHAIPKEDLEEIA DAEVFDIGGDLDDDEDGVEDSVHPHTLFLT TSTA_035120 MFTFTPLLGAQFSSSKASQSILELEGGIKILVDVGWDETFDVLE LAELEKHIPTLSLILLTHATISHIGAFAHCCKTFPLFTQIPVYATGPVISLGRTLLQD MYTSAPLAATFLPKVSISEPGASTSAASAAAATVSTEGDGRSSSMLATTGRILLQPPS AEEIARYFSLIHPLKYSQPHSPLCSPFSPPLDGLTLTAYSAGHTVGGTIWHIQHGMES IVYAVDWNQARENVVAGAAWFGGSGTSGTEVIEQLRKPTALICSSKGGDKFAPPGGLQ KRDALLFDMIRSSLAKGGSVLIPTDTSARVLELSYALEHAWRDAADSSNGEDVFKKAE IYLAGKKAHSTMRLARSMLEWMDEGIVREFEAVEGGDAAAARGHKRTDSQSRTTGSSR DNKATKLGPFTLKHLKIVEQKRKLEKILGDGIPKVIIASDTSLDWGYSKETFRTLAED SQNLIILTETLPSRYQTDDPEQPDKMTLGRMIWHWYEERKDGVAMETASSGELLEQIH SGGREITLVDVERAALDPDEQVLYQQYLATQRQIQNTSQARGDANMDNAADALDDGAS STSSEDSDSEQQGRILNFSTSLAHSNRNKIGLRDEDLGVNILLRRKNVFDYDVRGKKG RERMFPYVAPKKRGDEYGEFIRPEEYLRAEEREEVDAQQRDSGPQSEMKLGQKRRWDE TGPNGRRLSGGAHKRQAVSPDGVLGGDVGDLGARGELAASSEDLESESENEVNEQPFE GPAKTVYKHSTVTVNARIAFVDYMGLHDKRSLEMLIPLIQPRKLILVGGMKEETTNLA DECRNLLAGKDAGDRSAVVDIFTPRNGESVDASVDTNAWVVKLSNNLVRRLKWQHVRS LGVVALTAQLKPPETVQKEDEAIESISKKQKLLETEPDTVLAPVDGANASSLSKPDTY PILDVLPASIAAGTRSMARPLHVGDLRLADLRKLMISAGHKAEFRGEGTLLIDGTVAV RKSSTGTIEVEAPVQSSSSDRRGVSGSFLAVKRKIYEGLAVVGGG TSTA_035130 MEVSVDQETPPPRKRRKVEGESSEPLFWFGQTNGVMKFGVGLLQ PFSQAIDPHIDSISLNLLGRNLFPAKLSRNQFVSDDSDGPRSKSLSPRTADADSTAQS TSERAECAPMRPRQYQELGGSLAKNSLATQKSRALDSQCTLLPPPRVHVRSSSAFPEL NDINEKHIPAAAQFDRRRRVNSQPALYHGTEEWPHNSALQSREGGIRDRSQRPSEDFT SENSSEQAPLLSRSRQLGSGMNAPRSQKHQSLGAGTADGVNETRQHIGKCKGAAFERK RLNRYLRVDIIKGDCQSTIACV TSTA_035140 MDGCIDLISESPLPVLAFLASRSVAQFYNKIYIIVASPVCADPS LLPSFLPCISREAEALPTSTPMETYHGLVRTPADAIILFEACRLGLLPRVQRRLSEKE RQAIKSGSVFVWDEREAGMRRWTDGKSWSASRVSGSFLTYREMEGKRGGGVSTSRSEK TPDSRGNSDDDRDGSEDGPDGYRYKPDGLMKQSFSITTSTGQHLHLISYYARSHPTAP NFNQPSTDPALRHIRPQKGLYPESTVNDQQNLPVVTRGPMPGTMTLSPHMHMAGYARN GATHPQSYVPLYSWPPTPSATPPAATVPYHPGPNYLPPLAAPSPYGQQLPPHPQAIAV PHHQLPTPSERPLHLETTLPPPVPSGTVSAPGYPGYTRSPGSGPMSMEAQRVHSPSYA VKANLPGQVDPRLMSPRSQKQASSSSENRPSPRQPLTPNQSHLPPPHENHATRTTVPS IGTLMSGPPTSPYSKLNGSPNSLRVDGPKDIPTDKIGFGGEDMRALRQLDRVFTA TSTA_035150 MHKQGKKNPYPIPFCVEPLRPQHTHTFIILHGRGSNAEKFGREL LASANLPARLPTVKFVFPTASKRRSTVLKKMPINQWFDNYSLDDPNQRTDLQADGLME TAKFLRELVDAEARVLDNGAGETGHKRIIIGGLSQGCAAAIFTLLGGGFGESGNERPG AFFGMSGWLPFEKQLNSMMNVQGMSSDRVHETEEEDEEEDDSESSDDDDDRSESDVGI NVSFNDTASDNFASDAEVNLASFDPFQRDPQDNPENNIADALNSVRDILDLPPVVIGL SAPDDDDNETTHEVTTEAFPILQTPIFLGHGSADSKVSVRLGEKMAELLSKKMKIDVT WKTYDGFGHWYKVPDEIDDILEFLQQNINLPVEMIWSIFEYNYTATFDKDLSLVLILP ITLTVTHIDLDPCFSDGTVV TSTA_035160 MTTARNFKPPMVGQIMCLSCLQTQILSSVAMSSTLDIYIGFGNR RGNDTLHWILMLATPGTDRCTWYHVTGGPTQARGYTLQIQANKRLNSFGLSGKRFIST IPASEINKIKSAAQSVPLQRCQRWTTAVLGQLEAKRLVPPGTMAEFESQIEPSRFEQS GSRDPSSGSRSGIRSGSSSGSGTGSRQSGSPRGSSGIGSRYQSSQYSLQYR TSTA_035170 MMRRIDPALFSDSNRLLPDNLINIFRVDDKTVVKLCEPNRLAEA EALRFVRAHTKVPVPEVYDSYVDESINRGVIVMEYANGEVLCDVWEDMDDGQRQNIID QLKRYMEELHQIKGDFIGSVDGTACEDPIFCADLGGFGPYKTEHEFNEGLIRAMKLSQ ENSWVDHIAKFIRALPSHNIVLTHSDISPRNIIVRDDQVVAIIDWEMAGFYPSYWEYV KALYYPDWESRWIAEGTVDKILQPYYLEHAALMHIYKPA TSTA_035180 MSSSPKIAIIFYSMYGHILKLAEAEKAGIEAAGGKADIYQIEET LPEDVLAKMHAPPKSSYPIATVNTLLDYNAVLFGIPTRYGNFPAQWKAFWDRTGGIWA SGGYWGKYAGLFVSTGTLGGGQESTCIAAMSTLAHHGFIYVPLGYKTVFPMLSNLDEV HGGSAWGAGTFAATDGSRQPSALELQIATSQGKAFCETVSKVVVGSNVTGSA TSTA_035190 MELNSTVQYPEGVVTEEKSKQTPANRPLDDNRQPADMATIHDDD ERLLARIGYKQELRREFSRWSTVSYAISILGVLGSVPATFSYPLAAGGPAAVVWCWFI GSCMSMCVGSSVAELVSAYPTAGGMYFVTKHVVPKEQVAIFAWIQGWCNLLGQTAGVS SVAYTVSQMLLACVSMNSTYQSNGEYSFSPTAAQTVLVSIALLCVMGVICSLSTKTLH RTVMWFAPVNMLATVGICAAILYLTPEKQSAKWVFTTVTDGSGWGSKTFSFLLGFLSV TWTMTDYDGTTHMSEETHDAAVLGPRAIRTAVLVSGIFGWLLNVSMCFCINDLDAVLN SPTGMPAAQIFLNAGGQTGGTVMWFFVILVQFFTGCSAMLADTRMTYAFARDEALPFS KFLAKINWITHTPVNSVWFVVFFSTVLNLIAIGSTQTATAIFNVTAPALDLSYIAVIL AHRIYRHRVRFIEGPFTLGRWGAPVNWISIVWVMFISIVLFFPTTRPITAANMNYAVC VALFIAIFSLSWWWLSARDKYIGPRTKDLMESVPEDDYDDLGQRNSNDV TSTA_035190 MATIHDDDERLLARIGYKQELRREFSRWSTVSYAISILGVLGSV PATFSYPLAAGGPAAVVWCWFIGSCMSMCVGSSVAELVSAYPTAGGMYFVTKHVVPKE QVAIFAWIQGWCNLLGQTAGVSSVAYTVSQMLLACVSMNSTYQSNGEYSFSPTAAQTV LVSIALLCVMGVICSLSTKTLHRTVMWFAPVNMLATVGICAAILYLTPEKQSAKWVFT TVTDGSGWGSKTFSFLLGFLSVTWTMTDYDGTTHMSEETHDAAVLGPRAIRTAVLVSG IFGWLLNVSMCFCINDLDAVLNSPTGMPAAQIFLNAGGQTGGTVMWFFVILVQFFTGC SAMLADTRMTYAFARDEALPFSKFLAKINWITHTPVNSVWFVVFFSTVLNLIAIGSTQ TATAIFNVTAPALDLSYIAVILAHRIYRHRVRFIEGPFTLGRWGAPVNWISIVWVMFI SIVLFFPTTRPITAANMNYAVCVALFIAIFSLSWWWLSARDKYIGPRTKDLMESVPED DYDDLGQRNSNDV TSTA_035200 MAEYGTYHSSGYAGAPGEDPNRQQSAVPAPYYHPNAPPGQAVQQ PGLPPYGAAQPAQFAGQPGVGYGPGPVPSSPQALGGSTVGGLAAQMEGLGISSDAGAR GHKKKHRHAYHDIGGPSTQGLNTFPSQSNLQSQYLNTGLNQPEQHPATPAAFPGASTA QIPANVAPGATADIGGGAGSVPTQGKIDPEQIPSVPRSRDIPAQYYFSHVYPTMEKHV PPPASIPFIAQDQGNSSPKFARLTLNNIPSSSDFLHSTGLPLGMILQPLARLDAGEQP VPVIDFGDIGPPRCRRCRTYINPFMTFRSGGNKFICNMCTFPNEVPPEYFAPVDPSGV RVDRLQRPELVLGTVEFTVPKEYWVKEPVGLQQLFLIDVSQESVNRGFLKAVCDGIIN ALYGDEEEEQVEETESESRTVPEESKIGIVTFDREIHFYNLCSRLDKAQMIVMTDLEE PFVPLSEGLFVDPYESKAVITSLLEQLPTLFSRVKCPESTLLPTIKAALSALQSTGGK LVCCLASLPTYGPGKLVMKDKSQAPDGENKLFAIDNPDWKAAANKLTEAGVGIDFFVA APGGQFMDLTTIGYTAAISGGESFFYPNFHSPRDSLKLSQELSHSVTRETGYQALMKV RCSNGLQVSAYHGNFLQHTFGADLEIGTMDADKALGVLFSYDGKLDPKLDAHFQAALL YTTATGQRRVRCINVVAGVNEGGIETMKCIDQDAVVAIIAKEAASKAGDKTLKDIRAS ITEKTVDIFSGYRKNFSGSHPPGQLVLPENLKEFSMYMLGLIKSRAFKGGSETADRRV HDLRMLRAIGCLELSLYLYPRIIPIHNMSAEDGFASEQGQLQVPPAMRASFSRVEEGG AYLIDNGQSILLWIHSFVSPNLLEDLFGPGITSLQALDPNTSSLPVLETHLNAQVRNL LQYLSTVRGSKAVTIQLARQGIDGAEYEFARLLVEDRNNEAQSYVDWLVHIHRQINLE LAGHRKKDESNTGSGEGALASLAGIRAPYW TSTA_035210 MFSKALGLFAALSAVATALPAVHNSNNVRHHAHSQHRQLMARQE ANITSDGVQIVNNMEKTVYLWSVSDVSSEMYTLNSGESYSENWRTNPNGGGVSIKISF SPEQTNVLQYEYTYQDPIIWWDLSCINMGDNSEFTTMGFAVSSADSTCEAATCAPGDI ACAAAYLLPDDNWATHSCQSHDLLKLDLGSLLDQVQVDPFILEVS TSTA_035220 MRAWRRSFSTSVVKRYSPSSSLAASRLRCAELLNATTATTVTGT IAGDTKTHKYENEEVTLKGFVRSVRKQKRFAFAEISDGSTVKSLQAILTPEQAADLST GTAIEISGRWKACPAGKEQTHELQTSKVKIVGYADPETYLIQKKYHSPDFLRQIPHLR LRTPFNSLLSRFRSETIYQLGQVFRSVPQGGFVQVQPPLITSSDCEGAGETFTLSPQS SAPPPSGSKGSEDEEHLHFFRSPKYLTVSSQLHLEAYAAELGNVWALSPTFRAEKSKT PRHLSEFYMLEAEVNFTDDLPALLDLVEYLIRDLARRLYDTPVAQEVLSAKRTGESGL DSSDDIHAVLRRRWEVLLSETINWPRITYTRAIELLQDAQTNHGVSFVYPPSWSEGLQ LEHEKYIVDTIFQGVPVFVTDYPQKVKPFYMAPSEGADAAHPDQATVACFDLLFPEIC EVVGGSLREHRLPQLIIKMREYGLIKHGSTKPSSSSQPNDDKDDEHVLDLSKNYPYLQ PSETLGHLQWYADLRRWGTAPHGGFGLGFDRLMGYLAGVSSLRDVVPFPRYFGRADC TSTA_035230 MADIVLKPFQIRNLHFYPAEENLYDSSTESIVSNSPADDTQARP SSLIHLSAGEYDKIINDHPNGTLTYIDDDDGDIITVGSSFELEQRLDEPTPYTAVTSS NQTEPTPIHLFDIRRRRSIIKLWKDIEKRSELHWDFKAPEQTTTEFMDSSKPSEAGTS LHSRGLDSNESTDLRKRWLDACRPPRLQSQETEKEVPSPISQDVESSTPTIMSLSAIT EEGRRQAEEAGSRIRGIWDLNNTAASQAAPDFIRNQPNPWAVLVEPVLESKSNSHTTE SSTKLPSQASKLHEGPLPDSQSSSSKTEAESQPLLSAFEAEMAKILENAQGRVNEAGS SSTAQEPQTHRLGSVEPTWPVNLMTGILQTVAGGIENLGSELKTKIPEVERHLVNAQR AIPEDLGPKLQTALTVVESQIQNLTQIIQHASDASGEAAERVRQADLRATEEVLNGLG DMAHEFEDFGKTLFAAFEAEFGQQNSSSETNSTNATIAREGENIAQSQTREEKVAVVT RPLDQSTEAAAEAPLDTTSATAYQHTPPPPPAALLPSHLRESLLSEIRNSAVQNNQPR AVSPLQQQMPELSPNMRDSLLSEIRSRPTLRDSSPSEAGSSPIQTNQPQEAYPIEKHD NINPLFVNWPNRPAFVLPSKPAATQPPSVLTVTRPPVPPKTVNDKPTEFLCSLQDDTQ PAVHSETKEPEQVDDTSKTLFMGNIGFEVTERVIEEVFSSQSFPTKVHLPIDSSTGKH AGFGYANFTSVSSAKAALARFQGVVIDGHSLNLELCDNSPIGDLQTQTPVNQSRLSNL YTSSAGGVGERRPPVTSGDNLPSVFSGNARSIMPHSNRPGYPHSSLTPQDHPEETPEF AARYPSLLPAFKSQEHPLTAPARSMTFSPSSEIARFPPISQIDAHLLAETSQSRTGPH RASTLPWEESRTKGEEHRRMREPRRVSSTINRRSLAQPPTQPDSAHALRRRATEANSL RHRTYGNPFREQRPVSLYDRPTGPIPGSFPADDTPMPATNNDEHNAGDRGFQYAMQQS LIDQCVDDLLSLGYGTVVDGGRDRLRIIAEAANSQVADAIDMIEEERTAYERYTPNA TSTA_035240 MAQSAAANIAKQHANRGGVKRVVLGDLSFPTWYHSIYPEELVSK DTEILYVCRWCFRYTCDAGAYASHVKLCDRRTTPPGEKVYEHGGYAVWEIDGEDDKLF AQNLSLFAKLFLDHKSVFFDVSSFLYYVLTFTDPKTPDDYYVLGYFSKEKLSWDLNNL ACILIFPPYQHKKLGKLLMGVSYKLSAWEWEGGLIGGPERPLSELGRRSYTRFWEERM ARYFLLGPPGGDADEYVTMKTTTSGKNFPPRERMTVREIGQATGMLPEDVITALKGMG VVEPEKQHTTRTTSKRAQTTTSDGEAVIIRKSNVLEWTKIHNAALRDPVREYGFIGEW APEKLQMQEDH TSTA_035250 MSATQLLNPKAESRRRAEALKVNISAGEGLQDVLKSNLGPAGTI KMLVDGAGGIKLTKDGNVLLREMQIQNPTAVMIARAATAQDDITGDGTTSVVLLVGEL LKQADRQISEGLHPRVLTDGFELAKNETLKFLDSFKIEREIDRELLLSVARTSLSTKL NSALAESLTPAIVDAVLAIYKAPAKPDLHMIEIMKMQHRTASDTQLIRGLALDHGARH PDMPKRVENAFILTLNVSLEYEKSEINSGFYYSSAEQRDKLVESERRFVDAKLQKIVE LKKQVCGNDPKKGFVIINQKGIDPLSLDVLVKNGIMALRRAKRRNMERLQLVCGGVAQ NSVDDLTPDVLGWAGLVYEHQLGEEKYTFIEDVKDPKSVTLLIKGPNQHTIAQITDAV RDGLRSVYNTIVDKSVVPGAGSFQVAAAAHLLSEEFSKTVKGKAQYGIRAFADALLII PKTLAANSGHDIQDSLAKLIDEQRQGHVVGLNLLSGEAMDPVQEGVFDSYRVLRNCIA SSTGIASNLLLCDELLKARQMGRSGGPGPGMDE TSTA_035250 MLVDGAGGIKLTKDGNVLLREMQIQNPTAVMIARAATAQDDITG DGTTSVVLLVGELLKQADRQISEGLHPRVLTDGFELAKNETLKFLDSFKIEREIDREL LLSVARTSLSTKLNSALAESLTPAIVDAVLAIYKAPAKPDLHMIEIMKMQHRTASDTQ LIRGLALDHGARHPDMPKRVENAFILTLNVSLEYEKSEINSGFYYSSAEQRDKLVESE RRFVDAKLQKIVELKKQVCGNDPKKGFVIINQKGIDPLSLDVLVKNGIMALRRAKRRN MERLQLVCGGVAQNSVDDLTPDVLGWAGLVYEHQLGEEKYTFIEDVKDPKSVTLLIKG PNQHTIAQITDAVRDGLRSVYNTIVDKSVVPGAGSFQVAAAAHLLSEEFSKTVKGKAQ YGIRAFADALLIIPKTLAANSGHDIQDSLAKLIDEQRQGHVVGLNLLSGEAMDPVQEG VFDSYRVLRNCIASSTGIASNLLLCDELLKARQMGRSGGPGPGMDE TSTA_035260 MADYLLFEAPMGYSLFKTVHQADTVGNRLKEVQESVLDLAKFGK MVELVSFLPFENNKQALSEINDISEGVASQTLISFLELNLPKAGKKKKVSLGVYDRVL ATSIKAAFPSVECETGDTSEVVQDMLRGIRLHSTKLLKGLREGDLDTAQLGLGHAYSR AKVKFSVQRDDNHIIQAIAILDQLDKAINTFSMRVREWYSWHFPELIKIVSENHRYAQ VALFVQDKKTLTDNRLHDLAALVEDDEGVARTIIEAAKHSMGQDISETDMENVISFAK RVVSLHNYRKSLHSYLVSKMSVVAPNLAALIGEVVGARLISHAGSLTNLSKYPASTVQ ILGAEKALFRALKTKGNTPKYGLLYHSSFIGRAGPKNKGRISRFLANKCSIASRIDNF SEIPSTKFGEALKKQVEERLEFYASGAPPTKNEIAMKSAMDSILADMEVDEPEDSDIE MADEEKPKKAKKEKESKDKENKKDKKDKKDKKSKEEKKKRKRDSEVGSSKKKSKA TSTA_035270 MFGNPSDPATNMVPTATLYRSPKRKRDAVEEVEDASPPPSPTST LSVASYPELRLIEGGELGRYSPRTAVAGRFKELALHEGVLHSTPIAQWNNSSDNAADC VEATGKAENSQPSTKASPSKTKQSKIFDMENPSEEHATDDQRKPTTQSTNGPSRSKVK PISPTKSRTKKSPPPDEGAVLESLTWSDSEITGHDPTDPNDDGYGINGIGFKPTAAIA WARSQQRQKQVAEWKNREAREAREKRRERREGALRVQEYDPGAGVQKKDASSSISKWE RYIYNAETP TSTA_035280 MAEDTQGGDPASNKSPTNRPKHEFPKTQVGKLWEAFGNPEEPIN VHPGASYNPTHEKPKDITISESLKSISLQDFSTFHKKPCARDSLLVGLGAGFGIGGVR GIVGGMPAMWPACNWAVGAFAIASLASYEFCQRRRVNEMKGMQKAVELMAELKVKKQK EKEQLKAIQEAEEEELRKRKSWTNLSNYKFW TSTA_035290 MPSRKPSKYGNNKFRSSTASFNPKRAKTVEFNSLRSTEATSQGE KFEAIRLANSIDETMGFSRFEAGEKRAGWLINMHSTSVEDPNIPGGRAGVDFYFLEDD GNSFKATVEYDPYFLIAVKGGREQEVEEWCRRILEGIIKDVRRVVREDLQMPNHLLGN RRTFLRLSFVNVSNLLEARKLLMPIAEKNKKNVTAMDTYAEMARTSAGFDLFDDELDE SRPNAALNASDFIIDIREYDVPYHVRVSIDKDIRIGKWYNVEAKHGVTKLTCIEERLQ RADPVVLAFDIETTKLPLKFPDAVIDQIMMISYMIDGNGFLITNREIVSEDINDFEYT PKPEYNGPFVIFNEPDERHVLERFFSHIKEAKPTVIATYNGDFFDWPFVEARASVLGI DMYAEIGFKKNSEDIYQSDHCAHMDCFAWVNRDSYLPQGSRGLKAVTVAKLGYDPDEL DPELMTRYARERPQTLAEYSVSDAVATYYLYMKYIHPFIFSLCTIIPLNPDDVLRKGT GTLCEMLLMVQAYKGEIILPNKHKDPPESFYEGHLLDSETYVGGHVESIEAGVFRSDI PVNFVVDPKAIDELLRDLDAALKFSIVVEEKKSLEDVTNYEEVKAQITEQLESLKTTP NRSERPLIYHLDVASMYPNIMTTNRLQPDSMKQESDCAACDFNRPGKTCDRRLPWAWR GEFLPAKRDEYNMIRRAVENERFPGKTKNAPMRYFRDMQTEEQAAIIKKRLQEYSKKI YHKIHDSKTIEREAIICQRENPFYVNTVRDFRDRRYDFKGKQKVWKGKTESLKAAGAS NAEIDEAKKMIVLFDSLQLAHKVILNSFYGYVMRKGSRWYSMEMAGVTCLTGARIIQM ARELVERIGRPLELDTDGIWCMLPATFPENFAFTLKNGKKMALSYPCVMLNHLVHGRF TNHQYQTLVDPKTFKYETTSDNSIFFEVDGPYKAMILPASKEEDKNLKKRYAVFNDDG SLAELKGFEVKRRGELKLIKIFQTQIFKFFLDGKDLAETYQSVARVANRWLDVLYEHG ATLADEELIDLICENRSMSKTVEEYGTQKSTSITTAKRLAEFLGEQMIKDRGLNCRYI ISSKPRNAPVTERAIPVTIFSAEENVKRFFLRKWLKDDPSDMDPRSIIDWDYYLERLG SVIQKLITIPAALQKLGNPVPRVQHPDWLQRRINTRDDKFKQKKMTDMFEKNPLSEKS ANILDHRVPHNADIEDISIQMDKKKVSPSSKMVQKRKLPEGPSTTSLDPYASLPAVMP SITEDYEGFIKYQKQKWKIQKQARIRRRQLFGEKSKASDSLSHYFRNQAQMLFINTWT VLQLKETDVPGEVRAFVLIDRKVHALTVKVPRQLFINFKDDSLPEVELPSCEVEKVNN TLPNGHPSVHLFKLTLPEDVYLAESEKMAVLLNHPSVEGVYERNVPLTLRAVMKLGST CTFDESQRGVLGDGLDRGFDLSSLLHTTTENPYLEGISLTYYYLYHVTSGDRHVFALF STGKSEANIIILNRNRDMSSLPNIDKMYMELLGRKVAAESETSTMAFDYQEKIHFKTT QVTTYRKAILEVGDLVKKLRNEENQPVVFVMQSQQRRKLCHDIPILKDYPMLSVKAEV SDTDMPPLGWQSFIAKRLLTHYLYLGSWIQHLKMLARYGDVPVCNLESDDPRYLIDVS YARRLEKSNVVLWWSSGPRPDHAGYEKDDVLGSLDTVDMPSINVPGTYTTVSIELEVR NLAINTILTSSIINDLEGSDTLLASTKESADGVLYSEKAFASAGVFVLREMVKQWWSE ACTGKTMADIMVQHLVRWVESPSSCLYDRSLHYYVRMMSRKSFQQLMGDFRRVGSNVI FASPTRLLLQTTKQEVGTAYAYSQYILKSIRANPVFHFIDLELKEYWDFLVWYDEFNY GGKGCQEVVEAESQQLNTVMHWQMNRFLPVPMQQVFNDWVIEYIDLMHGLKRGNEESE NSSTPRLTQIPKSLTETTDDEVTNFLTDKFSKPLKKQIVGLIRRQRDELLHPELASDY VFPILPGALVDPNTENRNAVLELVKALMQVLSLSKTTTLETRLLRRELLALFEVREFS KEGRFENPSASLKLPELTCSACCLIRDLDLCRDEDILPDLGSDGKKTAPKAWRCPFCQ TEYDRLAQEERLVGEVHGMIVSWQAQDLKCSKCNSLKVNAFMEHCSCSGKWTTTLDRN EIQKKLRVMLSVAKFHDLKLLEGVSEEVLQRM TSTA_035300 MAAPTPNKGQPQRPQIQPCRYKTGKTLGAGSYSVVKECVHIDTG RYFAAKVINKRLMAGREHMVRNEIAVLKRVSMGHQNILTLVDYFETMNNLYLVVELAL GGELFDRICRKGSYYEADAADLVRAILSAVAYLHDHGIVHRDLKPENLLFRTPEDNAD LLIADFGLSRIMDEEQFHVLTTTCGTPGYMAPEIFKKTGHGKPVDIWAIGVITYFLLC GYTPFDRDSNLEEMQAILVADYSFTPIEHWRSISQNARDFINRCLTIDPKARITAHEA LQHPWISSDLSAKQGEDLLPTVKKNFNARRTLHKAIDTVRAINKLREGGGLMMDGATS IEPRPERVHGNDVHEEERHTPQQPYDDNMMKIDSRGNARGQTEEQIREQERKVKEVVT GLWAKTGGNLQ TSTA_035310 MASPAQCFYCFETLAASYKNEEPPSLAAIELSYWNYMQSKQLAT VEDKALVEEDEEEEELENNITLPKTIKTTPIARLRQDASSDSSSTTTLSAASSRSALS NSTTLTTPDEHRNSEPPSSADESYPLFVTWNLISRHGHKSLRGCIGTFEPQKLSHGLK EYALISAFDDTRFSPIPSSQLPHLSCSLTLLSNFEICSDPLNWDLGTHGIRISFVHRN RRYGATYLPDVAVEQGWTKEETVESLMRKAGWDGGSGSGGSVARRILRGSNTTDNNSK PWEEVSDFRTTRYQGLMASADYSEWQEWRKWVHADKPRLELLERT TSTA_035320 MPPMSAEDLEWFKSTFRPIPRPQLPEDAIEYSLFLLSSDPAPAT TDTVVQRRARLQEVQKSASELVKDLLKNYIWQRETFGLEIVKENDITFLRGRTNFGDS IEDEWVIVYLLRELTKKHQDLWVRVVDSDGEFLLVEAASSLPTWLEPEVADHRVWVNN GHLVIIKPKNDRKRVTENITLEESLKIALHEPGRLLRDSNIEEEAFYRLRKYPKQIKE NLHCALVTIPRKVAYLLHQKPAYISPAVEAFYIRDPISLRTLRARGKDDLLFPPDDFV TVSVRFTRVGYAQVKSQDFPPPASWAQSLPPPTDTKRFAKAETGMKVTCGFEMLLGDP QNQDKPIIREIKLLLEDLDTGDEELPTVDEIQKTWSMQRDDESWLDISFEDLDTELKG QRDKSMEAGAFGDQSAQENLQRIVARFEEFLKDDSADFDGVNLYDSDTDDEPDDEEAS SEDDEGDLRFDEEEFVKFMKDMKMDPSGVDLRSILNKSSKGRVEELEPSEEDDSHEIE ELSRQMEAELRPTGVLNISNQDPGRNPGAVKGKGKDKGKQRPMPEDFDFDEEDPANIH LVKNLLESLQSQAGMPGPAGNLLGMMGMKMPPDDRKRKS TSTA_035330 MPVPYIDTPRTEIDGNATYLTNGLRSVYRGNLSALDSVENSFQT PSKDENIIKTMEARRHAANGGGTQTPRASGTGARSSRNGLNDRRTLPANPPPKGEFTP MMRSVTRNNYLRNMSALRGSGVPKTPAYLKDGYRSNGNTPGLPAIDATNIYEDGGTTS MAMDDVTPLPQVASSSPNGTPLPSFSRKGGAGGVLDTDQNMLSLKEQENAINKLDKEN FGLKLKIHYLEEQLKKAGPGYNQAALKENTELKVARITMQQEISRYKKSLHQAERDLE AYRLQLQELREKAKRRQADEAIQREMDYMREEIATREAQVNNLQEELRNVKDKDSDEV ERLRDEIGDLEATLREKDRIIDAKDEEIESLKEDEGQNGNAVAELEAELDRARQQLEE FQDHIEKARSDAREANRNREQALQQKEKAEENLKELQEEMANKSFSTKGLSRQLEEKA EDLEKELNQLREEYNDLKEDYALKERREEMLEGQLEEVQEERAAELDALRSKAAAAEQ YLAERDEALSRLKEVLDDLDRKTDEKELLQTRHHALTDESAGLQRELANVQATIRRLE QEIEDEKQRSMDNNHDLRTQHREEIERLNEEIMSLHQEIENKEGQYALDQDRWESAKR TLQSQKDRAEEQAAGYKRTIEKLQDVELTVSGRAAKLQDVIDSEKERHLQEEAVLSRQ IKELSDDITSKRHVISEQRSELLTLKEDLRISRREEEVLKEKVQALEDEIAILRASLQ EEKEYSKAKNLQGPSSQDSQLQKVLNERQSLRDQLASADVELHRLRTSTAEAEAERDL LQNELNQIQSKSNGNTNFDHEKVELRKAKLRLENELQRLKDEKTLLEEARKSIEDALN AEIERSTAEENRLSSELVQLQDKLRATLRTRDRELTVAKSKAHQLERRIAELEVILEE QQQPVNVESSSVAADIPLLRQNLDDARKREKTLLEREGEHKKSIRELRHRISELEEAL HEAQMQKLNIASPARSSPNGLQEELRSMRTRLKDAHSIVMELKTKNQELERGAIRAED RKDLHELLKSSTLEAESLALKLSEKELQLNELRASIRRIREERSIAIKKSDKANQECE VLQERIDSLMEDANTKASRKNRHEKEIRGLGKEIIWLRTRLRREEKFRRDLAWSKGLM ELGEQVRVACNEADLRMIAEMGITAPEPKKVHDARRKLRTAGFAVMATVRMQSMSREW AKAKKIGESLRRAKNELSMKRDSQRRREGSLGLKDVPDI TSTA_035340 MEDRLVVNAYRQLYKKGLQAVRRATPARHVLRNTLRTSFRSESR AEFDLTKIARTLEFLDRAAESTSYEHKILKNLLHVRYYEQPSSRVEKEAKIDYISSLF IEPILRHARRFSQLPELSASDDESLPPSPGHHDLDEPAQSALLQLPIPSPQKFISDVP HSVTRSALLDTDLDCAQRRLVACRASDSNDDVRLGTMDASQSQQVSSDEDTRQLPSHP ATNTYTESNTGGITSTPSDATGDRSPGSKLRELPEDDGMTDLRRRIHAIRDNDASGIQ KARLIHSLMIESYEAARKTFSERPTSSQSPPRPRSRERSVTSSPPRTRRSPNRDPNDY IERRPGTPLRIYYNVSPQDLEPTFAPKDIDVTVDNNEESLAEKPVPSTENDDIFSNSD YADEDVLVLGCPHYKRNVKLQCYTCRKWYTCRFCHNQLEDHPLERQKTENMLCMLCGL PQPAGQWCKGCGEQTASYFCALCKLWDNDSSKSIYHCYDCGICRIGQGIGKDFFHCKT CSVCMPISIENTHRCIERSTQCDCPICGEYMFTSPDTVVFMKCGHSIHQKCYDEFSKS SYRCPICSKSIMNMEARFRNLDRTIESQPMPSEFEDTRAMIYCNDCGAKSNVPYHWLG LKCDLCESYNTAQLRLLRGDGETTESELVLRTRAIPVNIPDHSFESMSATRLGAEHFS RDANDRRRPSTATSANGHPIPPRRRAVSPTVGNYFELSRDSTWAASIFSSRRSEGGND DDDEEEPGFWATSPLRKYSFFRKHTGLSDDESDSDNDSGTTNDIEDEDEDDNDVEEDE EDEMDAIEIFGHR TSTA_035350 MTRLPGRFGLGRAQNSSTRTRFRKHKVILESVTQKRKKLRTILS FEAEAPPGYTFIPAGNPRFTVACKESCREQGLKIFTVTTTPHQNTHSLSQQVHRVGYH FPSTVVAKQCMELGLYISENGNVVPFEQFGSKSTRTDGDADVPQITINTEARDVIRDL FPNIPEEDINQIIKTAFQKGQRKVGTAVELPLARRAQLAVVAHIRHVYTDYDKLLKAT SFQQARRSVEDSTLEKLVQWRGDDESGMPELEDVFREVIVISDDEEGDTEEGDFIENG NTAATGRGLSVEVLSSQVVADQLEGTNDDSNHIVSPFPIRVGRKPLFSDYNFGSETTS QASSKAKVDRRGFSRYRAWDRAMVRYREKALSRYDESTLSRAPTVTRDEPPIPSRPLH IHGNKVTGRPLTPIVERHFDPPIQGVLYDDRPHSSDMLHHRRVYAWQDGSRLQAAQPR EHKAPDILHFSDGSVFTKITPVSSRKRNSFPIDVSSEPIFVAGPRMSSEGNEATLKRA HPTAHRPASHNRSGDSPRSHTIVPSIERRDSPIPSGFPLQHKISDPNILPTVTRGSLA SQYRRPLDELSRRIDLINLTEDTHEYPKRRRLDSQEVTPDPHRSSYRDEFIHSEPPGS RTQSAQVLKHKRYFEHVYHGDKHDMTHIIRTDPVPYMMNRPREETTDRVAYLPHTRLH DSSGSLPQVLLESPVAFAGSDNAPSGRPYVDALLQHRNLNPSGENYVVEVTKEPILRS DRPVSSHHSRGAGSDDAVWRSKATSYESHRRLLEVQDSTWLAGSMNAPQPPLSVSRAQ RLERVTHSPAHNPEEFADQPIDLTLDTRADNTPQYYGTQTRRISSQPPVLDGQRKRDA LPASAMRRYEVQLERSMNPHRYGSTPFQERQ TSTA_035360 MAEHQYKFNVSMSCGGCSGAVERVLKKLDGVKSYDVSLESQTAT VVAEDSLGYEKVLETIKKTGKTVNSGEADGTPQAV TSTA_035370 MDDHTYGVALQYGNMGPATYSSASQAWHFARRFRPAQIISYTGY EKQVVAPSAVNLPVVKKHEKDTKSLLADYPELISVVSSFAREEELSQTVQQADSYFNP QVSSVLDFGNAQLSEAVRSRRGRMRTVPIAAFASGQNGNMLSLRTIEAEKVATNLEQD AAFRLPTVGDSKAVDWACTETPIRQVKFADSTETPGNLLAVRLLSSTAILQPIYHREA QMIEHPELSRRADSRPQVSHIDPCLVAEIDVSQTGGFAHVDVTFNPWYLKQFSILDER GHWSIWQLQDIVRLGSGVAPELLQSGYLPCDTVDGDESGEFTVEVKYDTWGRIEWTRD VNTFIVCGRRNAMLYVLEGTTTISQAIRLNWQSSTEWILDVKTCSSQSSLVFILTTLR VLCFDLKLPEQEGQPFAPQFAWLHGRDADDLTLRLTSLTIGEEYYLLLYSRLDSCILA FQLPIEEYEEGNYSMSDPFFVYIPGNKDTNGLKTIRYDFPIRQILFKEVEQQIYLSKR ICLDNCPKFMKLFIIDSTMAIHEYLYSKPTGRSSAEELKMGREALFLSAVSLLPNKKR KGERDISVVPSDNDEINQLGEMFLQLSKSIKSRPPPASVDFTRIYSLLSKDDRNLIRP SASVPEYESFREYVEHLVVAVSNLAEEGSAFVHTMLDIMDNPPSLPDIDESAQELDWF KSRFTPMESSAETALCYLPLSVSSYEQLQKKANIIRSLRPLGVLDLYENLLRDWLSKL SRRLPSWIRISKERLIRQVSIELSLASMVQIDAAIPESIRAISSQSSLLGRSNSTSCQ ADPVSEVAEIQEEDIVITHGRLDLKTVSPSSMGVSSGGAAQDVLSFWNVGGDPDAFDW EKALTTETDEEDAKSRSRSKSQQRTRTRSRSRANSVGSRNIRSSPVVPAMQFPGSQPQ FDSRLPIRSSQVLPSSQIADDIPMTQVERGVFGSREAGKKSNVKARKKKRAAGF TSTA_035380 MSSFEPSLSTSGMRPPLASAEAPSMADSLPSLNFGFEDLRSRMA QFTAKFDAFIEKGRKQVLQERNQFHSNLAELQKDYDMKQNDVKILTSKTQDHQQTIQR EAAEAAEIHAHISSITLERDNRLAKRDRLKRQIAETQNAIDQKLQAQKAHAQYLDSQA RLNVPELEFWQDYLCMRIEGAGREDRLKIVYTHLLEKDWEKEAWFELGTASRDYEIYH TKPKLDREAIERELEIVNEDRDFGALLKRMRRLFVESMK TSTA_035390 MAIRHISALPFLSPLTSPVLRQSQRWSTIYQRAVLLQGLPVLSG YIANEIPSFLAGLWESVLRAVPKKKTSHMKKRHRQMAGKALKDVKSLSKCPGCGETKR SHVLCPTCVKAHPIDIFSLAVTYNQIISASGASALKVHSTADPDFPLVQTIEAAHKIG CHHVVTSRNGLKAASIGFAGEIKIWINNNGEWSEETALSNSIKDPEAWAICLSEDGRY LAGTTHDGHIKVWDLNNKGEQFRDYETKGAFGACLDMSIDGKYIASGHQNGSIYMFNN ETGRMPFSLSGLVKPVRTVAFSPGGKLLAAAGDAKVIVLYDTESGEQVTQLTGHSAWI LSLDWSHTGEYLLSGSFDGKIKVWSIERRACVATHSENEKAVWSVKWLPKIGRTEGFA TAGASRSISFYREATGG TSTA_035400 MASAPSVAAPPSTVFPRSHVGFDSITSQIEKKLLKRGFQFNVMC VGQTGLGKSTLINTIFASHLIDSKGRLTPNEPVRSTTEIQTVSHIIEENGVRLRLNIV DTPGYGDQVNNDRCWDPIVKYIKDQHSAYLRKELTAQRERYIQDTRIHCCLFFIQPSG HALKPIDIVVLKKLSDVVNVVPVIAKSDSLTLEERQAFKERIKEEFTFHNLKMYPYDN DELDDEERVMNAQIKDIIPFAVVGSEKNIVVNGKQVRGRQNRWGVINVEDENHCEFVY LRNFLTRTHLQDLIETTSQIHYETFRAKQLMALKESSAAGGGPHSGSRPISPSADREL SRNSQRVTMNGY TSTA_035410 MFYIRCLRTPITTKRRNAKYMFPTRLLDSQKIRSILTRSCVRGR HNIYPHMRIATMATSSVHKYRPVVVSGPSGTGKSTLLKRLFAEYPDTFGFSVSHTTRA PRPGEENGREYYFTTKEEFLKLVSENGFIEHAQFGGNFYGTSVQAVKNIAEKQRICIL DIEMEGVKQVKRTDLNARFLFLSPPSLEELERRLRGRGTETEESLNKRLAQAKNELNY AKQPGAHDKIIVNDDLEKAYTELRDWIVGGGKFGASE TSTA_035420 MSPDKQSSSAKSKKNKKKNQANKQNADSSKAGNNESQNDAAAEE ESKEELEEEEVNGSNQGQKVNGGSKVAADSATEKQNDDGDGDEDDADASDPETTTTTK PTVSEDNNKFDDLVRDRDSLRAEVTELRKSLEQIQHKHEEEMEALQHKLNESEDKKTQ AETQFQKLLERVNTIKSQLGERLKEDAEELSQARSRIEELEDQNSALTEEVSEKLTKI AELEKDAREHSNELSNLRNRANLSQQNWLQEKEELLEQESYIRAEFEEAKQAMHNWEV LAMEERSIREGLAEKVNDLEDQLSILRDEYNSAVSDNNTQSNTIDGLQRALQEIQDAR KQELRELVQSSDAQLEELREKLQQVEKRAEEAKTELKKAQTELDRLLPFEKEVKEKNL LIGKLRHEAVTLNDHLTKALRFLKRGKPEDNVDRHVVTNHFLHFLALDRSDPKKFQIL QLIAALLQWDDDQREQAGLSRPGTSTTSLKVPSFYVHRTPSTPSLMSDYMENGNNAAG GKETLAELWSNFLEQEAEAAGGNASKRGSTAGLSSS TSTA_035430 MVYYFVSNVVSPPATIYVGKDKFENEELIKHGLESDVCAHVYLR LREGETWENIPKEVLEDCAQLTKANSIEVIYTPWSNLMKNASMATGQVSFHNPKQVRK IFVAVRQNPIVNRLNKTRVEKFPDLQAEKEEILKKQRKEERRAREEKKAAEKKEKQER EQLKWQKEHAYDDLFSEENMMQSNNQDRGDDFLDDFM TSTA_035440 MVAQHSRRFVRPLLYTSAALATGAGLLYVAYRPRNIPGSEPAVV PPPGYRSGKLVPPSFPRIKSREEQIADLRRSAGIGAQNGEVEPYDLLVIGGGATGSGI ALDAATRGLKVAVVERDDFSAGTSSKSTKLVHGGVRYLEKAVWELDYAQYSLVKEALR ERKYFLKTAPHLSMWLPIMVPVQKWWQAPYFWMGCKAYDFLAGSEGIESSYFLTHSKA LDAFPMLKKEDLFGAVVYYDGAHNDSRMNVSLAMTAALYGSTVVNHLEVTGLTKDAKG RLNGAFVKDLIAEKNGKGSEVFHIRAKGIINATGPFSDAIRKMDEPETMEIVAPSSGV HVILPGYYSPSNMGLIDPSTSDGRVIFFLPWQGNTIAGTTDRATEITPHPQPAEEDID WILKEISGYLAPDINVRRDDVLAAWAGIRPLVRDPKAKNTESLVRNHLITISASGLLT CAGGKWTTYRQMAEEAVDEAIKAFALQPRKVQNIPDVSGTGLKTDNFNLDGSCQTHQV RLIGAHGWSKTLFINLIQHFGIETDVAKHLTSSYGDRAWQVAALSSPTDARFPLRGIR ISALYPFIDGEVRYAVRHEYAQTAVDVLARRTRLAFLNAQAALEALPNVIDLMAEELK WDEKRKNLEWKDTVQFLTSMGLPKDRVNITRKEVEEGKTRILIEGTSSSARTDSPADI LQGDLSSIGKKDPGMSPSSPVNK TSTA_035450 MSADWSLDFCLVCDQQTSGAGPYCSQSCRLADLDQNGSESSVSS YPVYLSSKSTLQPKTSSSSTAGRQSIGKRTTSSFSSTSSNDQSSSLTSTSSQTSLSSL TSTLTPSAALSNQVRHELQDYSKSFDQVRDWKRRLTNS TSTA_035460 MTHPTINASLRQSNAYPTTSQQAIALTMRIEAKKAELENLSQLR DLSSALASQMQALEAKLATLKDGTESIACVLANWQSVLQAINMATKKTATSVQGLATV ENRPATLVRIPTATIDTLPDA TSTA_035470 MARLNELPGPAESIEALKRRFIRQNREIARVNSLQSLRIQGLET ELSHLLKENAALKEQVISLQHDAEKYEAGRAFHKDIYQCKDKLAAKVAELNNLVNELG LLPANFQKKTAHLESAGESQRSSDPNRRPITWNDNDDRLPTILEDKYFPRRTLDPQEL AEQQDIDSPSIGSPPVAHFDIHQSPLSRRHHDFLDTADALSSDAEDIAHSDRQSPEKS LNNTNDGLPILEQSVSPSKSASPPTLSGSKRKFSAAEEELTFSPLPVTNTGSIDDDFQ FTRAVGSSRTHTQNMAVEVMNGPVSQAKQQPPKKAAASKRRALEPKTTNMASSVKKHG REAVQLVEDKPEKPTNKQGRDENSHNPEPAKKLSQSQSGTAKKAKRTVTAIIHEDEPV KPLVSTIPEPVESGCVQKKLFNADEPAVTDSMPLPGLGSRPSRRARGAISYAEPNLRD KMRRATNELVDAVIIAGGRRSSTTVTGTSGQEYDPDKSTLNNIPEENESSIDNKAATT TTQGIGELPKHMVTKRKRRTLSASTNDILRLDDPECGLDSKQDGQVHNSSAMLSPSAD KSITTNNTTQAQTRRRSANPNSQSRRHSSNPAVSDREKPNLDDETFEIDSESHDDSLE DSFKHIEGTRAKTTRALTEESSILPAKRGQRVSARRRSMMV TSTA_035480 MQRQSQRQRQLNTTGTSTTTSESDSTIANFPHTLRLRGESTAYQ NESTETQQQQGQDGSTSHRVRWDENVINNEGMGKKSSKVCCIYHRPRAVDESSSESES SSSSDDSDTDDDNDMRATRRSGRRQGCDHDGHEHEYDHDHGIKKVRRKRRPSPNAYER QPKNEQKPTQQPKAE TSTA_035480 MQRQSQRQRQLNTTGTSTTTSESDSTIANFPHTLRLRGESTAYQ NESTETQQQQGQDGSTSHRVRWDENVINNEGMGKKSSKVCCIYHRPRAVDESSSESES SSSSDDSDTDDDNDMRATRRSGRRQGCDHDGHEHEYDHDHGIKKVRRKRRPSPNAYER QPKNEQKPTQQPKAE TSTA_035490 MSFSVQELDNTVRAFYEGKGDVQKQAQQSLTEFKQNPDAWLSVG EILQESSYSQTKYLGLQVLDEVIMTRWKVLPRDQCQGIRNFVVNLIIEHSKSEEKLKS ERAFLNKLNLVLVSILKQEWPHNWPTFINEIISSCHTSLSICENNMAILRLLSEEVFD YSQDQMTSTKAKNLKTTMTQEFSSIFQLCSEVLNTANQPALIKATLETLLRFLNWIPL GYIFETPIINTLLTRFLDAPETRNVTLKCLTEIGGLQIGPQYSYDEKLVLMFTETLTR VSRIIPLSLDLKSTYASSNSRDQEFVLNLALFLCNFFSVHLNLIEKLPNLDYLTHAHF YLIRISQIDDREIFKICLEYWTKLVQELYEEMQQLPITDINPLVGMGVSGLSNGGAPH PSTLANYPLRKHKYQEVLSSLRTVMIEKMVRPEEVLIVENDEGEIVREFVKESDTIQL YKTTRECLVYLTHLDVVDTETIMADKLAKQVDGTEWSWANCNTLCWAIGSISGAMNEE TEKRFLVTVIKDLLGLTEQKRGKDNKAVVASNIMYIVGQYPRFLKAHWKFLKTVVNKL FEFMHETHEGVQDMACDTFIKIANKCKRHFVALQPGESEPFIEEIVRNMRKITCDLSP QQIHTFYEACGYMISAQGQKGLQDRLIESLMALPNSAWDAIIAQANQDPSILQDAETI KIVGNIMKTNVAACSSIGTYFYPQIGRIYHDMLNMYRASSQLISDAVASDGNIATKTP RVRGLRTIKKEILKLIDTYVQKADDLEMVNQNMVPPLLEAVLLDYNRNVPDAREAEVL NVMTTIIHKLHNLMDDKVPIIMESVFECTLEMINKDFHEYPEHRVQFFKLLQAINLYC FPALLKLDGNQFKFVIDSCMWASKHDNREVENTGLTMCLELMNNMAETDLQTSSIFFR QFYLPILQDVFFVLTDTDHKAGFKSQAMLLSRMFYFVESGKIQEPIYSPEQAPVGTSN KDFLQEYVASLLQSAFKNLQEIQIKQFVIGLFAYNDDFTKFKTHLRDFLISLKEFAGD NAELYAEEREQALQDAKAAERDRAMKVGGLMKPADMDQDDDL TSTA_035500 MATVAQPAPIANGTYAQENDQHTEHGPPRFTAVNGKDSTMHNMA TSTGNPSQSNENADVGGANGNTNGSRQDENSHESFNASAEQVVEHGPQNSSPQTTPNG ANRNKRKRSESLERQSQQQDLPSDVQAISGRSSEMGSEPPIQSEASNGAKLFPAGHEY SGQSSTGYMHAEIKDAHATGGSWQDYDSHLISQAQKAQNLDTSDAQLVEALQRETHST ESMEQKPWSAGPPSVAGESMSPYPQEKPQSAVQVGPKRKRVFSNRTKTGCLTCRRRKK KCDEQHPACNNCIRGGFVCEGYSSRSTWQKPSSSKGPVPLQSKDGYSEAANQYLQDIN ARHHERIQTTGQQTEVNKVRPPVVEDNENTNAQYLATPTSVAGNRNAWPKRSWPSASS HPPYMAEHMAKSTDYREVPPIHELSRDGQPKTEYHVVPSIRELSQQGPHPKPGMPIFQ GTVDQRPPHPSTIDTSSPQAQARLALSIEHQLSARHNPGEDTERDKMIHGELYRPFDM QLVEDRDRCKSALWRFNNACNPLSGVSSKEQNRLLREIIIPPASTSPSGSPTPRPVGS IGQGAVVEAPFRCHYGFNIHIGEDVMISENCSMVDDCPINIGAHTWIGPNVTILGSMA HANMQERKGSQSRYQGRPVTIEEDCYVGANCTIYPGVRLRRGAYVAPGEVVKSDIVAY GFQGLKPSYM TSTA_035510 MSFSTLVSDIAFRDAAPADRDSQISRARSQVTARSLASTRATSV SISGDISSQLHAGYSHPLARSWQAERQLTKEMLIYPLFVTDNPDEETPIPSLPNQYRR GLNRLVPFLTPLVRKGLRSVMLFGVPLNPNAKDPLGTAADDPSGPVIQAIRLLRAQFP NLYITVDVCLCEYTSHGHCGILCDDGSLNNTQSVERISDVALAYALAGAHCVAPSDMN DGRVRAIKLKLIEAGISHQVLLMSYAAKFSGSLYGPFRDAAGSAPSFGDRKCYQLPPG GRGLARRAIVRDVAEGADIIMVKPASNFLDIISDAKELAKDMPIAAYQVSGEYAMIHA AAKAGVFDLKEMAFESTQGILRAGAGIVISYFTPDFLDWLST TSTA_035520 MRSCALPFELPYKKPLLLPRFLLLHLYISQRISGIAIPFHHATI EPLSPSSHSNMTRSHKVNDHDHTGLADDVVPRYFAKTGPINADPNKTKKDGGGKGNWG RSGEEVQDYDYNFTNARRRSNSSNHGLSDFKTKFETVEPEPVFEEEFPGVDEKQGSGI VREVEADTDVNRTTSAS TSTA_035530 MWGAGHFALRSMEKLDFKTLKVQFYWERKLLNGVTEIKLLTEPK STPVVSGDTFTLTTPDPDRLPLPSWSLLEIQWHLQRIVATSGTAEAQDYPDSDDDFDD IEECLM TSTA_035540 MRSASVQRGKNITCKNEHIAIYTDGSGYQGYIGASMVIPTFRKQ RTECIGTEGTSTVYAAEVCGIKFALETALQIADQDIRTKKLVIFSDS TSTA_035550 MNPRMVSGQTYIQGCVDSLRKCTDEDIDVTLRWIPGHEGVPGNE AADRAAKRAALMGARGQIVPGDLSSEGWTILAAAAKRRIRQSTKDAWERRWDKQKAGK PTKKLVTQPSKRTLQYWTFLRKATSSILIQLRTERIGLAHYLWRINRREQLYCACGLS GQSVRHILMECPLYENERGLMWSRIKGFRRTTDLQALLKEKKAAIAIAQFIIDTRVLD QFREVDPEAVGTYENAETAAQLEPANDKDTDVGTCTNTHADDAGVRALADQDV TSTA_035560 MALVERRCCSNPANSARVVGGSCDGSWEGIDESTPDPGFFDAKK PAKALGKLNKRGSSSNQDLLSGDSRYWIYHVDKLDFLDMLYSVRIQTYTTQNIKSGFS HTGIVPYNPQKVLSQLQIAVREATPASIRPSTSSSSTWSPKTPYNARTLEKQAKSVKR SLNMGDLDSNSPSCPAFNQLIKGSLVVMHQAAILARENHNLREANDILQKRRTRRTKA LQADGILTVAEGRELAQELPEEAQPPPPPNGSAPLQPAQRAPPRA TSTA_035570 MRAASAPAEDSLVMGLIEDLNGHLLESLETYVNALQSRQILPLD PFTATREVAAHGPPPRAASTRGLASTPIQLDAAPESRAINSTAPQPPPRYQNPTKATK QAVQPPEGPKKVPGTAAKTTKQPETTAKPLTKPAPTKWAAIAANNTQSGGWKTVQYKK QPLAPSKALSITDLKPVSTRSKEERRLIFRRRYPKDAPTALKADVLLALNRALAKAGF PNFVRAVDSGYAASGALTVLLERGTRSSTIIPTYNDTLLAAVRQTDPAVISVEISEQW HRVKIQAVPVDRSMYND TSTA_035580 MRDLRRCANCKGAHEATSPKCPRAREARQRAIRRMREQSLQDLI PLDETFAVVPPKPVLTLEERPGQSLEEETSTPEEDELLPEMQLEADIHEGNSQQPLEP ELKSATEASQSEDL TSTA_035590 SERVVSLTRQCLRLGIHPRVWKTAKGVLLRKNGKTNYTLASAYR VISLLKCLGKANGKLAAALFMDIEGAFDHVILAKLVEGSPVSPILFVLYVHGLSRAIE RSVLEVRCLSFVDDQGLITAASSVKEACRILEKAAEVAIKWGMTQTSSGPKRISSPDQ GWRRVSNCQIYTLVRRIQKATVQAQLLWGAEIWWQGQKTWAQRIQILINKQARGITGM FPKTPIGALIREAALELATVLLDARVARYTARLLALPDTHPTAQILPVTLRHGDLHAQ PGEQPRDDREWASRDNK TSTA_035600 MGHGHEVFDAELVGMLLGAQNAIDRLRSTRPGPGQALVLRAHRA AEKLALRGQPVTIQWVPGHSGIEGNEQADQAAKRAASKQTAPGFEHLSLAHVRRACTE ARRAAVSEWAQINAVQGRHRDGRVYKMPRGWNLDPVAGKAPKRVASRYYQLKTGHAPI GTYLYRIGQRESPECQACKEPHETVRHVLFECRGRRAGRRTLYQALKKAGVPLPTAAE EDPEARLFAEPRATQGLLQFVAEANLFNDNERTAREAEISDVWGWDTLEEGGLGVTLE DG TSTA_035610 MFALFYYPEGRVAPSTTLPLWRVRVGADDPRTSVVRVAVRVVRV TRGSWRALAQEEIELGTPYRLKREPMWLKRAKTIQASNQRFATFVITVGSLEEARTLI NKGIKFGGRHHRVAPYWESNPESICPRCCGIGHSGFMACGGRPPRCAICAGDHEAIEH SCTVVDCRVGPAKPCQHTVIKCVNCEGAREATSAKCPRAREARQRAVRRMRERSLQDL IPSDEIFAIVPPRPVLTSEERSEQPPEEETLTRENEGDLLPVMQLEADIHESELEPTP ATEAPQSEEL TSTA_035620 MSQFSDAFSSTYEGPPSDFLEYSADDGPGLNQLYSPIPSGNQDT SLPSSELRAAPDCLHRICPVRIRSFIMYSPEMGNEFVQWWLQTDFGKKRQISWDAKRA ANCWKNFDQVAFEKDRKPGVMCHQCRKVLDHPASAHSGTSSMNKHYNGINCRRVASAA GKKPNIKLALEHAAQNATVRRFDERVWQQKLAQLLTVSHLPFLFLEHTEFRDLISYAR LAPSMPEIPSAKVMRRQLRDLVRENQKSILQKLPSGVKLSLALDCWTSPFRQAFMAIT GYFLDENWEYHEILLGFEHLHGSHSGANLSVVLLKLLQEHQITDRVLAVTTDNASNNV SLMASVHEAIESLQSSNDVVIIRVPCIAHVIQLSLKDLLGKMKAAPRIDTAEQTWSDD RVDSLRVRQQKREIVDTLNKPCDLYQRESSAPRVILQLANQGAKACANPGCCYSVELH VLNACTRKETPADLLCVLLSVRPRALCLESGAVRQVDYLICILQPFHRFTTLLSKSKD VTIHRVFKVYNKLFDHLEKSIRQLRRKRVAWKQVMLASLEAAKNKLSIYYKDTDNMDG HLYAIGTILSPQDKLQFFSTADWDPEEGGIDYRAIYRQSLESSLEKYSENLAQEQQIV DAPPTSTAMDEFDLACVRDESQQSQIRQPVQSDELTRYLDSNTVPNAQPRTWWKYHQD EFPGMARLARDVLSIPASGAGVERLFNSARDICHYHLMMYMCTSRFEIHEEERIMLSE YLSTQEIQAAKEERTQQQITVDPISDDEEDEGLSSTEPQETLQGPSAKALGKRRLRDI AEDAESDQEGDSEVTSLPETQYRVSGRVRKRSRLLDGYEM TSTA_035630 MESPERPPGATGDPEIMGPGSGVDSSTPSQEPSQLPPTTRALFA GLGDCQKQSNEPTLSNSDALQAPRQTGETQQAPGTILEKRKASRLIIGSRTPITRSGL SAAPKRKITLTAMRAASAPAEDSLLMGLIEDLNGHLQEAVHQLSAELTTARNVINTQQ GLITTLNARLESLETYVNALQSRQILPLDPFAATREVAAHGLPPRAASTGGLAPTPIQ LDAAPESRAISESNKGYETSLPGTAAKTTKQPETTAKPLTKPAPTKWATIATNNTQSG GWKTVQYKKQALAPSKALSTTNLKPVSTRSKEERRLIFRRRYPKDAPTALKADILLAL NRALAKAGFPDFVRAVDSGYAASGALTVLLERGTRSSTLVPVYNDTLLAAVRQTDPVD LAMTRTVGPSSRPATLPSGGSGRRLTTLTAPEGHRIFGGSPAKRPSRPAYA TSTA_035640 MEKGLYEWAVTLMGLKRLPTEFVHFMTYVLIQYLNKFVAVYFDD IIVYSKDPNKHEGHVRQVMTTLMEPTHDRTPEKGRRIVWNDKRQKAFDKLKQLVTKAL ILALNDPEKRKIIRPDASGYALGIAFEQVGSNGIVQTIAFYSRQFTAAEMNYDVHDRE LLAIVEAFKQ TSTA_035650 MRKRSKSAKNLKSKYLLSKGLLKKKCKRSYKVRLRHPMLLLLLR LSRSSQLLKQSYAANIAAVVVTLWGIELTNALVTGFIVGQGKNESVVTKYPKTAKRVS SLSSRESLTVVESINAEGRVIPPLIIPKGEKHMEEWYRRIQDPEWLTAPASNGFITDE IAFEWLQHFQHYTKPEYTFEWRLLIMDNHTTHLTIQFVQYCEIYRIRLFRFPPHSTHL LQPLDGVPFQQYKHVHGRVVNQVARLGGFDFNKNDFFEELRDIRIQTFTPRIIRHGWK DRGIWPYNPDIVLSKLPHPDEAIIDDGNTLKIYGEIDDTIPSSPTTKSISPPSTVTAL RRYINKIEKSIEGIKDILEESKPGLVRRIKVVNSSSLAIAGLGELHREDFARLRDTAK RKNNKKTKRQVKASGALYVKYANRLIKRRHNGDLLRIHKQHVLGVPEAEDAEAPREPQ NLGFFIDSTGNR TSTA_035660 MPRGWNLDPVARKAPKRLASRYYQLKTGHAPIGTYLHRIGRRES PECQACKEPHETVRHVLFECRGRRTGRRALYRALEKAGVPLPTAAEESPEARLFAEPR ATQGLLQFMAEANLFNDNERTAREAEISDLWGWDTLEEDEQEGQILLAISDLQNGRIQ RVAQAARIYEIPRTTLQDRLNGIQQRSLVRANSHKLTQYEEESLVKWVLDLDRRGLPP QHSLVREMANYILSQHGKPQVGKNWITKLIKRRPEIDSKFARKYNYERAKCEDPKIIQ EHFDRVQAAISEYGILPEDIFNFDETGFAMGLCATAKVITGSDRYAQPKLLQPGN TSTA_035670 MASPEWLPGATGDPEIMGSGSGVDSSIPTQEPLRLPQTTPAPIV GIGDSQEQSNEPTLDNRDTIHAIQQAGESQQAPGITIEKRKASKLSTGPRTPIARSGL SAVPKRKITLAAMRAVNAPAEDSLVMSLIEDLRGQMQEAVHQLSVELTTAKNVINTQQ GLITTLNTRLEGAFDHVVLARLIEVLREAGVDGDLIRWVVSFLSDRRVTLVIDGHIGK EASISSGLPQGSPVSPILFVLYVHGLSRAIERSAPEVRCLSFVDDQGLITAASSVKEA CRTLEKAAEVAIEWGVTNGVQFDRKKTEAAFFYRRHRRQVAQNISQARIRVGGELATV KPTPSYMEESLQGSNRDSKKHDNPVELTLQSQWATPSISEAHTKGYGTSPTPLGCRVL VARPEKIWAQRFQVLINKQARAITGMFPKTPIGALIREAALEPATALLDARVAQYTAR LLTLPDTHPTAQILPVTLRHGDLHAQPGEQPLDDREWASRDNKMPNRLGQRLAKHLAQ RLSRDPSGGIERTKQCELKGFPGSIRVLDNEEALTEANQQRAGTTFWSDGSRLDTGRA GAGVALQAVPGGPWEHVEVPMGHGHEVFDAELMGVATALEWALERQPLGPIWVLLDAQ NAIDRLKSTRPAPGQALVLRAHRAVEKLAMRGQPVTIQWVPGHSGVVGNEQADQAAKR AASKQTAPGFEHLSLAYVKRACMEARRAAVSEWARINAVQGRHRDGRVYKMPRGWNLG PVAGKAPKRLASRYYQLKTGHAPIGTYLHRIGRRESPECQACKEPHETVRHVFFECRG RRTGRRALYRALEKAGVPLPTAAEESPEARLFAEPRATQGLLQFVAEANLFNDNERTA REAEISDAWGWDTLEEGGLGITLEDG TSTA_035680 MEAPRIAVTTSAIRPPGAGVERLFNTARDVCHYRRGRIKSETIE ELMMFLCTSRFDIEEQEAKLLEKFFSYEEMKSAKEEKDEKLDKIEIDLISDTEEQDTI INDEIGLDEVGEADEVGEAQIPLPRNNTQSRALAYMLRL TSTA_035690 MESPERPPGATGDPEIIGPGSGVDLSTPSQETSQLPPTTRALFA GLGDCQKQSNEPTLSNSDALQAPRQTGETQQAPGTILEKRKASRLTIGSRTPITRSGL SAAPKRKITLTAMRAASATAEDSLVMGLIEDLNGHLQEAVHQLSAELTTARNVINTQQ GLITTLNARLESLETYVNALQSRQILPLDPFAATREVAAHGPPPRAASTGGLASTPIQ LDAAPESRAINSTAPQPPPRYQNPTKATKQAIQPPEGPKKVPGTAAKTTKQPETTAKP LTKPAPTKWAAIAANNTQSGGWKTVQYKKQALAPSKALSTTNLKPVSTRSKEERRLIF RRRYPKDAPTALKADILLALNRALAKAGFPDFVRAVDSGYAASGALTVLLERGTCSST LVPVYNDTLLAAVRQTDPAVISVEISEQWHRVKVQAVPVDRYMYNDQGLALAQEEIEL GTLYRLKREPTWLKRAKTIQASNQRFATIVITVGSLEEARTLINKGIKFGGRHHRVAP YWESNPESICPRCCGIGHSGFMACGGRSPKCAICAGDHEAIEHSCTVVDCRVGPAKPC KHTVIKCANCKGAHEATSPKCPKAREARQRAIRRMREQSLQDLIPLDETFAVVPPKPV LTLEERPGQSLEEETSTPEEDELLPEMQLEADIHEGNSQQPLEPELKSATEAPQSAVV HTALEVALQAGAGIACLQEPPVRGKYQISHPGFLFYWPEGPREHARVVTAIRRDLVRE LVVEARTDLANHPYFMVVDVLEQGRRTRIVNCYDNWLGARHTYSGESLLTRRALTDLD WGPILEGRCLILGDFNAHSPMWNVHIDQRVNARSLEDLIMRHDLFINNDPDEPTRPHK LRDSTASFETSTEPRVSIIDLTISSQALGPLSGWEIESQRLTPSDHVMIWASWEPPAT TSTEPTRKEVTGWQIEALLGNKKALQEAKDTWNELAKTQPILTDTTSTEEVEREAEWI ERTLTEVLNKHCKQIRLCARSKRWWNSEIEAERSVYSKARKAYQAGEISEEEHREARK SFYSLIRRAKRECWEGFLQGTSEGSLPDQKRCWTALRYTKPQTQGTTPALTDEASGEV IAATFSEKEEVFRHRAFPQAPNSNMELQLPERGSAHKLVNEEVVKNALFSQGLEKAPG TDLLNFRAIRLLWNLDSERVVSLTRQCLRLGIHPRVWKTAKGVLLRKNGKTNYTLASA YRVISLLKCLGKVIEKLVAELITNFAEAQDLFHDGQFGGRRQRSAIDAVACLVEEIHQ AWANGKLAAALFMDIEGAFDHVILAKLVEVLREASVDGDLIHWVISFLSDRQVTLVID GHVGKEVPISSGLPQGSPVSPILFVLYVHGLSRAIERSVPEVRCLSFVDDQGLITAAS SVKEACRILEKAAEVAIKWGVANGVQFDRKKTEAAFFYRRHRRQVAQNVSRARIRVGG ESATVKSTVWWLGILLDNQLTWKSHYNARIKTARNTIIRLNSLCRANGLPPALVRRIQ KATVQAQLLWGAEIWWQGQKTWAQRIQILINKQARGITGMFPKTPIGALIREAALEPA TVLLDARVARYTARLLALPDTHPTAQILPVTLRHGDLHAQPGEQPLDDREWASRDNKM PNRLGQRLAKHLAQRLNRDPSGGIERTEQCELQGFPGSIRVLDKEEALTEANQQRAGT TFWSDGSRLDTGRAGAGVTLQAVPEGPWEHVEVPMGHGHEVFDAELVGVATALEWALE RQPLGPIWVLLDAQNAIDRLRSTRPGPGQALVLRAHRAAEKLALRGQPVTIQWVPGHS GIEGNEQADQAAKRAASKQTAPGFEHLSLAHVRRACTEARRAAVSEWAQINAVQGRHR DGRVYKMPRGWNLDPVAGKAPKRVASRYYQLKTGHAPIGTYLYRIGQRESPECQACKE PHETVRHVLFECRGRRAGRRTLYQALKKAGVPLPTAAEENPEARLFAEPRATQGLLQF MAEANLFNDNERTAREAEISDVWGWDTLEEGGLGVTLEDG TSTA_035700 MLDESETQPNAATDEMTQYLDSDTIHIAPLAFWKEHQTRFPAIA ALARDILSFPATGAGVIIIIIINQVYCLYPALLAMTDH TSTA_035710 MAHNDWVDWWLQTNFGRKSKINWDSTRHAEIWDYYHQVAHSVDG ALKVMCQRCGAILEHPYTVNSKTKGKIQYHGTSTMQKHLKTAGRLKSERGKRAEITEF LKNSAFIAITGYFIDADWVYREVLLGFKPLYGPHTSVNLSSVVLQTLMEHNLESRVFG LTTDNASNNKTLIPCLAHVIQLNFNQLLDRIKSRLAQANAQHQGREISYTLNKVRYLV IYVNASPQRRETFYNLQTSSVKLVPIQDVRTRWNSTFLMLRQAKRLRSIFTPFCAEYD CEEMLLQDEEWRQVDYLLSHYVFKIYNKLFEHLKQLMKQLRRKRAPWKQQMLHALEAG QTKLDEYYSQTDYIPGHIYAVSTMLAPVNKFKFFLTKDWDQKWRDIYRKSFQ TSTA_035720 MVFKRVPENWSRTYGLVNQRRWVPNGGPKDSHYHFSYPPPKQID LKSREENKQMQYGKAMQMQYGKAIPTGYDRPLEALVTPYWPHPYQNHTCKNSKITISS PYGRYARDLWYVILMTERLYDQIYAKVGSRWILMGFIGAQHWVRVGFWVETFDPSWVM RKSSSGHGAVKEVFFTEILSRSTFYLRRCVFIGQTYIRDCVDLLRKCLVEDIDVTLRW IPAHEGVPGNEAADRAAKHIALMGAQRQFVPEVTNGWIILTAAAKQRIQQSTKDTWEK LWDKQKAGKPTKKLVTQPSKRTLQYWTFLWKATSSILI TSTA_035730 MPPIRNKNKKDLAEQEGRILLAISDLQNGRILRVAQAARIYSIP RATLQDRLNGTQQRSQCEEESLVKWILDLDKRGLPPRHSLVREMADYLLSQRGNQQVG ENWVYNLVKRRPEIESKFSRKYNYERAKCEDPKIIQEYFDRVREVILEYEILPEDIYN FDETGFAMGLCATAKVITGSDRYAQPNLLQPGNREWITAIEAVNSIGWALPSYIVFKA KKYTRLGWFEDLPDDWKINISDNGWTTDKIGLEWLKAHFIPLTDGRTLGKHRMLILDG HGSHLTAEFDRTCTENNIIAVCMPPHSTHLLQPLDVGCFAVLKRHYGQLVEQRMRLGF NHIDKFAFLTAFPKARTMAYKAQTVRNSFTATGLVPFNPDRVYQQLTVRLKTPTTPPS RSSDTQSSCLHTPQNPRQSKRQMTTIKKRISRHTRSSSEASDEVFTRAPHEKEKQKRQ RSKQQISHEQGITREETQALVQGQIESSQAVTTAPAEPELPVSHPPVRHQFRCSGCGV AGHKITGCPNCIRN TSTA_035740 MSRLSAGFADFFPTAPSVLQSRRSKISEDRGRSRSRSETSNGFT NLVAAPSKNILLTHEKDEDGGGAPHLRNDVPAKNINHATNLTYANSEPTLGEDLPTMN GSLQQIVVAPLPSQFLSHNTDGLSDDQPPVSRSVIDVKHTELEKDSHSSIPKTPSYGL RASLIDELKGRKVTYDPELDKKPGTKDKKKKLEYTDFVQTHESPASTDPRLSIDDYTR GSANAPKVRLRSTPYSIRHWPFDPATSIGRAAPSQLVVTGFDPLTPIGPITALFSSFG EVAHIDNRTDPVTGRPLGICLVKFKDAISFQNHGPLSASAAARRAYYECKKEQRIGTR RIRAELDRDGLLSQRLVEKAIESWRKSNPPSLESGHRNTPPKNNEAPPTAPKGPSGRS STRPVFNPPEGPRAALKPATSPSVEETPILQQIKRDPYIFIAHCYVPVLGSTLPHLQK RLRIFDWKAVRCDKTGYYIIFENSRRGEEETMRCYKMCHMTPLFTYIMNMESQPYGNP NYERSPSPERLQAEQKARVEEQRVVKELEMEAEEEKQQRASSLDPSKEVLGIVIHELK TKLLEDVKFRIAAPFLYEYLDPDRHSERRKALGIRDPETSKTNPFQFGLPNESLRSRP LDLLTLPRIRKSYRGQVDGTNYLDERRGHIRRRRDVRPLHHRLRHLHEAGDSDDDART PMSRETDELESRSPSQMSFGTSVSDTEDEAYNSDISRSPSLDLETSGYVSTAGVEIEQ QDDQSFDITLSKKRKRVIDDSLDSRKRQKDDYKISGIEPAILEVDSHPDSFGGVSIDD ERMLHSPEISLETDIYSKPEVNLIVSYDEPRPSVLDDGALVLDLDGWQTIIKDDEDFD FLRDIMSDTLPSNVGDVTIWAWKQREAKLLNRFGETGPVFTPTTIPGYFVPNSTGSAR TEGKKRIFEAEKSKYLPHRIKVQKAREEREALAQSDPQSVPVDSGKAGPTKPVNNSTS RSTRVQNRRLAADINAQKQVLPPQGGDGDALRFNQLKKRKKPVRFARSAIHNWGLYAE ENIAANDMIIEYVGEKVRQQVADMRERRYLKSGIGSSYLFRIDENAVIDATKRGGIAR FINHSCTPNCTAKIIRVDGSKRIVIYALRDISKDEELTYDYKFEREWDSEDRIPCLCG SAGCKGFLN TSTA_035750 MKVITVNFLTCAVKSCRASPASTPLHFKDAELEQQELDFNPDLI RNILPRIDWDSLRITAQELGFPDISSIKPSDDQIDESMLKDLHKLLMETQVVEGKLCC ANCGHEYQIKEGIANFLLPSHLV TSTA_035760 MRSFFNKPAWANTADEPSAHEFYRRSHQTYDDIIKANKQQRREE AAKSALKPAAQPTKSAVRSSKRRRISDQDDGLIEDDGLELNNNKSLSPSPQDEQPART RSTEYIERRGSSERSDDEGASEGGLAVDKQRMVSPEVEVVEQPPCMENSSLPHRSILS SEKHTKPSPSKDDSSANVREETVPDSQDKGRQADKKDDFIVEILVTSEIEKTRPLIVR RHMSQRFRDIRLAWCARQGFDQKMTDSVYLTWNKRRLFDVTTCKSLDISAMPSSGLDF EDEPHGVLRIHVEAVTDALQRARTETALPTPQIVEDEADEKQNTFHIVLKSPGHGDLR VKVLPRTPVLQIITHFRSKRNISSDSRISLSFDGDILDPNSQLKDYDIADMDLVDVIL RLDTGNMTTTH TSTA_035780 MENGNQSEGKDPSTFLGEITGAPVTVKLNSGVVYQGELQSVDGY MNIALEKTEEYVNGQLRRSYGDTFVRGNNVLYIAAR TSTA_035790 MSLQAIKYFDNQLQIIDQLQLPFVTEYIPIRSAQDGWYAIKEMR VRGAPAIAIVAILSLAVELNEIHTAGKLSSSSEEVGLFIIEKLHYLVTSRPTAVNLAD AARKFENMVTEHTRAQDSTGQSLVAAYLKEAELMLVHDLSDNQNIGEYGAKWILERAA TQGQNKVNVLTHCNTGSLATAGYGTALGVIRSLHAKNALNRVYCTETRPYNQGARLTA YELVHEKMPATLITDSMAASLLAKSHSKVSAIVVGADRVAANGDTANKIGTYALAVLA KYHGVKFLVAAPRTTIDRGTLTGTEIVIEERAPSEVTTIKGPLQGRVGDTLQMETIQL AATGIDVWNPAFDVTPAALIDAVITEKGVVEKGSDGFFHFDALFEESASS TSTA_035800 MCGIFGYINYLVEKDRRHILETLVNGLSRLEYRGYDSAGLAIDG DKKNEVFAFKEVGKVEKLKALIAESNVNLDKTYDSHAGIAHTRWATHGPPSRLNCHPH RSDPNWEFSVVHNGIITNYKELKALLESKGFRFETETDTECIAKLAKYLYDQHPDIDF TVLAKAVIKELQGAFGLLMKSVHYPHEVIAARKGSPLVIGVRTSKKMKVDFVDVEYSE EGALPAEQASQNVAIKKSAANLLAPPDKSLLHRSQSRAFLSDDGIPQPAEFFLSSDPS AIVEHTKKVLYLEDDDIAHIHEGQLNIHRLTKDDGTSNVRAIQTIELELQEIMKGKFD HFMQKEIFEQPESVVNTMRGRLDVENKKVTLGGLRQYISTIRRCRRIIFIACGTSYHS CMAVRGVFEELTEIPIAVELASDFLDRQAPVFRDDTCVFVSQSGETADSLMALRYCLE RGALTVGIVNVVGSSISLLTHCGVHINAGPEIGVASTKAYTSQFVAMVMFALSLSEDR ASKQKRREEIMDGLSKISDQFREILKLNDRIKDMCAKFFKNQKSLLLLGRGSQYSTAL EGALKIKEISYLHCEAVMSGELKHGVLALVDENLPIIMILTRDDIFAKSLNAYQQVIA RGGRPIVICNENDDEFPASQTEKIELPKTVDCLQGLLNVIPLQLIAYWLAVGEGLNVD FPRNLAKSVTVE TSTA_035810 MASIKGPGERETYDGTGLRIAIVHARWNTTIIEALVSGAVNSLV STGVSKDNIVIQSVPGSYELPVAVQNIYRASHVQAAASSSTGDIAATDLLSSSTTDLS QQATTSSNTAAASTAPFDAIIAIGVLIKGETMHFEYIADAVSHGLMRVQLEANVPVVF GLLTLLTEEQGLERAGLGSGKKHNHGEDWGHAAVELALKKKGWSEGRIVA TSTA_035820 MTSIGTGYDLSNSVFSPDGRNFQVEYAVKAVENGGTAIGIRCKD GVVLAVEKLITSKLLKPGANKRIATVDRNIGIVSAGLVPDGRHFVSRARDEAASWRKT YKGPIPTSALANRLGGYVQAYTLYSSVRPFGVTSIVGGWDSEAELSVDGQVGTGPSVG AGGKAKDLKAGGPGLYMIEPSGLYWGYYGAATGKGRQAAKAELEKLDLPSGNLSLLDG VKEAARIIYVAHEEDKDFELEMTWISSLDGPTKGRHEEVPRELLEEAEKAAKAAIEGE DEEEEEGQKTSEGERMEE TSTA_035830 MAFNCPYCGHTAHSTAMYMTCEESALCQQCGLSESDAWLRNQED ELVARFSAYSLTPQVEQVPESKSVANRIVYASAAAHSFVVSPYNRNGHLNVPGKLDEA TWVAKTSPRASDSFDVEMDSEPELSDTTTVDSKRSSFNVDKSSPSNPIDELDHEISQA EPYMSLGYQHDQDTTVHHLQDQHLAQPEEPSTGSPYTPCKDPVYNARNWWDYGGGRLE YRWDCMRDHGM TSTA_035840 MFSLRSSQPTQAILRAATSTSRPSFVATRSMATVQSDIFKPTKY GGKYTVTLIPGDGIGAEVAESVKTIFKADNVPIEWEQVDVSGVDTGNKHSEELFRESI ASLRRNKLGLKGILHTPVERSGHQSFNVALRQELDIYASVVLIKNIPGYKTRHENVDL CIIRENTEGEYSGLEHQSVQGVVESLKIITRAKSERIAKFAFSFALANNRKKVTCIHK ANIMKLADGLFRNTFKKVAENYPTLETNDMIVDNASMQAVSRPQQFDVMVMPNLYGGI LSNIGAALVGGPGIVPGCNMGREVAVFEPGCRHVGLDIKGKDQANPTALILSGSMLLR HLGLDEHANRISKAVYDVIGEGKIRTRDMGGVATTHEFTRAVLDKMEAAF TSTA_035850 MSRPEDILPPDLFYNDNESRKYTTSSRIRNIQADMTHRALELLD LQSPSLILDLGCGSGLSGEILSSVPPDEGGPHMWIGMDISPSMLDVALQREVEGDLFL ADIGQGVPFRPGSFDAAISISAIQWLCNAETSDVSPEGRLKRFFEGLYASLRRGGRAV CQFYPKNDAQRSMISSAAVKAGFGAGILEDDPGTKNSKTYLVLTVGGGGLNGDITGVV GGMDGVDIVDSRKRDNAAKIARSLQGKKGSKAWILRKKEQMARKGKVVKASSKYTGRK RRVAF TSTA_035860 MWILPLVGYTGVVAGFAFLTLAIASGLYYLSELVEEHTVIARRI LSRLIYSVIIIQILLWLFDSFPFTLSLLSIISHLVYASNLRKFPIVKLSDPLFILSCL LVCLNHWVWFRHFSRPPVPSSSSRDATSWRRPYQPDYENMPTFTEVASYFGLCVWLVP FALFVSLSASDNVLPSIGSEYATTTSASTSLPEGGKIKNVGLAKALVDNVREWASETG ELLGFYQGDRTRRFL TSTA_035870 MDEDAVNGGHHMVGDAIRSSPSNDSPFSSPAQTPSNALHNRGPR MMPRTSSIDSAISSISSASHSHNSSIDLNSLKPSDINNIITAAGSAEAVILHLLKDRQ HAIARNDQLWRLLEKQRALVLGLNNDLERAIKDKDRYRKKLKELQSQPPPLPSHSQQS LPLKEATSKDQKSNATIVMDVPPQQNSVGSPQDDSTPIEDSTESVPEPAPLSVSHSNK DVELEALENNVVLPTQSRGFPTGNANQFASGSQHTHGPPELPPPNRKPPPAPLNLSHM GRRSSPIDPHAFQNSDSEHEDVDRGRRRTREDDDKEREAAAFREQEARSRSKKQTSEP SSGEQASFPAAPGALPSSPRKIVAQSPSQMAGGQFVATESLAAIINAKELEPMPLADR RGMSPPSGLPLSPRPGDRPLGSPLPRQPREGTGMSFSPPMSPRGTVTPLPQSPRATKQ PDLQAQKPSQSPEGPGYQIPSIDVSVKIDSPHSPYGFNKTIYQGLVSENYPGLLLPPN ALPSIEVKVASSRLRPSRNSYVGGRPEEEPVFILSVFSRYDGAELWRVEKAILALPQL DQQVRQSCDFSGKLPDRAIFSGHSPAKIDARREALNLYFETLLDTPMTEPTALVICRF LSSDAIEPRDDETVLMKANGKSTPELVRGPDGRPRKEGYLTKRGKNFGGWKSRYFVLH GPELKYFEGPGGAHLGTIKIPNAQIGKQSQNGHNQSPSRADDDSDNQYRHAFLILEPK KKDTSALVRHVLCAESDAERDSWVDALLHYVEIPSSGDEKTKAKSSNSSTKHSATSNT KTRKYPAGNKKGHKGTESPDMDVQDSVQSFKYDDAVPAAPPVFGTKVDEGSRGSPTSN DHVISDAANAGNNNSKIISGPTNGVVIQDVGAWGNKAATSVKEKKRSIWGFRGHSSSD LAQGGESESHHKSSTERRGSVRPVFGMPLAEAVQYCGPRNIDADLPAVVYRCLEYLQA KNAASEEGIFRLSGSNVVIKALKERFNTEGDVDFLEGDHYYDVHAVASLFKQYLRELP TTVLTLELQSEFRRVPELDDHDRKIAALNALVHMLPKPNLTLLMAISQYLITIINNSD VNKMTVRNVGIVFAPTLNMPAPVFSLFLTEYDSIFTDAPHQLNHVEAQAAPASLIPED VRSPRRQMFSELPTPSYGQTSFYVPDDADHHQSQDAIRANYDTGFIPMIPSYDGGQRG VDPRSRQSSNPHRMTRMLDPNENMRSTKAKRRESSMLFMDMGHYKNPLPRMGEDQNLV THESAFE TSTA_035880 MISLRTKPSLAQRRQQLFSSKSGSPSVSLLITSEFLVLALLQDV TERMSHTIGHQLNVFRQAASPFLFYDARISVNIGLAIQFRRSFITQSPYRSGAFHDSQ SRNHSRISGKLASGSLLLLGLSTSSVVENGATRTAVVSSGGKATSCAPKLYQYSRQGV LSRSSRQIWKRALHTKRDDPTENPSNDSKSDKVTQQSTKEDHGEYSLLHRNIRERLPN LHQFHRPTKEELLAAATGFWSRLRVRFKWFSIRSVRPFNLDEIGALFSWVLLGHVLWV ILGTTTFFSLIILTINTVFAQETLARWVGNYLTSSSGVQVVFESAIVPKWRDGVITFK NVFVSRRPGHGHGNVSKGSSKTAAAAAAAAAASDAKETDSSNVVAEEDTNYTQFDVSI DTVNVTLSFTKWFNGKGLLRDVEVKGIRGVIDRTHVHWTDDNADPKSYRHEHNPGDFE IDSFKMEDLLVTVYQPNNFRPFAVSIFSCDLPQLRKQWLFYDFMSANMMSGSFDNSLF TIHPRQTNNFTGAQLTASGEETVKGTPWKKQSRIRIDSLNIDHLNRGVEGPFSWIHEG AVDIVADIMLPADDNESLAKFVSDFYDRFEATVTSHSHTGATDECAQNTNTLSLVPAD DGNDKRFIITDLRIHLTNVRAVVPLFTKDLSYINSALIRPIVAYINSRHTFIPIHCRL VKRLSDFDGSWTVFDSGLMDDLSAAAYDAFAADVMDEQARMRRFKKVGFWSLQLAAQA IFLGMAGNIV TSTA_035880 MISLRTKPSLAQRRQQLFSSKSGSPSVSLLITSEFLVLALLQDV TERMSHTIGHQLNVFRQAASPFLFYDARISVNIGLAIQFRRSFITQSPYRSGAFHDSQ SRNHSRISGKLASGSLLLLGLSTSSVVENGATRTAVVSSGGKATSCAPKLYQYSRQGV LSRSSRQIWKRALHTKRDDPTENPSNDSKSDKVTQQSTKEDHGEYSLLHRNIRERLPN LHQFHRPTKEELLAAATGFWSRLRVRFKWFSIRSVRPFNLDEIGALFSWVLLGHVLWV ILGTTTFFSLIILTINTVFAQETLARWVGNYLTSSSGVQVVFESAIVPKWRDGVITFK NVFVSRRPGHGHGNVSKGSSKTAAAAAAAAAASDAKETDSSNVVAEEDTNYTQFDVSI DTVNVTLSFTKWFNGKGLLRDVEVKGIRGVIDRTHVHWTDDNADPKSYRHEHNPGDFE IDSFKMEDLLVTVYQPNNFRPFAVSIFSCDLPQLRKQWLFYDFMSANMMSGSFDNSLF TIHPRQTNNFTGAQLTASGEETVKGTPWKKQSRIRIDSLNIDHLNRGVEGPFSWIHEG AVDIVADIMLPADDNESLAKFVSDFYDRFEATVTSHSHTGATDECAQNTNTLSLVPAD DGNDKRFIITDLRIHLTNVRAVVPLFTKDLSYINSALIRPIVAYINSRHTFIPIHCRL VKRLSDFDGSWTVFDSGLMDDLSAAVRIPNVHDS TSTA_035890 MPAPTALLNKPQNAEDTALNSSLQEQNDDEVLIDASQNAPDFTV ESIQEDNTEDVMHVDEEGRPVFTPAKDTPGVYRVEQRKVPIPPHRMTPLKTYWPKIYP PLVEHLKLQVRMNVKSRTVELRNSRLTTDPSALQRGADFVKAFALSFDVDDAIALLRL DDLYINSFEIKDVKTLSGDHLSRAIGRIAGHNGKTKFAIENATRTRVVLAGQKVHILG TFKNAKMAQEAIVSLILGSPPSKVYGNLRTIASRMKERF TSTA_035900 MASLLPHFTAPMVAGHENREHSFNPYTDNGGSTLGIAGSDFCIL AGDTRSTSGYNINSRYAPKVFKIGGDDETGEGAHIVLSVVGFAADGQALKERLDSIVK VYRYQHGKPISVKACAQRLSTILYSKRFFPYYAHAILGGLDEEGRGALYSYDPVGSYE REQCRAAGAASSLIMPFLDNQVNFKNQYIPGSGEGHALERRTPAPLPRDTVEQLVRDA FTSAVERHIEVGDGLQMMIITKDGIQESYTPLKKD TSTA_035910 MAGKMLGLVSYDSSSEDEATPSEVKISSEAKKDRDGETSIKDTT SIERGEATAEHMDTPPLESSPISGPVLGPEQPSEILGSSMGQQHQGQSSPYSTSRALI QDLTLPPVPNLEIPPSPPGSPNPIASGKTTQFLALKKQGVHFNEKLSASSSLKNPSLF LKLREHTGIDNESQYASALPPDIWDHTSLPAWAYKEELYKMQQAIRSKIDEARQEDKN RTIDFATATRRE TSTA_035920 MDHFPGTWGRPRDDIYGPYDHSYLQSSGPKTHTQSPAVTGTSVI AVKFNGGVAMATDNLASYGSLARFTDVNRIRTFDDAAIVGFGGDVSDMQYIDRLLGSI QIRENYTATGSTLNAKNLHTYLAKVFYKRRSEMNPLWNHILVAGFDSDGSPFLSSADL LGTTFSGPHLATGFGAHLAVPILRRLFPEDTPIENISKEQAIQALRDCMRVLFYRDAR SLDQYTLAVITKDGVEVKNKEKLESQSWAFAEAIRGYGTQVN TSTA_035930 MSTFTRLVRFLAKDGQIYYGDAILPSGKADISQITKARVIKGDI FGEHQVTDQVAEVKLLLAPLARSDIKTVRCLGLNYEQHAIESNAPIPKYPVLFYKPVT SIAGPNDDIPVSYMAQEGEGLDYECELVVVIGKEARDVSESKALDYVLGYAVGNDVSH RDWQIKKGGGQWSLGKGFDGWAPFGPGIVSSKLIRDPNTLDISTKLNGKIVQSSNTKD QIFGVAKTIAFISQGTTLLPGDVIFTGTPQGVGMGRKPQLWLKDGDHVEVSLQDVGTC SNRVIFDKPTAKL TSTA_035940 MRRLSGLQREVLSLYRKCLREVRKKPQNTRDNFRAFAQAEFRKQ LDVNKKDFSTIEHLLRKGHKQLETYSSPGIRNIKCSDKMSFYTTTLSPGDFLPLFQLM DDYCDTRRPASPKPAQSSRAKPSAAQSTPVALAPRQLTSFTPRFDVRELEKSYVLDGE LPGAQQENIEIEFTDPETIVIKGQIERNYDVAPPSHSTTTDDNDDAMSVHSDGASSTH SNYHAPTVEDEEEDGAVKVSVQKTTNNSVTKQKQRPESAQEPKFKYWASERSIGTFQR TFTFPTRVDQDGVKATFKNGVLSVFVPKQAAQKAKRIRVE TSTA_035950 MGHASSKQVRNEPQTTRRLSKPPTNISTFNIVQPKTGKSSRIPG QDQNELLDESMFWKSPWTGDLLPKANPETNLSDHNRVRPFASLPNSQAELRGSLQGSK RWSSIDDFALMKHDHSLSRSGSFISRTLSRRASMVQRPTFQSQREDMTVGETRREPVI HFGPSDIGSHDLHLSVESLTSEDRSPVEDSRALLGRRKSFRRPGIATRNPSWGLYRTP LSSIQQEEERPFLSEVPASRPPWGQEEEDNYMPLYHYGGRATSPVALDYSHLSGLKKG TLRVVNASVSPASTDRLRLLTTSSLSKLPKHTMAQADSAVFISDSADEDEAVVIRDNT FYFEDSDVSGDGEYMETCETPGSEAIGYTRVQKPQSNTNADSGYSSVSSIRSGDSSGQ SGASPSSSPVRSPTRYEVAKHVDKRGYFVNGISRPKEVTLENLIQSGSTKSSNSGKGK IAGHQEMPLSEHSRFYAQLSPLSIPSVPERTGICDRESDHENSEREMDNDEQTQITKD YSETNANKPSEPHMAFASKSLSKSQSRIERSRASYGRRPALPTRNLPVDRAQNYEAPR GRTRSCSVGRPRTKLVKSCKVAV TSTA_035960 MESGEDLTFPFLAADSFECACQAFVRRVDAAGGGRNLGWLAVEY LPSEPGRSILRISKSINVQRGRLEGKKTFVDGRTENGEDSQEDLIEDDDRETLIRDGV NEEQLAIDYDIALSTSYNVPVMYFLLKGAFQVGPAALDDIYNFLVPHQHQQSLKSNGV MGGISFGYHPQSGIPAYFVHPCNTASAMKAIAGDKNIEPEDYLPTWLGLVGGCINLSL PSRLFVAS TSTA_035970 MATRVVKSQNGQANAKNVGAPNQTLYIKNLPDKIRKPDLRMALY MLFSTYGPVLDVVVMRTAKMRGQAHIVYRDIQASTQAMRALQGFDFFGRELAIVYAKG SSHVIAKLRGTYMATATANAPVGASTDLQKSIFGAPPSAATAATVGTKRPREEESDEG EAPMDEDESDVPMEASSDED TSTA_035980 MASFEVHHLFHSPIADHSFSQDKSTLAVARENNVELYQAAGNKF TLKDELRGHDKTVTGVDIAPNSGRIVTCSQDRNAYVWEQTPNGWKPTLVLLRINRAAT YVRWSPSEQKFAVGSGARVIAVCYFEEENDWWISKHLKKPIRSTITTLAWHPNSVLLA AGSTDSHARVFSSYIKGVDERPEPSAWGERLPFNTVCGEFLNDSAGWVHGVAFSPSGN ALAFASHDSSVTVVYPSAPDQPPKAMLNISTRLLPFNSLIWSGENEIITAGHDCEVYR LRGNESGWELAGSLENKRGHAGDAREESALNMFRQMDLRGQAQSNTQLDTVHQNTIST VRVFQEQGGVVKKFTTSGVDGRVVVWTI TSTA_035990 MTQFIYLLLRCYAVVVIATTLLADAAAVQSDAQHALQQQRNKHN ITDRIVSAALFAELEESARLADIAYCIGTTGIHNPFGCLSHCDEFKGFELITTWNTGP LLSDSCGYIALSHPPSTKRIIVAFRGTYSLTNTIIDLSAVPQEYVPYPADGDNDNNHG MASLTETRKCKNCTVHAGFWTSWKNSRDTVLSAVTQARLKYPDYEVRLIGHSLGGAVA ALAGLEMDSRGLDPQVTTFGEPRVGNDKMADFTNEMFGLSSSSFSQAEDGDEDDIYMR YRRVTHMNDPVPLLPLTEWGYTPHAGEIYISKLDLPPSREDVEHCIGNADNRCISSSE AEKEENVILASITQEQQVLSSSPASQRPSDSILPSSGHDQPLPEEGKEEEMKAQWSLV PTRYRIWELFFAHRDYFWRIGLCVPGGDPTSWRWL TSTA_036000 MSPTNPNYHGRQGEEMFLNGPSAGRRDGDESPIVEPLRINKPPS AAPTPQPTAPSNNTLPYPDDRPRPQQQSYSPPSQTTPYPQPSNRVASPAHSLSSSRGA TSPAGYHSALRPRDGREQKPSLAERRGNAPPKQLPESPGPETPDKDSLFQKFPPPGPP RPVAIESNDARYNQQYFPPPSATSAAHARLRASNPDSINRISSTASNSTTRAQRGSPP PPETPIVGPGQRPGADIEARFAAAGIAGTSTLANLQSRNAAAQQRANQYAGQTETTRP WTPTEQPGTQPHGPPTVYQGSSEIGTQQYPPPTPSQPIPQQAPPINHADRPTIPPNAL EQDLQRMNLSASPPPAYSSVPGANTSHQYPNDKGRPVLSSPPPQGHPASTGAPSVAGS AVGAAAEIPPISSPAPSVQSHPAFANDPRQQQHIPQPQQLPQGPQQVMSPQQGQPTGA IVEQSIQTSASPAPGGFPPASPPPLPEGWIAHLDPNSGQYYYIHLPTQSTQWEFPKGP TPLNLNETPMSPVGSVYSAHPLTSPGFSTLGGKPLASPGVPMTPLYEGSVMGLSTVGT AVTGPPPSSGVELYKVAATNGVYFGPYLRYCNMDLERGIWLGSILLVTDAPQPPTIHI HQSVDLSPNPRQLKGVNIHQHQRWVFYKYDIDLQMEDSGPAKWTYAITSHLGCTRYEF LVAGRYETNWRFIATSGNDFSLNVSANERARLGGAGFMWKDIMQKYAEVGGFHCQLGL GGQISADRIWKEIPLLKQWLGIHGKEQRKNAPWTPAHEEEVSHAYFHFYTSHFDQPHL REAFAQIPYILQLDDHDIFDGFGSYPQHMQFSNMFKNIGRIGIEMYLLFQHHTTLELL RNVNSDHDLFTITGTGWHFVKYLGPAVVVVGPDCRSERNPHQVMAGPTYQGLFPKIAL LPPSVQHCIWMISVPLIYPRLESTEQIANAVATGKKAVTGAYNLLGKVTSSVAGVVGA KDAVGSGFDAVKRAVGKTGLMGGVLNPFGEIDILDELRDQWTHDSKDLERTYLIRTLQ GIAKQKSIRMTFLSGAVNVCGAGLVHDPSHPSDHKTMYQLISSPVVNTPPSSYILKLL HNNKPLYIPANGQRSTPSQPTDTKEDMLELFQTDVTGQPREYRRLMGRRNYVAIVAYD PEVVSGTFGQTDMARGGGKLSLAADFMVQGEGAYGSVVKYGPVIIPSLGFGQ TSTA_036010 MNPAVPRPRWHRSPPVRQLWVFHPGYGCPLGQGQVAVKCDERRP SCGQCARLGHRCDYSPRLTFRDDTARVVERMTEVSTTGNVVWDCTSIAKARTLSPPVT PGVYDSLPPFFMLTSDDEREKKAEATVPGTYHVIVNPDSFTNLEYTDEASSVDLNIGH LKIRRGSGTSSTLSQRDDLHSVNELNDPNVVILDTFEDSNHRSPTANWRKARLSPASD ITSASTLASPTFFPPENHGPLTLGPNTARYNSQEELLLAHFRDVVWKQLIQGQSSHDL FSPVSSPITPGVEIFEALASTFQPLYHAIMAISALSLARQHRSKSIDALQHYHQAFPS LQTALRDTNDLCSDGLFLTHFLLLVYEVAAAEEGGSNLWSHHMARLTQISLMRVSQYG SEQYPYIVWLVCNIDLYALLSGAGAGEFLKAMLDNNLLPSPRSQLYPLAPSGHSVIYP EEHDTLPSVLHFNHETFLLAIRLAFLAADLYRQTEEYRTSSPRSQNQSFDPKRRLYEI RDSFHQLWDSPQAHYLFENMKVLPQRSREVLQNALSLYHSCMLYSYTSLWRGQLLGPE GASDEIIAHHANSIFHIAEIIVHSHQFDLRFIIFPLFMAGVSTSSAGQKMMAMDLISS MEKQGIGRNATTTRHLLQMVYQAQTDQLMTVGHSANVGWSDVMVQQGMQIVNFGL TSTA_036020 MSSGAAPAESPEARELSLVSKVELRIALADSDEKLQKLLQTYLA PLLLKLASEHLTVRNKVIAVCQHINLRIKPQSIQLPITALLKQFKEQSSQLIRHFDLI YIQQGIDCLDASARVELLPAALEGISQLDVTSAQTASVFNLILRLLPLLRLPPKDSPE DRKLREKLSLSEADTRSLAFWLGKLLLLSQATKDACTCPGLSPSEYTFLNKSASFDKT WNPTIQGGLNLTETKYFVASFLASGAFDNSGRFLPAVILSADSNSRLSDIGDDILKRF NPDLENAETVEQLYKLYFGTSGSDAALPARLPLQVKILSFLGKSVKATTYTEEISKLL DEGLFSSMAQSAQGLQASKLKSQIFTFITWAVRMGAPSDLRVIALRAVVGLRQFVESQ GWPDPGVSGQRLSQTDVGLRGLAYESIGVLSPKISSGGGLDYIDMDLLRWLFSSLASD ASAAEIYVSIEQALGSILNSCTGNLPEGVQSELGSFLECQMRSQPGTESSLTGYPIAR STHYTAVRYANRCLPYWDLTARWIDFLAIASTSHATREVIEEGKKGLHPYWYRMLNPV RGTPSSTKTEDLPQYKFPSFQQAAQLLVKLSDSDLSQSNVRGVIAPAIRFCKNLLVWE ALSKTQAPINIDQDWEYKLETLLRSDTQMRNVVRNIIARQPDSIMTIWLNSALNGVIV SAGSQSTDCGRDFIDIASLSSNQVVATVTSRAPSILQRISSTNDHVLQRIAARIFGIL ASHTSVTETDCQQVFSELLDTSMAWKGAAGEAANKVRGAVLSAAYLLSRLAYRRRVSV IHEAHIGQYISLVIEILDHSRDLQLSETVQVTIGQLALSQVLKYGTLSQSSKWKIIQT KLEEHAKKEQENAINALGQLALVVLHDGGGDQSAFNEIMTSLHALHEIRKPEVQFPVG ESLSTAAAGWASKSLTGEFDVDETALEAKIPTTILSELADKLIADCKASKPSLRKASA IWALCLVKDCGELEGVQQRLRAFQSAFTSLLADRDEVVQETGSRGLSLVYEMGDQDLR DDLVRDLIGSFTGNGANLGGKVTGDTELFEPGALPTGEGKSITTYKDIMNLASEVGDP SLVYRFMSLASNNAIWSSRAAFGRFGLSNVLSDSSVSGYLARNPKIYPKLYRYRFDPN PNVQRSMNDIWQALVKDPTALLDTQFDSIMDDLLASIVAGREWRVRQASCAAIADLLQ GRPIEKYDKYLNDILSKAFKVMDDIKESVRTAALRLCQVITNILIRTLEVGDTDSKRA KAMLEHIIPFLLGHDGMESSAQEVQGYAISTLVQIIKKSPSSLLRPFMAQILEKFLSS LSSLEPQAVNYIHLNADKYGLTGQEIDKMRLSSIRMSPMMESIERYLLDGLDESTMKE VASRLEVVLRTAVGLPSKVGCSRVLALLSGKPLLFGPYADRFIQLLTKHVLDRNETVS VSYSASIGYLIRLASDERVLETITFTKSLYFNSEETSQRTVSGEILHSMSKLSSDRFS TFATAALPFVFVAKQDTDEQTREIFERTWQDNVGGPRAVALYLKEITAIISERLESSN WTIKHSACLATADAVTSIGKSLDQPTPEIIWPILEKAVGGKTWEGKEKVLKAFVKFTE NSRAFWQSRGDVSQQMKVIIIREAKRNNVAYRPHALNALADFAEIRPDLDLLSDAINI VEPIVDDLIDSENVKMDIDGDRSKALENETLAACTGCLVRCINPTGNVPESDQLKSVI KCIEFCLQGNKRQVLDNLYSGLQSVFDRIGDELSKQAVDRSALQQQLQVLGNGLLFVE IDPSIESLRLKRARVAEKFIKVASQGTQGTSEVEAGARALTDSQRQRMELWLASERAE SIQQILKSALQQL TSTA_036030 MAVVTYKQNITDSLAQSIESLIKSQSVETDERPNKRRKLIQDVT DDSFQVADTTAHDDYVVLCRITIDLACPGGGFSESLPTTDGLPVLLKYRNEKRILSSS DLELSDSQRTSGNDTNEHWLDVRTINRKQISSVRLIEVDVENVVSHLSLATRATSIDI PNGDRSLFCYRARVSCQDSHSFRLRVDVLWKDTLFIPANARVKGPPGLAFVKYTPPGE GLYRQTEQSYKGRVQHPRWTPRDFYDNVHVPADTPEASSEIICPQVKCKLYPFQNRAV RWLLEKEGMELDMYGNVVPMKTKTAGLPESFQEFTDVEGRRYFSSQLYRVVATDMSPW YDSDRNLRGGILAEEMGLGKTVELISLISLHRRDMTASDSDGHHPVIKSRATLIITPP AIHEQWKQELQVHAPSLTVFDYQGFQANSKLSEEQLLSSLTETDVVLTTYNVLSKEVH RATDPPKRNMRHEKRFEVPKSPLVRISWWRVCLDEAQMIESGVSNAAQVARLIPRVNA WAVTGTPIRKDMRDLFGLLQFLRYEPFCGSLDLWSRLYSDYYSAFKSIVSRLALRHSK EFIRDDLKLPPQKRVVITIPFTAVEEQHYSELFEKMCEDCGVDLSGAPLEKEWNPESQ ASIEKMRSWLTRLRQTCLHPEVARRNRRALGRTNGPLRSVNEVLEVMIDQNETSIRAE ERSLLLSQARRGQLLENAIRRREALEIWQKGLERATQMVDDCREELQAEIAKTKSSVI SASDPGSDSESEKDEADQSGKNTRIGACKSRLRSALEVQHVCKFFTANAFYQIKTDKT MTEPDSEEFKALQKAEEEAYDEAKLIRKEMLKDVARKATKRIKVLDDKAKGNSFVKIP VMKVKLPTLGIEARRIFERLENLCDALNKNRELFNEWRDTMVKLLRQSLVDEERTTEL EGNEYETSTKHQDEMYVYMEGLRVLFADRHEALTGQSNFLIKNEVRFAVNEAREGNGA SPKLYLKLMGTRDGIKPKPDLGSLRGLISDMRSLATSLEWQAMEGSSRARAESVIVNE ALERMSKTSVEQAKSIAVLDQEVELFRDTMNIRLEYYKQLQQISDTVAPYDEGSVGKP VDQAVYDSKLEIEHKMQQKLDSLQSKRRYLIHLRDESEPDESTRMCIICQSTFEIGVL TVCGHKFCKGCLRIWWHQHRTCPTCKRKLKANDFHQITYKPKELLVQEERTPTKVEYG RPSQNGIYSDVSTGILQEIKDIDLPTSFGTKIDTLSRHLMWLREHDPGAKSIVFSQYR DFLGVLANAFSRFKIGFSSVEAKNGIQKFKEDAAAECFLLHARAHSSGLNLVNATHVF LCEPLINTAIELQAIARVHRIGQHRPTTVWMYLVSDTVEESIYQISVSRRLSHIVQKE KEKQKDLNSPSPGLKNGTTIENLTETAIESANSLEIQDATLSKMFASGSAGGELVGKD DLWQCLFGDGQRKTLPSKNDDAVREVDRFLRGEAAEKRRQDTMDF TSTA_036040 MEFGALGLYFYFPILVIVLIPVWAAYRLILCHERTHKSPTISSY NAINPEIDFTNKGKYDVIFSISNLKSYRSASRIIDIMKIAGALCLSLFCFYSFVVAFH HNINRGLRRQASVTNSSSVLVDFELYKPVEFDPPSQECDKVVLLMEHSFGYSYGQPFV GSFTPPDCDFDTVRINFTVTSRGRQFDRLALMYLNDTEVFRTSTAEPTTNGIVWTYIK EMSQYLTLWKSPQKVIFDLGNLIDSTYTGPFNTTLTASFTKENSVRTADLILPISARR SVNDSASAFNVPSDNATVDLTFPNNVQRAVVSISACGQSEEEFWWSSVLNQDIDDFDS TVGVLYGFSPFREIQLYIDGVLAGVIWPFPVIFTGGVAPGFWRPIVGIDAFDLREPEI DVSPFLPLLLDGKAHSFEIKVAGLNTPTSNGITLSETVNSYWVVTGKVFVYLGHSEDS IKSTGVPPSIHAPDPEFSFSRNLVSNSTANETLSYSVYAHRTLTITSGQTSWIQDLSF SNYGYLNQEGLSQRNIQNTTGISTVKGIGLSGGVEEYITTFSYPLDVNNTYALTASST TIDASMDRGLSISSSGGLGISTYTLTSGPVYLQTRQWGDAHYYAAGGSSYSSGETTQE FSETSGGTTYSTYVQAVNGTVVTPNSQALSLPAQNSWFSAGGRPSIRSILGRGPN TSTA_036050 MEYNQYDNIFVSIYAWKYRLLYKGLANRIGLPRKHIFDAMEASV KRMGTYIDVLQIHRLDRDTPREEIMRALKDVVESGKVRYIGTSSSRRHCDPCIMTLIG IGLWSGPSYRVQPQYSLDIP TSTA_036060 MGWFDGSSSVSGNGWVRKRSPHRSASIYSSRHSRHSAPSIFSLG GGSRNRSTSSFFSTSSSSRKGVRPRSGFIERMARRIKRLLRDIWSYARRHPIKVFFFV IVPLITGGVLQKLLAMVGLRLPAGLAGHRSEFEQISRSGNFGGLGGSGVGSAGLGESV NGLITRCQLDTWKAAPRRTRLIQEGTHNVGPHQSYVGYLVGPAFIVSAESYKQVDIIS RLPLIRILPAMGDASPRKPASERRKQQIRPAQRGHRQFDPCIMITIERLADVEDR TSTA_036070 MASQPNYYKVLGVSPTATQQEIRTAYKRASLRTHPDRVPADSPE RAARTKEFQRVNDAYYTLSDAERRRDYDAARRSYFGGDRSESADEDIPRGGAGGFPWS SFDFSGSEREREGRDSNQFGSIFEEMLREEGLASDETDINGQRRSVPTKKFWSIVGAV SGGALGFIVANVPGALAGAVAGNRLGAVRDAKGKSVYDVFCELPQSDRARLLSELAAK VFQTTLGR TSTA_036080 MATVAASIYPPLENRPLKGTVVLFDVDKTLSPARGSASTEMLTL LSQLRHRCAIGYVGGSDFSKQQEQLGSSTVPVTSLFDYCFSENGLNAFRLGKPLASTS FIQWLGEEKYQNMVNFLLKYLSEVRLPKKRGTFIEFRNGMINVSPIGRNATVEERNEF EEYDKVHNIRSTLVKALRKEFPEYGLTYSIGGQISFDVFPTGWDKTYCLRHIEAEKEI SGVEYKDIHFFGDKCFPGGNDYEIYSDPRTIGHSVTDPDDTIKQLKELFHL TSTA_036090 MDINSLLSPQESAAQSRQSNTQARSNNKSNASANSGQTSNTSPQ KPRRGRAAGSKYSSGPSPVARQALKSRLPDRAPNTPASSNNQGNLAGKGNGSMTALAE AAALQGQSQSQSQSHPSHNQKGNQTVYANMSISPIYPNPKPVKLVQNPPRAPEPREYV KRNFSQSSLPPEAQQQANQLWSHIQANPHSYESHVQFIQLLHTGFVNHVYPPHDPDAH GDPRTYDLLKDLRSAREDMDKLFAMGEDLWVDWIQDETMIAQSVDERIAVMELCRRAV DEEYGSTKLWTMFGEWMLYLYNSANGQAGQNQWSEEDRIVGREVFNWPSVFQIWQRAA EATRWRIHDSHLVWSKFLDLCVQDVSHSHSNEKAGQVRAVFESRLQTPHAAWDESFQI FSGFISQYFNANYEEIMANTVARAADAKANYAARQDFEQKVQRALESKDRNLEWAVYS EYIEWELLQDPRKNMYNPHLINALYQRALLRFPTDVAMWEDCVMFLIQPPVPVPRNEF APVLPTIERATRHCPWSGSLWSQCLLVAEREGLSFDQIVELKHRATSTGLLDAGGLEE VIKVHSMWCSYLRRRAFLPDAIDEDLDVAEVGIRSAIERVQELGENKFGKAYQGDPYF RLERIYTRYLSESGSWDSAREYYKGLIATRGNSYEFWLDYYNWEIMSWRTFVEAAMTP EAARRTPSPSYATGVLKQAIRRPDLDWPEKIMSKYIAHCEDYEDADELQEALVEIQKA MKAVARRRQKEALELAATQQSAAASAEAVQAVAAQQIESESGAAAAAATKRKREDDSL ETTETPHKKARSETISNSHEEQVELKRDREHSSVMVKRMPSDVTESQIRQFFRDCGPI NDIKFLPSEHDFATAIVEFDSKEDAAAALTRDQKRINGQTVDVEMGSGSTVYVTNFPP TADEAFIRSLFSVAGEIIEVRFPSLKYNTHRRFCYVQFKSADEAIAATKLDNKVVGDG LNLQVKISDPSARQDRHGPIYEEREIHVSNIHFDARENDLKELFSRYGTIETVRIPSK VNGEHRGFGFIVFSKKEEATAALAMHKQEFRGRPLQVKVSTPAPVKRAATVITPKARS ESAEPTNSEGQRAADDRAARTLGLMNIPDTVNDSRIRAITEPFGPLVKIVLRPDREGA IVEFVDIKSAGRASLELEGHEITPGWHIQVGTANDVLKRNASSVSGPAKPKTTGTLMP QVGGPIKRPTQPGVGRRGGLGFKRGGSVASARSDEQHEKREGATEQKSQKTNDDFRAM FSNGRQ TSTA_036100 MAPQEWDPLLPNASRQTGRNYTGILTKTIVYGITLVLFLIAYAF TISSILLPSWVSYNSEKPPLHYSYGLHRRCSSLTDICEPFPQYEDCHGENRYFCSMWR SVGFLMTFAVVLMSLAIVAYIIILSGGKRLRETGWGVLSTIIILSVAVQVASMALIAY LFDNDERFFVGWQLDKSFAFCTVSWCISLFCAAAVVVAARTLPPEGGYELIPGYGNDT EEVQ TSTA_036110 MSSDFTSAADKEESRIEKPVTVRAPDEYPHSHHRVMAMADLVSD PSYSPSAHLYTDPSGRPYWQHPLLTPMTSTSPQDYGRHNDSNPSVSAGVEESSVRGNE NVYNEDVTEKDETHNGNDRDEYGSSPTNGGNKKGKTQKKGTEPDTEDKAEPQQPKLIH MCQYTNPESNIWETCTVNPDPQENHARKVVSHIFGRNKKPTQNIPDELWIWYCRKHYQ RCKYTYSEQWPQKQVDLVRLALNNFEHWGEILYWNVVLRKREQDRQARFRRGASVKED NSDDLFSFGTSSHRHTSRIARNWGDKVPRSHKARVTAAATAGRALSPDSMSSSRNVNT RLTPTGRRKASPKNVPCPVPEFVYPYLGEGRSFADVRDLIDRIESYVQGRDKTPEELA REIEEEELYGRAPEVRRFPDIEILPVFTPQYQRVIDKKDEEDKRGKKTHSIRATDVSI SQSSSKTSRILRTPVNSNVYRDAGHDYHYQVSAVAPSGQQQQQQQQQQHSYLQLPPLA HVVTANPQLHLPPLMAQHSTTSYSTSYRDYTSYNNQQQYYGRLYE TSTA_036120 MAQKQVKLPSAHGHTAGIFADMSVDGPQIGTLVVIFDRAKNLPN RKTIGKQNPYVAARLGKEAKKTDTDMRGGQTPRWDQEIRYTVHESPDYLQLKVSVFND DKKTDLIGETWVDLSSVIIPGGGQSDSWHELRFKNKYAGDIRLEMTYYDTRPEDEAVI ERRTVVAEKPQTRSSHNAANTAPTSSSSLSGPRQPRPIKRRPLPDDPTGASSSRAPPA APANPVASAATMAPVAPVTPVAPVAPAAPVASIAPAAPIQDFPAPVLSNTQPLHPSPD HAPVPNSHRQSDARPSSRHADIPLPRASQINQYDCNISKGNNVDPVDQRGDPYNAQAR PYHDPNDDYYGEPQRQMHPPITENYGNPYEPNVYQARSSHEGVEPYSLYSNQPRPSYP DDTHYSAEPTPYVPNRHPSQAPSQEYAKNTQGDPSYPEEGSHYRYNNALIQSDPRRQS PLRQLMAPEDDRFAYASMQPTVEDENDEGLPPPPPVHRTTVNTNVRPSSSSGPPGYKP YSPQEYTPPQPDVRDSHRSTVVSPAEQDLAISMSRPSQAPSRSFYAEPSSPAVPPSLA AGYNVTNEPVNNQHAQAPERQYDHFPTNMPSREVATRVSNVPQQPPISAIQPLRSSPA PTMDRARSISPNPRPLSYRKSVSPRPPSRDERDVSSVPFSPDSFDTYNPRRSPSATRE NGSLYATRDRNVASGQPPKADTDDSPIIGDDGRIIDPSDHLPSESWAPEPEKRNKKPE VIIRFKHNPQHSSHAARSSPREQQHHSAPTTPDAVIRSTARPRSTYVLQQPRQSYERH QRTATTTTYTPPRPSTRDYDRHDTYAQPQQYHAPSPAAYSTPPRRRSISPNPQPRSSP LYSYGDSGPPIPAKVPIAAPVSSGYMGGGMDALSQEMQSIDIGPGGYDSSRSVRRYAP RVSVTGGPGYR TSTA_036130 MTTQDHHREAIAWLEDFHKHTDSMDPNLAIPKFYADDCVFTFAA NPSVTGQSNIIEFFSTQFPYLENVLPDRIYQEAEIRYVVKGDPERKDIVVNGMAVIGK RPEDEKMTFFTVYLDPTELKERINAVAKGSI TSTA_036140 MRLNIVARLLAAMAAPVLALPQVKLPQLPSTKLTTEQLYWRSFK NPLLVSSPSNNPINLITQPSAPSSLSAYPSAAQPPDVFTVTTGARVQIYSVRTRKLLK TITRFDDTARGTDIRPDGRIITVGDDTGTIQVFDVNSRAILKTWKDHKQPVWVTKFSP SDPTTLLSTSDDRTVRLWSLPSENSERTFTGHTDYVRSGAFLPGSMASASMVVSGSYD KTVRLWDPRVDGRAVMTFQMSAAIESVLPMPSGTTVLASADNQIAVLDVVGGKPLHMI KSHQKTVTSLSLASKGDRVVSGALDGHLKVFDTTGWSVVAGSKYPSPILSLRVLTSGP EREDKHIAVGMQSGLLSIKTRLSGEQKIRERERQKEMQALLEGRIEEYDKKEARKARG RGFDKRTRGQDFLGEGVDIIIQGQDTKKRKKESDWESALRHAHYSEALDRVLAATDKT AQLTLITALRHRSALRTALMNRDEVTLQPILKWVYKYIMEPRLVNICAEVGMNILDIY SGNLGQSADVDAQVIKLHKRVREEVDRAQQAWQTKGMLDMLKVA TSTA_036150 MSPKSQVQRTVRTRAKRACITCNARRVKCNVVEKQPCDNCRASG AHCEIGVSRRGKYPRYIVSPHPSTLHTSPQAGEGVKNIVNRPRIQGDILSPDNSVHLN SMTFEPSHPRIKSELDQTIFFGESSPLTCVVEEGNNPLEGGDSATPSNKIRWQYPIPE VVCRRSVSFSLFASRKARKIEQLTREGIFDFPEPAVCEMLLKAYFEWFHPCFPIVDRV EISKSCNDNTISPLLLQSMLFIGASLCSDEVLRASGFSDRYETKFHFYDRGKEIFDAD CETDSLSKLQALFLLSFWRSTPGHEKDTRYWLGAAISLAQTGGLHMLSKLSLLKPKEK RVRKRIFWSLYIRDQQVAAAYGLPPRIRDEDCDISMLDEEDFDESGFVGNTAVFGIQR PEHISYMIQMARASRLLREVVWAAYLPGRLRYDPSDREKLKGRLLQFESELPPELKLS QALEHGTMYFAGIVNITYHYLYILLYRPSYLDPSDEKNQKEGMLALQAACRCTRILED MLSHNLIEHGIIHLITNIFATLCIHTVHFSRSENTERKLAEHRAKLCLLGLKELQKSW DLNYWVLEIFFHCLDESTAEYLKISEEKNNNNKAGVASTSMNGGSGNVDAITAGNISP SREVPHNMQLFPSSTKSIFSSVISVEEFPQNQLPSLSSAQQQEAQQQEETLNEEQPQN LTEMTPLSLPSNEVDIDLSEPYLFDEEFARNFGSCTPRLDALNSTNLDFLYRYL TSTA_036160 MSDDNTTTSYYYSSAKTTTTGKETTGHRYTTASHTDPSGNTVVR TAHQDLGEPATYEERCFDRTGQELVSSKSGTGAGIDSSGGGGSRRIIQDMTDQDFNYD LTSTGAGYFPVKFQAAGFKRCGYKFTG TSTA_036170 MKESSKNSEGMLVFIDAGVRAAAKPQQTAPNLERTTLNGKRKTA PLAPGRILFYRNPVDMPGTIVNEQGLTPEQLEFFNENGFLVIPQYLSPEEIQSLLTTT NDLLENFELSTHPMTRFTTGDDGEAEGDEKAKHVGDNYFLSSGDKIHFFFEPDAVAPP DDTAQDKTPKLLKPKHLAINKIGHALHSKSPPFQKITISKRNAAIAQSLGFKDPRCLQ SMVICKQPSIGGPVPAHRDSEFLYTSPPSAVGYWVALQDATPGNASLGMWKGSHKGNK GGHIRRRFVRAPEGGTTFVTNDGPSLPLGKEEEASGQEEDKDFEILDVKAGDLVLIHG NVLHKSEKNTSDRSRYAYTFHVIEGAEGWIYDERNWLQPPKEGFSRLNDAL TSTA_036180 MGPKRGKKGTPWPEPRFADDPDIRAYAAAAIKDLEALRKHQELL EIGMPREISTAVVDAFLHLARRLAQRLARVELNVEKTQKEVSQASREITTTKSNTNRL VEAICRPTLPTTTRGSVAKNSLSVGTQNELNQFGNRTRFAYQEISRIGITFRRDIEHY KSTLQPISRNHVTASSESYVQAWGRNVPSNPPTVPSVGLSSGGSSPLTPYPNQEDLEV YLEHTDSNIVNPIRRFPDQVVEKANLAIRSTQDTTIAHRRIVAARILPSGDITLLLGT VDDVDQLTRKNDWIKAFGTETRIRKRTWGVVLRGVDTNINPKQPQFKTT TSTA_036190 MATPEPTSPPRATSAAALEPSDSRQNSARLNRDERIRVLTLRDA GFTYLQISQQLQISYRQVQYTCQSQQATPKKARGNPPKLSEAEVDHIIEWITSSKRTR QLNLSIGKHALARALKKRGYTRCKALNKPPLSDKHKQVRLAWALEHLNWTTEQWNRIL WSDETWVTSGFHTRIWVTRKAGEELEETCIRSSPARKRGWMFWATFHGNNKGPCLFWE KEWGTINSERYCQRVIPIIDGYIRLLRDDIWLQFMQDGAPGHASKETLEELHSRGIYP IYGPAFSPDLNPIEAVWNWMKDWIQEQYPDDEQLSYDRLREIVRAAWDALPEQFLKEL IDSMHARCQAVIDARGGHTKY TSTA_036200 MIRLSFILLLLAVAVILIQKRKKQDSQEPPFISSTVPYVGHALG LLRYGASYFIFLRSVSWYIRTNLNSNSHRSQVRYPIYTINLLSQKSYIINDPELISLV QRERKLISHNGPFVETVFKRMLGNNDESMGIIMSNMDGHGQAPSYRRDMKAAEHEALA PGRAVSQIYEDSLDEIVKIFRDLTLAGSQSVDLMEWLKKVYTLSTATALYGPQNPFTV SRDLVEAFWDYDSGLKMLVLDILPAVTVPAALKGRERLVRELEKFLKMNLAEGARYAE IVRKRLGVNNQHALSDDGKARSELGLLSGLLINTVPVTFWMLAYILCSQSLYSTIMSE LTAAIEKRKDADGQIAYVDVTTIKQRCPILVATYREVLRVSGSATATLLVKEDTFLDE RYLLKKNAIIQIPANSIHADPEVWGPDAADFKPERFDESCKPTRKQHPSAFRTFGGGA SWCPGRHLAMDEVITFTACMLYTFTITPVGSSTGFKLPRKNVRNLGSIMNPIGAFNVV LNCREGLEGVRWTFGVRD TSTA_036210 MLFWPLITATGVLLLCRRLYYELTLGAERRRFMKQYGCKPITKI QGWDPIFGLDIVISVVRSYANNTVLQKTARMLKEYRNTIRLSFSGLPIIMTIEPENIK YMLALSFNDWELGKPRRERLGKLAGKAIFTTDGKDWEHSRALLRPNFIRKQYQDLIAL EVHVQHLVDLIPKNGETVDLQEMFLRFTIDSATELLFGKSCESLLPDSSTPVNFANAF DSALLELRNQEKWGPLGRYFISDKPFQRHMKNTDEFIYGYIHAAIARQRQSKLFDKTA GERYVFLDELAKESQNPKVLRDEIISVLIAARDTTATLMSNLWFTLARQPEVWAKLHA EISLLCGEIPTHEQLKDMKYLRWCLSETLRLWPPVNFNGRMAVRDTWLPLGGGADRKS PIFVPKGQEVFWSTYALQRRKDIYGPDAEEFRPERWESIRPHWEYIPFSGGPRICIGQ QFALTEAAYVTVRLVQNFPIIEHRDPKPWTEHITVVTSSKYGTQVGLRRG TSTA_036220 MDQQHMGDSSMAQLLESSRQVSRLTTFESLPILSCLLLISAAII VWKIWQNALDPREPRLAPASVPIIGHIVGFAMHSLQYLNILRTRTGLGAYTMRIGTYK LYVICSPTLAQAALRSPDLSADLLVPKAAPQLMNLSPHVTKILKQGDFARNNHTAFTE KLSLNPSLSKTERAILDGIATLLNDLRNVTEVPDLLRWLSSGMVLNNSTALYGTGNPV AENPKLIEDMW TSTA_036230 MSLSKRVIPDSVLEGCHALLPGVAPPYGYIPSLAAGIVFDILFL GFTALNLYRSIRYKVWSSYLLTICAVGELIGWIGRTWSSQCPYNSQAFPMQEICLVIA PVFLAATLYLYLGIFIRLSGDKFSLINPKLYVRIFLTSDIISLLVQAAGAGIATGEIN SEGGNAWTGVYIVVGGLVFQGCRVPRNDAFVTITAISVLAVYIRCIYRTVQLAEGLAG YLSTHEGYFIALDAVMIVVAYIGFVFYDPGLLEDES TSTA_036240 MRRKSEDTTNLTIHQTVGDDLRQQLQRQVIGSDLSHGKQPLSPT SPTDASHWQTCTATASYAGFSEGSLNSQHITLNTFTQTRAVNALVEKYGRMSHMFIRD KGANFFVGCNGNAALCFKVKAKVAIILGDPLCEPDLYMAIVDEFALYRKKRGWDILVQ AVSHEFTRQARERNWPSLCGVRERVLNPLTNPVIHCEAGKSGKRMLAQNSSDTPNRNL ELEDKLTGVYEAWRHKRNASGVPQLHSATYDIMAFPQLGLFIYTVDRNGTVNGVAALR RLASGGYHVDPFVNAPGSHKCIPDLLIFGALSVLKVMGVSYLSLGEEILTTNVESFGF SEKLQQRRRAASRWVLARLPPREKSFFNDKYKPDPELETRLYSVFPSGEPGLRHSIAM MQFSNINIWSILLMELWKWICSLFSWSKILSGKGDN TSTA_036250 MTVTSFPSIASRTFPQAHSIEMISELLSSVLGGSQHLLILLPTW TAALWILWYCWKFILSPHFYKDEPPIYPYYIPFIGHLRSFIQDAGSLVKKAESCFGEG KLFGVQIAGKKLYIITSAEGTKEFYRNTTALDFTAFQLDTLKQFGMSPAGCKLMSKHI QGRTNGRDRINIDLIVDVHHRNLHSSANLGQIASPVAEYISNALRLETLPEQCIRFPG PRTEGKVVSLYTLCEEVILRANITGFWGNDLLDIAPDLVSTTSTLDRRFHNLLLRIPR LLEPEVYNLRDKVLDYFDRYMDIPMKARTSLSPLIKELEQTSRDLGLSQRDIAVYHLA WLLASNNNIHAACFWVLAHLAESPDLANKVREETAGIARNALSFSPAEALKDNQLPCL MALWREVLRFYGGPHTSGRHVQEDTVFTGKRLRRGASLLVATSTLQRDKAVWGADADE FVPNRFLRSPYLAKVANYRPFGGGISHCSGQHLAFVEMAMVVACLLDRFDMQIVPGVE ETAFPQPFKAAPLLGIMHVREGDDKLVMLVPRLTGR TSTA_036260 MYIDSALGVQLVLEFFHYARLSYSSPSGSFLSPLSLRSALDLII NRRKIGTPLEVRNIPHFNSKDTAYVPSRPRFLFTKLLRIAILHLIIDFATSQVLAEPE VDFSADKVFFFDRVWRSEVSWLDAGIRIGQMAGAWIIGYWIQSMGHDIFSVVLVSLGL YEVKDCRPRFGDFWKAYTVRAFWGVCWHQDFRWLLHQTASFLVMDVLRVPKGQKLLVK ISSLFFAFLISGLIHLHGDVISGIPYTESGAVISTSLQAVAVLAEESVQQVWTLGSKS RHSQRWHLIVGYIWTTAFLLWSAGYAQFPLMRREQDFALPIRFFKRQTGM TSTA_036270 MSAILPSFLSFVFGIFEPISILAGATYAFSYTEDFVSQQLGPVT VPETLSPAQYVVAHQLGNTYVLLMFLAVGIIHATTEPAVVRNYLFSVMLCDFGHVFVC YRAMGYEKFFDVWNWNPLTWGNVGISVCYFFLRASYLIGLWGPPRVASLKQKSKLN TSTA_036280 MRHVALYFLSITGGNDSRASASLIDQGDRAFDASTALETHLEFK HSLQDGLIMGSTGCYSTIELTTQNPNEAKPNLGPLQSSRFSSRTDESRQRLSGDTSCN ILQHQINIHPSKSKLIHLYRYASPLEKALTVIACLSAIAAGTGFPLLALVFGSASESL KDTGLDGRVADSFRSRITSYVLDYVYLAIAVFFLNYISIGLFIYTGEKLTLRAKEEHL KCMLRQNIGFFDQLGAGEVATRIGKDFNLVQSAISEKVGLVLTGTSTFITAVLIGFTK SWKLTLICLSTVVAIVVIMCVGSVLVTVWEKRAQDAHAVSGSIAEEILGSIRDTIAFG MRDKMAQKYSAHLVEARRWGFRAKIGIGALFAILMCLVYMNSGLCFWMGSRFLVAGDI TLSDILTIILAVITGAFYLGSVGPHVQAISAGAAAASKIFSVIDRKSPIDSLSDHGIR LTAVEGNLTLNCIQHIYPSRPDVVVLDDIALSIPAGKTTAIVGASGSGKSSIVNLIER FYEPVKGSVDLDGHDIRDLNLSWLRQQIALVQQEPVLFHGTIKENISLGLMHSAFAVA PEEVRTQRIIKAAKLANAHEFISDLPHGYDSMLGVRGMLLSGGQKQRIAIARAIVSDP KILLLDEATSAIDSKSEEAVQKAINQASRGRTTVVIAHRLSTVRNADNIVVLHRGQIA EQGTHNELMELRGSYHKLVLAQSGTLDSSSMSGHAKLDLAESFNDAPVSEPSELDSHS INERSRDIKEDQYSQPYNISSDLSFVAQLNLPEWPVLAAGLAMSSLAGLAQPAQSALY AKAIIALAKPLTEHSQIRHDVNILALLYLGLALLLLVLKMGEGIALGLCSERLISRAR EMAFRSMLKQDISFFDKTENDAGSLTSFLSAETENLRSVSGTTLGVLVSSFATVVGGI IIALAVGWKLTLVCVCAVPVILGTGILRFKILADFGETVAAYNAKSASLACEYTNAMR TVASLAMEAYVLDQYAALHRDQLRQSWKANLRNSGLYASAQSALYLAMALAFWYGSRL LFRGEYSRFQFFLVFAEVIFGVQSAGTLASFAGDISKGRRAARWLRVLADREPRINES YEGDNNNLPQSEWPVEFRNVSFSYPSRPGALALCNVSFSIQPGQYAALVGTSGSGKST IISLLERFYDPQQGSILVGGRHIADLNLRAYRSQLALVAQEPTLYRGTIRDNILYGVD EKNIKVDEKTLIQACKDANIYDLILSLPKGFDTEVGSRGLLLSGGQKQRISIARALLR SPRILLLDEATSALDSESERTIQIALDNASKGRTTIAIAHRLNTIQHADVIFVFDKGR LEDRGTHTELMQRSGRYREMVLLQNLPG TSTA_036290 MAAQSTRQFGVTPPISTLQLIQRVVIEFVKTVGRRKGLSPAALE AAGGKIFTYGSYRLGVYGPVPDAFVPIIKLEFSGISLDLIFARLILPSIPLNLDLKNN GYLRGLDDKEVRSLNGTRVTDQILELVAQQKTFRLALRAIKLWAQRRAIYSNIVGFPG GVAWAMLVARVCQLGDIVEKIFAKQLTWNDLFTRHTFFSRDYKYYLQITASSKTKEAD SVWSGLVESKLRHLVGVERIHVVKDDAEAEQVKNGSTKYQAQGTKTETTDETKDPAHT AAAETGAEDAQVPDPATNGAVDSRTIYTTTYYIGLELEPLEPGASRSLDIPTDADVFE STCTSWRGFQEGINDLSITHVRSFDLPDDAFEPGETRPVRPKKKVAKPATAAAPQKRS IDAVDGTMHPEAKRQVSSNGFTHAATPA TSTA_036300 MTNMSDVTSRQREPLEDNNQQAKAEAPSGLETNPQTNPKESVTQ PMESIFTSGFLAEVYKEKPLGSAGVDRIVTRFPPEPNGFLYLGYSKAIVVNFGFAEHH GGDCYLCYDDTNPAKEEEKYFTAIEEIRAVDDIRLGRYYSTGYRRRIRYSLFFPRRIR ISNIIRLLVMPADTISAIPRRYSNYPPYIQSYGER TSTA_036310 MDWSQLSQIHNILSKFNELTLLAVPIYYKLHDLLDKASKRKERF LDLDKDISLAVKEDTSDTYYTALILDPQIKGDLLLNKLEDKTTGREILQALRDSLHHD YSVATIELSLPTGQSLLEHNTKHSDVESQLLKRL TSTA_036320 MKDKLEKRKDFTVRACETISAADTNIADISGDIIRRDLGRRIQI SNIYSMLLLAEDLIRRDGAYVCHCSKSEIAQQRGGGKGATPRYACCHRNHPIDESLAE FRAMRDGKYGPQEALLRMKQDLGSNNPQMWDLTAYRVIQLAKSSNVEEAVGGEIPDST IGIHYRTGDKWKIYPTYDFTHCLVDSLEGITHSLCTTEFETARTSYNWLCDTLGVYRP MQREYGRLNLSGTVLSKRKIMDLISKGHVRDWDDPRLYTLIALRRRGIPLGAILSDFR ETPSKDFFRLTPGTSVGLLKVPFPITATTFEKDPDSGLVTLVHAKYDKPAECAKFKKP KAPVKACVRIHNFLFTCDNPDTHPDGFLSVVNPQSEEVYPNAMIDIGLEEITRRAPWP KTKSEEMPTDSQITPESVRFQGMRVAYFCLDPDSTADYKVLNRIVSLKEDTKK TSTA_036330 MFFISSFRDISARNLHILKAQPVAEISGSLGDLGTFLPIAIALS VNGTISLSSTLVFSGIANILTGLFFGIPLPVQPMKAIAAVAIANSFTNGEIAAAGIFV AACIFVFSVTSLLRWFADVIPIPVVKGIQVGAGLSLIIAAGGSLSGLGWITPSWADNR IWAIAAFFFLLVTNYYREIPYALVVLGVGLVFAIFRVSQEMDMPSFRPWIPILTVPGD GDWRAGIVQAGIGQLPLTTLNSVVAVVHLAGDLLPDVTTPSITSVGLSISLMNLVCCW FGAMPVCHGSGGLAAQFRFGARSGSSVVFLGVLKLLIGLFCGNTLVGLLKSFPYALLG IMVIAAGLELASVGESLNTTGARDLRKYSPGGILGDHEREIGPVLTDDERKKRFTVMM VTIGFLVGFKNDAVGFIAGMLCHWSFQIPVWLHSRRHEGRIRLPDLMQYCCTQRVKEA QYSAAARKGLSSSYLDRDSP TSTA_036340 MFTPVHTTLGALLLFSGSFGLLLHNGRVFGISSILRACLQDPAL LLRRQKQKEKQPNGPVDVNEDQNFPMLAGLITSPLLVKLVAPSLLPSYPEPGSTTTLW ISAAATVGWGFLTGWGTKNDQGCTSGHMLCGLSRLSARSLIATAIFFTTALVTANFSP LLTGVDLIPACNNGATPCYLPVYPSGFELVVMTTSCISSLFTTFVLGPKILTRSSKSR RVFAYLAGLQFGLGLLFSGMADPKKVIRFFALFGGDVDKFDPSLALIILFGIGPSLYG YLAAKPGKAEKLPTLAHKWSLPKLTVADIDWRFIVGAVVFGVAWGSSGVCPGPAILRS VLQPVWGVLWMSGYFLGGIV TSTA_036350 MTSVPSLSQLSEETLKKLPRPGNDFDIHEGKLLAPILRTRIPGT PGSIAVLNHFNDFFKQTLPDWTVEFQNSSARTPISGNKEVPFVNFIASRDPPWASKGD VGRLTLVAHYDSKYEPKGFIGAIDSAAPCAMIMHAMRSIDAALTRKWDDMQAKGDGLD SGLDAHTGIQVLFLDGEEAFKTWTDSDSLYGSRSLAETWDETTHSAMSIFRTPLSSIS LFMLLDLLGSKDPTIQSYFPTTHWAYQNLASLEGRLRNLKQFKSSPNYDIKSGHVDEP QWLVDAEKSEHLLKSWTAIQDDHVPFMRRGVDVLHIIDTPGRANFPTVWHTIHDDGEH LDLPTVEDWSMLITAFAAEWMELEGYFDAQDAAEKMYIRKTEL TSTA_036360 MEWAPRLIILGLRFADAANLTQAFILTTSNSRKDIKFPRCTAQT HIRTNICSRNYSTQKPLLSKAPTPRTPASKANALPKKPVKAVAAKPVVKYAPSQTWKP TAQRAAPEHVLVYHAGTGKIVFLGMLRTATIFVAGASSIIIAPAFFADEFPSYLAPLI VIGGMLPLIFVAYTTAPFVNNIYLHLPVFARKSREAALEYVKNLPSTATLSIKTMKIT TIPRTTEVRISDLVRDKSLLRPVTFRNTYSTGYGTLKQFYAFPTSKNARSTPKFYPEL WDHIFAQIQSQGAK TSTA_036370 MSANDKNEVTINLSQSELKLIALGTRFSENGKVDYEKLAKYGGY TKGSAQVLYRKALRKLTDVYPIDADAMAGNGDAGSDPVTPTSKAKTPKTPNSRTSGKK RKDAAAAEDQDTTAAPQTPLGPTIDDAAGDALDAEMATSTKKPRKTSSKQATTNTFTP VNDPVNDDRFMKAEDAFDAFIKAED TSTA_036380 MARGSSAASSPPTASTPALKRSTSSTQNMKNQRSILGFFQKSSP ATPSTAQKKAPPPAEPASSPAQRASDTKSSIKKKPAQNLTPAPSSDVIEPDDDDSATP QAKKKINYMESDSEGVDDDEEIFRPQPSRKRRRPAIESEDEFQDDGKDAPVSDDEMDD FVVADDSEEDARPNKKKKKASAKLAQRNKASTEEPVRSADEDLDSDIPEASAGGTAQK WTYDPESTEPRQERQQREPWSGGTTKRKEKAHETEPEKRYPWLANIMDMDRNPPGHPD YDPRNIYIPPLAWTKFSPFEKQYWEIKQKFWDTIVFFKKGKFYELYENDATIGHQLFD LKLTDRVNMRMVGVPEMSLDHWANQFVAKGYKIARVDQSESALGKEMRERDDKKSAKG SKEDKIIKRELSCVLTAGTLVEGSMLQDDMSTYCVAIKEIILDGLPAFGIAFVDTATG QFYLSEFKDDADMTKFETFIAQTRPQELLLEKSAVSQKAMRILKNNTGPTTLWNHLKP GKEFWEADIAIRELDASDYFVSQESDNIDAWPQVLREAREKENAMSAFGALVQYLRVL KLDRDLISIGNFTWYDPIRKATSLVLDGQTLINLEIFANSFDGGSEGTLFQLLNRCIT PFGKRMFKQWVCHPLMDIDKINARFDAVDALNADSTIRDQFSSQLTKMPDLERLISRI HAGACKAQDFLRVLEGFEQIEYTMSLLNDLGSGEGLIGKLVSSMPDLVSPLEYWKTAF DRLKAKENGILVPEQGIEEDFDASQATIEQIHRDLENLLKKSRRELGSTAICYRDNGK EIYQLEVPIKVKNVPKSWDQMSATKQVKRYYFPELRALVRKLQEAQESHSQIVKEVAG RFYARFDENYETWLKSIRIVAQLDCLISLAKASSSLGEPSCRPVFVDNDRSVIEFEEL RHPCMLQNVTDFIPNDVQLGGKRASINLLTGANAAGKSTILRMTCVAVIMAQIGCYIP CQSARLTPVDRIMSRLGANDNIFAAQSTFFVELSETKKILSEATPKSLVILDELGRGT SSYDGVAVAQAVLHHIATHVGALGFFATHYHSLAAEFENHPEICPKRMRIHVDDEERR VIFLYKLEDGVAEGSFGMHCASMCGIPNKVIENAEHAAKQWEHTSRLTESLERRKGGG LVGLGWWSDVAWILREGDDANVSDRGLEILRKAIETL TSTA_036390 MRRATPRQMHLLQGASVSRLSSLVCVCAGHQSLHTLSQRPTVNA SLDIELAISQKRCITQQNIRRQKEAEIQWKGFAEEIRAGKRKAFLDHLEERELVNQVV GPREVLDKVFTDKRTGLYTGIDPTAPSLHVGHMLPIMVLAWAFNWGYPIHFILGGATA RFGDPTGRLGPRQTEHRSVRTANMASMHMQLKRISASIEDYGRRHGYKKEWAWRRALT NNNVWWNGTPFVEVLRDLGMHMRLGPMLGRDTVKTRLEGNGMSFAEFSYPLMQAWDWW ELFKKGVQVQVGGSDQFGNILFGMEAVKQTAKNTAIEIDRRPVEEDADKPAGLTTPLL TTSSGEKIGKSAGNAVWLDKDMTSTFELYQYFVRTPDDQVERYLKMFTFLPLDKITQL MEETRQDPSKRVAQHALAREFVDIVHGPIEAEAAATQHRQLFRPRSSISEPTPPPTQP SNIPPHLANDPKYSFMNRAAGNKFAKPTNYKNMESNRVTLPRSLVLEQPLAKVLYNAG LVVSNSEGHRLIVGKGVSVGSRPGDSGQMSDALEFTPIKTWVPAKTPDFLIDGNLLII KIGKWKLKLIELIDDEEFDKRGLDVPGWAEFKEKKAAGQITTASQITEMPETPFRLRK YHNNRESE TSTA_036400 MLAASVRRSSHALRTSSRLSYLEIWNFAHSRGLGRQTKDIEGIT VQLERYKTAVEFPNATHTFPSLLQDAKPGDTVVLHGYLGNRADISKKLSFVRLTDPTM RQNIQIISSAKTGSHQQLKSIPSNSPVAIQGTLQAKKGSGAGDRESKEVEKIDSLEIN LTDIWLLNDFSKDIIMTSETVFPAEQRHLQLRSDRSLREALRFRSEARKVCREELENN SAPFIEIETPLLFKSTPEGAREFIVPTRRKGLAYALPQSPQQYKQILMASGIPRYYQF ARCFRDEDLRADRQPEFTQLDLEMSFATGEDVMGAIEKVIRRLWSSLLGIDLGTEPFT RMPYQEAMSRFGSDKPDARLGMEIIRLDYVLPVDLVQKISPLTNPIVEAFKLESEDND PSKTLELITRFLDSPAGAEFNKNPDGGPGIFVYDAKRPLRGLMPFGFEAAERVEELLE PDHGDLIVLQARKNAPFSGGSTPLGDLRKALHSEAVKAGIKEAPTGFSFLWVVDFPLF SPIDENEPGQGGTAGLASTHHPFTAPKSAADVDLMVTDPAAVVADHYDLVVNGVELGG GSRRIHDARVQEIVFRDILKMPESRLTEFSHLLEALKAGCPPHAGIALGFDRLIAVML GKESVRDVIAFPKSGKGEDVMVGSPSELSEEALDTYHLRLR TSTA_036410 MSKSVKHSMLEKFRERFPLGKRQLSIKARESLQLESKSKTDSQP THNTDDEDDEDLWTLAYNLLKKGTPTLVEKYNRKVLSLKCINKNSSDPLSDIETTREI VSALAKAHEDKQWNVPREQVEKLVKFALGCNSIIRLAAKSQPYTTLAWSGVSVFLSLL SDGLEQAEVMLDGFNCTYLKVSIIKLYELILKYQAIVICRLPEGQMKRAWDATDARWK DER TSTA_036420 MLFHIVLADQDAWFSTLHITALNTAHTLAEASQRIKYKDIDKHL YKPDSYTNVVRNLSGLFFVIREDYVYFIHPTAREFLLINNGSSDRRANHQWKGRVNME YAHGLMWSICLSFLPLCPDHLVETVTKAVEPWTAKQPEKETKVLKRLNYPLCMQERIA TSTA_036430 MVKTFFLAALSAMSTLVQGRPGQERFLSERQSTDKLVFAHFMIG IVSDRHSAADYDADMQRAKSYGIDAFALNIGVDPYTDEQLGYAFDSAANNGMKVFISF DFNWWSTSQATAIGQKIAQYASKPAQLKVDNKVFVSSFAGDGVDIATMRSAAGIDLFF APNFHPSYGTDLSNVDGLLNWMAWPNNGNNKAPTSGSNVTVEQGDQEYVSALAGKAYI APASPWFSTHFGPEVSYSKNWVFPSDLLWYNRWKDLLTLGPRFIEIVTWNDYGESHYI GPLDSPHTDDGASKWVNDMPHDGWLDLAKPFIAAFKAGATAVDNYITSDELIYWYRPT PKDVNCDATDTCMDTSASNSSGNYFIGRPNGYETMQDSVFVVSLFTAAAEITVSSGGN TQTFQASAGANAFQVPMGVGQQTFSVTRNGQTVFQGTSLKQIINGCVCGLYNFNAYVG TLPAGFNDPLQPDGLASLVQGLHVSTCAATPSLGTAPPVITTTSTSAPVITTSTTTTS STKTTSTTTKPTTTTTTTTTSKTSTTTQPSTTEVCIAGTGPGNYVGLCSFCCNYGYCP PGPCTCTQSGAPVPTPPVTGTVGVPLANEDDSYLGLCSFACNHGYCPDTACRVV TSTA_036440 MDDIDEPTGPSREETAKREQEEKERKAKEEAEQAQLPYKWTQTI VEAEVSIPVPATIKGRDLDVVLTKTKIKVGLKGQAPIIEGDFPHPIHADDSTWTLETI PTGKQVTVHLDKVNKMEWWPHIVTSAPKIDVTKITPENSSLSDLDGETRAMVEKMMFD QRQKEMGKPTSDEQRKMDLFKKFQEEHPEMDFSNAKIG TSTA_036440 MDDIDEPTGPSREETAKREQEEKERKAKEEAEQAQLPYKWTQTI VEAEVSIPVPATIKGRDLDVVLTKTKIKVGLKGQAPIIEGDFPHPIHADDSTWTLETI PTGKQVTVHLDKVNKMEWWPHIVTSAPKIDVTKITPENSSLSDLDGETRAMVEKMMFD QRQKEMGKPTSDEQRKMDLFKKFQEEHPEMDFSNAKIG TSTA_036450 MSKRGRGAAAGNKLKMTLGLPVGAVMNCCDNSGARNLYIISVTG AGARLNRLPAAGVGDMVMATVKKGKPELRKKVMPAVVVRQSKPWRRPDGIFLYFEDNA GVIVNAKGEMKGSAITGPVGKEAAELWPRIASNSGVVM TSTA_036460 MSGAAPLDPINLNGTADKGKKVAYFYDSDVGNYAYVSGHPMKPH RIRMAHSLVMNYGLYKKMEIYRAKPASRFEMTQFHTDEYIEFLSKVTPDNMEIYAKEQ SKYNVGDDCPVFDGLFEFCGISAGGSMEGAARLNRNKCDIAVNWAGGLHHAKKSEASG FCYVNDIVLGILELLRFKQRVLYVDIDVHHGDGVEEAFYTTDRVMTVSFHKYGEYFPG TGELRDVGVGSGKYYAVNFPLRDGIDDISYKSIFEPVISNVMEWYRPEAVVLQCGGDS LSGDRLGCFNLSMRGHANCVNFVKSFNLPTLVVGGGGYTMRNVARTWAFETGILLNEQ LDPQLPYNDYYEYFSPDYELDVRPSNMDNANTKEYLDKIRSQVIENLKRTAFAPSVQM TDVPRDPLVDGLDDEADAIMDDLDEDENKDKRYTKRRFDQYIEKTGELSDSEDEEELA ANGVRRQPGAVRRRNHVSHRNLDVADSGLESGIATPREPSAVPDQDEEMDVAADSVAE KPRTLTVPEPAESTRQTSPEADAGSKIEEKLAAEAQEAARGPSTHAESPVSALIDAHS DVDMEDTTTAAAETEAVPSGETGEQQKTPPASPPAAVAPEPEPAAPTPAADNTAKPEK PEATHEQDKAIDEPKPEEKVTTEAPTKTQEEPEKRAKSEVKEPEKTEA TSTA_036470 MERINRMLQTAHGMGMGSAAPGADSPNLIDNSETVHISSLALLK MLRHGRAGVPMEVMGLMLGDFVDEYTVRVVDVFAMPQSGTGVSVEAVDPVFQTKMMDF LKQTGRPESVVGWYHSHPGFGCWLSSVDINTQQSFEQLTPRAVAVVVDPIQSVKGKVV IDAFRLIAPQTVVMGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSLAINYRKTGLEE NMLMNLHKHVWTEALQMNDFHEDAKRNVESMQRLVELAEGYEKRVKEESTLTKEQLKT RYVGKVDPKKHIEDVGQQLIEDNIVAVSRQMINKESSVARVPNGQDTENDAQMDVDDE KL TSTA_036480 MLSRSVLPLARARPVPSTLRSYPRWYAQNNKPRTPYVLPNQKPK PAQPNPARSQSNTSTTNNQSTQPKTKPTVVGASSGAKATESVPPDAASSKTEPKKPLS EPDVAPEQPEFDTAAQPEKPQKPLPDLTQGIPSTLGAELEAARKGRKTTALNLTEDPS QHDYEEEDGGGGRSSDAYESSLDRRRARVANVMYGILLGGLIGGTVYMGRNWDSEEEA QAHKDAPSGWGLGLFYNRIKARLDNLTSYYRDPAFEKLLPDEDPSLRQPYTLVLSLED LLVHSEWTREHGWRVAKRPGVDYFLRYLNQYYELVLFTSVPSMMADQVLRKLDPFRII RWPLFREATKYKDGEYVKDLSYLNRDLSKVILIDTVPAHAREQPENAIILPKWKGDPK DKSLVALIPFLEYVAGMNVEDVRPVIKSFEGTYIPAEFAVREKVMREKFQKQMEEEQK SRPRRGVGNLAALLGLKPQSSIDGQAAGSEEKMLWDQIRERGQKNYEMMEQEIRENGE TWLKMMAEEEEKARQEQMKNMKGSFTSFFGATGGEKK TSTA_036490 MSALEDDFSKLTLEYLPEQKENNDRHNTDDEDFDDEPQEIQPFR FFDLPSEIRLKVYDYVLFGDTKKKGPPRTNGNVGSSRKNKLLAPLSHRLSLFLASRRI HEEASVLFYSVQTFRVFQIQDYSRQPTLISLAPSYRPLINKIELILGSSWTAPPKSWK VTKHLGLTEMTGVRTLKIFIQCDPSHPVFEGFRISSDFYTGFAGGLVQKILEQLPNLY QVELDAWPSVQKNGPLMRRLLSEVRAANVRIRWGPERGWNDWEDEDWNTSTGKTSIGP VATGERHKNSVPVSQRSHVSATGITTDPAHAESGTVL TSTA_036500 MWHSILGCAARGLLWLSPNPKHCFPQQTQQITTVASGTQRAITT SSPAASSSPNPYEETAPGNRQISQVRILVMRYKDSPPPTDWNFGGSGATSTATATSTI KTTSTVTPIVTATSTSLIASASASSTGVVSSSSSSTESEPGLSSGQKADIGVGASSHT SAQTNTSPVTSATEQQQEPTATKKDMKTTILRESRYLSGTESSVSELPSQNYDENSSY RDSTVQRVISELMGTPRAEMG TSTA_036510 MAPGIREFAEVEVPEQRIEVSKSSKLDASKPLNQNEYNKGGREE MNGWSDKTSAAGKNSNTTDDDDETPVFTMPSMHKLREAQRRVERDFRSDTVTVPTVNM IQAMIESSFQDDMYDATGDESVNRLQQRLIELTGKEAAMWALSGTMGNQICLRTHLTQ PPHTVLLDYRAHVHCWETGAMPVMSQASVTQVHPKNGLHLTVDDVKKHIIADGNIHFP PTRVVSLENSLSGTILPLKDAKEISEFVRNFPVEEGEKPIAMHLDGARLFDAVAAEGV DLKDYCACFDSISICLAKGLGAPMGSVIVGSKKFIHRARLFRKMFGGGTRQPGMMAAA ALSALEYTMPLLPKVHALTKRTADTLRQAGYKISLPVQTNMIVLDLEEVGIPPAAFVA YAKRAGVTVFPTGRLVFHHQITPEAAARLVEAMRLLMEDKKAGKQLENHKVTGGYM TSTA_036520 MSSNVPPPNAPSSKAHPGIVNTGSRPYRSHKVRACDLCRKRKSR CTVDIPGQSCVLCRIQGADCHYQEDSLPEDAPTIGADKNTTSSSSFASHKRKRRSEVV DQDIDSYDNSSSEQANGSATFHAPSDKRDNDSSRRKVEDPHNESVLIVGPVAAEDAQV IEKFMPSERSSKHIENGNKPYNVYSNDPRKPILYTTVSRRRQGVRLGLPPGSNQKEIL EHLLGPFKQDLVRLFIDRFNVAFPVFDGQAFWDAYISNDTDDDPPTTLLCHVYAMSLV YWKHTPKFARHPKPDTRYAINLTVAALHEDYSAPGLSTVSAALLDLTGRPIFSMTGNA ISCGRLVSLAHCLGLNRDPSNWKIPLSDKKQRIRLWWGVVIHDRWGSFGHGVPPQISK SQYDVPLPSVEVLVTQPRATSQHIRAAHCHISLCRLTEILGELLPLVYQLQSKPGREN SKRLRHIRTDLDTWEDSLPEWLRAPVLESENPVSGASSLHLAFLAVKMLVCRVELHEV NSTETDNPEARRYFQTECRKAAEEIVHFMCSLQPANFREFWLPYSAFHLTSTATLLVR CALETTDQEIARSCLANVDNLRTLLRRIRDEEDFDVADMCLDHCERIITQHPEISNMT TAATGHTEDQAVYRGPVEETMAMNPAAIILPETATHNDIVDDMMSISGTFGTMDGFPF DMTGIWDVSGFQDVNFT TSTA_036530 MDLDTEEHKAYHGALMDNERPVQNSRAFGRLPLDVIQRILYTVD ADTFASLTVLNQQWRRASNNPELYAHHVAQCPLYAAYHGTGISSTNTQDLDQLKREFY REIRKNVFQIFLRPRKTLVTLISSSVGSSAALPTGEALKFGFSANGHILLCLSSSRIF VLDLTAPEINVLYELKTSRMPLAATILDDGRVLAVVSHRHQINIYHLFKSEAKHVQVL TLNDVPRALALAPNGTVLGIAYDGSIEVHALGEDAIPTQCRAVRCGTIDTLSFSSDGS MLIGSSVDGPDAGFVTITAPFPTDSMADLSNQETHMWTTQILFPEVVSGYSFVTLLPS RISGDDDWIMGFDHSLKTFRAVRPKEPRIGSAYFPGPVSPDGAEECMPSLNPAVDPKA EVVALAFRGGGLFLYGLPHDLSATPLESADTSDFNAASTLPGDHTGIVARRVRKGLDQ PSLFIAGRKVADISGLTAARWVGGFSDNGHLRQRLVAVAPGGVTSSSLGDETIPVDGG RISIFDFQTSTNNGETAELTIELGVEVPLVLREPDANLEQEVELERRRTQLRRGAANP PRLEARESINIPVPMIPDRRSQSSASPDPEVGDVTLFLEGPYSNTAPRSRDTLQRAAT AAASNRRAQHVAREQERPRLPVLHIPHESDADNWVPPPPPYTRNPDQPLPEHLRQLLL PTMTAPPGGTMEQVPQQVRRASTNEADRPETSTGGGSGILSRRWSTRRRTADASDDAA GRNRLSFRPLSFHRVPPSNSHNQPIPAVHPGGSDRDRPSSTIPHQVVRESPTDSGAQT LSSGIPKRPFRNSRIFGTFRKSTSHGSAASQSNEEHQQYLADPSPRRHISIMRNGRFS RSSPNLAFNGNAAINRMSTIYSNASRSQNGGVHRTRSRSEAAQLPAPLTEEALQHALA MQQNASGRRLQTQSMPIHGHDQPQPTQTNQQQPPQEAMVAENTDWQQPNPTESDEWRA RIEAWNMNTINERRKSKGKNSRCIVM TSTA_036540 MTVEIPPLKISKGSENEKDIKGVSLANEEAPPSYTEQELPVEDE QFIIEIPPLTFPDPGLVVEKDRCILHLKLLAALADLRETISTIDGLFGIHDSQADKFG DETSKTKALIRIREKRWAVYTARAVDRYADWWKNCVPVSETSPTVSMMRSSGYVALTS STPISWTAKNLPPLDVLMVWHAHMLNPRTYLEDCLRGGKMSFWATDFPWSLVDAAIDN KNLDYNPGDEAIKHFTVDTKRLWENINEPDEKELTCLSCSSSFKVPWTTGQLGNTPDS PFSFCTGYADSGFVAYCEAPLCRFKHDHQVLRVARFNKDVGDLLKNSYPLPGTYLNLQ GIPVSGKNVGTNADPNMFPNLLIHANKDMVYEATRPNGVVNDMLSVRKMIETLLANHR LVASINGRNGLRPRREQKIAIRRMMSHYWENSSIFGLDLVGAVIRQGTFIQKMDNLDW IHSPAVAATMDRLIHKYGIFFEIMSTNPNQMAVPTLDVDLAWHTHQMSPGRYFAYSVS RTSAFRPASPTFIDHDDKVDEGKLSDAFEWTSKRYKEATNGELYSECTCWYCEATRES YLYRGLSIATSSSTRRARTLAENLHDDPRISSEPDKNPHISAHNAVRGSQIDSTAAAN YKTLRLRQMWDKSHRRALKRQQKQDARDGNTDNGKKRSADNAPVDPYYPLVYGYPFYM PFYAPYMADPCIDGAAYPCNPACMNASVGAYGNCAAGTCGSSVAAGACAGAGGGGCGG SACGGGGGGGGGCGGGGGGGCGGGGGGC TSTA_036550 MLVVDSESSRKRKGKERRRRAQAFKYQTGKAAQASLANSGVIAL VAQTKNDHSINPFNPTFATSDVAARADLGESNNNLSATYTKISIEPPYLFPVSDKQAL RIAAGHARVAAHGVTSGIGGGGPIGVGQISSESQNATPLTSPGRINARIHSGASGLVG RKRNGAGAGLDSSPGSIDEIEDGEQHEERKRQPVKRACNECRQQKLRCDVIQDPFMDC SRCRRLKLECKIESNFKRVGKRSRNAEMEREIIELRRQIANANAANASLKSQVAPAKQ EASAMSTPLSGAMYQTPTPLSTDQYMGSHEAVASLLDLRSGFDGANYMRSGSHQFKRI EDVMVATDRINELFNLFFTFYHAYLPFLDREVTPEEYYATSPLLFWMIISVGARRYQT DIQLLNSLAGPVTRLVWSTIADIPQSFHAVKALCLLCTWPFPTSSTSTDPTFMLSGLM IHVAMQLGLHRPSHTQDFSKFRVELIESELRDKVRTWAICNVVAQRVATGYGQPPSTL YDWTLGASELIDVNFQLPREIKARLQIEVFVDKVTKALYNNRRNPVGLAEDGERASLM SFLTRDFEELEDQLKPENDVITDLYLRAANLHLQLCSFFDDPSSQGYRERLLSLHSAT CNFLESALNLETNVGPVLPYTPYYFYQMMLAAGFTLMKLCKSFFSAHIDLEYTKRLFN RTIWSIRAISVSNNDLPQRLTEVLTQMWKQGGAPTPRPASSSSEIDDSLQLKVRCRMS MSLVYDSVWRWREDALAKGRNIEASLKNPTDPDSNGETTGSSLTHGRASSATPGVTGD PSLAPAPPLPHGLSLSSSSAGVNNLPSSAVNGFMEPNYEVFDPLNWLLDGLVDFPYTM PMQGMESHGLA TSTA_036560 MNPKRERIIPHKRGLLPLSTSSSTSTSSSSLTRSKVHKAINPSA VPAIIQLQKPVFSSLNATIEKEEEHKQENKRKRYATTLEDNNENTNKVIRIEFDSSQA PQPFLEPELAQRIIEARRKKRIEEESEKEDVNKDATADLNTEREVRRRAAQRDYGRLR SSLRLRKYHYRSIPQDTQMAPQKRKAPNGAEVSSARRNNVSQPNGQRQNNDINAPHPG AQQAENFGIVLREFYPPEMSNARCEAYNNGTLERPVDTLNRAYAETDPIRKEIKPRNA VVHWFKGDLRLNDNRALKMASELAAKNEIPLVCMYIMSPQDLTAHCSSPARVDFTLRN LRVLQRELGTLDIPLYIETQERRRNIPERIGELCEQWGASHLFANIEYEVDELRREAK LVRLLADKGIAFETAHDSCVVTPGALQSQQGKQYAVYSPWYRAWMGYLHQHGDHNLRV LEPPGKNHESARSHFGDLFDSQIPGASENRRLSDEEKQRFEKMYPEGEQEARKRLDEF ITTRVKEYSKKRSMVDGQYTAILSPYLASGVLSARTAVSKAKDANGGYLDGKNASLAS WISEVAWRDFYKHVLVHWPFICMNKCFKPEFTNVTWEYDTDLFTRWTEGQTGYPIVDA AMRQLRHDAWLHNRNRMIVSSFLSKDLMIDWRRGERYFMETLIDGDFASNHGGWGFGS STGVDPQPYFRIFNPLLQSEKFDPNGDFIRKWVPELSDIKDSKAIHDPYGRGAGAIAQ KAGYPRPIVEHAASRVRALKRYKEGIARDKP TSTA_036560 MAPQKRKAPNGAEVSSARRNNVSQPNGQRQNNDINAPHPGAQQA ENFGIVLREFYPPEMSNARCEAYNNGTLERPVDTLNRAYAETDPIRKEIKPRNAVVHW FKGDLRLNDNRALKMASELAAKNEIPLVCMYIMSPQDLTAHCSSPARVDFTLRNLRVL QRELGTLDIPLYIETQERRRNIPERIGELCEQWGASHLFANIEYEVDELRREAKLVRL LADKGIAFETAHDSCVVTPGALQSQQGKQYAVYSPWYRAWMGYLHQHGDHNLRVLEPP GKNHESARSHFGDLFDSQIPGASENRRLSDEEKQRFEKMYPEGEQEARKRLDEFITTR VKEYSKKRSMVDGQYTAILSPYLASGVLSARTAVSKAKDANGGYLDGKNASLASWISE VAWRDFYKHVLVHWPFICMNKCFKPEFTNVTWEYDTDLFTRWTEGQTGYPIVDAAMRQ LRHDAWLHNRNRMIVSSFLSKDLMIDWRRGERYFMETLIDGDFASNHGGWGFGSSTGV DPQPYFRIFNPLLQSEKFDPNGDFIRKWVPELSDIKDSKAIHDPYGRGAGAIAQKAGY PRPIVEHAASRVRALKRYKEGIARDKP TSTA_036570 MSGFINPFKRHDRTEFHGVIIPLSQATRFHHLNTVTSDSETPAH KPDNDEDKDKDKLARVGSEENGAASNPEYSHQTIEAIRAEVEAEVSTSGHDTTYDRKA KVINLAIQDIGMGRYQWQLFILCGFGWFADNLWLQGIAMTLNPVAQEFGISATHVRFT TAALFIGLCIGASFWGIASDVVGRRLAFNMTLLICGIFGICIAASPTWIGVCGLAAAM GLGVGGNLPVDGALFLEFLPFASGNLLTLLSIWWPVGQLVGSLIAWGFIPNYSCDSEL PACSAVAAGEACCRKQDNMGWRYLCITLGAITLAMFACRFFLFHLYESPKFLLSRGRQ EEAVRTVHAIAYKNKAQTWLTVDILNEIGGHPSDGGNAKLTRIDIIKRSLSKFSGERL GPLFHTKRLGFTTVILWFCWATIGMGYPLFNAFLPQYLAHAGSDTVTPVNITYRNYAI TSIVGVPGSILGCYTVNIKYIGRKGTMAISTLITGIILFCFTVSNDSDIQLVCSSLES FFQNIMYGVLYAYTPEVFPAPNRGSGTGIASFFNRLCGVMAPIVAIYGAKNNASAPVY ASGGLILAAFCAMVFLPIETRGKQTL TSTA_036580 MSPDISYPEKGPFSTSKNANSDSSLPPSDSDAAAVGSVSNITDG SQALHRKLRGREVQLFAIGGAIGTSLYVQMANALPKGGPAGLFIAFMIWGIIMWAVNE CFAEMVTYLPIPSPFIRFGREWVDDALGFAMAWNFFLNMAFLVPFEIVAMNIMITFWT DKVPVEAIIVAMIVVYAVLNVISVRYFGVAEFYLSIFKVVLMLGLFCFTFITMLGGNP IHDRYGFRYWDKPGAFTEHLASGGTGRFLGILSCLYQASFSITGPEYISMVAAETENP RKILPPAYRSFVWRILVFFVGSSLCMGIVIPYNDSTLLGIISGTTSGSGTGAASPYVI AMERLKIHVLPHIVNALIMTSIFSAGNGLLFTATRTLHGMSITGHAPRVLSYCTKQGV PVWALAISLSFCLLAFLQVNKSSADVMGYLVDLVTCCQLINYGFTAVIYRHFYSSLKK QGISRDTLPYKGRFQPYTSYLAMGGTLFMLLAGGYDLFLKGGWDVMWFFLDYGMIGFF IVLFVAWKLIFRTKYVWPGTADLSIAGLKEEIDDYEVLYEINQEGKKSNFVDKLFA TSTA_036590 MAPIPTPETTAQPILKSNETKDVDVMAAMAHNGKALPGIPTFNS FIEKRQWQLEHMAGAFRVFARDGFAEGISGHISVRDPEFQDRFWINPLAVHFGMVKAS DMICVNLDGEVVGGNTAGSINAAGFQIHSSIHRSRSDVHAICHTHSVHGRAWSAFARP LEMINQDVCYFYKAHSVYSDYGGIANEASEGQRIAQSLGDGKAVILMNHGLLTVGQTV DEAAFLFCLMERSCKVQLLAERTGLEKRIVSDEEAAYNYKMASTPETLFVEFQPHYQY EEYLSKGDFKK TSTA_036600 MIISTAVVALSLFIVYHSIYRTLCIGPLQKVPGPKLYAITKWRL ALDDYNGVRTRSIHSLHQKYGTAVRISPNEVSFSSLSALRTIYGAGSGFERTDFYRMF DVYGRQNLFTFAGVKQHADRKKLLAHAYSKSAILSPNAIAKPLIEKNVNSYLELLEHE KGVTEEIFQSLHWFSLDSITGFLYGNQHGGTHALKGDSRDRELLNDVIDPSRRLLSWY AVHLKNYTKWLYTRTGLMEKIVINLGLLPMKKPATYTGIRAHALKSWNSFEASVARSP PIRDMSIMEKLWRHSTSRKGPLLDGLDIASEAADHFLAGIDTTSDTLMFTIWALSRPE NRIYQEKLIQEVNNIPEMDCNADGNPTTEAADKLPYLDAIIKEALRLYAPLPASEPRL SPVDTKIDGYLIPAGTVVSMSPYTLHRNPEVFPEPLRFNPERWLGGNGDCAEMKKWFW AFSSGGRMCIGLHLAMAEMTTLLAAIYRKYTTSGYDRQKRVSPGITSRFEAFYDESLP KYEVSEYAFFA TSTA_036610 MEKKIQALQDEVGRITKHVPIHTRHEAPATAASDMAYQDSQHST EERLQAESPWNIHLNAKSSAGAVPGSYITQTSTPKPSVTQQDLISKGIISADSAMRYF EKYHECTVTFESTREASPLLIAAVCTIGALHSPSREDDFSTCRSEFIALSEKVALTQT SNVADVRALCIGTFWLPDLSWSLAAAAGRIATELQLHKSFYKAVHGDQEHYLRARLYY HVYVCDHHASIPFGRPPMTRECEAIREARKFLDCDYAIEDDARLVSQVCRWSVLSNIY DTFGIDVDRPLSDNEVSSLRRLNFALDSLRAEWIDRFAPNDHVGNYPRKGVSLQYHFA KLYLCSHAFRGAGSQVFPLRSQEATIELDEVANSAVVSALSILRSVLADVEIHSFLDG LPTYFHVMITFAVVFLLKVSFKPSSYIRLGVQEVKHLVEDTGSILKRITSTMHSRHLF VSIAKGIGNLLQQFSQNEEQSHLIANTAISETDAQVPETDVWNGDFAFDPYFLGDYDF YTDQFTDIGLSFLLDNVGLPG TSTA_036620 MSKVFFITGAGSGIGRVTARELLLKGYLVFLTDYNESFLEDTCT NHLPSVIPEDKRQNYNWAQMNVCDEEQITNAIALCVEKFGGIDVLSQYMRSGIRMDNV PTSDFEKVLSVNLIGTYRVSQEAIPHLEKSPNGGVIINMSSCRATQQSKHGEAYAASK AGIEGLSMAMAVSLGPKIRVHVISPGMIDVRSERNGSLVPPPEKLRDDLNRDYQTEYA SEWGTGTSKSLKEAHPVGRIGRGEDIARPRKSPSIMADSVTLHGRSYAIPRQPTVIIC VDGFDPEYLTQGISDGIIPNLARFVQQGFHATVNSCMPSFTNPNNVSIITGQPPAVHG IAGNFFLDRETGKEKMIQNDSLLRGSTILEQMSKHGVRVAAITAKDKLRRILAHGLQT SNGDICFSSERAASCTLAENGIEDVEEWIGRKAPSQYSGDLSIYVLDTGIKLLKEKRA QLFYLTLSDYVQHKHAPGSPEANEFFITLDQRIGRLVALGATVAITGDHGMSSKSKDD GKPNILFLEDTLAEKWGSESARVICPITDPFVKHHGALGSFVRVYVKNSINLSDMIDF TKTLPHVEQVLDRKVAAEEYDMPVDREGDFVVISKKNAVIGSRKDEHDLSSIGDHPLR SHGGLSEQQVPLLLSRPVADVEGAKAKDDWRNYDVLDLALNW TSTA_036630 MSTIQNLKNFIRHGKQARLVTPHAEPTTDVTPVHAQQQRQPQGQ YANNLDAIDHKHGNAQPPQKETTTTKISRADIEKLVEEERHSRSRMPKYPGLDRYILI EKMGDGAFSNVYRAKDTQGRMEEVAIKVVRKFEMNNNQRANILKEVQIMRQLDHPNIV KLVDFSESPQFYYIVLELCPGGELFHQIVRLTYFSEDLSRHVIKQVAEAIEYLHETSG VVHRDIKPENLLFYPTEFVPSKHPKPRQPGDEDKVDEGEFIAGKGAGGIGTIKIADFG LSKVIWDSQTMTPCGTVGYTAPEIVKDERYSKSVDMWALGCVLYTLLCGFPPFYDESI QVLTEKVARGQYTFLSPWWDDISKSAQDLVSHLLTVDPEKRYTIRQFLDHPWIKQTNE STHAAADAPPLATPQLPRQKETKANQMFEVQQTPLSAAERRMDFRSPGVVNLREVFDV GYAVHRQEEEGKRRKNFRQGYRGGNPAAGFSSQLNPLNEDYDDDEEAAYDEQDQKGDP PAKIPRSSQTAASDVAAMEAKLRQTNLGTQPSSAAQARAANRYNGATRQKQQQQQQQQ QPGGYGQHSAAVAAAARQNAARAARQPFELNMSNATLLERRGRRQPGAQVV TSTA_036640 MPDLEVVGTLDGPLDRPLLKGHKTLPRRRQARPTVEINDDDTPY TKTKDDMVNGDIPITPTLPLTPPNQVQEDTQDSPPRLNGQPSVPLSLRNMTELPTGSH QFSPPTPDVTPPRVKDTTPKARPVFPSLQSSMSSRADSFQTAREDMSDDDAVDGSCPS AHSLVIRKRQRSFPDPSPLSNGFDKGNMARQEFTVTGCTEKLLGTIGKDQDIKRTIRL ASSPLPKSQSKGRSDQRRTVPEKANLEVASPGKKNEHSRSTQWAEPSDLDKVDGRSAS ELTSSEQRLMDRVNTWRHSGASFTSTIEAVVVDTSPPKPRALRHVEKRTSLRSVSSPQ PRSTRTSTESNGDSSHRLVHKSGRISNQNRNSVASDMSVPVSTTSTNKEVHEVIPVVV VPQRRSSLKSSAPSITNQSAVRSTTSSRRKTSVSGSRAGDQIPPQRKHAMSDAASSTA GQSGRESRGRGVGRPPIPTRSSSLSAPTSRNNSRTTSLTSESLRLHTEQMQQAFEVPP HKSSNVLPSPRIVLHRGSDPANAGNSVPASPRRNPEDMERLHAPSLHFTQSSVVSSSP GPIEINEATMVTYFPHNNESLLVINSHMQPESRAVRALHGQDLSAPVAVSTPQHSTAM LDVESPLRNPRTPPLPPTQKAVLPDPVDSALEGNAYLSRQGSNRLSRGFGSLRRALSA RRNSDGVRPQLMARSLSVRSARSRRADKARDSPFWRPRGFWDDFDSSPENERGAATPN EQYPVEREDVYVSNSLGLPQKRVIFSGPLVLARRISKSRKSRRQQARRHQLSRSDLNL AAGIVRPHSPYQRQTVKYRVQRGVLFPVRTFRNVRRRVKEARKQRARAALEARRERLK QSIGPRKVTDPYGVGPFDHSIPYGEGNARGLY TSTA_036650 MGKSGVNEVTDESVPRQGSTVERDVDNVVGDLDGDEQQNQDEST SEKEQNDMMHSITRISTDPYGNTYPEGGLEAWLVVLGSFLGLFGAMGLVNTIGTFQTY ISTHQLQTYDSGTIGWIFGIYACLTFFCGLQIGPVFDAKGPRWLVLSGSVLILVSTIV LGFCQRYWHFMLVLGVLMGIGTSLIFTPSIAAVGHFFYERRGEATGIAAMGGSVGGVV FPLVLEALFPKIGFAWATRVIALFCLVSLGMACILIRSRLPPKPASKENILPDFRIFR DPIFTLTTAGVFLIEWGLFVPVTYISSYALAERFSPSFSYQILAILNAGSAFGRWLPG YIADYLGRFNTMILACLGCLVTTACLWLPAGSSLALLIVYSVLFGFFSGSNISLTPVC VGQLCKTEHYGRYYATAYTIVSLGTLTGVPIAGEILSRCSGHYWGLIAFVACCYFSGA IAFTTAKLLKVGWRHPWVVF TSTA_036660 MPITSIRAEALAGPAWGGGGGGGDAGAEAGAAIDCTGGPPATVV VVVTPADVVDEVAGVVEDDTTWDTEVVASTTLVATEEVVAGPPIFLRVVVVVVVVGVR GVVRDVVCEAGPKARTLGLLLGTTELGIAVEIDGSPTLVDVDSEVTGSEGRILPTPKR RLEEVGDERGMLVDGEEAGIEGLNTEDVRDTTGGDDGMEEAGRVVVTDGGGEAGGEEG GGGGGGGDGGGGTDVDVTLEDEGIVTVTVFGDGGGQNVSVTVTVDTQTGEDEGVDGRG GVTTDDDDGRGTDGAGGTLDDGLGGVVETLGTDELDV TSTA_036670 MASDEDPFYAAAAILKTLAVEPPPSDDDTDIPKKATNGFAKKRI QLPGESTPGKLAFTVELELLMRRVHDLETELQYKRPRRSRRLKRKYDDDDDDDDDDDT ADEDDDDDDEESEESDDDHAANGAVVSRRRALRGGAETLRSLQNHVEKQAHEISIQKD IIARVREELDMQEERTRRTLVKVEHEDVGVLQRELRKHQQANEAFQKALREIGGIITQ VANGDLSMKVQIHPLEMDPEIATFKRTINTMMDQLQVFGSEVSRVAREVGTEGILGGQ AQITGVHGIWKELTENVNIMAKNLTDQVREIATVTTAVAHGDLSQKIESRAHGEIFEL QQTINTMVDQLRTFATEVTRVARDVGTEGVLGGQAQIEGVQGMWNELTVNVNAMANNL TTQVRDIANVTKAVAKGDLTQKVQANCKGEIAELKNIINSMVDQLRQFAQEVTKIAKE VGTDGVLGGQATVHDVEGTWKDLTENVNRMANNLTTHVREIAEVTTAVAKGDLTRKVT ANVQGEILDLKNTINDMVDRLNKFAFEVSKVAREVGTDGTLGGQAKVDNVEGKWKDLT DNVNTMAQNLTSQVRSISDVTQAIAKGDLSKKIEVHAQGEILTLKVTINNMVDRLAKF ATELKRVARDVGVDGKMGGQANVEGIAGRWKEITEDVNTMAENLTSQVRAFGEITDAA TDGDFTKLITVNASGEMDELKRKINKMVSNLRDSIQRNTAAREAAEQANRTKSEFLAN MSHEIRTPMNGIIGMTQLTLDTDDLKPYPREMLNVVHNLANSLLTIIDDILDISKIEA NRMVIESIPFTVRGTVFNALKTLAVKANEKFLNLAYQVDSSVPDYVIGDPFRLRQIIL NLVGNAIKFTEHGEVKLTISKSEREQCARDEYAFEFSVSDTGIGIEEDKLDLIFDTFQ QADGSTTRRFGGTGLGLSISKRLVNLMGGDVWVTSEYGHGSTFHFTCVVKLADQSLNV ISSQLIPYKNHRVLFIDKGHTGGHAEQITLMLQQLDLEPLVVKDEDEVPPPEIHDPSG KESGHAYDVIIVDTVDTARTLRTYDEFKYIPIVLLCPVVSVSLKSALDLGITSYMTTP CQPIDLGNGMLPALEGRSTPITTDHSRSLDILLAEDNEVNQKLAVKILEKCNHGVTVV GNGQEALDAVKNRRYDIILMDVQMPVMGGFEATDKIREYERENNLSRTPIIALTAHAM LGDREKCIQAQMDEYLSKPLKQNQMIQTILKCATLGGNFMEKNKESRLAGPNEGHLAP VTHQQRPGMEGRAITSGQISTETDSPGTEEGGEVEVDRKLLLRSHSS TSTA_036670 MASDEDPFYAAAAILKTLAVEPPPSDDDTDIPKKATNGFAKKRI QLPGESTPGKLAFTVELELLMRRVHDLETELQYKRPRRSRRLKRKYDDDDDDDDDDDT ADEDDDDDDEESEESDDDHAANGAVVSRRRALRGGAETLRSLQNHVEKQAHEISIQKD IIARVREELDMQEERTRRTLVKVEHEDVGVLQRELRKHQQANEAFQKALREIGGIITQ VANGDLSMKVQIHPLEMDPEIATFKRTINTMMDQLQVFGSEVSRVAREVGTEGILGGQ AQITGVHGIWKELTENVNIMAKNLTDQVREIATVTTAVAHGDLSQKIESRAHGEIFEL QQTINTMVDQLRTFATEVTRVARDVGTEGVLGGQAQIEGVQGMWNELTVNVNAMANNL TTQVRDIANVTKAVAKGDLTQKVQANCKGEIAELKNIINSMVDQLRQFAQEVTKIAKE VGTDGVLGGQATVHDVEGTWKDLTENVNRMANNLTTHVREIAEVTTAVAKGDLTRKVT ANVQGEILDLKNTINDMVDRLNKFAFEVSKVAREVGTDGTLGGQAKVDNVEGKWKDLT DNVNTMAQNLTSQVRSISDVTQAIAKGDLSKKIEVHAQGEILTLKVTINNMVDRLAKF ATELKRVARDVGVDGKMGGQANVEGIAGRWKEITEDVNTMAENLTSQVRAFGEITDAA TDGDFTKLITVNASGEMDELKRKINKMVSNLRDSIQRNTAAREAAEQANRTKSEFLAN MSHEIRTPMNGIIGMTQLTLDTDDLKPYPREMLNVVHNLANSLLTIIDDILDISKIEA NRMVIESIPFTVRGTVFNALKTLAVKANEKFLNLAYQVDSSVPDYVIGDPFRLRQIIL NLVGNAIKFTEHGEVKLTISKSEREQCARDEYAFEFSVSDTGIGIEEDKLDLIFDTFQ QADGSTTRRFGGTGLGLSISKRLVNLMGGDVWVTSEYGHGSTFHFTCVVKLADQSLNV ISSQLIPYKNHRVLFIDKGHTGGHAEQITLMLQQLDLEPLVVKDEDEVPPPEIHDPSG KESGHAYDVIIVDTVDTARTLRTYDEFKYIPIVLLCPVVSVSLKSALDLGITSYMTTP CQPIDLGNGMLPALEGRSTPITTDHSRSLDILLAEDNEVNQKLAVKILEKCNHGVTVV GNGQEALDAVKNRRYDIILMDVQMPVMGGFEATDKIREYERENNLSRTPIIALTAHAM LGDREKCIQAQMDEYLSKPLKQNQMIQTILKCATLGGNFMEKNKESRLAGPNEGHLAP VTHQQRPGMEGRAITSGQISTETDSPGTEEGGEVEVDRQKLLLRSHSS TSTA_036680 MASDLTAPILDALSSTDQPLLSTEAFPSTPSLNIKAALDRLASR SMVEYETIDKEALVLTEEGESIAANGSHEAKVFDAVVQAIDGLKITDLPGIVGKDVAK VGQGNAFKRGWIKKDKDLLRASTDKIQDETKLVLQTVKESQSYPDAKVIADLKKRKLI TVSKILSFKFWKGAKYAREFVKEETDLTADMLTNGSWKTAHFKPYNFKAKGAPTPSGA LHPLNKVRQEFRSIFFEMGFEEMPTNRFVETGFWNFDALYVPQQHPARDLQDTFYIAD PVKADPPREDPPNNPHLTRASTMPSSDKKQEDKPLDYKAYWDNVRAVHENGKYGSIGY RYPWNPDEALRLVLRTHTTSVSTYMLHKLAANPRPARYFSIDRVFRNEAVDATHLAEF HQVEGVIADFGLALGGLIGFMEVFFAKMGIHRLRFKPAYNPYTEPSMEIFGFHDGLGK WVEIGNSGMFRPEMLESMGLPKDMRVYGWGLSLERPTMIKYGVSNIRELLGHKVDLNF IESNPAVRLERD TSTA_036690 MENEKGEIVDLYVPRKCSATNRIIKANDHASVQISIGNVDENGR YTGENQVYALSGFVRARGEADDSLNRLAQRDGYLKNVWSAQR TSTA_036700 MPTKSEKRDVAVARPSSSIVLISPENEVLLLHRVRTSTSFASAH VFPGGNISPHQDGEFDCAAEDPRRHFDALNYRRAAIRELFEESGILLAKDSGATDGRL IHVDDAVREKGRHDIHNNKIGFGEWLRGQNSAAEMDTDNLIPFTHWITPPNLPKRFST QMYLYFLPIPGSSANESITAQGLPEGGRQEVQIPTSDGGIEINEARFLPASKWIQQAQ AGKIILYPPQFLLLSIVSQFLDRSTSAASSLAEKEGRRKGLLDFIHSENPPWTDKFVC PRSLQLLDDQRVVLALDHPGPELHDSGKKGDFERVILVKFGKDGPRNVEVRWKKDVLE ATKSVL TSTA_036710 MTITNGDAQAFFHARGEIQDYTKALEILEKEYPARDGLDVETLL DSDKHGALTYNDFLILPGYIGFPASDVTLDTPVTKRISLKAPLLSSPMDTVTEHNMAI HMALLGGLGVIHHNCSAEDQAEMVRKVKRYENGFILDPVVISPKTTVAEAKELKATWG FGGFPVTENGTLRSKLVGIVTSRDIQFHTSDADPVTKVMSTDLVTAPAGTTLAEANEV LRNSKKGKLPIVDKDGNLVSLLSRSDLRKNLHYPLASKLPHSKQLIAAAAIGTRESDK DRLKMLVDAGLDIVILDSSQGNSMYQLDMIKWVKKTFPQIDVIAGNVVTREQAANLIA AGADGLRIGMGSGSACITQEVMAVGRPQAVAVHSVASFAARFGVPCIADGGIQNIGHI VKGLAMGASTVMMGGLLAGTTESPGEYFVSSEGQLVKAYRGMGSIDAMEDKKAGKGGK DSKANNAGTARYFSEKDGVLVAQGVSGSVLDRGSVTKFVPYLIAGIQHSLQDIGVRSV KELHDSVNNKTVRFELRSGSAQAEGNVHGLHSFDKKLYS TSTA_036720 MIANEPKSLGCIYKSFGLWKKNHAIKEMKQHGTFQPPRVPQSQT KPGLETNMQPASESTKLEDADGFFEYVGSGKLKDKSVLITGGDSGIGRAVAVLMAREG ADVTIAHLPEEQEDAEDTKKMVEAEKRSCFLFAGDLTDHENCRRVVDEHYRSYGSLNI LVNNASKQYMCKTLTDIDLNTVESVFRSNILQMFAVTKYALTYMKQGDTIINTTSVVT FRGSASMVDYAATKGAIVGFTRSLATQLIPKGIRVNAVAPGAIYTPIQPDTRPAKQME GWHSKSPLGRPGQPSEVAPTFVFLASPEASLYCELSAISCYVFS TSTA_036720 MRTSYGMASQEMKQHGTFQPPRVPQSQTKPGLETNMQPASESTK LEDADGFFEYVGSGKLKDKSVLITGGDSGIGRAVAVLMAREGADVTIAHLPEEQEDAE DTKKMVEAEKRSCFLFAGDLTDHENCRRVVDEHYRSYGSLNILVNNASKQYMCKTLTD IDLNTVESVFRSNILQMFAVTKYALTYMKQGDTIINTTSVVTFRGSASMVDYAATKGA IVGFTRSLATQLIPKGIRVNAVAPGAIYTPIQPDTRPAKQMEGWHSKSPLGRPGQPSE VAPTFVFLASPEASLYCELSAISCYVFS TSTA_036730 MASSDDLKYVYKLVPSSAPIPESLPDRLPVSDLDLQSGFIHLSS ARQVPNTLKFFFKDEPLVYVLRLEYAGVEDNIRWESPDAKICGPRDGEGMFPHLYNGL KLGKKEIESVAVWKNENGWDHALENAASWLI TSTA_036740 MSHPTAFRRSQTDIGTSASSPLRHDSVVSTISSSAYSIFSNDAA PSRSSTISSNASSLHNFGHKRGMSEVSVLTSGSSTIHDRRPSAAETYGNVRRSLRPLP QAPNASPKSSLKDGSTRHARSYTIDDTVYRKPVSPAGTPERKIRWQDEEPSPHTNTEF PFPASTPPRPRSQHSYGPHSPLTPSLTASFTAPELETFQKSSTGHLRTLSKFAKSGET EEFTMDSALPSVVGLQGRRRLKRSDSIRGNAVAQTKNLSSSWAAGNWMDKQRQFLQAY EYLCHIGEAKEWIEDVIQKEIPPIVQLEEALRDGVTLAEIVQALYPNRALRIFRNPRL QYRHSDNIALFFRFLDEVALPDIFRFELIDLYEKKNIPKVIHCIHALSWLLYKNGIVA FRIGNLVGQLQFEHHELEQTQKGLDKAGVSMPSFSGMGATFGAEPEPEPEPEPVETEE ERVERELHENEQSIHELQTQIRGALLRLKLGQVMNQLWDNEQWIVDLQSIIRGDWARQ IVGYRLQMRQFAVNLQAISRGFLVRTRRQNEEEWWQLKEPEVLKIQNLFRGRKARAQI SHLKTRMRREESGVKSIQAAIRGALQRKQASDRVQETKGTEKEVKKLQAAIRGMQARR SVGQVTAQLQHEVGSVLFLQSAIRASAHRAKLAGLKEELAQSENIICSLQSSIRAAAV RKNLEIVRNQLDEYQEAVADLQSIIRANALRSNLEQQRTSLAKTEPEVLLLQSMSRGM SLRKRVTSDLENLENNTPLYTTLQSLTRAALVRRDIGQILSELEDNEDEVIQLQGLIR SMLVRIDVGNMLSDLEADEDAITDFQARIRGYLVRLRFAEKQRFFRENMDKVIKVQSF VRGKIQGQAYKSLTSGKNPPVGTIKGFVHLLNDSDFDFDEEIEFERLRKTVVQQVRQN EMADQYVSQLDIKIALLVKNKITLDEVVKHQKHFGGHVGSLLSNNNILSKDPFDLKAL NKTSRKKLEQYQVLFFLLQTQPQYLARLFRKLREQNTSDKEYEKTKHVIMGLFGYSQK RREEYYLIKLITRSIKEEIQSCPSLQDWVRCNSFWIKLFVAYVKSPRDRKFLREILNP IVKEWILENPDIDLESDPLQIYRTAIINEELRTGQKSRRPLDIPKEAAIRDPETRAIF IQHLENLRDISEQFLGRFHEALPKMPFGIRYIAKELYEMLLAQYSNEDPGLVLQVAGQ CIWKNYLQAAVLEPEKHGVLDHSLDPKHKRDLSEIAKVLSQVASGRLFGEEGVFLQPL NPHIGNFILRLGEIWGDMISVQEAESYFDIDEFNDLYAKTKPTLYIKMSDIFSIHQLV ASEIDYLCTRPDDTLKEIIRDLGTVKASQHELMGVRSSEISLTLHPKLTNMEDPEAGI KALFMETKRCILYIIRVQSGANLMEIMLKPPTEEDEQRWLSLVHDELSANNKRKGAYS EINTALDLSTMSYADLKSVALENILQLEQVGKISRHNQYQDILNAIAVDIRTKHRRRI QRERELESVRLTLSRLNDQAEFLEQQLKTYNDYIEHSMATLQNKKGKKRFLLPFTKQW DHERELQKTGRVFKLGSYKYSARTLAEKGVLVHWKGYTERQWDRVDLSISSNEVGVFI LDGSSGNMMIPGASAQVPLDDLLQAQYNNTQFLDFFEGTLRVNVDYFLHLIMKKFYNE TSTA_036750 MDFSSLKEQVSNLTLYDIKAGVRKVQNAVMNYTEMEAKVREATN NEPWGASSTLMQEIASGTHNYHLLNEIMPMIYKRFTEKTAEEWRQIYKALQLLEFLIK NGSERVVDDARSHLSLIRMLRQFHYIDNNGKDQGINVRNRSQELVKLLGDVDAIRSER KKARANRNKFGGMEGTGGGFASGVGSGGRYGGFGSDSYGGYSGGVFGDGGGFGGASDP SDFRDTARRSSRFEEYDEDDDEVSAPSRRTAESRTQAKASQPPPKAPEVDLFDFGDDD VVVSTPATVPSSTAAGKQPATAGGHGIDMLGGTTGGDDDDDFDDFQSASPPAAPTVTT SASTQFVAPKPVSAAQGADLTGLVGFTSNTTTPTGSTTVSPPPLSTTGSAFAAPLQQQ PKPSGYQAPTPNYYTSINAGLNNQSAMSPISTLGSSGRPGMGGSTSSFSSLTSPTSTI NSPSSLVAAGKKPASTGAKSSGGDAFGSLWSTASASAGIQKATATKGPNLASMAKEKS SAGIWGTTSNTTSNHNNNSGFSSRPVNGGGSSGDLLG TSTA_036760 MSDFWAFTRYLYLKVLVTLSRLLIKLLASPQSSKLDYKLDIPSR DKNRTIKVHVYKPPVTETGSSTPAPVLINLYGSGLAIPLHGLDDDFCRFIADTTGYVV LDVNYCLAPEYPFPKALNDVEDTLKYVLDHPKEYQASRVSISGFSSGGTLALSASASL PRGTFTSLIAFYPATNLYQDPSLRKAPVPGGKDRSPFWTRIFRESYIRGMDARDPRIS PAFADTTNFPSNMLIVTGELDASAIEAEELAERAKDEGHTTGREVIIRRMKGCGHAFD KKLTGEVSVKAKNETYELAAKILKNGLKVST TSTA_036770 MDPSPAATDILVLIWVLTAISLIMVALRVVAKVRINQFRMDDIL MILTLILSIVAAAFNTTGVKYGYGHPDATVPEPDASLARKNYLFGLAVLIVCTALGRA AFVLYLLAILGNQKWHRIILIALAVMEVAFNLVSVILIFVDCTPSASLWDYSIPGKCS LDALQLNWGYFQSIFNVFVDLYLAVVPTYIFWHLKLKLAVKLGLIALMSCGLVAMAAA LAKTVQLHEINNGIIGGTMNLIRWGYLEAHLVIITASVPCLRSLILSGFHFMTSSGHG GSRSYELGGTGAFTGTTRRGTTTITTVHDTSTQRRTDSRLRNMLSSNRNNNVNDDGAS GHHILESRHSIDAVKSSESTQDLRSGQMGIQKQVDVTITMHDDSPDENGGFRQ TSTA_036780 MSPVSADDSEWSGIGQYQLGLKPDAPISPTVQNRGNLATPPSSG APTPTGSLGLNGGNPVPRRPDDSGTRRLLVPSLLDQVLGPRDNFETVATQFTSEYRCF DDLSAVNKLLRPRTASANRHPLPYLLARQKLASLQHPRFRDLATDVFCELERRFPQFA GPDLPRVGSPAGSMRGGPPRGSGPMNGFRPGSNGFPPNGYPGGPRPSSRGPGGRGYPP GPPGPGGLGGPGGPGGPGGPPGGRFPPRQQSLSGGISLNGEEPLAKSFQSNTIVPNKS TLVEDDDDGGVDDDYDGRSDAFGLDALQSRRGTTTTLGERKLLADSQAQVTTLQERID ELEALMKSKDEEISRLQDEQDKSQISSSERDEWESLKSELENKVADVENANTYLQNKI DQMQQEHTEKERQLREQLEAAHGNGVDVELQQRYSDLEMRHESLQAELQEQEKTTEEV RRDASNFLKEMRAMSERSNATWEQEEKLSRDVNRLEEEVKEWKNRYIRAKTQLRHLRT STVGIPNLHGDVGMTARENELIHQNGLVKDSHVTKFQMSIDELLRSARSGEPSLVLDQ MKVVVVAVRHMINDTETVGDMSPAQKRAKSRVSSTANNLITASKNFAGSNGLSPVSLL DAAASHLSTAVIELLRLVKIYASPPEDFEGENEDNIAPMQSPGYFSVAASQSRLSNNE SVYSAISSPSARTRSIAQSRRTMSRSGSGIQGLAIGKPGYAVRPQDHELEELKLYLED QTEGLVQTIQALVASIRGAEHISSIRKHIGAISIVVGNVVSSTDHAMDKAEISPAVRE RLGPVVQSLADCSDRLSRTAAEGEDIESPEQLRDLTSKLPPIAFEIARETKELVQRID QLEVDEGNSDDFR TSTA_036790 MPSDIDNSVATATDSDGDDDDDLNIVSVRPPSYTTGSHKRLRRD GQEPSTTNNHKKQRVERDESPDSSSDNILDDEFNDSEAAYQQFKNRKSIQRKRASLNE RRARKGKAKETEKDGGISRRRRSAPARDSLVGRVPSEPSRKPKRVMREYTEDLVSSED DLMEHTLPDFLQQRRSQFDARMNRLKEAGLRLPPNFDDVEFSDDENLEFLKEKPFFRD VTPPKPYEDIPLPYSLGLIPAPIAQWLRDYQVEGAAFLHELFVYQKGGILGDDMGLGK TVQVIAFLTAAYGKTADERDMKRMRKLRRSGDDVWYPRTLIVCPGTLIENWKSELQRW GWWAVGLFHGDRKEEALQAAKAGRLEILVTTYVTYRMNKDAINMVEWDCVVADECHQI KERKSETTIAMNEINALCRIGLTGTAIQNKYEELWTLLNWTNPGKLGPVTAWKRAVAE PLKIGQSHDATLYQLSKARKTAKKLVQNLLPEFFLRRMKTLIADQLPKKSDRVVFCPL TDTQADAYENFLNSDALVYIKNASELCDCGSGKKSGWCCYKYLPSGQKWQSYVFPAIQ NLQKLSNHLAILIPQGQDPREKQDKDLEMLQLAVPDRWRELYQTRDSIINYANPEFCG KWKVLRKLLKWWHANGDKVLVFSHSVRLLKMLQMLFNHTSYNVSYLDGAMSYEDRAKA VDEFNSDPQQFVFLISTRAGGVGLNIVSANKVVVVDPNWNPSHDLQAQDRAYRIGQHR DVEVFRLISAGTIEEIVYARQIYKQQQANIGYNASTERRYFKGVQEKKDQKGEIFGLS NLFEYQNNNIVLRDIVNKTNIAESKAGVQVIGVDLEQDDDDASSSDNVTTKSAVDDED DGTLSQIAALIRGETPSSSSATASPSLQANKKHDPIHAILASAGVEYSHLNNEVIGSS KVEERLSRRAEQANDPLQGDKQVFDTSSQPTQTSSSNGNSHRYDNFKIRTEDGSKTIR FKFHPSQDVRKRQFCSMAQKFGFPNATEFALVVEGMTQAQRRACLERWYRDRREMLLK EGGGNVQSEEDIKSEDAAQTDA TSTA_036800 MPLDTSTTYPLTKLRLDGRRWNELRLLQAQISTNSASSGSSYLS MGNTSIMCTVHGPHETSGAGSATEALVDIDVNIAGFAGVDRKRRAGGSDRQSSQLSTA LKSAFQSHLHTYLYPHSTITVQVSVLSSDGSLFAAAINACTLALVDAGIPMPGLLCAC TAGMSGSASTPRDLSGSDQDQLDPLLDLSLPEEQELPFITIGTTTALPAGSAVGAEDD VDDDMKVSVLHMETRAHTSYLDAMLAVGIDGCKQIREILEGVIKGSNAGVAPVYGGGV ESDNDMDI TSTA_036810 MATMFSRGLRASLTPPSSFRSVSSFLTTSSASPATSAFASIRRP TLFNCSSSQFQAARAFHQSSAVMSSSAYFVVEYGPAGNTQTGRIDFKLYNDVVPKTAK NFAELCKGYTDETGKVLTYKGSSFHRVIPQFMLQGGDFTRGNGTGGKSIYGEKFADEN FNVRHERPGLLSMANAGPNTNGSQFFITTVKTNWLDGKHVVFGEVTNGYEHVQAIEKL GSSSGAVRGTAKIVDSGAA TSTA_036810 MATMFSRGLRASLTPPSSFRSVSSFLTTSSASPATSAFASIRRP TLFNCSSSQFQAARAFHQSSAVMSSSAYFVVEYGPAGNTQTGRIDFKLYNDVVPKTAK NFAELCKGYTDETGKVLTYKGSSFHRVIPQFMLQGGDFTRGNGTGGKSIYGEKFADEN FNVRHERPGLLSMANAGPNTNGSQFFITTVKTNWLDGKHVVFGEVTNGYEHVQAIEKL GSSSGAVRGTAKIVDSGAA TSTA_036820 MATATTTSPQLNATGSRAVNPALPPVATDTPSRLPPMRRISSSA RERLSVYSNVSQTSQHRSRPVSHVFPLFHSSLPYTLVRDFAYPPIHPLHYGPLPVPSR ASTPASESRRLSDPQIGLWEPSRHSWSSNSGASDSHGQQLPAVSFGDGPPYSEDEDLH SPIITSRYRKHKSVHTFGDTGRGFGDSGRAGGVLNSQSDRGTLVAVNGDGSETYYVQG DQDASDGPGGEYITYPAGESSYSQYAYGNYGGTGVGAGGEGTQGSGHDGGDYVYEDDY SDRYSRDYHFSIGSPDEEMHGKAVALFDFTREHENELPLKEGQVILVSYRHGQGWLVA EDPRTGESGLVPEEFVRLVRDIEGGLSSLNGALSSGPNEAQSNNTITTGSSNTAGETT PTNGNNQGEILEERTEEKSS TSTA_036830 MDSMKDIDRSEPPNKKVKIVDTDTDQGESKMTTPVPIQIELSPA EHLLRTLLLDCRDHVSTGSGNRNAGDMWFVGGWVRDKLLGKQSCDIDVAMSNMTGPEF SSLLKEFLSLESDKSRTTTKGDIYKEQATKRGLPAEIRGFYEIDRNPKKGKHLQTTSA KIFGLDIDFVNLRTENDLTDQEGSVSRAEQDAKRRDATVNSIFYNLDSQEIEDYTANG LSDLKAKVLRTPLDARKTFTDDPLRILRFVRLASTLGFTIDEGTETTIRDSEIQCLIS TRTSPERIGLELKKMITGPDPVTALMWIHRLGLYKQVFLCGQSQKVLDKVAESEESEA KGWESEKGEYVWPSAWPEACKTVSKLFLPESKDSLLATELAQSQDIELVWQMAVWCPL ALLCQPDDTRPFPVVKPATKAIHATNEKSRLLEDSLSNMREIRAMIDNVVGGSDKGSL RRGIVGKAIRSWGKTWRLQALFTLLTDILSVKLATKTEDLLNRYSVLLKYVSDQKLQD APSMKQLLTGGEIKEIFELRRSGPFMNGVLKAVLEWQFDHENEYEDNAQLKEKAIEWL RGEKEELNIPDPDVA TSTA_036840 MMQAINRSIVLVMILLSSLAQAHSWVEEMTLVSPDGKFTGKVGY PRGMILRTDPGFSDPLMQYQLPPQGEQLSASTYICRESQRTSNYTDKLPRLAAYPGAA VALRYQENGHVTTPWSKAGKPQNSGIVYVYGTERPKEDDHLLDIYKKWTLDGKGGDGR GRLLTSQYFDDGQCYQLNVGYISQQRQAEFHRVAKPGDIAGANLWCQTDVPLPNDLPV GKDYTLYWVWDWSTLDEDGKVTLPELYTTCMDVTIADAGKIAGTGATVAHGENNAKQH FASVTNYGENAIQTVWEKLAATPTVPTAVTPVPVNVTASVFYIAANSVIQANGAATSQ AIAAGNAAVKAWAMTAAATATGARGAGAADAGGVGAAGATGATGATGAAGAGTGTGAT ATSATSATDACNPATVTITMTVTTTVAPSSAARSTGLLARRRDFCWFYYGPYHEA TSTA_036850 MASLIPRQFRANPQEEASEPSWLKNRVTSALQAVARRACAHPIH TIGVIALLASTTYVGLLEGSIFDAKGGSGPLDPASLLHGSRNLRLGEQTAWRWQLEDK VASDPSEIAQHLALATFVFSDSTQANTPANDDISIPANVSAIHVPQTPNLFSSFLRDS SVALTVPYDELPDLLRAVQEINDPSTENDGREARKWIMKAARGQGSRRALKLYVSDAW SSLVDLIKHAETIDIVIMTLGYISMHLTFVSLFLSMRRLGSQFWLAFSVLLSSVFAFL FGLLVTTKLGVSINMLLLSEGLPFLVVTVGFEKPTLLTKAVLSASLKNKAVANGNSRS SRSIQDSIEAAIKEEGFGIVRDYLIEITALVIGAVSGVHGGLRQFCFLAAWILFFDCV LLFTFYTAILLIKQEVNRIKRHVSIRKALEEDGVSRQVAENVAASNDWPRAESRNSKA GNTKLFGKKDQAGSVSKFKLFMVGGFALVNVINLVSIGFRQPDQESSLPVLSRFSNVL SSAPIDPFKVADNGLDSVYVTAKGNKMETLVTVLPPIKYKLEYPSVHYAGADHAGKFD IEYSEQILDAVGGKVLESLLRSVEDPVISKWIIAALTLSIVLNGYLFNAARWGIKEPE AEAPRAPPVVETPKVLVEYRDDGKKRSKEEAEAMVKEKKASLLNDEELIELSLRGKVP GYALEKTMTDQPIMSREDAFVRAVKIRRAVVSRTPATSAVASSLETSLVPYKHYNYTL VHGACCENVIGYLPLPLGVAGPMVIDGQSYFIPMATTEGVLVASTSRGAKAINAGGGA ITVVHGDGMTRGPGVSFPTLARAAEAKNWLDSEEGASIMKAAFDSTSRFARLQNLKTA LAGTNLYIRFKTTTGDAMGMNMISKGVEKALEVMATQCGFEDMNTISLSANYCTDKKA AAINWIDGRGKSVVAEAIIPGDIVKSVLKSSVDAMVELNISKNLVGSAMAGSLGGFNA HASNIVTAIFLATGQDPAQNVESSNCITLMKNLDGNLHISVSMPSIEVGTIGGGTILE AQSAMLEMLGVRGPHPTNPGENARQLARIVAAGVLAGELSLCAALAAGHLVKAHMAHN RSAAPTRSSTPVSAAVGAAAARSKVGLSMTTASK TSTA_036860 MEPSSSTKGFFQLKPTIPPQYLEDRALLRIISLYLPTPLPSTIS SDLLRFSKLVLSKPVLGYIADAEKNLPYLKPLTSFGEENRDDPLVTSEGWRRLQEIGI EEGIVALAYEKNSNGEKAGWNPRIHQFVKYHIWSGSSAIVTCPSAMTDGAAKLLGKHL HDDPETNEIFAHARERLISRQNGFAWTSGQWMTERKGGSDVRGTETIAVKLNDATDGY DTNGQPLGPWRIDGFKWFSSATDANMTILLAKTGGGSDRKDSQISAFYAPLRRRANGS DENQTELNGIRIQRLKNKLGMRGYLIGKEGQGVKEISHILNITRMQNIISAVGAYGRG LAISRAFARVRSVSGKLLSDVSAHVRGLAQEHVNYTAHMHLSYFIAALLGRSEGFEPG DDTSAATSSGILPKTMEEINALYRLLTPIAKAQTALSAISGLRSCMESLGGVGYLENE DPDLNIARLFRDANVLAIWEGTTDVMADDFVRVVKRGKDGMKILAVFEGWVGNSLRVA EGAGLKAEAAKLKNTAERVLMDLRGKEKEELAWRGREYLRDLDWLVCGCLLIHDAVRD GDGVAGEVMMRWIHGGTSRDWREESVWDRKIVFGDEVVSAKL TSTA_036870 MAADPQLETAVSANPENLPTTEADLPDASQPTIQTRDDGSAPAS TIAEGKAEPSYKLKFCTVCASNNNRSMEAHYQLSSGSYPVISFGTGSLVRLPGPSITQ PNVFNFDSTSYNHMYEDLYNKDQRLYRNNGILNMLDRNRNLKWGPERFQNWVPGQPRL DHIAKGDKGSLGTEAGVVDVIITCEERCWDAVVDDLLNRGSPLNRPVHVFNVDIRDNH EEALLGGKAIRDLADRLNAAANEERRLYDDPHAWDRGPSEARHSFDERVPEILAAWQE QYPHLPALWTLSWF TSTA_036880 MASQAETTFVPALIVVDMQEDFCPPNGSLAVQGGRTIAPLINTL LSLPAFKIRIGTQDWHPQDHISFASNHPPPNNDPFESYIEMTNPAPGKQNETKPQRLW PVHCVASTPGAEIIPEIVADKLDILAKKGMDTRVEMYSVFSDAFQNMDPSLFKSSVDV DVTATLKQRNVTDVFVVGLAGDYCVKYTAIDAARAGFRSFVVEDAVKSVDPGEGWKQA LKEFEEVGVKVVKSDGPEVGRVRS TSTA_036890 MSAEIFAAIDEKLSDTTTATTESVLPTTIHHKPLPYWLVNVPRD QWPSSCPAFLKGQSDKNISILATPDEEYQWIGWERVKELVRTNDIGKFQRLPSDLRRY LEYMFHLKQKYGSIMEFVLAERLHWDQTDLKPTGEPFKYDDDLKILYNDWPYGLEKGI VHLVIWTKFELEEDPGTGLLTESMWKKIDDYVDRVFRSRMPADQIVWFKNWKSLKSIH AIEHFHVMLNNPDPEFIEEITNGDVPLVEKMTQGRAL TSTA_036900 MPLPHVHSHRRSSLSNKSSDNEAEDETVVEPEQGNVLSHIISQL RPGADLSRVTLPTFILEPRSMLERITNFMAHPETLLPMPTIEDPLERFVSVVKFYLSG WHIKPPGVKKPLNPILGEVFTCYWDYDDGTRGYYISEQTSHHPPKSSYFFMAPEHHIR IDGTLKPRSKFLGNSAASLMEGIAILRLLNRGLNPAKGERYILTQPNMYARGILFGKM KYELGDHSFIKCPENHLVCDVEFKTKGYFSGTYNAIAGTIKNDQTGEVYYELSGLWNG EMYLKNVATGKKELLFNATRAKHTPPKTRPLEDQSERESQRLWYSTIQGLNARNHEVA TTEKTKIEDQQREEAAKRAEGNVEWHPKLFRAVRGGPGGSEEGEEDLDWIIHAEINAN DIQKAHKQILAIAPIIPGQKASTELHTHYNQPSITSTSTKSYAQSHGGDLIDFGDDMT SGAPAAKPASGASHDLLGNDTSSGLMAPLQPGSLTSSQASHDPHPVKRVDSSTDEVDV FVDAEEK TSTA_036910 MARSAIVQEYDTPPTTRSVTFSVDQKTNYERLHNGITRPKGYTV SYHANPAVEAHHFGSSHPMKPWRLVLTKELVLAYGMHHAMDLYLSRAATFEEMAEFHT EDYLEFLRQVIPADMEAAEQSDRIASYNIGDDCPIFDGLFNYCSLYAGGTVDAARKLV NNQADIAINWSGGLHHAKKTEASGFCYVNDIVLGILQLLRFHPRVMYIDIDVHHGDGV EQAFWSTDRVLTVSFHKYDKDNFFPGTGALDSNGPSHPLNPGSRHAINVPLNDGIEDG DYLQLFKAVISSCVETYNPGAIVLQCGADSLGCDRLGCFNLNVTGHGACVAYTKTLGL PMLVVGGGGYTPRNVSRAWAHETSILIDAADKIDPNIPDTVTFRNQFAPDYSLFPPLS EMRKIENKNSKQYLNNLVATIREQLRYMQGAPSVQMSFVPPDILGLREEVEKELEEQK EDMDEEKGEREQGIRSSAGASLSPGEAASRVSRRELERGVGTRGELSA TSTA_036920 MQFKNSLVLLTALTASSASARIHGHERRHHQHQERAVGDWVTAV IDGQTVSWENTWAGATSAANANAPAATTVKAAAAAVGTAKNVAEAAKPSSDPTSTSAS KTASATGFGATTAPQGSGSTYCGNHGNPYGSNIIEISKSELSSYDYTITLDGSKLTED FNVIFWNKCDANHALTGFFGPDFPLTVTVSPGSNAYVAVDVDSQGGFIGYPSSSSIPK NAQDGIVLGFWGEFDFADANNNGWSGFDVSSIEADIAGISDFPGLLISGAGQTSSITT GLGAVNNAYRNAQADIGGIGGNIAPGPVALTAVLNYSG TSTA_036930 MAPIRRYLRISKYSVLECRIFLENPADTRWLLDTTSRDPVLPRI FNTIKPHVLPKLREENERALAKKKSNPVKDVLVEEDFEVAIFLRESGTRHSLLTKQKT FGNRERIKSNSNILTGSATTEILVESDDEDNPRTGINMSDIPEVADSEDSDTEKRTGN AAAETTDNINDKKLQLTTSYEGFSIWGWVLCLLVTRKGHKSRKGGAGGSGAVASGQAL MEEWIGTQMQPGLDED TSTA_036940 MAIPEQIIEPLDIQPAPYTEPKYPTGSKFWCTVLALCMVMILGG LDANIVATAVPSITNHFHTVADVGWYSSAFRLCTCAFQFGFAKLYKHFSIKIIFLLSN FISLVGSLLCATATSSTMFIVGRAVTGLGFSGGLAGCFAVVTHILPLNKRPVFAGSMA CVESLAIISAPIVGGALTQSLGWRINLPIGGVSLVILLLLFSDPRSREEDDLTFAEKI REIDLVSNCLCIPSLTALFLALSWAGTKYPWSDGKVVALFIIFAVLLAAFLFNQYRRG DSAALPFRIIKNRNVIAGFVFTACTNSMTNVLEWYLPTYYQVVRCHTPSESGYLMIPI LVGMMLGLLLQGIGTTIFGYYAPFMIFASMCMPVAAGLMTTYDLHSPLAKIILYSGFV GFSGGIGFQGPQAAMQTTLSTTDVNLGIGVILFGQSIGPAVFIAIAQVIFTNKLSSSL ENVIPGLSPPYIEQHGLGDIKNGVPAQQLDEVLRGIDRSLTHTWYLPVALACTTTVGS LLIEWRSVKQKQS TSTA_036950 MRVTVSKAFILSLLASNALAFQPETESNELEKKYVGSVSTLASR WAQLTAPLEARHHTEAQIAAKKAAKAKGSKHVGRAVQAADAEPEVKENAVEDKAKGKK KGKKGKKGKKGAKKVAEVSKREAAEEDEELDLEARATEPEPEVQPNVVEDKAKKGKKK GKKGKKGKKGAKKAAEVTKRETAEDAEELDASTEDAAELDARSAEPEPEVEENVLEDR AKKGKKKGKKGKKGKKGAKKAAEVTRRNAAEDEELDSTEEAGELEARSPEAEPEVNEN VEDKAKKGKKKGKKGKKGKKGAKKVAEATKRDDAAEENGEVDSPEADDEAEVTKREAS PEAEELDLQEADEAGELDARSAEAEPEVEENVLEDRAKKGKKKGKKGKKGKKGAKKVA ETA TSTA_036960 MGIQQALALYPPAKQRLSAVINTQRVKSLIDSLTLEEKILNLVD ASAGSERLGLPSYEWWNEATHGVGSAPGVQFTEKPVNFSYATSFPAPILTAASFDDAL VREIASVIGREGRAFGNNGFSGFDFWAPNINPFRDPRWGRGQETPGEDSFVVQSYIRN FIPGLQGDDPEDKQVIATCKHYAAYDLETGRYGNDYNPTQQDLADYFLAPFKTCVRDT GVGSIMCAYNAVDGIPTCASEYLLDQVLRKHWNFTADYNYVVSDCGAVTDIWQYHNFT DTEEAAASVSLNAGVDLECGSSYLKLNESLAANQTTVQALDQALTRLYSALFTVGFFD GGKYTALGFADVSTPEAQSLAYEAAVEGMTLLKNDKRLLPIRSSHKYKSVALIGPFAN ATTQMQGDYSGIPPFLISPLEAFKGHDWEVNYAMGTGINNQTTTGFASALAAAEKSDL VIYLGGIDNSIEAETLDRTSLTWPGNQLDLVTQLSKLHKPLIVVQFGGGQLDDSALLQ NEGVQALVWAGYPSQSGGSALLDVLLGKRSIAGRLPVTQYPASYADQVSIFDINIRPN DSYPGRTYKWYTGMPVVPFGYGLHYTKFEFEWAQTLNHEYNIQQLVASCQSTGPISDN TPFTTVKAHVKNIGPEASDYVGLLFLSSPDAGPAPRPNKSLVSYLRLHNITSGSQGTL DLPLTLGSMARADENGNLVIFPGHYKIALDVSDSLTFEFSLRGDPLVIDTLPVPDAHY DFTVPVHIQPPSTEAHS TSTA_036970 MPQTQDLSEILKNAPLDFTHYEGLYKYFHAHPELSQQEKSTSQK IASFLASLDAYEIHTNIGGYGVVGVLKNGTGKTILLRADMDALPVKELTGLPYASSVT MRDADGVEKPVMHACGHDMHITCLLAAAELLASIQHVWSGTLIVLFQPDEERGGGAQA MVDDGLYDKIPVPDYCFGQHVMRMRAGSVGSRPGTIMAAADSLKITVFGRGGHGSLPH QTVDPALLAAHIVVRLQSIVSREIDPSDLGVVTVGSLQAGQTENIIADRAEIGLDFRT VKLETRQKIITAIRRIVEAECMASGSPKPPVFTPTRRFPPTLNDTDVASRLAASFEKH FEDFDADTPRTNVSEDFSTLGTSKGVPCCFWFIGGIDPELWDRVLKAENPTEEIAGNH SALFAPVIQPTMRVGVDALSPFQATMSTFGQWSVDALYQRNQRNWSNIITKTSIHASH NIGLFTASS TSTA_036980 MPQVLLLGGHGKIALHLTPLLLARSWNVTSVIRNAEHEAEILAL GKDKPGKLSVLLSSLDDVKSQADAQAVLDKVKPEYVVWAAGAGGKGGPQRTYAIDRDA AKHFIASSFATPSVTKFLLISHMGSRKTKPSWFTEESWKRTEKLWTDILPDYCKAKWE ADQYQTALAAVTKTKNPEREIQSISLRPGLLTDELATGKVAMGHVKAAEGSVTREDVA VVADRLLARDDAEGWFDLLNGEEPIDEAVERVVKGKIDSIGDEDVENMIRKFDL TSTA_036990 MRSRAQRHGGHSSDSETSDVSFQMDSDPAAINSATDLSDIDVPQ APNRDTKAPRGSRRQRRRGRACVTQLTGPIRPTASATAQPRVSGSAPTKVGRINADSD RNAAFRLDEKDFNESSDDEGSDSDSDPDVETDRESSDDEEDDGYAESTKEQREKLRSR WERFCRRKRKKARTAQGTVHLNWQDPVAVLRVATRRILTEFFRFCLRLRTGKDGRKLP GIGKLSSLGQDWKSFLRYYEAATGVPLDEELGRKMNKRLRKLALTEFELDTDEKEKTP MYIEDLVPLQETVLRTQEKRFWLGLQRIQQCLYNVLACFTVNRIDAMRNLQYKHLQCS MQRDPRGGPPIILLEITNKFAKKYLGVTQANTFPIPEIIYDPSLMLSPHSFLLGMLLR NQAFRAPNLRSMEDLRRLFIGKGRQQLPLPLKPEMANYYVFCRVEAKRGKVTVNPKLP ISRATLTKQIRDFGEIAGFPWAVFTHRFRYGGGTIMNASGLVSDAKQNLIMKHRDSRT FLNHYLPRRVDVDMQALMRGLEPDSELMRAITRMGRWMDPRRPRELTDEQKASVEDEP ELIKAIEKRDRLALELQSQSKKDEDQFAKLARLKRAVTNTRNRLLYALRSRVRRDFDD EQAVIDIEQQLAGTAIADDETKERLKNEDRMPPELVTLNEKLMTWPTSDSIEAEWRRR NEAVEAVRVYCDVLEGGPPRGRRPQAVNYVEEDVVMEDAPVTSVTALSERDRSFQAAK EHIQTASEPLCCFQCFADPGQADSRRLKHYPYHRNLVRHFRDWHLDERRCNFCGDQAG DFLQEMHWKAHVCNTHRLLKCR TSTA_037000 MAATLIRNTPNPLLLPEIVEYVIDNICMGDLVSCACVNSLWNVI ALKKLYKGSLNDMLNVSFDRKVAALSESSRDNKLLFHLIRSCNLHFFHFEERSRDQMT PIEIAELLISLQRQQNLKALVLIIPGSSCSAAIRMARRKQSKLWPNLKALYLGMGDEH WLEQIPDFKELQILSIQEFISGPRIINSDVISKIGKCQELRVIDVYFRECNDIEALLD IAHGCPLLQMLRVLHRRLGGPLDPMNTKFSDLLCALPHLEFLELDLKFQINGAHIQDL AVHCPRLTVLRLRETWLCLSIAQMRTVDTLRQLELVQSKEVLFENPQRLMEPHIFSTL VAEWRRIFPKLREMPCHADIYGLDMKRGDLEEISERDVVSLSSYEEIPLSVLEDTEEY HSSETTESDMITLSSEEEISSDESEDAEEEEEEEEEEEEEEAVFPGEQELNYNYFGSD WVFLRIRLWRELRYGPSQTAYDRFASIWRTNLEIEKIGWPVMPLEAYSDPESY TSTA_037010 METQQPQQPPTPAQIDNWHALGFIYGVEEGIATEAPTDILLESP ASPPSTIPFDEDSPPRQLPSERVLSGEEVCEAAGSPSPVIEISDDEENHHTRPRRRRR GRPDYAYRDYQDTMEHAISAPTVGKRKREDSNVVDFQSRIKRFVKEVTEPYEALEQEN KRLNQESHQLKKGKEQLQKDKEQLQKDKEQLQKDKEQLQKDKEQLQKDKKQLQLQIQY LRRQIDEQMRRNILKCALCHRTFNESWKFLGCGHTLCQTCLEDIESKGLGFGYPCPYP ECKKPIRFCLDFYPNVVEA TSTA_037020 MNEDGELLGRFCETPTTYTDPPDELAVSVLEEQNVNEDDNHSPN KEETSLSENLIKETHLLNGHDESSPIHDGLTPRIVVTGTRASEAIHPRQPPLYTEGDD ENIDEAEVPGGETAINKPYLQNPIVSHCGEGRDRRFLVWQSEEELRLQKSEWLTLYWE QQDVLEKASKLPASRTNFPKRKRNL TSTA_037030 MNAANLHSDNGIALPPYTEVNTDPDLEAPQQNHTRRTAVCRNLE LVLIYSFALLVILVLLGVFAYEMYIQYRHAVTSRVKAQRN TSTA_037040 MAPNKIISSQLIKTVANHGKNIKVKYATKTETWERTFLASSVQD DFSKAIEKTDDIPSGATTAILAEKEHPSSSDSKSHFTTVFENDDGEHISTKHVYP TSTA_037050 MAMLPKATRMFSTLVGRSGREYVRQKLLQRHPTKSGRDIYFALG NGKPFVLKPVSRSIFELSQELKDEFGSNTRLRIHLDENESESILVYEYFKSDLFSLIQ NYPAISIDARRAILKEVGLALNDIHAKDWIHLDVKPSNVFLNWHVDKNDRFHLEKVAL GDMDCALKLEGQKLLNYRIGNVMWRSPEGQLGKGVGKPSEVFSFALLCLYVITGAQCF QPDFEKLDIEPELVVLFKLLSTFGPLPDALVDHVNDEEAGTLLKSLWQAIIEGDPSER FEQWSEENFPNLDDKAKKLILRMTNLDPSKRAPMSEIVMDPYWT TSTA_037060 MPTTPEPTTAQEAAPAAASREESKPYSIRLNRDDRIRILTLRDA GFTYLEIATMLHVTHDQVQYTCQSQRATPKKARGKTPKLSEEDVDRIIEWISSSKRTR RMPYYKVVHELNLPVGATALARALKKRGYTRCKALRKPPLSDEHKRVRLAWALEHVNW SIEQWNRILWTDETWVTSAFSPDLNPIEAVWNWMKDWIQEQYPNDEQLSYDRLREVVR ASWDALPDQFLKDLIDSMQARCEAVIAAEGGHTKY TSTA_037070 MLPQETHSRTLGMLQRMGCPDSKQGLQDRWLRSPNFKPFWNALW EDCLKKNEKTSRGVGLEETLNVMQAFKEEIVNPYTDTSDWTEVHYLARFMYHALRHNT RHLDGLFKNRALRPREAEILMWSVLKAIQHLHAPSQINRPSGGRALLDDHFNPKVSQK RIARNIPRTKKKRLKKDSEQNPFSIGPGEEKYLMLEDSTNPTNKTSPNDTTVLEEDAG QVENLFGIVSQMMSESWKTMIKSYGREEYPTKKSSQFDPADPYWQLMFARTAMQHAEI DKAGDVVQQGSYWTDPDFQDISSDAISESGHEAGVEKHESQWSSGDPHADVDEVQEVR EQVEPAYEPIDSSNRETFLDFNCLQADEVASMRVDEESEHVPPSYLMTVTEDSLKSYR NQLLWMDNSQYQPHDFDAAVSQLYLTAPEDDEVSTIKVRHRYMRSNCSLEPWQVLGVA RLLEIREARQNDIPLSTGAFLGDVMGLGKTYQAIVYMLEILRRAKCRQSAWHTRNTNN AKATAPVPTYQPFLLIVPSAILMQWANEIQKVTDVFDVRILFGDKKAYKTGTWKKASL ITEPLTCHSQMFNGSFENANIIILTTYDTFRSRHGPPAVERWLRKQGRKNPMQYSPSL AAGPSDYKPPGWPGDLGGVFHDVILDEGHTVRNKDSGLSISIRWLQAKFHLIMSGTIF YNSIMDFAGYVDLIVAEEANRVWDQPDKMRELNVGPGVNPFALDDSHPGVFLRFTRRA LDRFVFSNLITVAVASVRLKMIMPSIMVRRTLSSVIHFRGKTAVIGARIPPSQTRIIN VQFTDVERNLYDTLVAPHYKGLIMEKDHKIVLNMAKYRMLTLLTTWLGFEYVESVLTA SNLDDFLRLFAYNTVCQSLGRTVYHKQYANARTWFEAVRKEGKKIYPSINAIRTLLRG SPKMRAMLPIVIHNCLVRKEKQIIWCNFPANQIHVAAVLKECGIDARIFHAKLDYRQR DILIHSFTNTDECQILIMSLAVNALGLNLHPRCNIMHFYDLPITKAVMDQAIGRLRRF GQQLVVIVYVYQVSKSWNTRQATAAGQKAVASMICDLNVDMFKMAIQSTENVRFFNWV VRNGHLHRLGNGEQPLAEDITEDTQILQAIQDSFVEQVGLAADSNDL TSTA_037080 MSGLEAVGVVSSIVQIADLGARVALKLCTVYQKVQQTESDLQNL SKDVSLTCAILQQFGKDLERDDQVQLYSQNAFKAAQEILDECGRVFREIESVIDKDSS TSAFTTAGSPFLKLSRKAAILLKRPRLDILRTRLDRLKNTVLLMINVIVYASQQRKRG IEASDADQQGFIEILMKRIHDTDQKLERLTRAIKGAEPVTMNTVGIFDKPSTMDIPAS NDSDSPAPRLVELCCLSEVRDGQCDPISLEIRDYSRVIKDILQRIQDAESLLTANRHG RVKQAILDAHATELRIFIAEHGEKAGKFCTKFCQGPLFNQKWPESLKDTSERTELIAA AFTVPRDENNQVTDNMCVASERKLCATSTPDTEPERDQLKEHMLNQEEMRRVIMSRFL ESDNTLMPTDEGDMLPTLPRPVDRNPIDQAEEWEERYYVGVHHDKLFDLKGLLSSWTS LTEDELTLISNNMGRDNKYLQQL TSTA_037090 MAAMHVSDRPPFVKFSESIPVNVIGRHGRMRSIKKPFKAWAKKI AELRREAEDGPEDCRPLTFFSPVCQENSHFTLLEINDTQLPLFKAIVLVIHFTQDSDV TSTA_037100 MFRTALLNYPLKAIRWFREDIYKNSPELRTEAETAIKKFYDNNK ENMERRGVTEAIVKGAKHNDPRNPDPKGEHWTVELIKEDGEFVTKRHVYPTEEK TSTA_037110 MNQVKVLSFLGKFRPKFPKVPGSTSLSTAQERPSSRHIAEESDY LYKAGGFHRVSLGDRFASDRYTILRKLGYGQYSTVWLARDSKAKKYVALKILRADCYG GAKDIFEQEILSRISDVSRRSNHEGRHYVLSTLDQFKHNGPNGEHVCFVFDVMGYHLG FQSAKYEGGKMPVTSVKSVVRQLLLGLDFLHRECGIIHTDLKPTNILMELQNPDETIS QYLSEVPPRIDSQGMPLREAIRTPLLSNLSEPHIRIIDFGVASWKDRHLSELIQSPAL RAPEVTIGAPWESSVDIWSLGCLIVEFIQGIVLFSGEPSKNGSWTADDDRLAKMIEVL GPFPSQLLKRGKRTADFFNKRGDLLRIQQLKPTTLERLINGTTKPFLKPNDMPDAEVP IFLNFLTAMLSIDPNRRRSAADLLQHDWIKV TSTA_037120 MTQNMLDQLDMLYLTLFQLDDIDDQLCSTLQSKLAALGDQIPIL QRLASYSKLVKQGSDLPVRRINYNIKKLSESCKPRWNEILKLNCEAIIFFMIAFNGLS SLPDQEFSWLARNLENYVEKRAFPAHWILREQIRKVVSNTPRKDNTKAFLAKYNVEEM KWCEDPAAIDPIQSVVDNRVDDKISSCKGVGGVDVEEHGKNAHPLQDDTDLEPTVQKA TSTTSYESDLNVFSHAPPLTASASLQQVMTTEHHQQDVVQHTRKHTTESVTHEDEDRQ EKIKLIKRSNEIDYKGSAMPASNLPLLIQRLPTAMEGSKQWKWERKFFANNVMRPREV GIDRTDCLSVFVPKDRNHDVSITLVVGYEAGVALIYDMGAQIIRV TSTA_037120 MTQNMLDQLDMLYLTLFQLDDIDDQLCSTLQSKLAALGDQIPIL QRLASYSKLVKQGSDLPVRRINYNIKKLSESCKPRWNEILKLNCEAIIFFMIAFNGLS SLPDQEFSWLARNLENYVEKRAFPAHWILREQIRKVVSNTPRKDNTKAFLAKYNVEEM KWCEDPAAIDPIQSVVDNRVDDKISSCKGVGGVDVEEHGKNAHPLQDDTDLEPTVQKA TSTTSYESDLNVFSHAPPLTASASLQQVMTTEHHQQDVVQHTRKHTTESVTHEDEDRQ EKIKLIKRSNGKR TSTA_037130 MNVSTRAGPGAAYNYEMEVLGYQVRKGLGRPGHEILDGVANEID ALFSQSAPSEAASISVKGGPRCGYFIEHGLDFLDTVKSYIRDQYPVRQSLKIVRLLRS QQGRVHMKRDQSISIIKVAPGRDLVTFRLSLPGSPLQGAVSNLVLREDELLFVLGSVT LEIEDPNDTAIIWVGYSSNPVGMDILNTDVLDFVLKGAHEV TSTA_037140 MTMAVSSQERYDKLYTAYYNFLVKQLGYRLIRISDLGNTDVVNI LSLPQHPTWSRVELNDGSWEYGMPISHINDFQKLVQNVSKAKIDLEYNPLSLLEGDID FHAAHGLNGIWLEARATRVYGIPRCFYMLLIYIQRMIAKELGRHLV TSTA_037150 MAANLCSLPLETLIEVCKHLDPADFVSTVRVNKDLYRRLRGNQP GKFILESVARWSREAYTARAGEIKFWEALNSYYNRIQASAKASPYSVAVLPDTSEFIY QDGVVVYVMNYEIRIRGVYRNAETEEVINLDAALNQIIPSNQWIINDMVLLYYYSNIL AFQVKLNRGSWLLVVDTRQEHYKIKTDLPEVEEIFVRHNGLHLFVVFYSEHYNWHWAI RKFDLLQEIEIGEPTILDDFGDFGDTSQGVCFEIFGDNLHGVSNHMTRTELRSDRSFY KWICLAPDSKSRRITAKKLFRRYHDLHEGVLKYAELSLQVDESTGRPMILECRHERRG LDEISCRTYYGELLPGPEEFNDGEQTVDLPLLGPTREELRAYRSDKLVHPEYSSEFCE RLDFSLPNTMYSFYNSSSGTFLDLINDPVPNSLVATPEKRFRLRLNSHRCTGSDKKHV STQLWPSDNNVGLMNPFGTSFQNKVVFAKADERSVIYSMTSDTETKAIILISFDPTIR FSYMHHEKRETVNQSPFKPEQWVRTEPATRFQWVWDRENTANSL TSTA_037160 MPASHLLYFELELHPTHYQDSMAGNSSPDYKALFLKEAELRKQA EAHTQPTTFRVFVQACHDLLSRPLKAGTPTKSTKGKIPPPTGKYCPTRLRYWSDCPVQ QQEIFNAVYTYLQPTEEDALQIFAPLAELHGLSRRFSRRELRSEKDLESYERFAVEDH VHDIIAELCKIPGARQRFQLGNGIMFDNHSNALEEPEDDDETPSTQYSRPDQFCIHRV DGTTNTLLTTVEYKPPHKLRIEDVRSGLRSMEFWKDVVNRNKIPTDKNQKLKYNAEQL NTLISRTGCL TSTA_037170 NSADKAWFRIDYLSQKVTFHYSLKWTFMVIVLRLFLSRPVQARQ RPISAY TSTA_037180 METQQQQVPVQIDNSNLPGFTHGAEFAAEATTQIMPDSLPSTIP FDEDSSPRQSPSERISPSEEVRGATARSSQVIEISDEEDGHARLSRPRRRIAGPIDYT YQGYHDMIEYATTTSSNTRKRKTEDTTTVDFNSNLDQFVKNIRRQYEASNHESNRLKV ENDQLMEEIRQLKKAKKHYKGQVRTSNLRIEAFRRHIDEQKKRGILSCPICRRSKPSW QILGCGHLLCTECVENIKLQGQVYEYPCPQCTAPIKSCLDCHPNLVEL TSTA_037190 MINLDAIIPYQPPFPSKPSKSSKSSKITTLPSKFPPLIHPLPQK PDSSPIQSYQSMPNFISIPVDQEQTSRKPLSTNAFDTELAAWSDMAVKNTTVVSTTAE DKGEWQQDRNGSESLTDNSESLSSDIRSDSPSASVMFQGPARAHSPHEESTKLIDSSR RYGTHDSTNPDLLSENAISVAIAHSDNVEELHVNDCASDLQSAETLTHQPDIDVRGSG PESQDIPSEEGEFTPIALPLNVISSVNGETGLTQQDQGDDPDSLHSCEGDHSTNEPAS IQSQDPAPSGSSEQNEICHRENCAVPIVIPAAQSPKPRKRPYHLRTETQTYRTDPLDE SDEFDDSNDDDYVDRAQHVEHRRHSTKRPKYQPIISSDPMEPEAVAGFKLGDLSLPNL RTVQRGVLTCEFFPSQIMYSFSWAEGRGCSDECSPNNNNTLSKGDSRCEVNSTQGSDL HTFSKDKTTKKIGDNQRTSHLNTRQSGLGEKRKHRKAWTNEEDVRLKLLKGKGNLSWS QILRHFPNRTEGALQFRYSKFLKNSTSRPSVIPSHDVTDRNITLPSSPHSSRHQHGDR PSQSATESTLRSRYGPARCRRAVERYSP TSTA_037200 MNRFRKGKKGKDVEETEAAPASSFMGKSAKSKKQNKDEVKPELD LSTALPSTDNFRTSLLMPNLSARFSMLREQDDPTTKVGKANDDSVLFPKRASRLNLFG HNPNPLTDIAEVSSIDGRSSFATQRINSYASAEDGTDDDWQHGSVMNRKRPGEGNNLF GGRQKVYKIPVSGSGKDLGGMRGRTVYEDDITLSMFQKLRLKEKEEKRAMESTVDEVQ LTPEEDTTSLSKRMTSSSTTSGQSNPRTSTAATSIDEQPIASNQPVANGPKPTGIIPG NLNGHVSKTRRLYGQGLAQAAQDQQSSALTRLESLSRQRAGAPPELPRLNRTFSRSAT NLHDRRQGISPILPTPSSANRTYSPVNSTGSPLSEALDAAPKPDRISDSSSTASPVYG AVPPLSPPISDTEEMTTLAAALHPEDRGKATAMGLFNKPSGPYDEQQFMRRQLQMHEN RTGTTPTTRQSPANSVSRGETSAGRPRGLSNTSYRSKAESASSHYSDGTHSIGEASPQ RPAGSNGTFFLNLDNSDSESQAGDELRPPRKMSSVKSQSFDGIHPAFRSRPASRDSDG IPSSTRESFEVPESKGHDLNPIQETTNPAPPSPILEETSDKAIDSPTLGPAGLGLNSM IRTHLRQDSSQSILPLPSPRLPSHQDLSSPMEPPTGPPPPPPTSGFSSVALRAKQLRE QAAALREQQEAEKSETRAHAQEEQSRFGHHRDSSLETQKEREEFAHELAERRRRVQEN LTRLGSRSNSPAPGPNTPDTGTPRSGNGFSLLKHRNKNNVPDGLPTPPSSKALKKLGI GGPLMNASTPSLDSWRDDELTHGFGGLGNHSNLSSPHIASARTASGRANYSRSASRGS EEGPDSGSSRPESPSYYRRDRSTSASGRSKSRPPPPREDLDMVAEHTVSRYGENTRTP PMRPSIDTEYVLSGSRTGTPSHLEIIPPQSDASSIGTSPRPSPVVPPYSANATPPLDD RRDSPNMPNRSDSTNSGSLPQRGPPKRQISKSQISEPTFVSSTSNVPMVSLPPGASLS NGMPNTPPIPPMNPRRRRTTTTQTILGAIKGDRSQAPSSTRTSQDDERARNINIMNNY NNINHQAVAANESRPGLLRKMQSEGGTMNARARQEAMMAATPTMPNYPPAAEVQGGMI TSTA_037210 MFAPDIVPINTPIGGLREYAASDPGGWTTEVRVGESPREVPPSW PMPPHMVDVFASGKYSDAKIQLSSANESDPFPTTILDVHRVVVSQSPVVGAILERESM PQTTVHIIAGESFSAPRGFELALQHLYGLELLGLGNVYNFAHQILGVEVVDGYTPDKL KKTAMDLALAYGATGAFLNQPDVVDAAFKLVVGLFDWSNLETALQFGLFPEEFLLAWI PLKNIAKEDTNSSSSSSSNNKDSKGKRKKRRSIRGKRAHKKTVVIDNLNTELVEKYAP RVASATIDFLVQNLPSNFFFDRAALANDLHDRIPVHLRSMYSLSNMNPLLATLQFGDF PIPTPECTHASAIFLALPFAHLREATEKMRNSGILTKDIIKAILQEREERRLTALEKH AEYTYNKGDDIDSMVNELGYHEFAVHLEGFEVSSGVGVTQYEYSLNREWQGLWPEGDG F TSTA_037220 MAPGATFPTAAAAGEFTKRDGKALETLSDNIDAVNILKPLTTTT TTTTEKGLYDESVFDKTKDKSQFRQYETACARVKSFYKEQHTKQTVAYNLLARNKFRS STRARMSVWQAIERLNTLIDDSDPDTSLSQIEHLLQSAEAIRRDGKPRWMQLTGLIHD LGKLLYFFDGIAEGQWDVVGDTFPVGCAFDDRIIYGRESFVSNPDYGHEVYDRGLGIY FPGIGLDNVMLSWGHDEYLYHVVKGQSTLPDEALAMIRYHSFYPWHNAGAYEELMNEK DKEMLRAVRAFNPYDLYSKSDELPKVEELRPYYEELIDEFFPERYMMVVSSEKESINM KFKSSKVKTWVSSRSEPVNENQE TSTA_037230 MALADKRYKLNTGAEIPALGLGTWQSTPEETQRAVYHAIKVGYR HIDTALAYSNEVDVGKGIKAAIDDGLVKREDLFVTTKLWCVYANRVEEGLDTSLKALG LDYVDLYLVHWPVRMNENGNHPLFPKLPDGTRDIIHSHNHISTWKAMEKLPSTGKVKA VGVSNYSVPYLKALLAEASIVPAANQIENHPQLAQQEIVDFCQEKGILIEAYSPLGST GSPLFTAEPVVEIAKKMGVSPATVLLSWHLARGSVVLAKSINPDRITANRELVDLDAE DVVLLQKYADDLKASGKSKRYVAPPFGVDMGFPDKW TSTA_037250 MPEGLQYPAEALAHVNDTLRPGDINGLVSIASVDEAHAANRARW VKFAILLYNYDIDTGHCLLDNYMPSEAILNPETTTNPSIEDFLSWQDLETANFINIYL THTGTVLNYGYAGPYILVDEEGLRTGRLTMVEYEINGTVKDTLHICPFNMRMPYTHAS TLGKGLDEIRHVQGGYTYQNLPLDMDLPIIDVLCQAKAADQLPQTMNLSYREQWMEDV ELYAPGYLALEAEGRAGEYSIHRLTRPDSVETTKKQIWNRLRADPNLFAPNFQFLG TSTA_037260 MPRSVQHWPGGIPSSIKPHPETDLSLDQIKEEVKGWLLFVQENW VPAANTRASNDGEYELHQRRHLIEKWVSATQELRDVNSTPVKSPKIYCIN TSTA_037270 MGEDSDSTVISITPKTPHGLYSRHSHERIETEGDAIEFAEFTQS QPLKGSSPDSDVPPNNRSASDNRQDTGFISYMGSDTSRPGFQFRALIDWKWETASCFL AVGSLLAIMATLYPYNGHPLPQWPYGLSINSLISIYTVIFKAAIYSILAQGLGQLKWT WFEKPRRLDHLESFDSASRGALGAAELLWVLGGRNLVATIGALVTIFAIAIDPFTQQL MHYYTCSQVVRASEATIPKTNLYNDPGGRHSGAMEKTINPSMQAAVYGGIFSPGTNRV TPNCPTGNCTFPELYKTIAYCAACSDITSQLKVTTYNDSMNFTVTNYTLPSGLQLSDH YGASFVIGAEADSITIQAIMGTSPVWLTCSPEWPWGCTGIGAAQCQLSMCIRTFNGSV KAGYFTETEIAGEKDTWFQNFNESIWGSSLDSSYLSTVDLTCLNNTERDTLKAAGYQF NKKTQWLAYNTSLPAGLSVVAANASGIALPANYTELDINGSCIYQTTVDAVTGMNEFL LTAFNGQASFGPQGDPDASSGFISVIFNGGNISAQTFATTFQNVTDSMTTNMRTYVDA HTPNYVAGIVLESDTCVDAQWGWLVFPAALLLLTLVFFTAVVVQARTRDAMVSGSQDY KNSALPLLFHGLEESTAARFGQGRHGMAKMSQDANELCVVLKPTDVGWRFVESDKL TSTA_037280 MPPQPSKPPDDTAVAIHPVIQNALRVSLSAKEYKIIHERVLKRS LILDSRLPSPSTYESVVRTPNKYNVAAIRASLRVFLGVSGGISLVEALTARFGKGETS TKKSTREPFLHSPKYRLALSLSLVLLIHRILYRFFVRLRANLRTEDAKPFRDRNPRFS QALTSKYAPVVGASLAGAALGVCPQSRLRVTVAIYMTTRSLEFLYNALNVKGYLKYKP WWFGSWLLMPVSCAQLFHAFVFDRETMPKWMGDLLLRFSSSYIPERPENFPAHLSWPS QYGIVDSLGTIADLKWPIFISPILHPNNPNPLPKQTKSISPLASPAHPNIASLSCALL HPSTPGCSTAFIHHILNSAPSLVRSITLAALAVSAVNYKKSFSSPLSSINTISKRILS LTAILSASTGSFWGSICLLNSLLPRSTLPTKRFFISGAMGGLPFAFVEQGRGVFTYIF RSAIYSAWATGVKRRYWREWKTGELALIVVSWALIGMVLESTPEAVEGAGLRKGFAWL RGDGFADPVEVALKRKRRATMSAQKNTEEENSA TSTA_037290 MAQAGPVTDVVQRLFTELKSKNEEVRVRASFELYDNVLTVSRDW APEKFLEFYNAVSQRIAQLVVTGSDANEKIGGLLALDRLIDFDGVDAAQKTTRFASYL RSALRSNDNAVLVYAARSLGRLAKPGGALTAELVESEIQSALEWLQSERQESRRFAAV LIIRELAKGSPTLLYGFVPQIFELVWVALRDPKVLIRETAAEAVSECFEIIAARDVGV KQQWFARIYEESLQGLKSSNVDWIHGSLLILRELLLKGAMFMNEHYRNACEIVLRLKD HRDPKIRTQVVRTIPILASYAPMDFTNNYLHRFMVYLQAQLKREKERNAAFIAIGKIA NAVGVAIGQYLDAIIVYIREGLALKARNRAAVNEGPMFECISMLSLAVGQALSKYMEA LLDPIFACGLSESLTQALVDMAHYIPPIKATIQEKLLDMLSIILCGTPFRPLGCPANR PLTLPSFAKDFAPQELHSDAEIALALHTLGSFDFSGHILNEFVRDVAIKYVESDNPEI RKASALTCCQLFVHDPIINQTSSHSIQVVGEVIDKLLTVGVGDPDPEIRRTVLLSLDR KFDRHLAKPENIRCLFLAVNDEVFAVREAAISIIGRLSSVNPAYVFPPLRKLLVNLLT GLGFASTARQKEESAQLISLFVANATKLIRSYVDPMITALLPKTTDPSANVASTTLRA IGELANIGGSEMKNYLPQLMPIILDSLQDLSSHSKREAALRTLGQLASNSGYVIEPYM EYPQLLAVLINIIKTEQAGSLRKETIKLLGILGALDPYKYQQISEISPDVRYINEVQP ISDVALLMQTMTPSNEDYYPTVVINTLLQNILRENSLAQYHSAVIDAIVTIFKTLGLK CVSFLGQIIPGFLSVIRSSPVGRLESYFNQLAIMVSIVRQHIRAFLPEIIDTIRDYWD SNHQVQATILSLIEAISTSLEGEFKKYLAGLIPLMLDTLEKDISPRRQPSERILHTFL IFGSSGEEYMHLIVPSIVRLFDKVQNPPNLRKSAIETLGKLSREVNVSDFASLMIHSL SRVIASNDRALQKAAMDCICALIFQLGQDFTHYIPLINKIMKQHGVTNSSYESYVVKL QKGESLPQDLTLHENYRTLADDTNYAEIGQKKMQVNQQHLKNAWDASQKSTREDWQEW IRRFSVELLKESPSPALRACASLAGIYQPLAKDLFNAAFVSCWTELYDQYQEELVRSI EKALTSPNIPPEILQILLNLAEYMEHDDKALPIDIRTLGRYAGKCHAFAKALHYKELE FEQDQNSSAVEALITINNQLQQSDAAIGILRKAQAYRDVELKETWFEKLQRWEEALAA YKRREKQDPDSFGITMGKMRCLHALGEWKLLSDLAQEKWNQASVEHRRAIAPLAAAAA WGRGQWDLMDSYLGVMKEHTPDRSFFGAILAINRNQFEEAALYIEKVRNGLDTELSAL LGESYNRAYDVVVRVQMLAELEEIIVFKQNVGDPEKQQAMRETWNKRLLGCQQNAEVW QRMLKVRQLVIPPHENMDMLIKYANLCRKSNRMGIAERTLASLETEISGPNGVEIVVP PEVKYARFKFAWAQGHQVDALQSLKEFTSTLADDLTRYNALLANHTDHNGTNGANGIL DSNPDVNHLRSRIGDVTKLKRLLAKSYLKQGEWQTALQSGDWRPEHVREVLNAYSAAT QFNRDSYKAWHSWALANFEVVTTLAAQQSRDGSSVPGHIINEHVLPAIRGFFRSISLS STSSLQDTLRLLTLWFTYGGDQDVNSVVTEGFTSVNIDTWLAVTPQLIARINQPNAKV RTAVHRLLAEVGKAHPQALVYPVTVAIKSNVARRSQSATYIMESMRQHSAKLVEQADI VSNELIRVAVLWHELWHEGLEEASRLYFGDHNVEGMFATLAPLHDLLDRGAQTLREVS FTQAFGRDLAEARQYCLLYRETEELGDLNQAWELYYNVFRKIARQLPQLLTLDLKYVS PRLKELHDLDLAVPGTFHPGRPVIRIMSFDPVLHVLQTKKRPRRMTLKGSDGNSYMYA LKGHEDIRQDERVMQLFGLVNTLLDNDSESFKRHLSVQQFPAIPLSQNSGLIGWVSNS DTLHALIKEYRESRRILLNIEHRIMLQMAPDYDNLTLMQKVEVFGYAMDNTTGKDLYR VLWLKSKSSESWLERRTNYTRSLGVTSMVGYILGLGDRHPSNLLLDRLTGTVVHVDFG DCFEIAMHREKYPERVPFRLTRMLTFAMEVSNIEGSYRITCEAVMRVIRENKDSLMAV LEAFIHDPLINWRLGTRESPERPSFSTVDRRQSIVDDVNLEHGIQPSNFARHRRASIL EGGGILDAPPGAANEARETQNARALQVLARVKDKLTGRDFKPNEELNVSDQVDKLLAQ ATSVENICQHWIGWCSFW TSTA_037300 MVKVFLTGGSGFIAAHVLNILIEHGFEVVTTVRSKEKGDKILEA YPNLSKDKLSYVIVEDIAKEGAFDEALKSGPAFDYVIHTASPFHYNFTDPVKEILDPA INGTTGILKAIKSYVPSVKRVVITSSFAAITHAPNHLKLYDESVWNPVTYEEATKDNK FTTYRASKTFAERAAWEFVEKEKPNFDLATINPPFVFGPVIGYLNSLDNLNTSNQLIT DFALGKKKDDTKPTESVQWVDVRDVALAHVKAIEVAEAGGKRFFTTAGFLTNKDLVDT IRETQPKYASNLPTYENVGEVSYPFDYDNSRVRNVLGVQFKSIKESVGDTLASLVKVG FSHKYTAMATSKLSPTANLLRNSRLFALPSALAPPPRPVTSKIVNESASATLPHPTRA AIETPPAALYQGDWGLKRALPAKSTIERSSKPVIRVNALDTFEHVTDFDSAGDHTMTL MKFQELHLPVSLPPPARKSRADIGRRHESPFESRVDNVSASEGAKERGAQLYRQSGPW LGGQSEIQFQAYLHSLRRRRPELLKQLREQYENKLTVERRKKAQDEGGLDADQTIEPV KVTDEEFQTWLKRLRTDKRLAGPELSRLLDLHTLSSDIPSMYLGRSDYYEANANKLAS IDYASQGPPRTHPSAGLAYTRSGAIISNHPLYGPQQAMRPVEARVLAAKTRKRRYKRR PVLGVAGIAYEDEHDGTEDHLRGVEYLDPSIPGGGRVWVSPKRVSISSQGTINLEATR AERDLLAPYGVSNYEPKRTTNISQAAQSTQRSVPQLD TSTA_037310 MGRVRTKTVKKSAKVIIERYYPKLTLDFETNKRLCDEIAIIASK RLRNKIAGYTTHLMKRIQRGPVRGISFKLQEEERERKDQYVPEISALDVSQSESGQLD VDGDTKDLLKSLGFDSLKVNVVQVSQQQVTERPRRFR TSTA_037320 MSQFNGPTTEQARNYKYTGDKPVNPRHLATALQHANQIQAQKDA QALILDRILELIEFPSSESADPAHPSTTDAAALKAALIPFQPADFDNLILERNIEGRC GYALCPREHRKEDPNAKFRILWGPKGSGQNGRGREMKVVPREKLEMWCSDKCAERAMY LRVQLAEEPVWERRAVDARTRTLELLEEARRARKEKTKQNLGEEDSALNEKMKSLTVD ECSSATSSQNMKQLSLERGDTGPTFREQGRVDVHLQEKDIDLKGEIPAAPVARPSDST GGSIEGYAPKKTHEQLKQSRDRHESREEDVLDIVTAKWLPHNDVQGRTKSRAIERSTI NCIG TSTA_037330 MSDPPFTVKALFEYTSEHEDDLHFSIGQVITVTAVEDADWFFGE YVDDSGLKQEGIFPRNFVERYEPTAPPRPSRPVKAKREADPVPPPAQPAPETTSAAKE VEDEPTQEEEPEPAVEVPKVKAAPLPSPPPPAPAAKAPPLAVSTKPSSNAFRDRIAAF NKPAAPPIAPFKPGGASSNSFIKKPFVAPPPSKNAYVPIPREQPPPKVYRREEDPEIA NRERPASEHSVTSPPATEPAQDEDQPKPTSLKERIALLQKQQLEQASRMAEAAQKKEK AKKPPKKRTDVQEAPPVPAAASEGTELEHAENLETPRGERPSFEGEGAGDIRPSHLAS PPQPSRELMSDTNDADYSGAADTEDAEETSTSKEDVDERPRSQKHAVQEHPAADSDNE AAAEDDDEEEEEVDPEVKRRMELRARMAKMSGGMGMMGMFGGGMSMPSGARKVKPAEE VGRHPEESEGPRSPTYAPPVPIMALPGMNVQRPPPVSSPNEVEKEDENDNVPVTQLHS PEELPDVEDLVEEPRKTVDRTPPPPPPQERAVPHLPSAESRPLPPPVPGSQPGPPPPA PEPRHSAVPPRPPTSPSPGSESDDELSVHTKNLSLNSTLAQTAAPDTPPPPPRRSSTY DSVRSPTLSEKRFSRGPPPIPTNPPQPSSPPQARPPPPPPPGILSRQSTSDNRYSLIQ SPIPRGDDIQDETTEYEADYDTDIPPTAKHKDAIRSHGRESSIEDAGDDLSPQSPRAP PPLPPTAPRAVPPPPPPNQPPNKRASMDVPRSAPPPPPPVKDLATEEEEEEDDDEYDP YRYDSGRPSVPPLPSNPPPPPRREEYDGHDDSPVSLPQGPPPPPPERAVAPPPPPPEK SGPPPPPATEPPRSRQSLDISRAMPNMRRSMDIQRPSVDMGYIATDVDLAESTFWWTQ PNIPPPVFQNRKDVLFEIEESTSTKRGGKTMVNKDVYVLFADYSQTIINVRFDAKNPS DVSFEQRHEAPPNKLRQDQLEAAHERFGSKISTAANSVQNTTVGDGTSYGLIQHLLSP LNDVLLPVGTRSYGALVYANLANASVQQHDEIRAGDIISFRNARFQGHKGGLHQKYSA EVGKPDHVGVIVDWDGTKKKIHAWEQGRESKKVKPESFKLGDLKSGEVKVWRVMPRSW VGWDDK TSTA_037340 MAVENKHTEKNNVVPTTESTNQVVLPTDQDHVHHDHDHESDSYS DSYPGRVIESTATSKEVETQQNAVPATGTEAIDLERSGTGASSTVEYSIFSPSMKRYI VIAASCAGFFSPISSQIYFPAMNTLAKDLSVSISLINLTMTSYMIFQGIAPVFIGDFA DNVGRRPAYFLCFVIYLGANVGLALQNSYAALFVLRCMQSAGSSTTIALSAGVIADVA SVAERGSYMGFVTAGSLLGPALGPVIGGLLSQYLGWRAIFWFLFIIAAVWLVQFIIFY PETGRKIVGNGSIPPPTWNMSLIGYLEARRVSERDIIAAESTLPPTPRKLSFPNPLPT LAIVLQKDTALLLITNSIFFAGFYDVAVAITSLYQKIYGLNDLEIGLCYLPFGAGSAL AAFANGKFLDFNYRRIATQLGLPVQRNRHTDLKNFPIEKARLQIAFPLILGAIALIIV FGWVLDYGVHLTAPTIITFFMGFCLTGSFNTVSTLLVDIYPNKAATATAGSNITRCLL GAGATAVIEPMLTAMGTGWCYTFIALVMLATTPLLFILMGYGPKWREERRLREEAE TSTA_037350 MSSTTSIQPPKWVVEMNTPLAKRSGKTNNFRSPPGFTTKASGKQ QETRSTTDRKPIETDTLKLKKAWEVALAPSKQIPMNAIMMYMSGNSLQIFSIMMVFML FKGPIQGLMATNTQFLKFETESNKTKIWGCKAVYVLMQLALLGMGVWKVNAMGLLPTT RSDWLAWESERISLERAYFAFG TSTA_037360 MSSGGDAKLFARGKVAELRQELNSGGKKDKNHSAKKIALKKIVA NMTMSNNDMVGLFPDIIACMTIPSLEIKKMCFLYLVNYARAKPEIALKALPILVDDMD DHNPLVRALALRTISYIHVREFVEATVQPVKHLMVDIDPYVRKTAAFCVAKLYDHHKK MVESSDLIDRLNKMLKDENPTVVSSVLAALVDIWERSESISLTIDYASASKVVSVLAD CSEWGQTYILESLMAYVPRDSGEALLLAERISPRLSHSNSAVVLTSIRVILYLMNYIN DEKQVSALSKKLSPPLVTLLSKPPEVQYLALRNAILILQKRPEVLRNDIRVFFCKYND PIYVKVTKLELIFMLASKENIGVVLAELREYASEIDVHFVRKAVRAIGKLAIKIESAA RQCIDTLLELVHAKVPYIVQEATVVIRNIFRKYPNQYEGIIGAVIQNIDELDEPEAKA AIIWIIGQYADRIENSDELLQDYLATFHDEPIEVQLALLTATVKLFIQRPTKGQQLVP QVLKWCTEDTDDPDLRDRGYMYWRLLSTDPAAAKEIVMGEKPPITAESEKLEPNTLEE LCLNVGTLATVYLKPVQQVFRTARPKRLVDSPALVKRPGVNASTALTASSTSLTSAPS QQNGNGDISAAVNAADAYFSNVASQHMANLDLGGREDNSLGGLGGTGEMAQYVVNQNQ QQIYQPQAAGSGAAGELLLL TSTA_037370 MGSRLHFQRIKEGPPLPHNDDIRAYKREYAEALDEQDPLKSYRD QFIIPSKKDLLRKRLSDIEGDDESDPRCIYLCGNSLGLQPKNLRKYLDQYLRSWAIKG VTGHFVAHEDALLPPYLHVDDEGSKLLAPIVGASPSEVAVMGTLTGNIHILMSSFYRP TVERHKIILEGKAFPSDHYAIESQIRLHNFDPATSMVLIEPEDAEKPILSTKQILKVI DDNASDTMLILLPGIQFYTGQYFDIKTITAHAHSKGVLIGWDCAHAVGNVELQLHDWE VDFAAWCHYKYVNSGPGAMAGLFVHEKHGKVNHSDTGDISYRPRLAGWWGHDKQTRFQ MDNKFIPQEGAAGYQISNPSVLDLSAVASSLEIFNQATMPALRQKSLELTRYLEHLLL KYPLDDSSSSSNENTSEKPYTIITPSNPSERGAQLSILLQPGLLDKVLEILEENGVVI DERKPNVVRVAPVPLYNTFTDVWEFHRVFTLACRKAVKARDGK TSTA_037380 MLEAGIMYCNNSHISKMLQRLPSITCRAVQRRTSLVAEFIRQDC QRSLSLSSHVSHVQFNSSSTSIRQRRTTPFHSSANTLVASRHSRSLRPFVQNSAAFST TRTTRAPAIATLNPRDDDDGNPMFIEISPRAAKRLSEITASSEEDQHLRVTVTSGGCH GFQYLMSLEPSTKIDQAEDTVFEPSETDETLPGGKARVVMDQPSLELLSGSTVDFTTE LIGSQFKVNNPRATSNCGCGTSFDINL TSTA_037390 MLPRGQKRLLDGRSILHVDPANLKPEEVEVLYSLETIWDDPNVL EKLRTFKWIFDLLLSACKSPEAGPTVSATYFDSVIAAKEAVGVDYHQHGSQHDWQPRE SSDLIDGRLSQWADECITAITTSKYFERSESESICRTVIDVIFCD TSTA_037390 MLPRGQKRLLDGRSILHVDPANLKPEEVEVLYSLETIWDDPNVL EKLRTFKWIFDLLLSACKSPEAGPTVSATYFDSVIAAKEAVGVDYHQHGSQHDWQPRE SSDLIDGRLSQWAAITTSKYFERSESESICRTVIDVIFCD TSTA_037400 MSYDERSNARPALGDESDVEEEALVNDYREQVHFDDGMSDLDRT TSLGAASQTQDLQAQLAAAATPLEYQATLETKFASYDNYCSLFHYILNSEGPVDLEVP SYYWAWDVIDEFIYQFESFCRYRNRVARTGSNEEEAQLLRENPNTWGCYSVLNVLYSL IQRSQINEQLAAIKRGEDPLTVAGEYGSRPLYKMLGYFSIIGLLRVHCLLGDFSLALK TLDDIEMNKKAMFARVMAAHFTTYYYVGFSYMMMRRYADAIRMFSHILVYVSRTKNFQ KGSNQYDAITKKNDQMYALIAICVAFHPTRLDDTIHTALREKYGEQFHRLQRGGPEAL PLFEELFRSACPKFISPTPPDFDNPSVNIDPVDHHTAIFMDEVKNTLFNPTVKSYLKL YTTMDLSKLAGFLDVEPEKLRAWLLVNKQRSRQIRWVEGGLLEGEPINASDLDYAIEG DLIHISEAKAGRRLVDWYLRNLTRTY TSTA_037410 MAPTRSKENRKKSIEQEGLKENAIRDLKSSKIQSGREAARVYGL PPSSLQERLNGRRPLAESNATKRKLTPTEEETLIKRVLSLTKRGYPPRPIFIENWANL LLANRGSNGPIEPVGINWKLTFINRHPEVKSVYSRGFHYQRAKCEDPKVIRPWFELVR STIAEYGIDSSDIYNFDETGFAMGLIKSAKVIIGAETTNKEAFVLQPGKREWVTAIEA VNSTGWHLPPYIIFKGKHKRMAWFEDDPTVRFQCSDNGWTTDKITLDWLQKHFIPLTR TRTVGRYRLLILDGHGSHSTPEFDATCIENDIISLCMPAHTSHLCQPLDVSIFSPLKK SYYKHVEYRTRLGFSHIDKLDFLEAFLRARTEAYKITSIQNGFAATGLIPLNPERVLE KLNVQLKTPTPPGSSHGTSQSQSSCFQAPSNPHELKQHSITVKKRLDPVFSSPSNLTL VKLNQVYKSCEIAWHNATLLAQENMELRTAIQKEDQKHKRTKKVIREEASLIRGEAQS LIDESNAANQLAAGLAGVEDGLPRRRAPPTCIRGCVGLIHPHVGIWTPGYTSLQITVL LNCLVNTHPFMEHDWQEVTAQCTLDPRTRAGSARLAMSQRRFIG TSTA_037420 MSKTKENRPAEGFHQEYIASLRYRNDLPPPEMPPKFLDIPHEGL QRFLAPGYASNMARREEPGIDVDAEGGMPIDLVGIPGLHLGDESAILMPENPPPPPDP RDLPFLVPLDQLKNPAVKNVNVSFLRRTQYIAVEQRGPGGFKPPAGRVKPRASEKPKL ANDDPVYIKKYIMKGFDIAYPQSKHTGEDSAEKIKGHTPQKPELDAWARPVHPENPKL KPVGFFPVLPDLQGYPDPGGFVQFKFDKPPLLAASGKRDDRIDVGLLYPSAPPEHVLE EHEAKARLHKNNPRLYKDPGPIPWDYDLFVPEKKGTVTKIKKNLDLSNPNRDDEDLYT NDDGESKFHRYDRLRTYSTKAQQLNSDQKQRDIALTFFDPAKAKSSETDNGIKAAYYY PILGKMRLAPERARKIAKAGLSRSHGESSGREDQADQIHVTVRDPDEAEIYKRSLHRG NVDPEFKKNIPPPPTQLEEEDEGNENGNTQNSADAEADPDVAQESSRPGREDYSADES D TSTA_037430 MLHRLRLDIHPRSGASSSSMSMIPYNERGQNSSQSADNPTDYGA DNESLTPTETEDKATPAAERTSNSSKKYGIEPHSLIHPFQCPLCSLPLRVPFRLPCGK TICRSCLPPLKRREGITYLRGSGSDGRREEGFICPWKDKGCGNERVPAEHALDDCGVD VVLGRILDVFEKVVKDFEGEKQQGFDTDLCMRWKMTSVVSVTGDSHGIEVGNDDHPAE REYKATLRAGRYLGTYLLAREGRLPYDAKDVVYYDKDGVIVDEEKPLNDGPSTKLDEV IFEKLGNGIREELDCQVCYGLIIDPCISSCGHSFCYECVNRIRDNSNLCPLCRKKMYL SFREGSNPVHNVLRDLLNSLFPDEISSRREIIEDGAYDEDELPLFVCTLAFPSMPIYL HIFEPRYRLMIRRALDYGNSRFGMVIHYLYHGLDAQRFPDAPPQPFMQYGTAVKIEWR DFLPDGRIMLTAVGTHKFRVLRYDILDGYYRAHIERVDDISLAEEEALEARELAAAAD TTNQQQSESSSLNDLSTQQLMQICMDFLEKQRTNSAPAVRDRVNRAFGQPPTDPAIFP YWFANVLPIPDEEAYKILPLTSVRERLKIAATWPHMLEASGWKYMELINSTGQYQPPP ARSYDS TSTA_037440 MASAYNTGNSATPTQPRALGNEGKAVSRSQDDGGATSWNDAASR STRNGRSGSGGSSSISTRGGASLAPSAQPGSFSSELKSMQNSRSVTPRQDAVSPFPRL SNTMEEDEPVADTTEQRQAAIRDKIAKEMKIKVGTENMLEALLTKNAKQTRDQRLRVE SELSTSNRKLAELRQELEDELLRAQTPSTPQRSRLSALFRGSPMRSPSRATDSADENM DDADGETESPTYVLAETLQALELEGMAPDYYIERANSLVELFKRHPTLKYDLEWSVFG QRVQMMLLSDSREVVAAGYRLTRYAIADRASIRTIRRLHTDELVTLSLVKESKASIER EQALKFVRAFLDVKDGVHEISRAVVRTIVSVAEHHEDRLRNISIMTLAEILIKDPGLI AYAGGIGPLHDALAEGTFSASESLIGSFLHIMDTPHRRQYLHGGRELEAVLCPFTDSF SDTVRSGRLKCSAKAISAMLKTWPGLLVLARDKSKPLQSLLESLNYPDPTARDLILEL LFDALQIKPPSWSSSFLAGRRLTTYGRVTNLRSESDSKQLRIYQENDKNRFDLTAHFS ALVLATLLEADLVKALSGLIEVENNQSLKRKATLLLTEVLKLAQHSLPQSVSFSLQVL PSLLPAAVKFDVENHEISTSTIYQIESINRILARSGSLTTTSGRYAVVDEDISASLLS GEFAKNRLNPAMDEAQFRNHILETQVLNTVNYVKWKWDLILRIIEGPLTNPKRLEEAI KGSKFMKRLIGFYRPFKYRFCMIPNTKPNQRYVRTGCALLRTLMQTPEGIKYLADNKL LRQIAECLAQVDRMSGLTSASPLFSREQMASTLSGGYFALLGVLSSDTKGIAMMERWH MANMFYHIIELKDRTDLIQALLGNMDFTLESHLRVLLSKALTAGTKDIRIFATKLLRK YAVGHHLAVNNVVWVVQMLVTQLYDPDISVCEIAVKILEEACNQREYLEYVVKCRPSL DHLGEIGAPLLLRFLSTSVGYHYLDGLDYITQEMDDWFLGRNDAYVALVEASLSRAYV DHPRRQTSSFAPDDIVEMQDIGVVPPHFYRELARTSEGCKLLEQSGHFLEFASTIRDF DLSEEDPEALLKVKGCLWAVGNVGSMELGAPFLEETEIVQDIVNIAEKADVITMRGTA FFVLGLISRSQHGLEMVYEAGWDAAVDQRGGSLGLCLPRRLEKLYHLSFAPHKRNQEL KRISQEIFKTASTDPDPTNQRILKLIIDMGNTVLSKRAANDLQGIKSKHPDQFRQVEL FQKTLTILESHHFRLPARRFALDLFDKSVMRRMVLEEDEDSYSESDS TSTA_037450 MSSKLAAARYGKDNVRVYKVHRDEKTGFQTVVEMTVCCLLEGDI DVSYTKADNSVVVATDSIKNTIFILAKQHPVTTPEVFASIIGNHFIQKYSHIHVAHVN IITHRWTRMTIDGKPHPHSFLRDGAETRNVQVDVTEGKGIEIASTIQGLHVLKSTGSQ FWGFVRDEYTTLPEVWDRILSTEVDATWKWKKFSSLAEVQADSARFDNAWSAAREITL STFAKENSPSVQATMYKMADQILAAVPQVETVEYSLPNKHYFEVDLKWHKGLKNTGKD AEVFAPQSGPNGLIKCTVARTSRPKL TSTA_037460 MSQTAGKTRLAYSRTWHYIDVGADSRSLGRLASSIALHLMGKHK PIYDPSTDCGDYVVAVGCHDLHTTGKKRLQKKYYTHTTRPGSLKSMTMDKMFEKWGGG EVLKRAVRGMLPKNRLRDKRLARLKTFEGLQHPYKKNIVKIAGTSVLGNLPEVKQAFQ EAKQTAESASASQ TSTA_037470 MASTQSVQCFGKKKTATAVAHTKQGKGLIKVNGQPLNLVKPEQL RFKVYEPLLIVGLDKFAGVDIRVRVTGGGHVSQIYAIRQAIAKSIVAYYQKFVDEHSK NLLKQAFIQYDRTLLVADSRRAEPKKFGGPGARARYQKSYR TSTA_037480 MVQTLELWGHWGPQIHGEKVCMILEELSLPYKIHYLEFSEVKNE SYLQITPNGRLPALKDPNTWITLWESGAIILYLVDQYDMEGKISYKDSPEKYLCQQWL AFQISGQGPYFGQATWFARFHPEKLPSAIDRYANEIPRVIGVIERALSKNSAGNWLVG DKCLHRMPNIRPTPTILLPRLPAKTLVGLDLLSFTSTEQFYGISDLTPGWHFLYTGTT ESFSIRCGAWFYVRNLPFDSVSGSNDSSNSIIRYNGTATTDGPDIRIWKWNKHIETLV PMKGDNDENRLETMRWKANLGGLRQMGALFSYRTKGLPESTEVDEDELSGKEPTRKDW VRLTNRITPEILSRILGTPFSDDDDRPSWSINSASTAARDADNIPGITGEMASEVRTN SFGGGEEGQKEKELQFLPIDLKRTWREGAIGRERTEAAQDRSWALGDLIRRYSSPKNN DEKKGEGEILGELQFCFLMILTLMNYSCLEQWKRLLALILTCRIAIKEREPLFRDVLQ LLRLQLRHGEDIDGGLFEMDGDYDGNFLRKLLTDFRQSVHQLEESETDGAGSLVRPEM DALEQWVRAEYGWELRKDLIMRRGMLQLEDGEQVEMEMSGADEDDETGEYAPVIVDTG DGTGQEHEATDVDTPMD TSTA_037490 MVAQLADPDISNAIGLSLAVKDVSSSHHLMLLRRSTKPPWMQDA IDYFLENFVIEGDHLPDFVGEGSIEMFDISPAESGPGRTVIEVLDTLTAGLLVIRAAS MQTTEGRRKRHISYREAMRDLRESISLYPNSRTLIVPIFLFALYEMIVSTTSTEKTWR IHLNGLLAMIHHSHSAKDKRTAAMDNVSAVRRFTLSEKTADLPAFLISQPSMDGIQKA WLLLDITKLRLRELVTIMNHFRAIDYTRNMMSFKKLDVEKLRVSIKRMQRDLRLVNDL IPKKHHPVKINATGCHCPSDLPPSYNGYYEESYSDSLICTKWNEYRTLILRIGDFQLR TG TSTA_037500 MPPKTKKAVPAQENVSLGPLAGDGKLVFGVARIFASFNDTFVHV TDLSGRETICRVTGGMKVKADRDESSPYAAMLAAQDVAARCKELGINALHIKIRATGG NGTKTPGPGAQSALRALARSGMKIGRIEDVTPTPSDSTRRKGGRRGRRL TSTA_037510 MVLTKENASVGIIGMGDMGKMYAQRLSQAGWRINACDRPDKYES LKQEYASDQDISIFPNGHLVSRISDYIIYSVEAAYIDRIVAEYGPSTKVGAIVGGQTS CKSPELAAFDKHLPSDVEIVSCHSLHGPKVNTKGQPLVLIQHRASDESMRFIERVFAS FESQYVYLSGEMHDRITADTQAVTHAAFLSMGTAWYSNNQFPWEIDRWVGGIENVKIN ITLRIYANKWHVYAGLAILNPAARTQIRQYAKSVTELYKLMIEGKREELKRRVKEAGA AVFKSDTEGQDLLLRDEVLDRFSLSNKDSREEAPPNNHLSLLAIVDCWSKLGIVPYDH MICSTPLFRLWLGVTEYLFRNESLLDEVLDIAIDDQTFRSDDLEFTFAARAWSDCVSF GDFESYRDRFERIQSYFAPRFPDAVKLGNEMMKTILEKTSDHP TSTA_037520 MFKFRSEVTAAHKATFVRELKRLKNLECVKSHRLVVGGPSVTDP IERSKGFEFALLSFHENRQELDKYQASKEHHWVTSTYLLPYKEDVIRFDFEVAPEEEH MWNFLPIIGML TSTA_037530 MPQQVNPPLLHRLILLGDVHWLALDVVGEKCAAMELLRNALHVS GQMWNVSILVAGVDWMPNRKEKRLKQLERENRDLILRNGPDQSFTTASDSRRISSQAQ IFSSPSTTRAPSIIAQHQQQDLESVNESNLHNNHSTSTFDAPLSHSSDRITKDQPLAH EVGLLSLSNVASDPKYLGPSSGVAFARLIYSSAPQTQGLPSSVHLQDDTSSRTAQQDI AQPVGLPSSADCQQFADSYFSTFGQLYPFILEDELDELLGAVERSSGNQNWRNLVPGR ITHAQVYLVLSLGARILESKLLSDFSSEGLFATAMTYVRSASLHDSIEGVRILLLLVL HSFHSPDGLNAWFLTHTIIASCLDLGLHRRETSSRLGTYTAEEQRAQYTRSAIFWSAY SIDRTLTTILGRPLTLRDEAIDRDYPGTVGCREVDNAATLWYHNANHDLSPGSSIHKT LAYNPYVAAIFSVRFDRIVAEIKLMIYRVARSPQRFPWPENVSAWQQDTEKACDMLWV EARDTLRSRSFAARRAVSHRVLQQLELKYHQCLILLYRPSPEIPRPQRRAIQMCFSSA MEIIRIHTDLNRFSNMDFSWLTAHALFVSGITMLYCLWVHPYVCKDLSITNCLDQARA ACGLLSTLGRTWSVAKDACQKLDRLISVTADAYRGENNEDVSHGHPARPNNGQGHCTS ADDQLAADSSSLIDSNMDKYAAVYSIPDISYQQGADMFMDELGGVRDFFDLGWLDDIN LNLDDQSWKMGEAT TSTA_037540 MSRDARILYASDSIEYILGYLPHEVINRPCWDYFHPDEMPFAQS VHGRGVQLDKAAVLRYCRVKHKLGYWVCCECVFSVVYNVLVACTSIYRQGAFSQKRAV DAPIIRRIFTSSPRDPRYHMLSYLSNKFSQNPTNALHEPRAALFLNRFTRTLTIMFAT DGVEEILDITPRQLVGKSFYSCIQEQCLHDAVRCLEDAKVNSSIAYLRFWYRNPLEED NPSVPADPAVTNNTYDNSLYGNNTANTTFSTGSELGVAAMGGSTSQHPQQQPRLELEA VVSCTSDGLVVVLRRARPSIPLQQTLTPRSQIAANGIFASPWATNPIIPQHAMQSMPT QTWPPNPRTTHPAYNRAYGPNPMVPPGHPAYPNYINNGPGGPHPHDFYSTIRDVAVFA WGIVGINGSLEQYRKGQPSYEALPPDGIPIWNPKPEDGEFIDENQDKKADIKAEIEQQ ETVKRDEAFTL TSTA_037550 MGKDEPGTYRYNETPTYTTSNGCPVFDPESAQRIGKNGPLLLQD FHLIDLLAHFDRERIPERVVHAKGAGAYGEFEVTDDISDITTIDMLRGIGKKTKLVTR FSTVGGEKGSADSARDPRGFSVKFYTEQGNWDWVFNNTPVFFLRDPSKFPIFIHTQKR NPQTNLKDATMFWDYLSTHQESAHQVMHLFSDRGTPYSYRHMNGYSGHTFKWIKSDGT FNYVQIHCKTDQGNKTLTNEEAGELSNTNPDWHTQDLFQAIERGEYPSWTCYVQVLSP EQAEKFRWNIFDLTKVWPQSEVPLRRFGRFTLNKNPQNYFAEIEQAAFAPSHLVPGVE PSADPVLQARLFSYPDTHRHRLGVNYQQIPVNCPLHAFNPYQRDGAMAVNGNYGANPA YPSSFRPLKFEPVKASQEHEKWVGAVTSQQIPVTDEDFVQANALWHVLGRTPGQQENF VKNVSGHLCNANERVRKQTYGFFSRINATLGEKIKKATEKNVTKEHARL TSTA_037560 MSNNQDNNNNLVSGLGDTLGQTVGGVTSTAGGLLGNVGKTVGDA TSGLGNTVSGASQGLGNTASGAGKTVGGGISNATGGGSGSGEQQIGGKEQTAENPLGL TSTA_037570 MLFARSSRLAISSTNSALTSYFTRASYRRFSSTSPASYEYILTE TPKPGVGLITLNRPKALNALCSPLFQELNDALSKYDQDKDIGAIVITGSEKAFAAGAD IKEMAPLTFSSAYSNNFIAPWSHLANNIRKPVIAAVSGYALGGGCELALMCDFIYCTS NATFGQPEIKLGVTPGAGGSQRLTRIIGKSRAMDLILTGKNFSGKEAGEWGVAARVID GGKEELLAETLKTAETIAGYSHVAVVANKEVVNKSQELSLREGVEYERRLFHALFGSK DQKIGMTAFAEKKKAEWSHE TSTA_037580 MDVPEPDQTPFNAVQAQTSKLARQYQAYLDQLTPYTTYRWVGSV VLLLFFFLRIVLAQGWYIVAYTLGIYLLNLFLAFLSPKFDPSLTQDEGLEDGEAGSSS LPIKQDDEFRPFIRRLPEFKFWHSATRAIAIAFVCSWMKVFDIPVFWPVLVMYWLILF FLTMRRQIQHMIKYRYIPFNIGKARYGRD TSTA_037590 MVGKKSEKALRDEGLERTDNSMGLSSWPVITPINQKNYYTDYLK RDDQLLAYRLQNEEARNRMTKTAKDRDRALALGKQEQADTEADGDAVMEDAEEAVEAE TAGSKVIVLHLGSQNLRIGLASDALPKTVPMVIARKSTQNESEDGVEPRPKRVKLNED ENEEDIAPEKRFGTEFASQYTKMSADLKTHMRQNKRRMLPNSKEMVVNYNRRTVPELI PEHNDPSRVEWTEFSNPPPEYITGQRALRIPDDSTPRYKLSWPIRNGWCNEKDYTNAR LLFVDISLILEDAIKRELGLTSKKDWPQYSCVFVIPDLYERSYVTTILEMLMKEFSFA RVCFIQESLAAAFGAGYTSACIVDIGAQKTSICCVEEGMCVENSRINLKYGGFDVTET FIKMMLFDHFPYAEINLNRRYDFLLAEELKKNVCTMNEGSVSVQVFDFHLRVSGQDTR KYTFKAYDEVHLAPMGYFQPSIFSHDDKLQGRRKLLPRSYDIYDGQPNDPTSAAQSEI LKIIAPPLPAELLAEDEKSHSNATDVQATPARSHLNALGRVQDSEATPRSSVAGSPAP ETNTPLNGIAAAAAGNSTPVPGEKASQPAVAPAAGASQPSQASQPLQQPPPQPPRTPT IEERDDILPIYPLDQAILASITHAARSDDKKMRDYLGGIMVVGGGSQINGFHAYLEER LHALTPTFTKEIMIGSPPRDLDAQVVVWKGASVFGKLDGTNDSWIGRLEYDRLGSRLL VYKCMWAY TSTA_037600 MGGSLSRMWSLLWAKKEIRILILGLDNAGKTTLLYRLKIGEVVT TIPTIGFNVESVTYKNLNLNVWDLGGQTSIRPYWRCYYANTAAVVFVIDSTDIERLGI AADELAAMLNEEELRDAALLVFANKQDQPGAKGAGEISEALKLGELRDRNWSIVACSA IDGKGINEGMDWLVQTIQSENA TSTA_037600 MGGSLSRMWSLLWAKKEIRILILGLDNAGKTTLLYRLKIGEVVT TIPTIGFNVESVTYKNLNLNVWDLGGQTSIRPYWRCYYANTAAVVFVIDSTDIERLGI AADELAAMLNEEELRDAALLVFANKQDQPGAKGAGEISEALKLGELRDRNWSIVACSA IDGKGINEGMDWLVVSSLTR TSTA_037610 MSVYTLHSPLNSLDGLSTPHIMVGVALVLVLGVVATTVVLSLQK SKLDWNKGFLSYIQFAYSCFIKPHERDREGEAGQQHALESFYRAQASVYDATRKKLLC GREDMLALLAAQLKYRQSQHQVSKPVWVDIGGGTGSYNIEAMNAFLPVDKFFSHVYLV DLSPSLLEVARQRFERLGWKNVSVICRDAREFRLPGENGAANGGADIVTMSYSLSMIP DYYSVVDSLNSLMKSDALLGVVDFYVQNIVDVSCRNYTGGVFNRHVNWIGRAFWRAWF DFDRVNLDAARRDYLEYKFGTIISASERNYLLGGIPYYIFLGCQKDFTSGRSSIEMLN ASWTESPYLSAAKHRREMSDAVEKATPEIKSKAYESAVVNLSTNLPLPSAFYQNHHHR IYYNDQLTKHTQFKDEYIYAFNWEDPRVDHRLLNINSEDVILTITSAGDNILDYLQKS PKRIHAVDMNPNQNHLLELKVASFRALGYKDVWKIFGEGKHPEFRELLLTKLSPHLSS QAFQYWLEQTDVFTSKSNRGLYETGGSRVAIKLVRYLFTVFGLREVNNRLCEAHTLNE QREIWPTIRRVLISRILNWAIVKTEWFAWKAAGVPPPQRNMIIDDYLKRKGLSGGIKK NSDVSGRAIWEYVVDTFDPVAKDTLISNDNYFYYLCLQGKFSRQCHPTYLTPKAHDKL STPGAFDGLRIHTDGLNEVIARITPRTLTIAVVMDSMDWFNPDEDAAAKQAQALNRAL KMGGRVLLRSASIEPWYIKDFEANGFTPRRVGARFPGTCIDRVNMYASAWIITKTSDV DGNNPRRPELSERSRSSSADMETLTL TSTA_037620 MMSSASLSYPAGSFHYYKAPGQNEDLVSLNSTMELFASQSETVT ILKSQYEDLLCLAQQFENLKGSLLRGGVSTEDLDVLVHGTLAPHAVDEKHAQNPYNRS YQPSNGVSLSTRIRPFESASTNTGPNHSHSHEESIQDYDDDALDEVESQTPPDQFNDN EQQTIEIRNLPERCTYLDITKSIRGGALVQIYLRYTERIARVSFVEAAAAREFLARGK RAGVYIRNQKVDLSWSNSQFILRPYIKQSILHNGATRNLIIHNANPNITSALIREHLE HIHNLIIVDIRFNHSNSSVCICTNSVHNAMFARSCMRSRAAYKGMRIDFGVDECAAPY SELSATAAEYHVSASTQGRVWGRRDLQKQGTRARSVSNRFGLLSLESDGGTDSEEEES RSDS TSTA_037620 MELFASQSETVTILKSQYEDLLCLAQQFENLKGSLLRGGVSTED LDVLVHGTLAPHAVDEKHAQNPYNRSYQPSNGVSLSTRIRPFESASTNTGPNHSHSHE ESIQDYDDDALDEVESQTPPDQFNDNEQQTIEIRNLPERCTYLDITKSIRGGALVQIY LRYTERIARVSFVEAAAAREFLARGKRAGVYIRNQKVDLSWSNSQFILRPYIKQSILH NGATRNLIIHNANPNITSALIREHLEHIHNLIIVDIRFNHSNSSVCICTNSVHNAMFA RSCMRSRAAYKGMRIDFGVDECAAPYSELSATAAEYHVSASTQGRVWGRRDLQKQGTR ARSVSNRFGLLSLESDGGTDSEEEESRSDS TSTA_037630 MSKLIVIVGVTGLQGSSVANTFLNLPGWKVRGISRNPSSPTAQA LISKGAEIIQADLDDEKSLYPAFESANVIFSNTDFFGIFFHALMSKNDSPQQYAYDRE VEQGINIARAAASPTVLRTLDRFVLSSLSDARKWSQGKYPNVYHNNSKIEIIQTIETQ FPELAERMSMVQVGHYATNWKQSPSLAPQKQSDGSFIVKRTFTSDFKMPFVVPHKDTG EFVRALTLDLPAGTHILGASEILTLPEWTKIWAETLGVKAVFEQVTFDIFFQGVPDEM KRELSETFAYTNEFGYTGGDPAIKTAEQLGIKLSLTSMEEYIKGEDWSSVL TSTA_037640 MSTSESCRHTHLSLMRIGSLLLALHAGLGLATVPGSPSPGYHNE IPILPPHIPQGNDGSSSIARKHEFRLRHIFHRGTYQQPNLHLRLDVTPDTKLAILSED EYLPQPVDEPQTTLYALSDGLTIERLADRRPSVIESYLAHARSSGVAAILSEDAWITE EIDGPNMTDKETVLTFAKMTANDYIEDPGSGDWNEISGRFNWSASFGWQRDGIRGHLY ADETNSTIVISLKGTSPALFDGAETTTNDKVNDNLYFSCCCGQGGSYLSRQVCDCSTD VFKANLTCITEAMQDENRYYRAAIDLYTNATQLYPNANVWLTGHSLGGAMSGLLGLTF GLPTVAFEAIPDALPASRLGLPTPPGYDSQLPQKRRNTGVFHVGHTADPIYMGSCNGV GATCTWFGYALESACHTGKICVYDTVEDKGWRVGIGTHRIKSVIKEVLEVYDEVPPCV PEVECYDCQLWKFYKSNGSEITTTTTTTTTSTSTSLTRTSTCETPGWWGCLDKTTSTT STTTTASIPTTTTTTTTCETPGWFGCKDPTTTASATSILPSPTATTIPTSTSTCHTPG WFGCRDPTTTTRPSPTVDSQWYYDNAPPSVKHAITAAPVI TSTA_037650 MDIVAQRLEGVNSESRTPSTRVRKYEVQDEHKVGRKRRRSEVDD LIEGSGDPGITDFEPGYESTQTQDLVLTPEEVHQYRVAGLSLDQELPGGDFPDAAVKE LKRKRSKSLAQQLQQQWPPIFLPGSQTTETTLHIRHLGVLTTILHKCLLAGDFVRAGR AWGMLLHEKFGKDPVNIRHGGRWGIGAEILFRQTTAGTNTPTSASHIFTQPGFEAAKA YYERLIIQYPSRSARSTASSSLHFYPAMFSLWVYVVDQESKMARETLEQEEEDEIVSE RSAEFDADMSDGENETRRNGNIMSQIATIKRKELAGAQEIAARMDEVMVGPPYSDSPA LLRLRGMVALWIGDLQLSSLGHQEEEEEDSNISDDEGMMSRSASASKLLASRELHIAL ERRAIEGIKANDLFERAKARQQGASQFTEDFDL TSTA_037660 MGLEDYIRARSDQYYAPSTRDVRIHSPQRQRTPIFDKSLSGNGV PSSRFMRPLMPFQFQEAEIITEQSQQYELPAQQQPQEKSIFDTDIENADDTTITVTSL ADIGSRDHGGRGIFDHDDISQDWDASVLSESDHQTDGNNYYRAEDPTKVASAASKYRR KTVVPLAAAEEISYANGYKDNVRREQLDWNPAEIPRSATASSNKRNSIANVSRIPRAK SRMSLQRSDSDPGLRSGITTAKEPTTNTRTVQIPYRTGYSRTSNFPQSLATTPNNRFN FKSERSYERLISQQASPTRRVSGPRPQPNSRHQRQRQEQQRRCVQTRKKHESASSSRV KRLLDDSDLSFDDEEDEQETENISEDIGNESSGSSVNAQSLTTTDVTQRKISISTTSE SIDRRYQKLTSDYPDEVLQQMDFSELEKEPFDHSPTSSSPLENHRKGTATTTPKKLKA PSPPPSPHPPPDTATTPSEKLAYIMNALPNPTARHQYISTMNITDWESLGDELLDQMS EMLKMIKESRQARRRTTALFEAEIRRRHDQTMSETRELDRKFGEMKEGGLGVLRALKP TSTA_037670 MNLRFAALLLSVALAFALPDDILSTWPTSTANNITECSNPRSND CLFYAQCLQTRYRCAPSDYTLGYGQKYCEKFQADASLLSTKGQTWMETVMLCLQRDLV PYTLGGPQEFANCLALNDYAFSTHPKCYVQSGLCTLPVHDWEVIVFQIVGVETLFDSW DAIKATVQAASGCAEFYLWAIEHVIPGPLTLGLSVLGKGLERLVARNMAWISIHHKVL ARQQFGALPLRSATDLTTCLTHDVEQALNQGMTASLLTLDVKGAFDSVLPGRLIRRLR EQGWPTNLVLWIASFATGRSVQIRLDGEIGPSTDIACGLPQGSPVSGILFMLYIAPLF RLGNPRNRFGYADDAANLAISTSLATNCEALSDSLQEALNWGTAEGITFAPDKYELLH FSRHKADQDPTRTPSVKAGSITISENTKRLYLRWLGILFDKKLTFKWHVRETASKALT VANALRSLGNTVRGVKPDLLQQAVSACVLHKAYYGAETWWPGRTRPGPSQISNRVGEH LEKLTKVILTGARAVLPVFRTTPKPVLYRESGFSPPEIELDRIALLATVRLRRLDPYH PLRRRAEQIASNGRQTSRFARRTLALPNSEQINPLQYAPWHPREPRGNAQARIGAPMG RTKEQAAANFMAFQRTIPSSDIVIFSDGSRLADGRAGGGYIGLQAHHQFLRSSLSYGH GKEVFDAEAEAALAGAQAAIAYPTAQFATNLWICLDNLEVAVRLLSPSTGSSQEIFES FRTLAAAWPLRKRLPHTKSGSIQIRWVPGHAKIPENEAADLAAKEGAASIPPAPHKSS YASLKRYAKTQSLSAAQSQWEKVAPQSYQDLEITTSPKRPGELQLNRLDLGHVIAART GHGDFADYHERFNHDDAYLLCRCGARKAPLHFFFCYIAKRRAPRPPGPPSEVISFLLG TAKGAQKLATWLAETHFFEDICPRQPLLST TSTA_037680 MVVYSEVGPGHRTEEQKGHLFRGLNWYTVDRKFLHLWSLQCRLP TACSLAPLGFGYNVGILPLDSQHRLPDVVSAPVPRDTHTTESVFLLPAQDIISLASEI ITLPSRHTHIKIYPTTPNPDTRKPLQLPCYLLNTHSPNEDFCGRKEILEHLAAELLPS KSVVTASGTTLRQFALCGFGGIGKTEIAREYSRRRKASFDAVFWVVADEIAKLDHHYQ QISLALGLEDPSQCKSQALSNPRKYLSGSDESVQPGHAGSDATWLLIFDNADDPMILA DYWPQGRGLIFITSRDPLARSMFTRKSLGLDLGPLSQNDSISLFNQLTTISNESEEHT VRQISDALGGVLLAISQMAGIVRRQDLTLPEFLELYTDHEEHASLYETKFDTNLITYR HSISTVWAFEKLRPQARKLLELISFLETSNSSKYPFIALYKMQSWQRWMLRRNGSFST RSYGSFGKTGHRLCLSHRKSQNYHSQNQLEAGYMLEDGLLSS TSTA_037690 MTTHRTDFPAIRACIFDMDGLLINSEDIITVSTNELFKKYGRPV FDSSIRSQLMGVPDSTNGDVFHNWAKLPISREQFARESTENMRRHFPSCKPLPGAQEL LSNLSRAHNACSGDRIELALASSTKTRSYKLKTSNPETKGLISFFQADRQVLGDDPRV RQGRGKPAPDIYLVALQCLNSTVSSGNPPIMPNECLVFEDSVAGVEAGRRAGMRVIWV PHPDVAIEHQARQKDVLAGRTGIFNVGDGWQPGDIDDGWAESISSLEQFDYEKYGIKR GP TSTA_037700 MEAYAIYSSLRSQLNSNSSALKEVQATKTGFALCPSSPEALLTL EAQKEIISAFFVNCQIERSSRWVSYRVTNVPRKVGQILDGQYSLIPVNPTLLSSEISE TTRLKPISISETTTSAANPDTLSSSCYAFLALLPMLVTSLREQQLSNAHDAGNGIMHD XXTCLTHDVEQALNQGMTASLLTLDVKGAFDSVLPGRLIRRLREQGWPTNLIRLDGEI GPSTDIACGLPQGSPVSGILFMLYIAPLFRLGNPRNRFGYADDAANLAISTSLATNCE ALSDSLQEALNWGTAEGITFAPDKYELLHFSRHKADQDPTRTPSVKAGSITISENTKR LYLRWLGILFDKKLTFKWHVRETASKALTVANALRSLGNTVRGVKPDLLQQAVSACVL HKAYYGAETWWPGRTRPGPSQISNRVGEHLEKLTKVILTGARAVLPVFRTTPKPVLYR ESGFSPPEIELDRIALHATVRLRRLDPYHPLRRRAEQIASNGRQTSRFARRTLALPNS EQINPLQYAPWHPREPRKSALARIGAPMGRTKEQAAADFTAFQRTIPSSDIVIFSDGS RLIDGRAGGGYIGFQAHHQFLRSSLSYGHGKEVFDTEAEAALAGAQAAITYPTAQFAT NLWICLDNLEVATRLLSPSTGSSQEVFESFRTLAAAWPLRERLPHTKSGSIQIRWVPG HAKIPENEAADLAAKEGAASTPPAPQKSSYASLKRHAKTQSLSAAQSQWQKCTTELSR SRNNYFS TSTA_037710 MARKGPGTDGPLQTALLESTSAATTRASEGQKIFSPIAAFLDKH RSQTTGLAPHLLRALTALSDDLASVAQRHFSAYISGISTTSILPALSPSPSP TSTA_037720 MANLSPFSNFSQGNSSTFTSPFGFAREIHLAMGPCQFQGNNGFR CTCPSGECTLFSDDPRRPIFCENCGHVMDVHRDYDKRHLPLPMSFSVCIKSLTDILKA AALFSSVLLEIPTMVSPRERLVNGIIDQAEKYRLILVRGTPDCGKMNLVANELLTRRS GEIPVHIMTGWDERQVDKAEGWNEYLMQETVIKGRDWPTSCAYLCSMKLRSLTGIPLY ESFDEETTDFNDQNGLGLLLEAEEASDVMKKYTDAVGPPSLSKDLMDELFLISNGHVG CLTALMGVFRRAVELEYQRRHDPQFELGTVRESIFSHPSRLFRYLNDTPFIRGMPNAD ILQHQDVAKVFKRTVACDEISADDFENAAEIQTLEMIWRNGWLHARKIRSSLYISQQH PSLTPLTGVIHYTTALELAIGAIRGIIIPRHLSDPPRSASGGSLPLEDQYQKEFYRSF YTLLDGRVLISPEYVAKRGKGGGTIDFLLSTKKWGFELLEIAKK TSTA_037730 MGEIKKSPPCLFIEDDTEYKDCLASMWFDELDARRAQVSPAAAE TNSWIWEHPVYHEFCRQTAGILWIRGKPGSGKSVLAKSIRSRLLEQSEPQKSQGSLPL IGDWFYHRRRGGRYIQHESFLRSILYHFLHQCPEVFPHYVEAYREMSPRSVVWSTEVL ESILVDVCRGSRPVLCVVDAVDEAEGVEILALIKRLTDAAAFSRAKFIVLSRNAVKIE QHIFGLPTIVMEDENQGDIASIIDIGLKLLCEEIHKLDFMTRSSELVFRTRSIPRHAT RMRQPRYKSITHALERETQTIKKMRIHLASKAQGSILWAKLVLDKLTRHVVSDPNCSL EELSRSVTHTPQELKEYYKQIVNEMTDRKSADRIQDIRRILMWICAAGEISGVTLEEL WEAVAVLKDCVIPSTMEEIWAKRTPIRSYDEFWRKIYSTCGPFIEVYNPGLSAEESRR YQYGASSIVQLMHQSVRDFLCDESSVGCLSFTIDEARDLVKTSLHDYLQRATRKLGGP GAPFDAGQLIEDLENLKLLRYAIDSAKNYFIRCDIAVPNVQLPLRGSPQHDLITALTT NRHAPEAASTYYQNTPSISRGWEMDGLVSTNLLFYVACSQGLVTAVMNMLALKWNTPP YLSGNGEMILYGVLLAASRCRSTKIQVGFYYAGVTQPDERGATATERPARNPDWPSEM PLYMIERTSLPSSHSTTDNFSEQPSISASSTLIESEVSLEERPVNHTYGRNDDDLNFV PRVNLDGDWENLQEQRTLIQERQRRARKLKVAQSHNHFIEKGSLAKDEDEWISIRWEV TLSVRLLHRTITKSLYFREWCSLLDLTCGTKRCQPISDYGMDDGIEFSEEDVEDAIVA ALEDNHDADYIGQLESSISKTLRILKAYGRPLSS TSTA_037740 MALDPSLYTVAWIAPIEIEARAALHSLDNYHEGQFPWSYGYDYL FHAGDINGHNVIIATLPGKQYGTGSAAALASQVKTFFPNIQFGLLVGVAAGLPNLAQS PPYDIRLGDVLVGFPDGESAGVIPYDLGKETKDGFQLLNCGYDLPKTESIVMSAIGNI KIQSPDDTDIVLEFYKDIQDKRHESGTFLDLGQDRDQLYTVDEDGVERVAERERRPDS KRVRVWYGPIGSGEKVVKTAEKRNELRDKYNVIGLEMEAAGVMTQIAVGVIRGVCDYG DEHKNKEWQPYAAAMAAAYAKAILYRILPGRALVHYQLQAMAMIGYIRGSQKPSKVST LEVNYTP TSTA_037750 MVGPETERPENAEQMSRPGDDGGPDIFERPDLLESETERAANGE ATVGTSSSDDVQLPVELASLSDRFVESLSARVHTLPPSIERISSLFQDFYIRTDSHIA THISTLASRINRDGSPSKSSSASTQQMLTVSEIAERRKARKQLPLKQLALEETVEKRV CEKVYDKIWRHKSSLDEVRDEKLRSKTAALSLLGMGLKDLGIEVPDANEEKEKDANTR LSAAGSDLEKMNDARYPLGKLQHLISAHKAIVDALTVLLGSSSSADEILPALIYTLIT SPPEGINVISNLLFIQRFRAVSKINGESAYCLTNLEAAISFLESVDLSSLSTDAPTDG QPRLLNAATTPPTELSSSVRAPRSGKDTLSGTFPRTQLSAPAAQQRRLSNLFQPPAKV LGAANDAVRNTADQGLKNISNTLDNSFNFLFGRLKEMQNNRGANEQPLVPKTLDEARR LVTNPPGLNEGDSVNDESSTPELREGYTQRSTLMPEDRRYSGRDGRRTPRDRSADSTR SSEAGSNQRASAIPTGRLNSTSTPSPSAGASIFNSSPVTPLESMKNFGNSFNPLNHIP GMIRGFGRNAPETPSASTTATTGTTTPSTAGGTILPERPRLVSTSRLADARSSPLTTS TSTVPFPPAPLSQSDPPIQRFLEVDDPQDLRIGDVAELLQDYKRLAAMFVDGGSRE TSTA_037760 MGQTSSTQRDQRPEHPSRVTTSSTLHSNGHSRTVNAQEMSEQSV GGGHPSQDEGLAANNRNNTSNMMRDASASTTSYPAFHDTMMSNTSSSFSHDLRTLPQP VPQQDSRHPSRTLSRRQSTMSRLGTRILPNSVIRGLLNSEEETVAEGLAHRNGRLMRP IPRTEVLHSPSRFSPFTSLGSRGINRRRSIRGPYPLPRGDAALIPDSPPNPTYANPAI DNVTASDRGWRRSPRLNRVRNSLPTPISQFFGTPPSVPEPEEMRIPPQRPLRSSVTEG MDQLLPPLDPMDMQMDLDETSTSDLAQQSMTDLQPLSSLPLPRPSPGLGPGPIRRLPG ILRTRSSSRIPRRDEQTPLSRVLQVAAAAIAAQLAGNNTPTLPNIQSLGNEGFDASLE SLFQSLQQATSAQDNQTGPSNGQNASQPGAPTPLNFLRVFRFSNTDGPGGRAGGTEQM DVEDGEEGTEGRTVTLVVVGVRSVPSNGPLGNPQNGGGIGLDTLLGLPHLPPIRGNAS QEEGNLDHPIRNMDGTSRMPSSRIGSGASSSQDNMTAPRSRPSSGVFRNPLDPALPSG LADSPPGPYPPPSTPAEPTIFSSGNSTPSRRPSSASALSPNILPQVHEEPLQESNQSE SYPPTAGSGVRQRRRSDSEFARHRHLGSGSARRHGVVEPDQPAPSGGRTWVIYVVGTN LSENHPAFATPSLFTDNPTYEDMILLSSLLGPAKPPVATQADVTSAGGLFHLVEYAGS LIAEALEGDQTINLGESERCLICLSDYEAADEVRQLAKCKHLYHKECIDQWLTTGRNS CPLCRGQGVTESSSSSNPMPTQEASA TSTA_037770 MKMQSQWSLATKILTKLIISYLVLCGLFYSFEHYYYVLRFRFSD SIKSSEHDYDGPIRINETEIPHVRYDTGFDPGSTTIYGYPRKGGLYTLPHALGVEFDF LGLDRFGLTGSKEEEIKPSDEEEVFCNKMRQLGAKWFPDRRLYCLYSRRPASSKPHGV LHVGWPSNGGVWVLHIGEMEAIFETEPWGIIYNALNMDERCQIIERLGGKFYPDPKRC PYLDLADDPSP TSTA_037780 MLPRVLVIAGSDSSGGAGLEADQRVLTAHGVYSLTATTGLTAQN TLGVQDIHVVPAGFVKKQINVALEDVGADAVKLGMLSSAETVDVIAEELKKHNVKTIV LDPVMISTSGSQLLPQNAISHVRTNLLPLATVLTPNIPEAKLLLENAGQPAVSEPENV NEMIELAKKVQGLGSKTVLLKGGHLPLTADRVRANSLAEAKIVVDVLYSGDGDEVMLT ETEYLTSKNTHGTGCSLASAIAANLAKGNDLKTSVRSAIKYVEAGIKLSVDMGQGSGP INHFHSLYHMPFAPGQFVHYVLNRSDIQPIWHRFTHHPFVEGLGNGSLPVKNFKDYLV QDYLYLVHFARSNALASYKARTMESIAASARIVLHINTETALHIDYCSSFGLTREEME ATPEKQACVAYSRYILDIGQSEDWLALQMALAPCLIGYGAIARRLYDDDDHTVKGEEN NRYWKWIENYVAEDYVTAVKTGSVLLEEHMHQVSPSRVEELVQIFKRATELEIGFWDM GLGSD TSTA_037790 MSAASEDKDVPAAPHNEIDLIKEDAVTASDNDAAEPERPVRRKL QETRITSDGNPSVPDDDVENADRGRLKKRSHDDLQAEEGTTEQQTDSGHRRKRSRDTN DDGNWTKIDIERTTTPEPTGNKDDASAHILSPKKKRSLDQLQENGASAQSEEKANQEN EKERETKRHRDASKDRLAAAEGAASTKTSLPKSFLNTSAVSPFASLGAKSSESEDAKP QTTSSSAFASSGLASFAGSEQSPFGALGSSTASVFSKATTTSTEKPAGSGFSLTSNTS SASPFATTGTSGFASLGSSGFGSGFGSGGFGGSATKLSSFASATGTGLGGSTTAKPFG ADRDSDEEEEEEEGNVIPAGFEKEKEDERFFEQQIETGEEEEKTYFSCKAKLFHFTNK EWKERGVGTFKVNVKEPPEVGDVDDAQKKKKKTARMIMRADGVLRVMLNSPIFRGMPV GEVDGAEPKGKQLNLASVEDGKTVPLLLRVGNADSAKELYHVIIDLQKDL TSTA_037790 MSAASEDKDVPAAPHNEIDLIKEDAVTASDNDAAEPERPVRRKL QETRITSDGNPSVPDDDVENADRGRLKKRSHDDLQAEEGTTEQQTDSGHRRKRSRDTN DDGNWTKIDIERTTTPEPTGNKDDASAHILSPKKKRSLDQLQENGASAQSEEKANQEN EKERETKRHRDASKDRLAAAEGAASTKTSLPKSFLNTSAVSPFASLGAKSSESEDAKP QTTSSSAFASSGLASFAGSEQSPFGALGSSTASVFSKATTTSTEKPAGSGFSLTSNTS SASPFATTGTSGFASLGSSGFGSGFGSGGFGGSATKLSSFASATGTGLGGSTTAKPFG ADRDSDEEEEEEEGNVIPAGFEKEKEDERFFEQQIETGEEEEKTYFSCKAKLFHFTNK EWKERGVGTFKVNVKEPPEVGDVDDAQKKKKKTARMIMRADGVLRVMLNSPIFRGMPV GEVDGAEPKGKQLNLASVEDGKTVPLLLRVGNADSAKELYHVIIDLQKDL TSTA_037800 MASHINIDDDDDLLDNDLIDADDAAIEADDPLNTSDTTPLTGNI QSDINAGSSSSSRGVGGMGGFSSNYLTSSIPGEDRRAPQNTIDETVWETLSRDLLAVW EKMRQVLWPKYLLGGLLVRGGGGIGGAAERGEAATTPTAAGFGRNLRGLVGRWPDADV VLQSGMSEGLRDWDLWGPLIFCLLLSMFLSMRAHGDQSSLVFSGVFSIVWIGEAIVTL QIKLLGGNISFFQSVCIIGYTLFPLVIAALLSALGLPMIVRIPVYLVLIAWSLAAGVS ILGGSGVLRNRVGIAVYPLLVFYISIGCLCFIS TSTA_037800 MASHINIDDDDDLLDNDLIDADDAAIEADDPLNTSDTTPLTGNI QSDINAGSSSSSRGVGGMGGFSSNYLTSSIPGEDRRAPQNTIDETVWETLSRDLLAVW EKMRQVLWPKYLLGGLLVRGGGGIGGAAERGEAATTPTAAGFGRNLRGLVGRWPDADV VLQSGMSEGLRDWDLWGPLIFCLLLSMFLSMRAHGDQSSLVFSGVFSIVWIGEAIVTL QIKLLGGNISFFQSVCIIGYTLFPLVIAALLSALGLPMIVRIPVYLVLIAWSLAAGVS ILGGSGVLRNRVGIAVYPLLVFYISIGCLCFIS TSTA_037810 MHAAMLRSSNGFIRNLGTGARFGKRLQIDRRSRLGASSHTTYNI SRNYSSYVPQPRSLDAENGEHFASIDAPPESEQARIPMRAVLRNMRLAESNNYNRKLK RKQRREVVGDKEDVDKLMELAKGKSLPAGVNSTVVSRELNWLKDPKELGIRIGILLQS DQVPLAVAMLRRAEAMKMETSAAWNRLFSYCFDRGAPLAAFRFYNDMKKRARAPTSHT YTIMLKGLCRKSRQSGVKPVELAYKIYRQLLDPDSDIEPTHHHYHAMLEVCGTYHDME TLWNVVGDLPEAGPHKPTAQTYGLILQALLDSCDNQLKEIPADQMELRKEKRETLILD AKRLWADVINQWRKGELALDGELVSIMAWVLIDPMDELNTYNALALYKQTMDIPILVP KPQNAPMKASKQGKWEAAVRLSKKREERENEYNYTSWQPLEEQVGNAEESEDILSAKE ESSNTEDFVEDLEDVFDPVEEVNSEGGPSFLVPDNRVLHIILTVCRLLTKGTAPGRGY WNLFTLDRDGYNIKPDPGNFYAYLRLLRISRSSQTALDVIRQQMVPTGRLDGKALHIA MSCCLRDRTNPNVLLIAQSFLSLMQEHLPLPDPKPLMNFIELSTVLTENPQWLLVLRG LEDIDPNTTNLTIMGRNMRWSLQKKVITSLEPHANRLYESMEKVLDSPVKYYSTGSPN SPETINGYLALQFMVKFRELLDKVLGTQYADTMTKADRDWIAPLAIKLRKFSNPEVAK KVQSSVLRPLSHHYSYD TSTA_037820 MSYRGGSSGGYGGSRDNYGGGGYRGRGGGGYSNGHGGSNGYSNG GGYGGSGGGDRMSALGAGLKTQDWDLSTLPKFEKSFYKEHEDVTNRSQKDVDAFRKEH QMAVTGRNVPRPVETFDEAGFPNYVLSEVKAQGFAKPTAIQSQGWPMALSGRDVVGIA ETGSGKTLTYCLPAIVHINAQPLLSPGDGPIVLILAPTRELAVQIQTEISKFGRSSRI RNTCVYGGVPKGPQIRDLSRGVEVCIATPGRLIDMLEAGKTNLRRVTYLVLDEADRML DMGFEPQIRKIISQIRPDRQTCMWSATWPKEVRQLASDFLNDFIQVNIGSMDLSANHR ITQIVEVVSDFEKRDKMIKHLEKIMDDRKNKCLIFTGTKRVADDITRFLRQDGWPALS IHGDKQQNERDWVLQEFKNGKSPIMVATDVASRGIDVRDITHVINYDYPNNSEDYVHR IGRTGRAGAKGTAITFFTTDNSKQARDLVTILSEAKQQIDPRLHEMARYSGGGGGGRG WGGGRGRGGYHRGGGGGGGGYTASNSAPLGNNRRW TSTA_037830 MAQATNPSIAASSPTYPPPYSPYQPQGHDMSQYQGHPPPQMYAR PEWSHGYPHQQHPMQGPYSSPAPPTVYSFVPIPGAQQHKRPRRRYEEIERMYKCGWNG CEKAYGTLNHLNAHVTMQSHGNKRTPEEFKEIRKEWKARKKEEENQRKAAEERERQAA AQAQAQAGQVEGGAPPDPSQGGQPPSYAGGVRPQLPPIGYQPAENQVPGQYAPGGAGG MVYPQGNGQMYGNYPHSPYAQNNQVYQQRK TSTA_037830 MSQYQGHPPPQMYARPEWSHGYPHQQHPMQGPYSSPAPPTVYSF VPIPGAQQHKRPRRRYEEIERMYKCGWNGCEKAYGTLNHLNAHVTMQSHGNKRTPEEF KEIRKEWKARKKEEENQRKAAEERERQAAAQAQAQAGQVEGGAPPDPSQGGQPPSYAG GVRPQLPPIGYQPAENQVPGQYAPGGAGGMVYPQGNGQMYGNYPHSPYAQNNQVYQQR K TSTA_037840 MSAIEEKEQTASSAVVGGDLIDPLTDPSRTKTPSSSSKRARKPK RNVADNNNTKRKPSALWPEVFKQLSQTHRALNLVYTFCCTRKHLATTFETIKTTVQAQ MGGRELTVEDVARIKVLIPRAVRFEFVDEGVLEVLGVGDDTTKEGGGKRDEYKLMNVK SASLGGGFIPEEHIAPTTLGDAMEDGPPETKDVLLFEFVDEDLRRQVQHSKTGEPTRP TKRLRNDELKLPVYSQKQMLNLIEKRNKKFSDAIDAFLVKCEDEGTDPVEHLESEKVI PLPPAREEQHTQNGARGRKLPDEIPKERKPIAEIITDIKKMDWYTAQIVPDGHRVFDP QPAVYGDLHFRLSQNLVNALYNVKGITRFYSHQAEAINNLYDGHHVIVSTSTSSGKSL IYQVPMLHELERDPNSRGIYIFPTKALAQDQRRSMKELLNFMEGLDHVIVETFDGDTP MNERNTIRDEGRIIFTNPDMLHVTILPQEGSWRTFLQNLKFVVVDELHAYNGLFGSHV AFVMRRLRRICAAVGNRRVRFISCSATVANPEEHMKTIFGVDDVRLTDFDGSPAGRKE FICWNTPFKDPHDPTSGRGDSIAEAARLFCQLVLRGVRVILFCRIRKQCEIVLAAVRT EFQTLERPEVANLVMGYRGGYSPQDRRQIEKEMFDGKLMGVVATNALELGVDIGSLDA VITLGFPFSISNLRQQSGRAGRRNKDSLSVLIGDGFPTDQHYMSNPDEIFTKPNCELQ VDLTNELVLEGHVQCAAFEMPLKPEEDKAYFGEQLEEFASTRLIKDALGYYHCNERFR PKPSDFVSLRDMEGSSFAVVDTTHNRNIVLEEVETSRAFFTIYEGGIFMHQGQTYIVR ELNPEKRLARVVQVQVDWNTQQRDYTDIDPIETEAIRHIGPSARAYYGIIQIHAVVYG FFKIDKRGRVLDAVQVDNPPIDTFTKGMWLDVPKRAFEILESRRLNIAAAIHAAEHAV LSLFPTFVISLPGDVRTECKVAKKELGKNLKRANEAISSSSRKEVNTTLHLRIQTSST RSTTVSKPSLADKSKYILEPPRRQRPARLTFYDTKGGPSGSGIALKAFEFIDSLLSRA IRRIEACACITPQGCIECVCDERCKERNVVMSKAGAVVVLKCLLGRESEIDIDALPWG EEYDVSDATYLHAGEGEIVGGYETVVLAREVRVKDGVRIYP TSTA_037840 MSAIEEKEQTASSAVVGGDLIDPLTDPSRTKTPSSSSKRARKPK RNVADNNNTKRKPSALWPEVFKQLSQTHRALNLVYTFCCTRKHLATTFETIKTTVQAQ MGGRELTVEDVARIKVLIPRAVRFEFVDEGVLEVLGVGDDTTKEGGGKRDEYKLMNVK SASLGGGFIPEEHIAPTTLGDAMEDGPPETKDVLLFEFVDEDLRRQVQHSKTGEPTRP TKRLRNDELKLPVYSQKQMLNLIEKRNKKFSDAIDAFLVKCEDEGTDPVEHLESEKVI PLPPAREEQHTQNGARGRKLPDEIPKERKPIAEIITDIKKMDWYTAQIVPDGHRVFDP QPAVYGDLHFRLSQNLVNALYNVKGITRFYSHQAEAINNLYDGHHVIVSTSTSSGKSL IYQVPMLHELERDPNSRGIYIFPTKALAQDQRRSMKELLNFMEGLDHVIVETFDGDTP MNERNTIRDEGRIIFTNPDMLHVTILPQEGSWRTFLQNLKFVVVDELHAYNGLFGSHV AFVMRRLRRICAAVGNRRVRFISCSATVANPEEHMKTIFGVDDVRLTDFDGSPAGRKE FICWNTPFKDPHDPTSGRGDSIAEAARLFCQLVLRGVRVILFCRIRKQCEIVLAAVRT EFQTLERPEVANLVMGYRGGYSPQDRRQIEKEMFDGKLMGVVATNALELGVDIGSLDA VITLGFPFSISNLRQQSGRAGRRNKDSLSVLIGDGFPTDQHYMSNPDEIFTKPNCELQ VDLTNELVLEGHVQCAAFEMPLKPEEDKAYFGEQLEEFASTRLIKDALGYYHCNERFR PKPSDFVSLRDMEGSSFAVVDTTHNRNIVLEEVETSRAFFTIYEGGIFMHQGQTYIVR ELNPEKRLARVVQVQVDWNTQQRDYTDIDPIETEAIRHIGPSARAYYGIIQIHAVVYG FFKIDKRGRVLDAVQVDNPPIDTFTKGMWLDVPKRAFEILESRRLNIAAAIHAAEHAV LSLFPTKEVNTTLHLRIQTSSTRSTTVSKPSLADKSKYILEPPRRQRPARLTFYDTKG GPSGSGIALKAFEFIDSLLSRAIRRIEACACITPQGCIECVCDERCKERNVVMSKAGA VVVLKCLLGRESEIDIDALPWGEEYDVSDATYLHAGEGEIVGGYETVVLAREVRVKDG VRIYP TSTA_037850 MAQHFFPHGSPNVSFPDAHMPEYSQPDSYPAFPLLLGSGHIPDR LLYWNNDRNPQAGIAPIPRPTFPVLQQQQQQLQHQGQSQPQLPPQLQQQQPIPTEPRK HKRTRSGCLTCRSRRVKCDEGRPVCEKCKKGNRPCEYPQPNARIASRTKSRRQLSHES ISSDEENVDQGGSLGVIPDEDETAELPRSLPKMSSDSVSAQKMGAHSPSNNNFRPRNS SETSSASKTKSSSPASDWVSSSQSSTSSSAFRQHRSRFVQQPARNLPDIFKHKRLKDD VQFFLNYHQKRINHHHYSMNYTTDLFFRGTLIDLALEYEPLLYAVVGFAAYHYTLENP QGKLNDFLKFYNQSITLLRKSLASGEKHSEATLATILQLSTFEESIGDFMNLVDHHQA ADQLVHELLTPQSITETKNHRYLFVWHCRFDLVACILASNEAILEREWYMSLEKHDAA LAAQNPDDHILQLSAIISRVRILALEYASLFARLSHKVISLEQFHEGYDILSRVIEDI YQSLRAYDNCEEITMPRPAVPLQGPEDILDTDMVCRFYRDSKHYLNFLWPDLLCTELM FKYQSHLITGQPDGAELQTIALRICHHAEAIARWPEVEVGTDIAGHNWLILTAMFLPR DNKHMQWSRRMFARIELSGYVFAPRVRRALAEFWNDPTVEEWWDPTDEEGCPNIIKEI RKLTEERTTSPRDNLREEIRDLKTLFANMQLSQGGSPDSGPST TSTA_037860 MTDTPIRLTVLISGNGSNLQAVIDEIAKPTDSKLPNTQIVRVLS NRKTAYGLERATKAGIPTTYHNLLKYKKAHPATPEGVQLAREEYDEELARLVIADKPD LVACLGFMHVLSTRFLVPLEEEGIRIVNLHPALPGAFNGVDAIERAHAAWLEGTITKS GVMIHNVISEVDMGQPILVKEIPFVKGVDEDLGKFKEKVHSIEWGAVIEGLQMTIGEV RAKCSS TSTA_037870 MSSAARAKLAELKALRASGKKRLSTYEVEDQGSIYDEVDEEGYK KVVRQRLDEDDFVVDDNGEGYADDGREVWNEDHIGYSDSEDDGDLPARGKAAKRKREE ENQRKEKINNGISKYFSTSSALAAPKPKPVATAEDEAFMADLLGDVDANILPSRVPTK NIIKSETRRKVRILSPPLSDKSQKTKPRMRGKGEDTPIPPKQDVNIPDYDDDDGTLAL GGDGDENIPMSDAALPSSPISKAVERKAFKSEQEAIKEEEDEDDMMDVAQATGHHDSK SSSVNMTGSKPPPKIKKQSYPSPSSSSPPRAPAEVEATWDNVTSKLNVLSSPATENVG FGKVRAQDVIEEDGSVQFFWLDYTEVNGTLCLFGKVKNKKTGTYVSSFVKVDNILRKL FFLPREHRMKNGHITDEEVDMEDVYEEVDKMMTKLKVGMHKIKPCTRKYAFELPNIPK EGDYLKLLYPYDKPVLSMDTTGETFSHVFGTNTALFEQFVLWKRIMGPCWLKIGEADF SAAANASWCKFECQVSKPNTITTIPDSELEMPPLTLMSLSFRTLLNVKENKQEILVAS ARIYENVSLPETTPPEKMPCKTFTVMRPSGTSYPIGLEAEVKKQRGVYMLEKNEQFLL SKFLALFERMDPDIIMGHQLQEVDLGILLNRMKEKKTPGWSRVGRLKRTEWPKNFNRG GGFFADRHLIAGRMMCDLANDMGKSLMVKCQSWTLTEMCQLYLNDDHIRSELDNEAAL KTWATTKEGLMKYVTHCEADTYFIAALVIRLQMLSLTKVLTNLAGNSWARTLTGTRAE RNEYILLHEFHRNKYICPDKYSNRQHKATEEKMDDDDDSTDKKKKEKYKGGLVFEPEK GLYDRYVLVMDFNSLYPSIIQEFNICFTTVDRSSTAENENEEKVPEVPSSDQEQGILP RLIANLVTRRREVKKLMKDKRATTEQLALWDTKQMALKLTANSMYGCLGYTQSRFYAR PLAMLTTFKGREILRSTKDLAESKQLRVIYGDTDSVMINTNMDTISDALKVGEEFKMS VNERYKLLEIDIDNVFRRLLLHAKKKYAAINMTEVDGKYIDKLEVKGLDMKRREYCAL SKEVSKRLLDEILSGEDSEVVLNKVHDYLRDIASQMREYKIPVQKYVIYTKLSKRPEE YPNKESMPPAQVALREIARGKTIRPNDVIAYVVTNGDSETASLPPPKRSYAPQDVMKP DSELKPDIEYYLLKQIFPPIERLCAPIPGTDPVRIADCLGLDVRKYQINSSRSNAQQD AEIYPLESQIPDSVRFEHAARLTLRCRHCGASSVFEGLSSSSNMCSPHGVVCPNEACG KVFSTISIIAQVESQIRAQTAKYYEGWLVCDDSSCGNRTRQMSVYGHRCLGPRGRAEG CLGRMNYEYTEKQMYNQLLYFAGLWDVDKAKSIAAKEAGEAKDNIHALAEYNRAKFAT IKGVVDGYLKKCGRQWVDMDTLFKFMMA TSTA_037880 MVVLSGDVILIILDFLRAQVDHNTLFQCALVSRWLAKPALSILY QLYDSSPAFGGGTEDESFKPRALDSSRVPSLTTIPKSASESAATFHKWVLMWRSIILS TSEGKTYLPYCDYIRYLDLDDFRELLTHFGFKSRIRDDFFTSGIGDLIYQDYESKGHK RLRSSKEDLTNTSWVIQRVGTCQSLQCIAVNYKSNVSGNSLKQWAVHLPRLQTLYIWN GAAFSERADEKIREHCPDFKSLSVFRWLDRPDLQADADAERVLNTLRPNSLETFEVIS LSYIGQRTILALGAHLDSLTRLILTNLSIEAIAQLPALGPPRALKKLILTDSQPTAHD ESFNETLAAVAGWISQCESLRHLQLRDFMGTEDASLLAKVLGDDRIRLESLQMRCYYT TNNEDFHHALSLQGECLQSLILQRKRHALVQTEESLLQAICKLTELRELDLKEVSDYY TAGHVMTMMPYLKKLERFSVNGLSFDDDLWPSFLTLKNLRFLAIHAYSEFTAEGILDF VSQLGPGNQGFHLVITSAVAETAILPDAQLVIHEALLQNVNGAFDYEVLIGKF TSTA_037890 MPTLSFSLKPPALLELHDALVCLSKFNESVSIEAEYDSLRLSTL NAAKTAYASFTLDSGKFFSEYTFSTRGVQQSSGQRYGDKFACQMYLKALLSIFKGRAG ESRDKQTAVERCNVELHDSPDEAECRLHIQMICGQGVIKSYKLTYESVSVQHALFDQS RVQNQWKVQAKILREIIDHFSPSAEQLDIYPDTGKAIFTSFTNKISDGKEILKQPVHT SVAIDTKDFEEFIVKDGLHVAINVKDFKAAVIHADTMKTSITARYTRPCRPLQLAYTS EDGIESEFTLMTRGDVDEADNDDDASTAASQLSARLAATRVAVQSEPPNSHPAATASA SLSAARTTIPPSSRPVIPSRKPELMSSAASRAALADIHDSLFVPADDDRQWDEQVDDE ANDQDILGWDSNIEPGAYHEGRPRPLTDDYPTLSSDRQQNNGDVGTAIPPTQPMSQIR NLGLFD TSTA_037900 MDQPMMAFLMGIVLTDKQSIDKAPSRDDNKSLGEKKEREMQKIF ALGSNGSGQLGIGHRDDVSVPTECLFDEDTKTSNENSITASQGIGEGRERKVKRIVCG GNHTIILLEDGAVYAAGLNDDLRCGVCISSRLERRDQEGSEKEDGILRRFRRVKFRRR STGAVIDRFKDVCATWSATCFIAVTSSSNNTCEKDVVIPLGSGDKGELGLGPGRRSTA PASREVDDSIIDTDDYLIPDFPPPNTYIEAIGSGTNHVVAILSNGDVYGWGASRKGQL GTDSELIKNKIVWSPVKIASAELLKEEFMPRSVSCGREFTFMGGYKKNKPLEGGDDSA GYIYTILGVDDKWNIMSAAPSVQTLQKTSQTQKRYDVSTSWHGIYLHNTLDNSVIAWG RNDRGQLGPHQQQEHSLVRWESVAQFMAGSEHTVAVLSDNRTVVSCGWGEHGNCGSDT DDHGNVKGRLSQIRLPDDALHNNEAKVVRVAAGCATSWIVVSS TSTA_037910 MTSLGPMPPHGPDVTGTHPQYEEMHPTEKDAFDKLLRPDDSYTP EGVYWADLPIMQRLKFVNSVDSAEARKELTAIGQMIKADPLSPVSYYFKNMVLPGAGL LLEGYVLFSVSTVQSLLADAFPECWGKHQTCNGTWINAMTYLEIAGIMVGQILVGYLG DWIGRRWGLIQDAAIMFVGLLMLTAAYGVTENGWVICYAWSLFFYSIGVGGEYPMTAT SGMENAVGSGRISTREDRLHRGRKVVSAFLMQGWGQFLNQGLLIILLIVFHHGSGGYP YSKVAAQWTYRVSFAIPAVGTLWLTYYRAYHMKAASKQLQAIKKKSSVTGYDVESFRL TLKYFGPRLFATSFGWFANDIFFYGNKLFQSQFITVILPKERSLMPGWLYNLLNIGVS LVGYYLASFLIDNKFYGRKWMQQIGFLMCFVFFVVPGFHYHYYTSKAHIHEFQAMYFL SSFFNQFGPNCVTFLVAAECFPAPIRASAHGFAAAMGKLGALIAAIVSSYTSVPQRFY IWPWFGLAGMLCTFLFLPDTTGLDLKEQERRWWYLRNGREHDYHGVAIHPQHLSVWER LRGVGKYYDAELDYKQKVDDYRQEWETGIARKLENEAGEIDVDTEDTLFEGPVHNYFE RTSPMFRGTEKLGGQTFSSLPPAAHSSESDSIEAAATVTEK TSTA_037920 MSWRLTKKLKETHLAPLAQSFGRSSSTSTIKGEPAEETPTPSQR PSIVSQTSNGIADSEALISPPVAPVKPGILIVTLHEGKGFSLSPHYQQLFNSHFQNPN APVRPNSSSSHSTQTAGSYTQPARPQSTSSGINAAPTIHGRYLTKYLPYALLDFDKNQ VFVDAVSGTPENPLWAGDNTAFKFDVSRQTDLSIQIYLRNPAARPGVGRSEDIFLGAT KMSPRLQETNSYVENPKLSKKDNQKAAAEHEKSVNELENEWLDLQFGTGSIKIGVSFV ENRAHSMKLEDFELLKVVGRGSFGKVMQVMKKDTGRIYALKTLRKAHIISRSEVAHTL AERSVLAQINNPFIVPLKFSFQSPEKLYLVLAFVNGGELFHHLQREQRFDINRARFYT AELLCALECLHGFKVIYRDLKPENILLDYTGHIALCDFGLCKLDMKDEDRTNTFCGTP EYLAPELLLGNGYTKSVDFWTLGVLLYEMLTGLPPFYDENTNEMYKKIVQEPLTFPSH DIVPAAARDLLTRLLDRDPQRRLGANGAAEIKAHHFFSNIDWRKLLQRKYEPTFKPNV VDALDVENFDVEFINEKPIDSVVEGNALSQTMQDQFVGWSYNRPVAGFGDAGGSVREP GFPTIQE TSTA_037930 MGVIRKKTATRGTEGGTKYHCDVCSVDITSTVRISCAHPSCPEY DLCVPCFAAGEFSKNHDPRTHPFHVIEQNSVPIYTEDWGADEELLLLEGAEIYGLGSW ADIADHIGGYRSKEEVRDHYIDTYINSPNFPLPARADPEDRSLQDQISKEEFQARKKR RIEERKEAAKTAPPATPKQKPTASVPSCHEVQGYMPGRLEFETEFCNEAEEAVQHMQF EPGNGLNEKGEMEPEMELKMTVMEIYNSRLTQRTERKKILFEHNLLEYRRNIAQEKKR TKEEKELLNKAKPFARMMNHADFEEFCKGLEYEHNLRLAIAQLQEWRQYGITDLKSGE KYEQEKQQRAQRAIPQGSFDRFATSSRPSKQVQQPEGPSQASLLTTPELPLRFQKTTK SAATEANVPLNDFDLAFAANGDGLSTPQPATKTKYVVQPLNGVPQWKLENEGASDLHL LTKEEIELCNAVHVQPKPYLVIKEALLKEAMKQNGTLKKKDARTICKIDAAKAGRIFD FMVHSGWIVKG TSTA_037940 MGKKAIQFGGGNIGRGFVAEFLHQSGYEVVFIDVVPQVIESLNN TKSYEVTEISEEGEKTKTITNFRALSSKTQEPEVVKEIATADVVTCAVGPNVLKFIAP VIAKGIDSREADRPLAVIACENAINATDTLRGHIEERLDKSRLDTLPKRARFANSAID RIVPTQPENAGLNVRIEKFYEWVVEQTPFGEYGHPDISAIHWVDHLEPYIERKLFTVN TGHATAAYYGYQLGKKTIHDALADKTIHKQVHAALDETASLIVSKHEITEQEQKEYVE TIVSRISNPYLEDVVERVGRAPLRKLSRNERFIGPAAQLAERGMKFDALLLSIEKALQ FQNVEGDDESAELAKILKEKSAADATQQITGLETGHPLYQHVLKVFEKVQAESNEIMF YLVVDYFQYLSYDDAAYLRAFVS TSTA_037950 MIFTLNTISKVFALVSFTLSTLCLFAGSQKSILQNASVVLLDTS ASEPTSAIQAAANRTAADLGLKDFYAIHVLTYCEGNFKSSVEGGLEVTSCSKRRAPFA FDPAKVFSAGFKAGFNISDINWPDSITDDFEVMEMTTKAMSVLYVIGVASTGVVFLME ILLTQAGGKPSMFAHLFFVVLSFVCLGVSSSVASGIAVQFVNLINRHGQGFGVVAKGG SSFLGMTWSAVVLSFLTVVISVITLPSSGGTPISEKEIEDV TSTA_037960 MRLDIKRQLFARSERVKGIDFHPTEPWILTTLYSGHVYIWSYET QSIIKTFELTDVPVRAGRFIARKNWIVCGSDDFQLRVYNYNTSEKITSFEAHPDYIRS IVVHPTQPFVLTASDDMTIKLWDWDKGWKCVQVFEGHSHYVMGLAINPKDTNTFASAC LDRTVKIWNLGSGHANYTLEAHETKGVNSVDYYGQADKPYLLTTSDDKTVKVWDYTTK ALIATLEGHTSNVSFACYHPELPVIISGSEDGTVKVWHANTYRLEQSLNYGLERAWCV SYQRGRQGIAMGFDDGAVVVKMGREEPAVSMDGSGKVIYSRHTEVVSTIIRGEDLKDG APLALPTKELGSCEIYPQTLAHSPNGRFVSVCGDGEYIIYTALAWRNKAFGQALDFAW GSRDNSNDYAIRESATSVKIFKNFKEQKEGLDVGFQAEGLSGGVLLGVKGQGGIGLFD WETGNLVRRIEVEPREVYWSESGELVALACEDTCYILRFSRENYVNGLNAGEADEDGV ESAFEVVTDISETVRTGEWVGDCFIYTNSTNRLNYLVGDQTYTISHFDQPMYILGYLP RDGRIYLADKDVEVVSFALSLSVVEYQTLVLRGDMDSAAELLSDIPRDQMNKIARFLE GQGFKELALEVSPDSEHRFDLALSLNRLDIALELAREADVEHKWKTVGDAALTAWDLS LAQECFTHAKDLGSLLLLYTSSRNTDGLRSLAEQASVAGLHNVAFSALWTIGDVGACI DLLVQTNRLAEAVLFAQTYKPSKAPKLVLQWKESLEKSGKSKVARLIGVPPGVEGVEA DDDLFPEWDEYLRLEKEGPPEPPSSESLIDIDGENGEAEAAVNGGAEVEVEAEA TSTA_037970 MRPALRLLANVKQARYLEPFAPTGLTGLVTHPSPRSTLIFLYTQ TLEKLKQFPESSAYRQSTEALTRHRLSIIESTKPPGFDEWLQRVKKVVAENPEQFKKA RLANGIFAGIQQDDIHGGTKAQEWDGAVGPITEGPTRTPEEVAQWEKILEEATQPESA SDFHQETINWESEPSLEAQQIADIENKIGAGLIEEVIQVAEGELNLVDELAKAKVWEE LAEKPQPGQWTYFSRDTHTPGKP TSTA_037970 MRPALRLLANVKQARYLEPFAPTGLTGLVTHPSPRSTLIFLYTQ TLEKLKQFPESSAYRQSTEALTRHRLSIIESTKPPGFDEWLQRVKKVVAENPEQFKKA RLANGIFAGIQQDDIHGGTKAQEWDGAVGPITEGPTRTPEEVAQWEKILEEATQPESA SDFHQETINWESEPSLEAQQ TSTA_037980 MKSSLLSWTLFSLAIVPSLATNVFKREEGTVAEDGPANTIFNGV EVPPQLELTQDNFKSAISDGYWFVKHFSPSCPHCQQIAPTWQTLYEFYYTSNPLSSSS AKSPDSGASLNSFTGYYDFHFAEMNCLAFRDLCVQLDIKFFPTFSLYHNGELVEQYSG KKDMQGLSDFIEEKLEQIRPGSRPASGLKLPEPGAKSVDVAAEPDVPKAKDKDPAAGV KAAEDHNEKISQLTETTDKKEPKPASKTTEKKPERPVNNYNPQGSSVPLTAESFQKFV TRTRDPWFVKFYAPWCHHCQALAPAWQTMAKEMQGKLNVGEVNCDVEKRLCKDAGVKG FPTMLYFKGGEKVEYEGLRGVGDLISYAQKAVDTSAGVKYVDAATFKEMEETGEVIFL YFFDKATTSEDFAALEQLALPLVGHARLVKTDSEILADRFKISTWPRLLVVRDGRPTY FNALAPYDMRDNPRVLEWMRNHWLPIVPELTVSNAKEIMQNKYVVLGILSHKRSDEFR LGQAELKNAALEWMDKQTQLFRLERQELRDAKQLRIEEAEDRDDQRALRAAKSMHINI REEDKKQVAFAWVDGDFWDRWLRTTYGIDVKDGERVIINDEDNRRYWDSTSSGAHIMP SRTSILETIPLVISDPPKLRSKSTIGFFEATFFHTKSFITTHPIWSAIILVISSIAIF ITNRRRLLRRGLPTHGNNTSGGILGYGAGTGGGGFFSLDSKEGLLNGGSTGKVD TSTA_037990 MANTEEPTKVYRDVDHAPVTSVLPDGDVPITDHALNPDEAVLVA LGYKQEFKREFSLWTTFCVSFAVLGLLPSFASTLYYGMGYAGTGGMVWGWLIAMIFIQ CVAMAMAELCSAMPTSGGLYYAAAVLAPPGYGPFAAWITGWSNWIGQVTSAPSVDYAL AAMILAAASINNPDYVPTNWQVYLLTVLILLIHTMVSSMPTKWIATFNSWGSTFNIIA LVIVLITIPAATSNHPKFSSSSDVWGTIHNGTDYPDGVAILMSFVGVIWTMSGYDSPF HLSEECSNANIASPRAITMTSAIGGLLGWFLQLVVAYTVTDIDSVISSDLGQPWASYL LQVLPQKTAIAILSLTIVCGFSMGQGCMVAASRVTYAYARDDCFPLSGLWKQVNTRTQ TPVNAVILNSVLGILMCLLIFGGSVAIGALFSIGAIAQFIAFAIPIAIRVFIVGNRFR PGPWNLGKFSKPIGAAGAAFVLLMLPILCLPSMTGSDLTADLMNWTCLVYGAPMLAVT IWWVVDARKWFKGPKVNVEHALYGVEDVLGVPPSQEEKEDDENATAAVSKDGADSKVA LT TSTA_038000 MPVYNSPHAQNLLKRASYSPPYRSPYGPQYTIATHWHGITLPKL TKAGTIAGGFGVAAGLFAVFFFGEVPRVRKDILQRLPFFDKYLDRTVAPEDNPF TSTA_038000 MNGQDGLTLTSPTRYTIATHWHGITLPKLTKAGTIAGGFGVAAG LFAVFFFGEVPRVRKDILQRLPFFDKYLDRTVAPEDNPF TSTA_038010 MSNTKQTSALRRRLACDRCHSFKLKCPRPSLRNDDTCARCLKAG VACSYSPSMRGQVRPKQMPALTTSSIIPVDNFNKSFDSEFLMPAFDANDDIFDNMVMD WAVPEDGLLIDNFESTSQLQPSAADASTTTSTGNEVVSSHKSDGNSRDDQIHILEHEP VQRPKSSPHYLGMDVPEALQSPNIFLQLTNMSLILESLARQLPSFRVHDQTRNTEETQ PELSQHTESDDEVNFGVGKTYAMTHKLADIYVSIIEPTEQRKQLCHDNILDPIPMDSI DCSLLWLLFSCHNRLVDLWHAMLLHAKMVHDTDTDSHGHKARCARFKMGSYEPSSSST VVAMEIIVLQELAMHLAARLNDLIAIIESTDETNGSGDAAQDNSQSLKATVLMAKALH ERALAMRTEISQLKSMLEDSIAKRSSARKGQ TSTA_038030 MLVWFGVSDYVIVGVWWTAKVDGVEGGGGYDDVVDDDEEFVDTP CDEDEGVVGDVLDDGDDDEVVALVAIARAPEEICEEVCEPAAEDALKADCALNAERKF ARNGRFVDILEESEWNIR TSTA_038040 MGLPSLLRLRSSHTATSPLDDNQLVRYERNKQPTEPAPFPDGIM VLHDCPDAIVDICFLHGLTGDRESTWTAAGQTEPWPKTLLPSELKRARILTFGYDAAR EHASSRPLIFVAHSLGGLVCKQALLHSRNSAETHLRGIFECTKGIIFMGTPHRGSWMA NWAHIPVKVLDIFFPTNPKLVQILATDSELLKVNQDQFLSMVNGINQDGSGRRIEMTC FHELLPLRRNIIVVSKDSATFDGYNPVSIHGNHINMIKFASRKDSGFERVKGELRRWE EEIEKNIDGQQQKQQQHQKVESREDSATTPFRQSSGSIPRIASRNHHFNHNTGTIHYN VAEGGNLLTGAIFQGDIDFSRR TSTA_038050 MLSCFSRSMIERGGWVYLPLGDLPRPDRRQNYPEGKNGINLSFF IHGRGSFLQKALLGFYRTVLFQLLDHLSSHEKKPEALSKLEESFKRQCDSRGPLGKSW HWETAQLAKEFQLALREVEKNGPVYLYVDALDECGEEEAKRFAATIEKIIEAFADGKL HICFSCRHYPPLMLEDLSDMHIGGYVHSQLNPFAMPEIADKIISLSVGNFMWTHLVVE TLPGLGELYGDLLQAMLGDENSLRLVQWICFADRPLSLEELRWAMFVEAHPEAKSLGE CRVAPDFPCDCANQCDCDVIERRVKGLSRGLIEVTHAAITDSGSNRTAQFIHGSVQEF FLDKGAFLRLHHSISSSRSLDHVKSKARNSITQTCIRYCRMKEIRQAIAKFETNSAAG LALAAGPLQRGFPFLHYAVMTWAQHENESSPAAYFHWRKDSELLDSLLRVFPDVSEQH AVKRKRSQWSFDKVHLATKP TSTA_038060 MDPRSHPSRPPSTSLPQGSAPISSMQMPQYPMQPQYPVSQPHTL PPLQPHHNQSPNPYMGQSYRPDMARYPATSAHDVYATAAPIGPHTPVSSLPPTSFLAH PGGQPQGQPPQGYQSPQTLLPPTTGSQSYPQPIAPAPPRDRRPDYAAMPSGAFSHPEG RAPIWAGADPMAAANGAAPLPDNREPPRTQVVGSQGRRGILPSVPGRAAVPNGANGTA RSTTIPAKDADGKFPCPHCTKTYLHAKHLKRHLLRHTGDRPYMCVLCKDTFSRSDILK RHFQKCSLRRGNPTGASHLSHPQAHLKRSQAAAAKSVQEEVSNSIPPSNGIAGTTYGD AHVNGRGPRPGLTEQQPLGYPMSSVHGLNSGDAFNQADHRASWMAAQKQSPYLVHSSA SAEGPGGHLNNIDRHSFEQAKPPIINDPKRPMMPGQPQQPNHPGELDWASMFQPGAPE GYMHSVFPQTMAPQHEPIHSHVDPDRKYYPTTTAGPHQDGGLNGLYLASTSLSGDGSV QPARQ TSTA_038060 MDPRSHPSRPPSTSLPQGSAPISSMQMPQYPMQPQYPVSQPHTL PPLQPHHNQSPNPYMGQSYRPDMARYPATSAHDVYATAAPIGPHTPVSSLPPTSFLAH PGGQPQGQPPQGYQSPQTLLPPTTGSQSYPQPIAPAPPRDRRPDYAAMPSGAFSHPEG RAPIWAGADPMAAANGAAPLPDNREPPRTQVVGSQGRRGILPSVPGRAAVPNGANGTA RSTTIPAKDADGKFPCPHCTKTYLHAKHLKRHLLRHTGDRPYMCVLCKDTFSRSDILK RHFQKCSLRRGNPTGASHLSHPQAHLKRSQAAAAKSVQEEVSNSIPPSNGIAGTTYGD AHVNGRGPRPGLTEQQPLGYPMSSVHGLNSGDAFNQADHRASWMAAQKQSPYLVHSSA SAEGPGGHLNNIDRHSFEQAKPPIINDPKRPMMPGQPQQPNHPGELDWASMFQPGAPE GYMHSVFPQTMAPQHEPIHSHVDPDRKYYPTTTAGPHQDGGLNGLYLASTSLSGDGMP NPSGVPNNEKTNPQEPIAT TSTA_038060 MDPRSHPSRPPSTSLPQGSAPISSMQMPQYPMQPQYPVSQPHTL PPLQPHHNQSPNPYMGQSYRPDMARYPATSAHDVYATAAPIGPHTPVSSLPPTSFLAH PGGQPQGQPPQGYQSPQTLLPPTTGSQSYPQPIAPAPPRDRRPDYAAMPSGAFSHPEG RAPIWAGADPMAAANGAAPLPDNREPPRTQVVGSQGRRGILPSVPGRAAVPNGANGTA RSTTIPAKDADGKFPCPHCTKTYLHAKHLKRHLLRHTGDRPYMCVLCKDTFSRSDILK RHFQKCSLRRGNPTGASHLSHPQAHLKRSQAAAAKSVQEEAKPPIINDPKRPMMPGQP QQPNHPGELDWASMFQPGAPEGYMHSVFPQTMAPQHEPIHSHVDPDRKYYPTTTAGPH QDGGLNGLYLASTSLSGDGMPNPSGVPNNEKTNPQEPIAT TSTA_038070 MHGPAQFHVPCTLSTQEVAVAWTILLKDYLGSSHVSYLITEELS CGKVESTCINLEIDDQETVGSLSHIIQQQLQQERINDAEIDQLTPFRTHLHITSSESD EGSNRSNEPVISLDTNTHFALKCVLIDNGRKLHVTTVPSSNTESLRILQQFECVLHQI CQKDLNALVYSIHTASEADKSTVWEWNRSHPIGDSRTALEVFLGHVRTHPKSPAVSAW DGELTYEELDELSNIFAHQLIDTGVGRGNIVPLCFEKSKWTPVAIWSVIKTGAAFVLL DQRLPDDRIRQIAGLIEKDTPLILSSASQRPRAELLGSHLIIIDSEYLETKSQSLSDT ACSSDNESDLTPSDPIYVVFTSGTTGVPKAAILSHQNICTFAKTSRELSDISRDSRVL AWASYAFDVSLGDTFLSLLSGACLCIPSSWECENDVGRIVDTYQITHAMVTPSVSKMM QPLQSSSLKVLNLCGEPCTEDALSKWRGTQTRVMNTYGPAECTVTSVGNYDALLFESP SIIGKGLAGCWIMDPVDHGRLTPIGGIGELVVEGPLVGLGYLHDETASRAKFYEDPKW LQDGHPSVVQGRRGRLYRTGDLVRYTDNGEIEYIGRRDSQVKIRGQRVELGEASTQLQ PYIPSAIQWSLEVITLRNGAAILVVFFAIDSETKKSSILRKMLDQVTPELKKKLPSAM IPGAYVNIDRIPLTMTGKVDHRKLREIGTSLPPDRITFVAAAGSAKFPFSTGNGNDND HTNGHEHPNGKTNGETENHADNLARGRNDGDEQEDSKLRLLKQAWSAVLRIDIDRLGS STSFFALGGESLMAIRLVSTAAQMGLQLDVATIFRYPLLADLARESKLTRWMLQSPPQ PFSLLEGEANLVEIAKACGTEVGNIEDAYPCTPLQEGLITNSSTTYTGRGRYALPKHV NIERFIHAWQVVARTHRILRTRIVDTLTHGLVQVVLYETNLFWDSRESLAMYLEEDNR REMTLGTELCRWGIVRELGTTYFILTMHHSIYDGWTLPRIGAEVFRAYQGIRIEPDIG FNTYIKYVRDLPLRSAQEFWVERLAEPEKTSVFPALPYGIYQPKADSTKSRSFSAPLN DHQGVSMPSLLRAAWALLVSTLSGNDDVTFGATVAGRNIPISGIEGLLSPTISTVPVR HKIDRAQSVSSFVAAVQDQTLQAVPFENLGLQAIRKINADTRKGSRFQTLFVVHPRHD SSIVELSPTSSSVEQELKTMLENLNISSTLSDFNEFSLMILVTQTQGDIVVEANYDSR VISDLEADLLLEQFVHFSRQLGLASNFQKSLQDLQLVSDREIKMIWQWNRKEFAAVED CIQDIIARSYSGHPYAEAIFAWDGNATFSEVDELSSRLCLKLQSIGVGRGNLVPICME KSKWATISMLGILKTGAGFVAMDVRHQPKKRLMGIIEQISAKCIVTHGPAATLARSLC KEAIVWEEHLLDTDNIKSELTPVKNLPSDTAFVVFTSGSTGAPKGIIITHENFCSTIE HHARELKLSRESRIFDFASYSFDIAVHNSLMALCLGACLCVPSEDDRENDIEASFERL RANWADITPSVARLINPTEVPGLQTLVLSGEAVGKDLVQRWANEVNLINAYGPAECQI CTVQSKVTDVERHADIGFAVGCKAWILEPASNNLSPIGAIGELIIEGPIVSPSYLQSS NNAFVDYPIWLREGVMSTSKRRGYMYRTGDLVRYRPDGTIVYIGRVTTQTKINGQRVE FGEIEYHIQRLAPNIESAVVDVVDYAGVNLLTAFVVSNDSKLGFKRSVDVTIEPTTPS SRVLDELKESLPAYMVPTVFLNTSHIPLTSTRKADRRFLRSRASSLSRYQLVPQIKEE KKTVSENNQLSQLQLDLRQIWAWVLKVEPSVIGLSSDFFQLGGDSISAMRLVKRSRMK RILFTVADVFRHSQLKQLSDIAVPVTKDDESLSDEPVKRIAPFNLIPIKYKESLLSTA AATCKVPHDEIVDIYPCTAFQEAVFALTAGNSSAYVQHTLLRLKSNSVLDRALAAWDA VIAANPILRTRIIQSEETQLYQVVIDEKSRQSWRWYETSSDYLLAVSEIPMGLGNSLF HFGLVRDNSTPSPRYLLIWTMHHAVYDAWSMDLVLRQVSEHYRSQTFSTLVPNYNIFV DFLQRQESESSNWWRSYLSGASNASIYPKSPMSSRETSGDQLICRQFDLPHLSRPGYS SAVILRTAWAILMARHTGGESVVFGETRLGRNVPLKTIDRMPGPTIASAPLLVRIDRN QTIESLLTSVREDSLRMQDFEHLGLQYISRLSDDARAACNFQTLLVFLEDGSQSEPHD SIFEIDHTVDDIRNFNTNYLLLYFTLQKKALVTTAVFREHAIAAGQVELLLEQVQSIF SSLCSLSPSTLIKQLDIAGDEDLARIWAWNETGAEEVDEYIHDLIAQNAIKHPEKLAV LAHDGQMTYKELDEYSNNLAAQLRAQGIGLNSFVPLCFEKSFLVPVAMLAVIKTGAAF SVMDVSYPESRLKIIADALEAHLVITSPSQLTLARRLAERVFVVGEKAYTSSGLFQRQ PIIDISLRNTDRLMYVCFTSGSTGVPKGVMVTHKNLSSAAVGQTRELAFDPEDRVYDF SSHAFDANIWHFFLGLVVGACVCIPSHEDRVGNLARSISSFQSTALFLTPSVARTIDP TEIPTVKRLYLGGEAVTPLDVSMWKDNVDLWGAYGPTETTPLCIFTRLSAPNLASNIG RGVGVRSWVCDPDNHETLVAVGAIGELVNEGPLVTKGYLNQPQKTAEVFIENPDFLRH GFSGNYGRKGRLYRTGDLVRYNFDGTIQYLGRADTQVKLRGQRVEFGEIEYHLKSLLP ESISICEVIKHPTSGQPTLVAFCSSTFSSSSSSTSLDTTRTKAHLSKSLPPYMIPEFF IPLPQIPRNPSGKIDRLKLRALGPELLHIITSREEYSDIERIHGPLTKMETLLSTLWI KSLGGRTVPVLIDTDFVDIGGDSIAAMKLSNISRRHDLDLTVKDIIQYPKLSSMALRV HALPTLAKSLSPFTLINSMDRDRVVSQSAEVCNVSRDSIIDIYPATPVQTELVALTLK QPQAYIKRSIYDIPFHINIRKLIDAWNKVYSINDILRTRFVELEGFGLLQVVVKEYHF RQYDNVQSYLNDSINQGCHDLGQPFSYLALIDDGKKSPKIAWTVHHALYDEWSILIIE EQLRRAYKNKIMHPPPKFSVYVSEILSRDKSEANLFWKKRLTNCSGVSLYPALPLKSY QVQPSKTLLRTISPKLRPGVNIQAKIYGALALVMSKLTGCSDVVFAATLTGRNAAVEG IEQIVGPTITPVPIRIQVHREGQENTGQFIQRIERDTADMSPHQHIGIKSISMINEDT RAACNFQTLVVITLKNAESGSHVSETVRISSYEATSKEGEAFHTFALVLFFFPSADHI DIEVVYDPFVLNKREIQRLLGRMDRVLSLLDRNNVPLDSEDFDCLGAEDLDDISTWNS HIPVPSDRLLHEVILQQSHLRPSDVAIDAWDCKFTYSQIDRMSDALCGQLVCQYGIGR GSIIPILSTKSGYVPIAALAILKAGAIFIPLDGTTPVGRLKMIVDEVRPSIILATQSS LAVAADLVVNVVLLNSYDDTIAKAEIDPPSVEAPRLDDVACILFTSGSTGTPKGVMQT HQALSTAIEQQAAYSDFTDSTRAFEFASYGFDVSWNMIFKVLAMGGTLCVPSEEDRRN DLLGAMNRSRATLTELTASVARLLDFTQLPHLSTLILSGEPVDMRDFEYCKPRVRVIV CYGPSECTSVSTMNPGLQSDSSRHGIGKGCGSSIWLVDPEDYRRLVPIGAVGEILIHG SLVGKGYYNSEELTRASYIPVNALPWMPHHANNSSQPAFLSGDLARYDTNGNLHFVSR KDLQVKLHGQRIELEEVQYHVRALLDEHVGPVICCILSHPQKNTDPQQLVSFLSNRDA DTKVPCKLTAPNQDALRALQMLEGRLGTLLPRYMIPSAYYFITTIPRTNNGKADRKAL AEIAASARTDQIYRGRATEQDTKHRAPSTANEATMQGLWAVALNLPTETIGADDNFFN LNGDSISAMRLVAIARKKGLDLRVSDVFATPKLSELAQRLVSIDHHTQDSGVTEIRPF ELLAETADARQLRPEAAKKCVLTRPDDVEDIYPCTPLQRSMLAATIRDPNAFISRRLY RIPLEVEESRLRDAWAAVVARHKILRTRLVDLDDYGLHQVVVRESSLLWNRYDDISSW VSSLTGAESMGPTTRLTRWAFIDGPNERYLIWTIHHATYDGWILPVIESEVKRACYHP SEELGGSYLDMRPLVKYILNEPKAESVSFWSQQLANADQCRIYPALPSNNHSVHPATY LEKKISARVNRITGIGLSALLYGSWSILVSHLTGSQKVSFGAILTGRNAPIDGIDKVI GPAVTTVPVVVDSDPALTVQEFMAQLHDMTAQRIPHEHLGIQEIRSINEACEIACSFQ TVLVIQPPQETQLHLDSDDNKELRLMEEMDETQIKGFPDQYSVLNQYGLMLEIIPMDE IITVRASFDSLLISKTEIERQISRWGRLIGQILDSLNKKIPVYVRDLISTCDKDLDDI WRWNKDVPRAISSPLICQKVREMTNTRPDALAIDAWDGQLTYRNLDVLSSRLAKYLVK SGIRRGQFVPLLFRKSMWANVAMLAVSKANGAFVPLDANHPEGHLRAIMQALDTDIVL CAGDTRDRAARLAQNAVIAAEAAASSDNPDQDLEPNMAQDIAYAVFTSGSTGAAKGVK ISHQNLATAIYYQAGAEGYQINSRTRSLDSSSYSFDACVCNFFYTVTQGGCLCVPSDE SLKGDLGTFMRDKRVNWAQLVPSVARTINKSLLPDLNSLVLTGEPMSKGDIETWAPSV RLINAYGPTECTILCAISSRITNPEEHLGFIGCGRGATLWLADADNPNKLAPVGATGE ILIEGPIIGLGYLGPYQYPLVENPPWLLKGWKGCPGRTGKLYRTGDLARYREDGSLVF IGRIGSEIKLRGQRVDIGAIEDVLRRSIPLVIELAAEIIHVNFGNVERDRQLLAVFVA ASETASSQIGLRTQLESLVPFLKLQLGTILPAYLQPEAFLPLPSIPKTSSGKTDRRRL KALEKQIRPQELIWISGNTANSATGSSLTAPVTHRERVLAELWVQVLRIEYGSIRRKD DFFRLGGDSLSVMRLSTKAHARGFSLKSSDIFQNPKLMQLAEKMTEIEEDNASIMLSP YKPYSLITGISDIEEFIASYVTPSLGVNVDQIDDIVPANGFQVDYIHNQEEPLGLQYA YIDIGENVSWFRLVEACQTVVQNFQCMRARFLHHQGKYYQVILRDAPLTTEAVESSDQ ITTFCNQFCPADARNAQLSDTYTKLILVKTRDKRRVLLRVSHNQMDGWCLERILKEIA NVFNGGEMEKTPEWTSLLQYRHQTAAASMHYWRNILEGSAKITSPLVYKPEGDNNKVR TLRSFALPYFHTAEDNRRTRPAVVVNVAWALVLQRLASHDDVVFGNITTGRDGDMPGL DSVVGPCVNMLPFRLRLPSSDSGSRQRYLRNLVEASAQQADDRTSHEGLNWDEMVNRC TTWPSGSRYSSAVHFRNMAFEPELMLGNDRVVVTWYELVARPHWTTVLVYPESDDVLR LWLLANPDEIGDEGADEILQMLLQYCNEIVEALADDQAHPVHQKQNSKTMESSNSKNI PWAEHLKTERAKLLAQTDSAITALQQESIRVQQHLKSQQESWFLIRASKISRHAPQYQ NEVKLATGPGNILQDLKTLQFIQTEVPV TSTA_038080 MSVYEDGSVMSERVPDAKARTLTCEYCSLRLQDKRCERVLVYGL VTLIILLLANILFLFVQYSGFRSLSSPVTNTSTPAFDAVEYVEADLYDMYSIGDEYLG PPTAEREQNWRDLWQHEVTMVPKWAMPILNRSHVELYEQVESQSNEGFSAMLKVYHQL GCLDIIRQYTWIQAGRYPPDLIPPEMQKSPGELRSNIDRCIDDLRVSLMCAADVTPIL ITKDRDSSSEYKAELNSHHKCRNFTKLQDWTRTHGIEHWENGGGFHEHGE TSTA_038090 MSSKEDIYRENDRDSESVHEGEYDGLVSGTPRRPIVDRKFSKAS KLVSGFLIISVLLNVAQLFLFVVCKPKFYSQYAHLPENELEIPFHYATEYSDDQHTHE EKDALWNAIDISEGFVAITHEEADKLGLPRSKVFPWDANKGMYVSHGHHALHCTVLLH AFTYDAHIGKKPKVSYHHIEHCLDLLRQDIMCRADDLMDFTKDHGDEFLTGEGQVRKC RDWNKLSKWVQERTACYKTINITRAGEDHGVAHQLDRYTYCPPGSPYEPLIRAFKDLG RVNPGNLDENSIEKLSPEELKADAEAVAEHNNAILNAENNKTNE TSTA_038100 MLGTLLLIASLISLAFLDRIISVPQLSSSHTIDLTLSTVFLTFA IFISVQAVQVGLRALITDRGTPTEQAECNAWAGRHTNFASVLGTAPAAMIYTNDGTNG IGRRAAFASSTTFVVHSATGTMILFATVGIIWALNCRIPYSLLGDELYESSPLAERQG LVHGVHNIMICLPQILVTTLMATTWLIAEAERDFGGVGWFFRLAGLSAFVALYFTTKV REQDHYDAVGLPEAYILDEISLSADLH TSTA_038110 MPNTRSHLDVVHEFWKVLRERTKEGTYELDGDHLHIAEVVATAQ RDSIPKLSQEPKLSKALQDSVNVLFSHLEQGWYVYGVNTGFGGSADSRTTEVIDLQKS LMQHTQSGILSFSDPEKLPGHSMPPAWVRAAMVVRCNATLRGHSAVSFPTIKAMANLL EYNLTPVVPLRGSVSASGDLMPLSYVAGSLEGNPDVLLENNDRVLPSHLALQEAGLHP IALGPKEGLSLINGTSSSAGLGALVIGDAHLLALLTQVLTAGAVEALRGSSESFHPFI ARCRPHPGQIECSRNIFYFLRGSYLSRDVLEPKNRRREDLVQDRYSLRSAPQWIGPQL EDLLLADQQIHIELNSSCDNPLVDTEANDIYYGCNFQAASVTSAMEKVRLALQMFGRI LFSQSTEMIDPHLSGGLPANLAADNPSLSFTMKGVDVNMAAYMAELSYLANPMSSHVQ AAEMHNQSVNSMALASARMSFEAVEILKKMCACSVFVVCQALDLRSLHIKFVDEAVKV IASVTASLFPSGEEIQDLDSLQRALKEHVGPAWLSTGKLDLHVRCSLLVSSAISLVVS HAKGPVANLVHWQTQATKAISDLWDETFEAFRAAPHTPSLLGKGSRILYDFVRNTLKV PFHEGFVEHPTIHNNIHGNRPKKTIGGWISIIHARIEDRSIYDGIIPLVEEGLLCTGT NGA TSTA_038120 MQFPYLGWARRKKENSQYQYLSDEGEDHSLVHEPKRYTALKYIR HETKSWKSFNSYIFILSLSIANIFLFFSTVAMILIHRGSRCTEKEIRDGIPDSSNTAP IFDRLNIYRSKYHHNFTLYWWDDNPSIFQQPPSPEVDEAWERISSTKSIALSAEEVRA AGYDPATVWPAPANEFGEGVYYGVLDFYHQLHCLNMLRKTAWPSYYGDMREKMKHTPL KWEDHLQHCTYAVLRSILCHADMEVLVGQKFKGWPGVNLNFASTKKCRNFEDIFDWME TNAIQQKAPWSEYPDRSIIELDPEGILTPFGDHSGLEEFAASRGIELEVPADLAWQPH KQHPNEGN TSTA_038130 MEVVLDHTRGHGPVPTAPPPEGSGGHPTNDVLKQGGWAALNSAT VFFPPLLIRLILEHFESPDLITRSTAWLCVAGLLTVGMVSGIADCQCTWMGNRMTAKI RTILVNEIYEKVLRKGLATPHLSDQRSPKANATDGNILNLMSVDVEHVSSVSGNLFLL WVTFPIQIGLGTWLLYILLGISGIVGVLCMVLLLPLNFLISQRVMAAQARLLKVSDSR IQAGNELLHNIHTIKYSAWEAQFQNRVMEKRRAEMKELRSRFVWWSVNATTFHSLPLI VTTITCFFFTAIWGNRLKTSIAFPVLAIFSIIRIPLDRLATSITFLLQARVSLARIDN FLQERETDKYKQLSMVTDRSIEEDIGFDHATLSWPLEASTNTQGNKTDDGDGMALEEL LSVRPFMLRDLNIRFQIGRLNLVCGPSGSGKSSILLALLCEMDLIEGQVVLPLVWREE NSSAQTTAYCPQEPWIMNRSVRENITLNTPFDSLRYESVLHAVALLPDIANLDNGDQT FCGENGSRLSGGQKQRVALARALYSDCKIMLLDDCLNALDPHTAKHVFFHAIKGPLMK DRTCILVTHHTHLIIPHCDYVFLLENGTVQAEGFVDLDMTESDVPSVSELSLTNGKVP DVDESYPGDSANMVPQSEFLQESRDAETQLGHVERKAEGAVPWSIVKRHLSAMGSRWY WVAVLSLFVIQQIASLGTNLWIKNWALQYDNSEAQVNAWYYITIYVALCALYALVTAL RDLTTFYGSLKASSTIFARLLNRVVHAKINFFDSVPLGQIINRFSKDMEVVDQLIAGF STSGLQLLVSIAMVVVFISTVLPAFLIVAFFICVAYYFVMAMYINGARDLKRIEAVER SPLYQQFSETLAGFISIRAYARTSDFISHNLKLVDRLNQPYLLQWASKEWLTFRVNAL SSFISFFTGAFVLLNSQWIEFGSAGLVLSYAVTFTENVMWLVQVYAIIQQNLNSVDRI FEYDQIEQEAYTPVRQTVYDMPEDWPSQGDIRLIEYTTRYSYNLKPVLKDISFHIRPG ERVAVVGRTGAGKSSLLLALIRVLEADSGRIEIDGIDIAAVSLEQLRRAITIVPQNPK LFDGTLRDNLDPLNRATDEDIISALNMVHLIDNVGISEPVRGMDLLQHPANALSQGQR QLLCIARALLRRSHILILDEASASIDYATDTAIQAGLRASISKETSVLTVAHRLRTIA DYDQVIVLDTGRIVEQGSVQALLARQGRSAIFRRMCEESGDLTHIMRVASERDLNIGG AQRVMI TSTA_038140 MMMNPTHLTPLEGRHHQHPLHHPEVVQQPRRFACDRCRMQKLRC ERDIWRPSLMPCKRCRKARIACTISSMDRPVSKKKTKDRVLAITKPEKTTTGRHPQGT QEISSPLDVSDQLPVDNTAGFYPEAIPREISMIGTGLQSEDCYLVNSGFEEYQVPVFS GLITPPSMDNEQERQIPLQTTSFPAARQQDWTPESDCSKGAEGYEFDDPFPCLDDREQ WWDQENLRRLLDMNMHLLDCQSLVAQELAMLGNMHANVALTNLESASRNVLRYSQQFL EVVNVFVDGGLVPMATVFPRSMAVNAFAPHIHTPVNSRRPSYVQQTPTSTIEPILVPG AEAAIPPQITPLASFTLPSSGQPSWQGTQFEDVQVSLILATINCYTCLIGSYHIILSY LLHELMAVVTPEQQSQSSSTFPDFATPFAVTAHVQQPDRDSQLRLIFHNCMGMLAQLE LSLGLPEQHCVASWALSFPGVGQPHRHDGVLSGPVAAILVNTLVNQKFGLCVNGLATG KNSMKDIIATINQFFEAGHAATQVIGTVKP TSTA_038150 MASSYMPRLYQLRGVSSALKRVHLPCTHRATPCLNKRFASTEPE PIATITKKNKKSPLRKFIFRSSIAFVLFGGYLYFTDTRASAHRYIAVPIIRWLFPDAE DAHHAGVAALRELYKFGLHFRERSSPDLGGKLATQVFGYTLSNPIGISGGLDKHAEIP DPLFALGAAVVEVGGTTPLPQDGNPKPRVFRIVSQSGMINRYGLNSKGADHMAKVLKQ RVREFAYANGYGYSDAGEERVLNGEAGVPPGSLNEGKLLAVQVAKNKVTPESDIAAVT RDYVYCVDRVAPYADIVVVNVSSPNTPGLRGLQAAGPLTEILKGVVGAAKRIDRKTKP FVMVKVSPDEDSEEQVDGICHAVRESGVDGVIVGNTTNRRPEPLPYRYPLSSKEEQTM TETGGYSGPQLFERTVALVSRYKQKLSDAGSQKTIFASGGITNGKQAQAVLDAGASVA MMYTAITFGGIGTVTRVKNELRQERSL TSTA_038160 MQNLDLMFQKLIVFAQITARPHCLRSTVLRLYTRQLGPALQVKG SDINLGRPEDRTYTNQAENPRRKKKRKKERMATTVSYPVLPQKLLIISLKMYFTPSRT LQYLRDLLDPKSEIVLAKNRSKLLLALIPDFLTIYPCAEIIRKWESELLDTTKTEKLP PPFLLGGQDSFWEDLGAFTGEVSPLALKEIGASLVELGHAERRDMFGETNEITGKKAA AVSRQGLIPLVCIGELSAPGQVASEAVGLAVRECETQIHAVLRALPDHAPVIFAYEPV WAIGQPQPAGVEHVAAVVAGIRAVIGKREGDVRVLYGGSAGPGLWSQGGLGKAVDGMF LGRFAHQVEGVRKVVREVEETFVVA TSTA_038170 MSSDLKPLRIVMAGDDAGYDYKNTLKQHLEKSPMVESIVDVGVT STTDKTPYPHPAVEAAKLIAEGKADRGLFICGIRAVTAHDPFSVERSILSNDAQVLCF GQRVIGIELAKKLASDWLTYRFDPKSSSAAKVQAISEYERQLKV TSTA_038180 MSFAFKEKGNHLFKDGDYAGAEEMYSQAIQMNPKEPSFFTNRAV TRLRLEKWAGAEQDARIAIELNGGPKATASLKSSLYLAQALLELQRPQEAYDVAIDAY RASLSAMNAQTENLSKIVLRAKQQIWAAKETARLREMDDTLASVEQSIEADLERQLKE LKMQLDNGDIGEIGFNEDQKALREEAEKKIRHIRDAFKIASDGKVAERVVPDYLIDNI TFEVMHDPVITISGHSYDRLGITKYLEQSRIDPVTRQPMTVKDLRPNYSLKAACEDFL NKNGWAVDY TSTA_038190 MSSCAAQLYKMAIDTLAYVVESKDAPFVLRTVVLDDLQPSEVLV EIKYTGLCHTDVVVQEGGMPIGHFPAVLGHEGIGIVKQVGTDVKDKNLKPGDTVILSF RSCGECKACLNDRHGSCPRVTELSFLSTARADGSSPISLPDGTPVHGQFFGQSSLSKM AVVTEKSVVKVPAETSPADLQYLAPFSCGYLTGAGTVLNEFRPDADSTIAIVGMGPVG FAALLTAKAIGVKNLVALDIVDSKLEIAAQLTGARTINNSSGSSTNASGESLSEKILA TYPKGIDYILDTSGRAPLLQDSVKALAHGGTLALVGVPPPTATIEVNALNLLTSCKRI IGVIEGSANPKKVIPHLIELFNQGKFPVQQLTKIYPANRLDEAIEDVKRGKVVKVVLS WEGM TSTA_038200 MSTEADFKKAAEEVNKLERSPNKDEMLKLYGLYKQGTGADFSAA PKPKPGWVKSLDKDTLKYEAWEQVKDLSVEDAQKQYIEFVAELKEKYGFNA TSTA_038210 MLPLAKKRKISEPGDDQGKDDSKKNRTTLASLSRSVTPPLTSRE RRPPENQHDQHTDHIKRNNETNADIIEGRPRVIPSPFQLTHIRDLPSDKNVDTVQLHD ILGDPMIRECWQFNYCFDVDFVMSQFDQDVKDLVQVKIVHGSWKQDSPNRLRIDEACA RYPNVEPIVAYMPEPFGTHHSKMMILLRHDDLAQVIIHTANMLAGDWTNMSQALWRSP LLPLSSTPYNPATEEAAVFGTGARFKRDLLAYLEFYGRRKTGSLVDQLRKFDFYAIRA VLVASVPSKERLSRMNSSQSTLWGWPALKDALRQISLSDNEHIEDPHVVIQVSSIASL GQTDKWLKDVLFDSLCPSSILPNASKRCNPKFSIVFPTPDEIRRSLNGYGSGGSIHMK LQSVAQQKQLQYMRPYLCHWAGDQEQTPVRISRTNAEVPSNIQSTDAGRRRAAPHIKT YIRFSDKTKMDSIDWVMITSANLSTQAWGAAPNSNGEVRICSWEIGVLVWPQLIVGDS PEPGAERPKMVPCFQKDRPELPNNNDITPIVGFRMPYDLPLARYGVQDVPWCATINHP EPDWLGQSWEP TSTA_038220 MPIIKLFKRRKPKPQNVPTERNEESPGQSLSSSGIGPEQMGIVI AKSTNSAFQEAWGKHWAELDASEKRVWSFQGDYSPLKVQKTIENMDKEHRQQTTSRRI AGPVLRFLRAVETVMAGVTIGIQAYPDVSSIVVGVIRVIINVAVKYFEYYEKLSKMLE GLVDDIEVLDMFTRNNVNSPELHNVRSQLQTLVALYTNILTFCRHARRVFLIKGQERG ARGFTVLAKVQWAPFEEQFGEIQSNLSRYTAKLDMVATAITMNTTLAISEDLKKTSPS NAQNERREFLEWICGEKIEEVHNMVRERRIADIGSHIFSNRQYQKWASEEATGPLWIH GEAGTGKSVLVSMVIDELSQENAQKDNTVAYVYIKGEDTALCNSPSRIVSMLIKQVCW KLDKLPVQTLNYYRQCKKDARIPVLNKLVAMFMECVGSLSRIFVIIDALDECEEKSRK PLLDFIRETSQQSNCKVLVASRRERDIFRAFSPMNPLYISRHNSDQKDIAKVVKYRVE KELGHFRPDTQEYIIQQLVKRSGNIFLWVDFQLKDLAQVFESEVKIQLNQLPSDLHDT YVQYLRRINKQPITSKNLAQRCFLWAFHTDYLLSGFEFIDAVSLVNDREKIKYNSSDL TQVTKDLIWVTGLGYLRVRPVHFSFREFLVDSQSELPLDLQSMIPDRETANVRLAIEC LQHLLADIPPTNLLDTILPYCGKHFDSHIRRLTTIPEKILEILDRILLDENDKLLKIL TWRWVIVIDWPSSFKSPDSLPDIGCIGNPQFIDPRFFLRCTKLDQVPAIQERYARIER IETYPDDYLHIAALTGLEDVVGELIERGVDPNREDAAHMTVLQALPGCDVEISEKIVM MLLEAGADPYKTTPVSSEKEISAYEYAQGRQQADFIQMLDKFKSSKKTERSGYGIDNK MSREETPTL TSTA_038230 MGVNQFSDAQEERQAFLEWISDVDFTAVHEEVCSKRCEDTGSWL LGNGIFKEWLAGHSSQVLWLNGGCVSSHSLLFLTEQRHRLTFDVKVSTGKSVLASVAI NHLTQDDNFSKDVVVYAYFGQGTTNAQYDTVQVMSSLVKQLCWKLPSLPDPAVGLYSD YRLIARIPTYHDLKTLFLKCSQFFEHVFIVFDGLDAYEGKGRKEILKLVCEFADDKSD NLKILVASRRDPDILHTFRHHGVLQVHTRNPFVQQDIRKLVKHRVTTEFGHIDPELQE HVITTVINNSKNLYLPAELQLNDLARTPGPDIKRQLESVSCPVELEDIYLFIFGKINS LPRVTRTLAQNCFLWAFHSMRPLASGAFIDAVSLDLKANANKTNYYDAATLNDITFGL LDVPDLNMIDVKPIHQSLHYFMTHPRRPIPSELKDFFPDKETGDARMSIMCLRHLTLD IEPPKDIWDTCLFYCARYFDSHIIKLSGSSEEFCTILDQLRLEKLEPYLKRILAWRFT SNDRHYPIVTCIGYPKIITPEVFLKCTGIYKTSVIAFCYEIGSPEWEAWPADCLLLAA AVGCRDILETFISDGVDINEIIDRNTALHCAIKGLAAGYFTDSGIIKLLLDAGADWNH DGRITPSDMPPEHYETPLNTALSYYVDSAIEIIVNHESFNLAKYMKTLPEKHPDWVRV LVKHGADIE TSTA_038240 MSSPQAETGLTLAGRYLVPFFLLSFMLWRKLVNDNVQESYLDEV FHVGQAQTYWRHDWFKWDPKITTPPGLYLWSYFDCAGRTLLRGSSEEVDVFDLRSTNS IAAAFLLPWRLQTLLDSLRKEQNTRAAGAWLSHTVLNICLFPPLFFFSGLYYTDILAL IVVIQAYIWDTERSDSNGQKKTAVDAIRGHVSLKTLAFVGIGCIALVFRQTNIFWVSV FMGGLQAVRTIRQNTKPCAVTGAVNIVRKSFQAQLYDPLVSEASFIDYFKTALSLACG ALSELPLLVASIVPHLTILGAFGAFVLWNGSVVLGHKEFHTASIHLPQMLYIWPYFVF FSFPFLSIGVLNAILPRRFIPKYLDYNLSNGNRLPSILTALTVIPLMLAAVHFNTIVH PFTLADNRHYVFYVFRLLTRYHPAVKYAAVPVYFLCAWSVITAFGVLSPPSKSLQLQI ATPQDAFPSYPETQQKSSKREEKEAKKQQKKQASKSKSASTAAKSPSKQQAQQAQVLT PEILAKIQAHVALRQQQRDNQQIRISFVLIWLIATTLSLITAPLVEPRYFIIPWVMWR LHLPRQPTPEVFQKQQQPSFKAQFFTALPEIMETVWFLFINAVTGYVFLYKGFEWPQE PGKVQRFLW TSTA_038250 MAFPLQRGLTPQEIEFLSEMEMVTVIPRQRLEGLELLSGPTEKL LPPQRATLPLWLAILLKRQRRVNIVPPHWLHPECLQVILQFETETKEYTDTFSPPPQL PGQRRAGDDSRRQSAAPLRPKYTLDGQRYYPTPPFLPQNTALTPSEASDLEREIALPY HWLEVGNMLLDAASDDLTEPDQVRRLLKDLREVRMSKMRKRVDALDAAAVAGGGVSLT GVGAMEIGEMRGFVAGVVEGLRKIGASREQARREQLAEEGSIQGYTQDDDDMQF TSTA_038260 MVDEEPSINIPSLLTLAVVSFFVIRWFLSRDGSSNGANGSARAR GGRMIDPAQVEQISQMFPQLNRRDIMWDLQRNGGSVAATTERILTGRGLDNPPLSYQP QIPQPATPATTATPTPAAKSGSQDLISRYNLSQRISEEQEISSKPESAWSQNKSERQR LLQKRRDDMILAARRKLQQKDREASHATGPAF TSTA_038270 MYDHANHQIQGFKSYAVRTVISGWDESFNSITGLNGSGKSNILD AICFVLGITNMSTVRAQNLQDLIYKRGQAGVTKASVTIVFDNRDKAKSPIGFEEYGSI SVTRQIVLGGTSKYLINGHRAQQQTVQNLFQSVQLNINNPNFLIMQGRITKVLNMKAV EILSMIEEAAGTRMFEDRKEKAAKTMAKKEMKVREIEGLLNEEIEPKLEKLRGEKRAF LDFQQTQNDVERLTRLVVAHDYLKSKDRLQVAGEEYEAKKQKIQTLEDNATRLKNEIT HLEEDVKRVKAVREKELRKGGKFQALENEVKEHSHELVRLATVFELKNSSMAEEKEKR KASQKSVAELEKLLKDKRKIYEKLQAKYDAAKAEFDAQTAEVETKEELLQTLQTGIAS KEGQENGYQGQLQDARNRASAAVTEQEQAKLKMTHLEKRIKEEEPRAKKAKEQNSGLL KDLESLRGRASKLEAEMSKLGFEPGKEEKIYQEQSNLQRAIRELRERADGMNRRVANL DFSYSDPHQGFDRSKVKGLVAQLFTLDKDKTHAGTALEICAGGRLYNVVVDTAETGSQ LLQNGKLRKRVTIIPLNKISSFRASAEKIGAAQKLAPGKVDLALSLIGYDDEVSAAMN YVFGSTLICEDAQTAKTVTFDPSVRLKSVTLEGDVYDPSGTLSGGSSPNSSGVLVVLQ KLHEITRELRSKERQLAALQETMAKEKKKLDAVRSLKQELDLKNHEIKLTEDQINSNS SSSIIHAVEEMKEQIEQLKKDIANAKARHVEAQNDIKRIEKDMKEFDNNKDDKLAELQ RSLDALKKSLSKNSIAVKTLQKELQASRLESEQAGSDLTAAEEQLAEADATMEAQIEE IETLKKEQARIKDAHDIAQAQLEEEQAKLTSFDDELDDLEQAIRSKNARITEEGLEMQ KLGHHLEKLHKDQQAAAQMVANMESEHEWIEEEKDSFGRPNTPYDFRGQNIAECRSTL RNLTERFQGMKKKINPKVMNMIDSVEKKEIALKNMMKTVIRDKKKIEETIINLNEYKK EALHKTWTKVNGDFGQIFAELLPGSFAKLDPPEGKEITDGLEVKVSLGKVWKQSLTEL SGGQRSLIALSLIMALLQFKPAPMYILDEVDAALDLSHTQNIGRLIKTRFKGSQFIVV SLKDGMFQNANRIFRTRFSEGTSVVQALTPADLK TSTA_038280 MNRPGPGPQPLRGMPGFPSQQQAQARNASMATSRLPNGKIGSNA NWNFGLPMGAAPGLQNHQQRNIGSMGSFAQSLGGSQPATPLDLSEFPSLSAAPQQNQA QSSAQAVWANAGQRATQQTPAQRQQPPSSQPPSRASQTQTHFTQQQQPHDDVFPSGAQ FANRLDDFRNGGQGISGQLAGGGQPQTGNIEEFPPLGRNAADIPPGGISRMNDIGQER RGSVLQSTGFGSYGPGLAFSNQSQSAQARNIMNSALNGQENNRVMSPGTTGSGAPRMS RSPQGPNGVSAQDKEDGDNRGLRSESFPEHENSQLQQRQSQTGGLSSDSQDPSQSTDQ PPFSQMSELDKFGLAGLLRMIHSESPDVASLAVGQDLMTLGLDLNQPDANSYSVMKIW ITDLILAGVFSGLVSPLTLHSGGLFDASCIYHVRLLGSMGTSV TSTA_038280 MNRPGPGPQPLRGMPGFPSQQQAQARNASMATSRLPNGKIGSNA NWNFGLPMGAAPGLQNHQQRNIGSMGSFAQSLGGSQPATPLDLSEFPSLSAAPQQNQA QSSAQAVWANAGQRATQQTPAQRQQPPSSQPPSRASQTQTHFTQQQQPHDDVFPSGAQ FANRLDDFRNGGQGISGQLAGGGQPQTGNIEEFPPLGRNAADIPPGGISRMNDIGQER RGSVLQSTGFGSYGPGLAFSNQSQSAQARNIMNSALNGQENNRVMSPGTTGSGAPRMS RSPQGPNGVSAQDKEDGDNRGLRSESFPEHENSQLQQRQSQTGGLSSDSQDPSQSTDQ PPFSQMSELDKFGLAGLLRMIHSESPDVASLAVGQDLMTLGLDLNQPEPLHHSFASPF VASMSAVPLEQDFAIPSCYNVHNVQPLRSRIPSFSDETLFYIFYSMPRDAMQEVVAEE LMGRKWRYHKVERCWLTRDENYPGPVDVERGVSERGVYLWWDPASWKKIRREFILRYE DLDNRLDPGRGIQRTGFPPHAS TSTA_038280 MGAAPGLQNHQQRNIGSMGSFAQSLGGSQPATPLDLSEFPSLSA APQQNQAQSSAQAVWANAGQRATQQTPAQRQQPPSSQPPSRASQTQTHFTQQQQPHDD VFPSGAQFANRLDDFRNGGQGISGQLAGGGQPQTGNIEEFPPLGRNAADIPPGGISRM NDIGQERRGSVLQSTGFGSYGPGLAFSNQSQSAQARNIMNSALNGQENNRVMSPGTTG SGAPRMSRSPQGPNGVSAQDKEDGDNRGLRSESFPEHENSQLQQRQSQTGGLSSDSQD PSQSTDQPPFSQMSELDKFGLAGLLRMIHSESPDVASLAVGQDLMTLGLDLNQPEPLH HSFASPFVASMSAVPLEQDFAIPSCYNVHNVQPLRSRIPSFSDETLFYIFYSMPRDAM QEVVAEELMGRKWRYHKVERCWLTRDENYPGPVDVERGVSERGVYLWWDPASWKKIRR EFILRYEDLDNRLDPGRGIQRTGFPPHAS TSTA_038280 MNRPGPGPQPLRGMPGFPSQQQAQARNASMATSRLPNGKIGSNA NWNFGLPMGAAPGLQNHQQRNIGSMGSFAQSLGGSQPATPLDLSEFPSLSAAPQQNQA QSSAQAVWANAGQRATQQTPAQRQQPPSSQPPSRASQTQTHFTQQQQPHDDVFPSGAQ FANRLDDFRNGGQGISGQLAGGGQPQTGNIEEFPPLGRNAADIPPGGISRMNDIGQER RGSVLQSTGFGSYGPGLAFSNQSQSAQARNIMNSALNGQENNRVMSPGTTGSGAPRMS RSPQGPNGVSAQDKEDGDNRGLRSESFPEHENSQLQQRQSQTGGLSSDSQDPSQSTDQ PPFSQMSELDKFGLAGLLRMIHSESPDVASLAVGQDLMTLGLDLNQPE TSTA_038290 MNRQSVVRLTRQLGTPLSAELPPPFLAPAVHGKFQSANFSSTAS NAARHFPDKSKQRGVSAIHRTGPRYPLTVAKFPLPVPVTKENLPPRENNPNHGLWAFF PPDRMPMSTPEYDVAHGRSWSIQELRGKSWEDLHCLWWVCVKERNRIATSNMERERAK AGFGEAELIDRDRVVRATQRGIKHVLRERWYAWEDARQIWLKEAEEQWQTELQKERTA KAAKLEAKRQSRAEKKKKPETQPEA TSTA_038300 MDEIAPEYDVVVLGTGLTECVLSGVLSVKGKKVLHIDRNDHYGG EAASVNIETLFKTYGNVRPGEEPWKKYGRVNDWNVDLIPKLLMSNGELTNILVSTDVT RYLEFRQIAGSYVQQGEGPKATVAKVPSDAGEALRSSLMGLFEKRRAKKFLEWVGEFK EDDPSTHQGLNLSAATMKDVYDKFGLEATTRDFVGHSMALFPSDDYITAKGRVIETIN RIRLYVNSMARYGKSPYIYPLYGLGELPQGFARLSAIYGGTYMLNTSVDEVLYDENGK VSGIRATMKERDEAGEGMKFTTKTTKIIADPSYFPNKVKITGYVLRAICILKHPIDKT DGSDSLQLIIPQSQVGRKHDIYIAMVSSAHNVCPKGYYVAIVSTIAEGEANHHMELEP GFQRLGQIEEKFMGPPIPLYEPLDDGKKDNVFISKSYDATSHFETTTDDVRDIYERAE GHELIVEGLREGQNLVAEE TSTA_038300 MDEIAPEYDVVVLGTGLTECVLSGVLSVKGKKVLHIDRNDHYGG EAASVNIETLFKTYGNVRPGEEPWKKYGRVNDWNVDLIPKLLMSNGELTNILVSTDVT RYLEFRQIAGSYVQQGEGPKATVAKVPSDAGEALRSSLMGLFEKRRAKKFLEWVGEFK EDDPSTHQGLNLSAATMKDVYDKFGLEATTRDFVGHSMALFPSDDYITAKGRVIETIN RIRLYVNSMARYGKSPYIYPLYGLGELPQGFARLSAIYGGTYMLNTSVDEVLYDENGK VSGIRATMKERDEAGEGMKFTTKTTKIIADPSYFPNKVKITGYVLRAICILKHPIDKT DGSDSLQLIIPQSQVGRKHGKYPSQIL TSTA_038310 MGVDSDTSAPKPEGFAKSLDARGLQLLQIDAEHGIWSIDRIKTK IRVESATEGPPARATFRLFVTPNMCNPMGNLHGGCSATIIDILTSLLALSISKPGVFE LGGGVSRNLNVTFLRPVPADTDIRVVVEVTQMGKRFALMRTEIRRAEDNVVCVLSEHQ KVNIDPPSRSKL TSTA_038320 MESRSAKRRRVSGVPDEDGDIEIPEIPPSAHYEDESTEMDIDDP EVDAALAATPSKSSRRKSTKPVAVEDNDTSSRSVRASGRQRKAPVRYEDEIQNVETPR RSRLSAVTPSKTTVSVNGSHKGRKKAEIETAESKSRSPDVDIPLSRRKGTRLSTKRES QNESDNSSDSDKEDTDTELGMMNGGLDDSYDPVASHLQEDLLQGVDATEETSQLNPLP EYAETFKSVCESEFRHEIGLLSKFVLEKLTGKRLSSLKGLDAEYQKVYQLVEQTVTAG EGNSMLLMGSRGSGKTTMVESIISSLTKQHKDDFHVVRLNGFFHTDDRLALREIWRQL GREMDTEDEASKINSYADTMATLLALLSHPEELLSSSENTNGITTAKSVVIILDEFDL FATHPRQTLLYNLFDIAQARKAPLAVLGLTTKVDVTETLEKRVKSRFSHRYVYLPLAR SFEAFSDACLSTLSVEAGEVEASHISVSKTGKFPQLLDAWNAYLKSLWEDKDFETHLQ RIYHQTKSVKEFYSSALLPISNLYHSTSSVESGHTINLEIPTPESFTAQTLTCPDPGP LPFPSSMTGSTSATSLPLSLLLAATRLTALYDPGSDIANSQSLALSFPAAYAEYVRLL TSAKATASAQGAAATGGRVWGRDVSREAWEKLVNWGLVVPLGSGNGTTDGTMFRVEIS FEEVADMVGGGGSLGKWWRD TSTA_038330 MSFDWKKLVPPRKLTLNEKEAQAWIKRIQSVWEFARENMKIAQD RQALQANKKRRPPNFTVNDLVVVQKVGNSFELDLPEDINVHRIFSLDKLRLASSSELL AGQLEDPSPESQVNGNSEWVVELAWARSGSQVVSGGIFEERTARLEGFPRSISGKPGP PVRLKTWLEAAEEDKFVDDHPDDDIPVTNA TSTA_038340 MAVTLNNIKFYHPPSLPARPIKSTSPNDHHTSKRTDSTPSTHSK LSFSPFSQPALPHPLPPRPPAIIPCSHHTASATLHFPSSTSHTADSHPVCKNDFHRAL DDFFSAKNGKNDEDGESSIRVPTQDHGNDNRAHATSPNLEVLSQMTASLPSPSFSHPR IHRQHSAHLNRLHQRPISSTLIRQISAQGSSIVNRERSETTHASGVLSHSPKSGGSTL RNESTDLQGASGDSKRASSCQLEESSNRKRSPSARLSPLSTPQVVVPVLSRRSVSLRR KSNYLDNPEREDAGESLRPSKRRRRILDEASSTSRVPRQLSSESPRAKRPESPPEDLS AESEPIPVQGFLRLRSSGSEVIYCLELSQTHFSSSVAGGQIESPRPHQRTARPATRIR FTPEEDAFIVQLKAQELS TSTA_038350 MEGQQQPAHFPINDSHILSFTHGAEGEYSATEAAAQRSLGSPPS TIPFDEDLPPLQQSSERFSPDEESSGAEDLLIPVIEISDDEEDSSTLLSQPSRHHDHD VEILVIPIMLTETTKPQWIMRSRHRLWVIEEEKTLMRQAFRLKSSN TSTA_038360 MTKEDISHADYALAMVCALPLELTAAKAMLDEIHTQLPSSSTQC SYTLGRIKGHNTVLACLPSGVPGTTSAAAIVSYIQSTFPNIRCGLMVGIGGGVPSKTA DIRLGDVVVSKPTGISSGVAQYDLGKTISGGYFQQTGTLNQPPTILLTAIAQLEASEM MRRSDAISKSISHVLSQNPDMEASFSRPQGEDRLFEATYEHLEGNPSCIACDADKQIS RTLRVSREPQIHYGIIASGNQVMKDGHTRDRIARRLNALCFEMEAAGIMNNLPCLVIR GICDYCDSHKNKQWQGYAALAAAAYAKLLLSVLPVTQTPKSEALPQAFWMVPFDQNPQ FLGRESEIGRLENLILPENRTRKAAIAGLGGIGKTQIALELAYRIRDRDPNRSIFWIR STNMEAVEKSFVDISDTLKLQNVISGDDKSQVKAYLSSERAGPWLLIIDNADDAEIWM SAKGPVPALKTFLPRSQNGFTVFTTRNQQLANSLVGRDIIKIPELNDKLAIDLLRASL VEENLMKDDTSTITLIHQLGGLPLALIQATSYINQNSITLNEYVMLLNEQESIKVELL SQDFEDDYRYEDIQNPVAATWLISFEQIRRSNLLAAEYLSHIACVDPRDIPLSFLPQK GSLIDQQKAMGLLKAYSFITEMANSRFINMHRLVHLATRSWLRSEKLLEHWTIKTGEL LSEVFPSDEYDNRILWRGYLPHALFILQSQEYPKDNLERESLSQRVAQCLYADGRYNE AEAPFKEVFERRTQRLKKEDPEIFESMANLASTYRDQGRWNKAEELFVQVLNFRKTVL GPEHPSTLTSMADLASIYRNQGRWKEAEELDRKVMDTRKTVLGPKNPDTLNSMANLAI TYRNQGRWKEAEELFVQVLNIRKTVLGPEHPSTLTSMADLASSFWNQSRWNEAEELFV QVLDMRKIVLGPEHPDTLASMANLASTYRNQGRSKEAEELDVKVVDTWKKLLGPEHPD TLTTMANLACTYSSQGRWKEAEELDRKVMDTRKTVLGPEHPSTLTSMANLASTYRDQG RWKEAEELEVQVVDTWKTLLGPEHPDTLTTMANLAITYSNQGRWKEAEELDRKVMDTR KTVLGPKNPDTLNSMANLAITYRNQGRWKEAEELFVQVLNIRKTVLGPKHPDTLASMA NLAIIYRNQGRWKEAKELFVQVLDIRKIVLGPQHPDTLTSLANLASIYRNQSQWKEAE ELDVKVMDIRKIVLGPEHPGTLTSMANLAITYRSQGRWKEAEELEVQVLDIRKTVMGP EHPDTLTSMWSLAYTIKDQGRHAEGLALLEACVLLRGKSLGQTHPDTIRALSDLELWR KALLNQSHIASDQSAPQLHPTVTIDSPDKTMICDNLLQTHIRPHLLLQNGLPSTFPSL FPALQISHQPVQTTNEDKDEVD TSTA_038370 MTITYSSKLVDTAIIAFQFVKAVLVIIRDTIKQKVAFAIHRYRY DPVDSPKNVVIIGASFAGYHAAKLLANSLPTGYQVVLIERSSHFHFTWVFPRFSVVGG HEHKAFIPYGPYFKEAPKGSWRMIQDTVLEIGPSTISLQSGVMLNYEFLVLATGSHAG PPSRFDVNEKSDGIKALQTLQSDIRDAKDLVVVGGGAAGIELAADAKTVHPQKNVTLV HSRKTLLNKFGKKLHDAALEALEEMGVRVTLGERIKNHVENEGVVVLGSGTAIPCDFL VRCTGQKAASDIIAKLCPHIVSPSGGHVKVKSTLQIADNRFNNIYAAGDVIEYPCPKN GHSATLQAEVVSNNILCAIQGRYPVKYQPNMVVEGGIELTLGLDKNIIYVTDGARDII LRTKSKEIALKSRECWKRLGAVPFLDETWEPALDKS TSTA_038370 MTITYSSKLVDTAIIAFQFVKAVLVIIRDTIKQKVAFAIHRYRY DPVDSPKNVVIIGASFAGYHAAKLLANSLPTGYQVVLIERSSHFHFTWVFPRFSVVGG HEHKAFIPYGPYFKEAPKGSWRMIQDTVLEIGPSTISLQSGVMLNYEFLVLATGSHAG PPSRFDVNEKSDGIKALQTLQSDIRDAKDLVVVGGGAAGIELAADAKTVHPQKNVTLV HSRKTLLNKFGKKLHDAALEALEEMGVRVTLGERIKNHVENEGVVVLGSGTAIPCDFL VRCTGQKAASDIIAKLCPHIVSPSGGHVKVKSTLQIADNRFNNIYAAGDVIEYPCPKN GHSATLQAEVVSNNILCAIQGRYPVKYQPNMVVEGGIELTLGLDKNIIYVTDGARDII LRTKSKEIALKSRECWKRLGAVPFLDETWEPALDKS TSTA_038380 MAEKTEVQEYEYEALPSNYGLGHNMLAGAIAGIAEHSVMYPVDL LKTRMQILQSTISGPYSGITNALSNIYRIEGWRTLWKGVSSVIVGAGPAHAIYFGTYE VVKELVGGNVDDGHHPFAAALSGASATIASDVLMNPFDVIKQRMQVYGSIYKNIVQCA RTVYQTEDLQVFYVSLPTTLCMTVPFTATQFVTYESVSKIMNPKNEYDPFTHCIAGGL AGAVVAAFTTPLDVIKTLLQTRGLAADQEIRSAAGLFKATAIIKHQFGWQGYFRGMRP RIVSTMPSTAICWTSYEMAKAYFLRYGF TSTA_038390 MRFLNSLNYLLSGLAVLQGSEAAIAALNSLHQAGVTNIPLDLAG SVHDDLFAKFGWGAMGAGALADVRYTERALQATAQGFFSIIWDFGSAQGAAEAVNQIR EYAENTYKRSDEEDEDLFAITGLRFGDLPSSAFPPEGAVAENSALAKRANTVCFNRSG YSFTRNVFDATDPTANTNFNQCESCQGGTRNGC TSTA_038400 MPSEKYKEEENRISEALEMLRKNPKQKIKPLARQFGVDYQRLRR RVLGGTSQLNRRPAHKRLTEDQERAIILWMHDLDDRGIPPTVRMIKNYVDKVLQNMHP GADNPPQLGDRWVYRFLKRLPKEYVKMKQKTIDPSDILLRTRALYRPERYKITPSNIW NFDESGFQIGQGGDEEVVTRYPDALRHVPSSSSRELVSTAEGISAVGNTIPPLLIFTG KVILESWFQYLKEDEWKVTISETGFSNDEIAYDWLKHFNEHTREQAGNNFRLLFMDNH EAHLTSEFLSYCDDYRIIPFAFPWLPFLQYERVHRRAINEKAHLGGYFYDKIDFLADI ARVRADALTLRVIRNGFSARGLWPFNPEIIVGPLIEKWDLQEGQELLIYDNEEPDISS SPTNASFSPPTTAYKLQRSITKVDAQLNEISNVIPGIRRSLKKIFDGSLTQAHIKDQQ QAQIERLQTLNERKSAQKKIKK TSTA_038410 MIIIDALDECDQEKDIRIILQLLFRLQEVKSSNNHQDLALHELP KPVIERDIRLYLEDKLSSIREERSFAANWPGDEAVNELVRMSSPLFIFAATAYRFINR GRHPKKQLQKFLASQEATSASQMDKIYLLVLNQTIQNDEDDPAEVLKEFQDIIGTIIL LATPLSIISLARLLHLQADDIIELLDPLHSVLNIPKNLGAPIRILHLSFRDFLINTTS TFHVDEKETHEKIVLHCLRIMNTDLKQNICGLSSYGTPRTNIDNQAINKHLSADLQYS CRYITSSMAFDFMKQHLLHWLEALSLIGVISEAVAMMDMLQSGAGEDMDAEFSNFLYD AKRFILKNAYMASLAPLQLYCSGVVFLPMRSIIRKMFLNCRPKQIHMLPQVKDDWSPG LQTLEGHSDWVCSVAFSPDGQTVVSGSYDNTIKLWDAKTGSEPQTLRDHLDSGHSEWV QSVAFSPDGQTVVSGSYDRTIKLWDAKTGSELQTLRGHSDWVQPVAFSPDGQTVVSGS YDNTIKLWDAKTSSELQNLRGHSGPVHSVAFSPDGQTVVSGSNDKTIKLWDAKTSSEL QTLRGHSNLIHSVAFSPDSQIVVSGSNDRAIKLWDAKTSSELQTLRDHLDSFNFNQES LPDNWIALAGDNILWLPMEYRQFTASATFPFELPEFSSSFCSILLWARFAVYVKQEDE SFTLTDQATMEFLAGDFVKILLGCLQSRDTTVRRGLSVISQ TSTA_038420 MGVKTRVRQQALPLAESFNPDDDDDDDDDAVHEGDESSSCFDSD SDHSDDDYESGDGYSTTLIEPDSDTQPCLPVPLAPQQPRDSPSSASKGRRAPAISSEL PEYSDDPNDDTDEDLANVPLDYGRARIEERWNKYCGVKVAEHGAPPKWSDPEGALQQA MTNNIHQFFNYCMKLKRGKDSRLLKGIKKGSAVTAEWKSLQGYYRLITRTSFTKVQCE EINTAVAQMPSELIHLLEKLFTWPTSHSLEDKWARRNAATKAVSKYCGVWEGGPLHGR WKQAPPSDNELDQAKSSKRVVRAGLTSDTSASNSSNPLEGAKKQIIRAGEPDAEKPII YF TSTA_038430 MTEISAGNSLPSDLFTLPYTTAHPPDSRAADDHNHPSSAAPPPA AATTATGSISKGNRRSLAGFALEKTSNVLANLTTSLATISTPALRTSTSHGSLSRHSH KYSQVGSASLSPDRESSNEEIPTLPLVKSPTSGLSRRGTVRLIPQEPLRLDNLQNKMH QTSSRLLRMTEDERPFTKDFNDLFATLMVSLKLDTHRVRFTRFEHTFTSDEAINNLGS LKFSQSNRMPDPKDPSRIVTTTTTTTFSMAKEMARSVCQRFADARFIESVDGRGNTFF PMKGGLYQLTPKGINILQRFCQRNGITARHVMEVLESPRNTMQLVNLERDSETDKLST DRATIEVIFRRFAGQDGPNIKTSTSTSDSDSLSDYSNGLVGVKMAKERKIADKFFANT FTGKAAVDWLMDCSTTNDRRETCLIAALFIKHGLITSVQEDRPYAAQDPTAVDFQPTK HAIYTVTEHGQRVCGWIARDKTNSSQYDGRGARDSNNARLNHILHDAALRLLFREFLR YSLCEENLTFYLDVSEFTSHYHRLEKSGALNKIETVRETLAAAYGLYNAFLAPGSPCE LNIEHGLRNSLASRMTKAVGDDESMLKSLQEVVDLFELAQTSVFKLMSSDSVPKFVRD PKYSVVLQEHEFDLGTSGRSYSPTSAPVPERSLSRSVRT TSTA_038440 MATDPLLSDPLLSLRRAIAAQALPTPTTSSDVSNAADNLTDDLA KANHLYFTHPVPYTLPLNTLTRFVSTANNDTRVDLRSIFFAWQKKDVAIPEYIASAQE VNEALKQKKEKEGGQEEQVLNLVFVERLDLITWLEGASDDSEYIRPLEGAAAAAAAAA DVTAPAVAADAVTAAAPKTTVPAAAGPGARPTKVIDPQLQEIYNGERKLGDRNTVLRG IKPTDFSHIRKTAEIFLGRNRGSRGQYPPGSNKGPSGKGGIVVPAPSAGLALPKKPGP SSGSSRRPDPIILLSPSASSPIRLSNIKTFLQDGVFVPPNHPTLASHTTSNMQIITRQ TRIGIASGSQGGSGGAGGAAQTSKRPTRFILADSTANFKPDYWQRLVAVFTTGQAWQF KTYKWSNPPELFRHAAGIYVGMAGEHVPNQIKDWGRGVSTFTLARWDDKKGVDGAGRW LDREIVEHIWDVIENNMKAKGWTK TSTA_038450 MAIEIWVAKLYCSARSGMAGNDRAMRHSALQVARTPSGFFPKTS TLIEKAVKTAVSKALAKVDDFIDRATTRVDEAIEKACNQLPKNSILSFKTLSMKLSQF SERLNELQSSIPAE TSTA_038460 MTDTSLLATTHSTGDSYPVTAPIHSPAPNSAISDSVPLEDEEPY TIKCICAFEDDDECYYHGQEVPDEHFCTDCSPSPLYLDGKRATERQRRLREQNDSGDR KAKRSGSKSHKKKHHKDQELVNGHHRSDSTSRDQLGTIKKPKSSHRPSASVNSVNVPP KLQPDSRKRSGSVATSMSPTKSSSTPQIPLYSHEFLHLYDNDRGYVNMKSNLVVNLHL LGDMGSWVKDPSALLRAGTGRLPQEIFTYADVLDSSEWPQLLLDTNVDKDLEIDGVHP TWKILKTETAVHKDQIVGEVKGKIGELREYCLDPANRWPELRHPQPFVFFHPQLPIYI DAREEGSILRYVRRSCRPNVTLKTYITNEVEYHFCFVANQDIPADSEVTAMWYYDRQF LGDSDQEEARAISMSNLLANFGGCACSAPQSCLLAAVDRRRHPSSKQVNGKRKKAKSK SATSPTSAGRSTTSRAGSEAAVDDDNADNRSTSGSVRGHTRSRDLTPTHPPPEWALQD TELSARDRRKIAAVEKKFEQLEHDQHGQRKKKRGSATSAHPNLPSGKSLKVETTNRLS DDSALASYHRRSRPTSRKASDPIHTVPRSPLSRPSYVDESLQTRPMTIGFSPLSRRLL KRCHEDSAKVQWPIKRQETAGSTGTDTAISPSSVSQGSGVRPPSSHSEDVEMKDSASD TISLKSEVMAKPPLPSTAAHNTLIPGMSPITNRFSGLHVQLPANHFAVPATPTPGAAT PGSLQSPSDPFAQPAQTPGGSMTAPSPVKKKMSLGDYLASRGTMTTPTTEKSQAQATT ASSPAPPSKPTSSVQSSTPPLSTDGEAWTQPQPVSGRETIKNESSPTDADITMKDAPS KPPLFPPYVSSLGMQDDSKVPPTT TSTA_038470 MSERGKARFCRARKLERAQQEKKGASAKRKKNETWGRETRTRAE ECPDSSQKLFGPPRRKGGRTGLLSHGRAERDLGCKADRIRLDIMPIAPSSQSGGENKR PEIKSVPHLDQLSAASFRYGAAAESWLRVSQRRVQSNAEREKERETRQNESRRSIDRP SMKSVYSYLIAKAGRTRSQSGTSNLIVSTSSVRTRRNGCQAASNRAKSWLVAMMNDNN WDSWAICGGEKFDREGRMPSGKHDIIQCDTGDKP TSTA_038480 MGTNFTDFESGHRDLVTVTKFNFYGNRIVTGSSDHRLKVWDQKD GQWQLTDTWRAHDAEIRDATWNGPFTGQHIGSVGEDMRLKIWQEDVTQPPNSGRRFKS IYRLPAPHRVPFVSLDFRNVDLDTWLAVITRDGYLMVMEPVMPDTLGEWQALDQFRVC TAPGRGEETSFRVQFHHDSFDATHSLKPDGDRKSLSLVVAAMDTVKIYRTDSSRRFYH AIELTGHGGLVRDISWANGSVRGYDLIASGCKDGFIRIFEVYTSLSGNGAHGNHHHQR NGHLSAQSPSQRATAQSGIGSALANRAPVTASPRPANVDTPFRHEYREVACIDSRHLD VWQVQWSFAGDCLISSGDDGSVRFWKKGLTGEWLEFAETDMTDEEPPT TSTA_038490 MAPSTALSATEVYTLWVLIGTSIAIVANSFHGDGEPLMVSFAFS LFAFASTFSLIRWLGPSFMKVGLKGRDMAKAKRPEIPETMGAVCAVVYLLLMIAFIPF PFYKDIVAATSGGGNRDVVLHVEHVETGRFLHRFPHNKLASYLSGLLSLQAITLLGIG DDLLDIRWRHKVLIPALGAFPMLVVYFVDFGVTHVVVPVPLQRYFGQIFDLGFLYYVY MAAVAIFCPNSINMLAGINGVEVAQSLVIAVLLLLNDFLYLSPFTPYPHPAMDSHLFS IYFLLPFIGVSLALLCHNWYPSKVFVGDTYCYFAGMVFAVVGILGHFSKTLLLLFIPQ MFNFLYSCPQLFHIIPCPRHRLPKFNAVTGLLENSVTEWTVPPSPLIAAALHLLHRLR LVGIKTNENGDIVESTNLTLLNLWILWFGPMKEDRLALSIVLLQTVCGLFALFARHQM ALLVFPADNRSLWSFV TSTA_038500 MEQVQRKKIIIFGGTGAQGGSVVKGKNPLKSLCDNRQYDVSILT RDSTTPTATRLKETYNVTLIQGSYTTEEGLRAALKSQQICFFSLDSFNIGEPEEYFWT FRAYKIAVQGGLEWFIYSGTIDRFAK TSTA_038510 MSLEPSSYNSTVITPEDTDTLYDDFDELDGDTESSTASLTSSIF HYQYENGRRYHAYRKGEYVFPNDEREQDRLDLLHHIFLMVLEGELFCAPITQSISQVL DLGTGTGAWAMDVADLFPQAVVTGTDLSPIQSPWVPQNCQFQIDDFELDWNFSYPFDF IHARNIEGSVRDHRKLFGQAFDNLKDDGWFEVDDVTVGIFCDDDSIQRAPNWLRWRDK LIEASHTFNKPMGVSQNYKQWMIDAGFVNVRQKIYKVPYSPWAKDPKMKELGMYQQAM MLEALDAYSYALFTRVLGWTATEIQLLLAGVRKEIRDREVHCYSRFYFVYGQKPSSPG T TSTA_038520 MRGGTTLAIIGCGQIGTSILEVLLTTLSQPCPNQQHNPLPILSM KLKPSRFIACVISQDSVSRLRTKFGHFARSSYPNVQISQGRVVEVVQQADIILLTLQA SQGADLLSDPSLREHLAGKLLLSTCADLSVQQIEQLIYNDAIEEGGTVSERCFIVQAI PNTAAGVRQSATLISETVAGADTTLPPDFQSLTTWIFSSIGTVTYMPESLMNSASMIS GLAPAFYTVALEGIAKEAIARGLSEPDALFLAAQALKGTAEMVLSKKEENKGLFALDE VRDQAIASSNGSGARGTLVLRQATVKDSFAEAMSQGMEKRDTEDCLLSVTWL TSTA_038530 MASAAVSSISNQAQPDFKVATFPVSPADLHKHHVNTTLNYYKPA ADGSPPKPSISGKPETYERETETLEVTIHDISGSELDYTLDKNGFQLYYHESKQKLFV DEDEIKNEYYPETEQLLKDATGASKIFIFDHTIRRPEASPSGLRGPVQRVHIDQSYQA SKNRVTYHLPDEAETLLKGRYQIINVWRPIKTIYRDPLAVADAHSVPDSDLVPVKLIY PNREGETYTVKPNKAHKWYYRYGQTPNIVTLIKCFDSKLDGRARRVPHTAFVNPETAT PEYPARESIEIRALVFHPDDTY TSTA_038540 MQLNDLASIELPPTADMHVHLRQDGMMELCTPLVEKGGCDTVFV MPNLTPKIGTVSQALEYHEKLQKLAPNVKFLMSLYLHTGLTEEEIEKAAKSGVVYGVK FYPAGVTTNSQEGVLDIEQLYPVFAAMEKHDLVLNLHGEMMSTPPSAVGKQTEPVVTV LNAEPLFIPQLAKLHAAFPKLRIILEHVSTKQGLDAVRACGPNTSNDYLAHHLHITID DAVGDVFNFCKPIAKTPEDRLSLVKAVVEGSGKFFFGSDSAPHPVQSKKGSGATAAGC FTQPYVTQVVIEALEVAADQGWISENELTLEAIEGFLSGFGRKFYKLPPASSTKRIRL EKMGEKIPDIIRSADGAVEIVPWGRGREVRKNYVFTVSSISFPFRELSYASGKGSVLQ AISGIAFLIKSGGGCTHFPIELSFRKESHNKVHYDRFTPLRQLRERLDDFDGLPALIE KAGSVMRESTSVEDINGYYKDVLRVRITGPDQPLVTIVDLRGLIPLEYSDEQTMRYAQ LVHDLVESYIIKPGSIILAVISAKDDFANQAVLSLLRNIARHRTRTLSVLTHPDALSS PEERYHGRIDPLRAELERMIEINQNQLNKIGAPPSILDEQREHLLQISQQIQFLVRTS MDGTYNDSFFEDPNSPNGCRRRSRAIIRNLSQDFSDEMEIRGQEIFRPALTELQDDMR SKNRKAIDALYNENRQVDDTVAALRESFHDIHSAMILNRDIISLARKIV TSTA_038550 MPGADRRPRTLYDKVFEDHIVNEQDDGTILLYIDRHLVHEVTSP QAFEGLTNAGRKVRRPDCTLSTVDHNIPTTSRKTFKNAETFVKETDSRLQVMTLEENV KKFGLTYFGMDDDRQGIVHIIGPEQGFTLPGTTVVCGDSHTSTHGAFGALAFGIGTSE VEHVLATQTLLTKRSKNMRIQVDGELYPGVSSKDVVLHIIGVIGTAGGTGCVIEFCGS VIRGLSMEARMSICNMSIEAGARAGMVAPDEITFEYLKGRPLAPKYGSAEWKKAVNYW TSLRSDEGAKYDSEVFIDAKDIIPTVSWGTSPQDVLPITASVPSPDDFKDEVRKLSCK RALEYMGLTPGTPLKEVPVDKVFIGSCTNARIEDLRAAAKVVEGRKVASNIKSAMIVP GSGLVKKQAEAEGLDKVFIDAGFDWREAGCSMCLGMNPDILSPRERCASTSNRNFEGR QGAHGRTHLMSPTMAAAAAIVGKLADVRDLVQPSISSQKSAAPVTIQPEIEDMDTESD LERILDIPEDNEPHANTSGTGSSAGLPKFTVLKGIAAPMDRANIDTDAIIPKQFLKTI KRTGLGSALFYEWRFNTETGDELPEFVLNKEPYRNSKILVVTGPNFGCGSSREHAPWA LLDFGIKCIIAPSFADIFFNNTFKNGMLPIPITDEAALQKIAAEARAGKEVEVDLPNQ VIRDANGNELAHFDVDAFRKHCLINGLDDIGLTLQMEDKIRDFERKRTIDTPWLDGTG YLKRDRRGPRKVEAAPVPKTNRGDVKTEPLEW TSTA_038560 MKWATAGLLLALSARTTIAWPYDQDLTHYNLNENRTARTPADYW GTWPNHEYFPSPDNWRVPIYSLFLDRFVNGDPTNDNINGTYYEHNLDSTQMRHGGDVI GLVDTLDYLQGMGIKAIYLAGTALMNQPWGFDGYSALDTTLLDQHYGDIATWRTAITE IHKRGMYVIFDNTLATMGDLLGFEGYLNTTAPFVFQEHKVKYKSSRQYVDFNFGNNYN TTCEYPRFYNETGYPVESYVYDELKGCYNSDFDQYGDIEAFGVFPDYQRELAKFASVQ DRLREWMPSVRERLIRHACIIIASLDVDGFRYDKATQATVDALGEMSEGWRQCARAVG KENFFLSGEITGGNSFGSIYLGRGRQPDMWPDNVQDAVLMTNESSDKYFIRENGQQAI DGAAFHYTVYRTLTRFLGLDGNLAAGYDLPNDWVTMWNLMLLSNDMVNANTGKFDPRH MYGTTNQDVFRWPAIQNGTEKMLLGMFITTLHMPGAPLVLWGEEQGFYILDATADNYV YGRQAMSPATAWWAHGCYRVNVTQYYQFPLESALNGCEDISVTYDHRDPAHPLRNILK HMFQMRQRFPVLNDGYLLQSLSKQTHNVYLPGSNGTATETGMWSVLRGRFEGIQDLGN NQSVWLLYQNDNTTINYKFDCKSEITNLSLIAPFDAGTTVKNLFYPYDEQTLKASPVK LGLEGSTEFNGCLDNLTMVPWDFRAYVPKSKWVGPYPMITEFQPGHDARILSSVLPDQ PESIPIQIYFSEQMDCNSVTKSILLNSTTEFKQTATIDSSSVSCSNISGGTTKFIGEI ANAWVWKATLNNVYNGIHRITVANASSVDGTTTNAIDHFLLRVGQHDNPMIFNSANYS TSLLHKDDNGTLYVQHHAAGADMWRYSTNWGSSFSNWLPYGGGNDTIDELPWSGTSLQ EWKGKHVRVEYFSRLSGSSDYVQEGDSDWESPFPRRFPHLFWNGPYNQYGFDAGLKNE MIQDPSDGLWKVKFLTEWPAQGQVNVWSINPDGQPDQGFVFGDADGDSVLDRLPPSAL SAVAINITHPPPSPYLGWEIRIHDGTQRFELLPVGSTKIQIILFSLFLIVPIFSASLG IWLFMKSFYRVKFNQVGVTEKTGILPLAVRRQLKKARLSGIKIRNPLFRLANRSGFLQ TTSAFAGATQGDRRRMVMIATMEYDIEDWAIKIKIGGLGVMAQLMGKNLSHQDLIWVV PCVGDVEYPEDQPAEPMFVTVLGNSYEVKVQYHVLRNITYVLLDAPVFRQQTKTEPYP ARMDDLDSAIYYSAWNQCIAEAMKRFPIDLYHINDYHGSLAPLYLLPQTIPACLSLHN AEFQGLWPMRTQNEKDEVCSVFNLDVNIATRYVQFGEVFNLLHAGASYLRVHQQGFGA VGVSKKYGKRSYARYPIFWGLKKVGNLPNPDPSDTADWDKSVPKESDITVDKEFEAGR VELKRQAQEWAGLDQNPEADLLVFVGRWSMQKGIDLIADVMPSVLEARPNVQLICIGP VIDLYGKFAALKLDRMMKLYPGRVFSRPQFTALPPYIFSGAEFALIPSRDEPFGLVAV EFGRKGALGIGARVGGLGQMPGWWYTVESTTTSHLLHQFKLAIDGALNSKTKVRAEMR ARSAKQRFPVAQWIEDLEILQSTSIRIHTRETIKSNGQISTPTGYRIPSDSLSPPLQV SASGYPPGTQTPSLMESGSMGYSSLRQSTLGPQQRNTIVYSRDPSPDGDDRRTSNLFR QLSLGVRAGPGHTAVIERRNRLRRKSHVAASEEVTDSEREGDYETEDENMHSYFAEEE FTMAPEHADMNRRMDEGHVLPSPPPIYHASHPGNHYYPYLPASPGFSEGDDPLAPPNR PFADAPNRLSNPSVLSLDTVVGDKKNFKLQKVDPFFTDATGEFYRIFEKKLEDLNGSN SEAQLCIEEFLVKSEKKWYDRFRAARLGRDWSPVPSIKGKGALTSPTDSVSNGDSNSD IQKGGDEFLLGEDYKPPTGLKKWMQLRIGDWPIYSLFLSLGQIIAANSYQVTLLTGQV GQTPEKLYAIATTYLITSIAWWFVFRTFKSVVALSTPWFFYGLAFLLIGLAHFEHNSV NRGWIQNFASGMYATASSSGSIFFSLNFGDESAAPVKDWVFRACVIQGTQQLYVVALW YWGSSLSKQTQAGITNVQPIINSWKITAITVPIAIMLWAIGILLAFGLPDYYRQAPGK VASFYKSIFRRKIIMWFFVTVLIQNFFLSAPYGRNWSFLWSSNHASNWQIVLLVVFFF VIVWGIMLGIMFFLSKSHSWILPVFAIGLGAPRWAQVWWGTSNLGQYVPWAGSFVSGA LISRSLWLWLGVLDSLQGVGFGMILLQTLTRLHICFVLLGAQVLGSIATIVARAAAPN KIGPGDISPDIGTGVGSIWVAWFWIGLFFQLLICAGFFMFFRKEQLHKP TSTA_038570 MTTPQSADQDYKANLLSLLIANNALAFGTFTLKSGRQSPYFLTS SRLYTAPLLRQVSAAFANVTSSPPFVNIAADGSITPKFDIVFGPAYKGIPECVGVVNE LATRDALAGTKTWDNISYSFNRKEAKDHGEGGNIVGAPLKGKRVLIVDDVITAGTALR EAVGIIQKEGGIVAGVVLLFDRQERVSDTEQKSAIGAAERDLGGDIPIRAVLVLQDLI DKLGDKIGEEEVRRLEEYRNKYKAQ TSTA_038580 MHIGQKLQEQETSGRPGISFEYFPPKTAQGVQNLYDRMDRMHDL GPAFIDVTWGAGGSRSELTCEMVRIAQTVFGLETCMHLTCTDMPRERVDAALESAYNA GCTNILALRGDPPREQEKWEAAEGGFRYAKDLVKYIREKYGNHFDIGVGGYPEGADDN PDVDQLIDHLKEKVDAGSSFIVTQMFYDVDNFLDWVSKCRAKGIKAAIIPGIMPISTY DAFMRRSNWTKIRIPPDWLDKLEPVKADDAKVREIGTKLVAEMCRKLLASGQIHHLHF YTMNLAQATRLILEELRLLPSEEAPLHKPLPWRRSLGLRRRSEDVRPIFWRNRNASYI ARTADWDEYPNGRWTDARSPAFGELNAYGVRLKGTNEQNIKLWGAPKSIKDLSDIFVR YLNGKVERLPWSDSAVTPETSDIQNELLDLNKRGFLTINSQPAVNGVKSTDPVHGWGP RNGYVYQKAYLELLVPPEHVDELLRRIEKNPDLTYYCVNKTGELKARSDEGPNAVTWG IFASKEIIQPTIVESVSFMAWKDEAYQLGEDWAKCHPADSPSRKLIQRVMDEWYILNI VNNDFHKNDDIFRLFDGLVIKDFETEVPGSAPEVVTNGTTN TSTA_038590 MSGALCSHCNGSHVEGTTSSDIAMEPSQHNRIEPKKSSDRDWKE IRQRLERKIAAAAAQVISGRPGGADSRSRPRWATPPKHKEQRYYQVKQEDKLTTRGAN PRTGVVSPSNRTDSSNEDHVPRPRVSQKWKVNGDQWVSVDIAQTPSLHGSPLSYKLAK TVSSAGSGSTDLSSSDDWEDRFVVYMPSANDPNPPSMTAEQIRLYQEGIKKLHATTSS RHEMDASKSSSGSPEPKITQHPKKISSVPVLQKGTTSHSFSTDSSQPISPPLDGPRQY FSSDEVGRSRVSPVTDNPKLKQKELFKKLREECFLGCVGVDEAGAKNPDEILLFPNSD DETDSQISPVIKPDRGSDRGESSGLSGTIQTSKLPQVSGQTLHKATQKILGKPQRATT LVIPSALTPAQPTKIPKPSSGGSPTNGQHKTKKDDIAVVSTSTAAAGPAKAGSQRSRT RAAPKASSRPRRYNNDYSRATSENDLFFESSSPGSSQGTNHSPAPSRSSASPDFLGNG SGDSAISPSQNNYFTRLNTNGNFNTRVRNGRLAGDPETPKTPHVAELDGQQVSQQLPS PPLSSSPSPPPAKPKAETATIDTKPRNREKRDTHTIRMEIRIKAEKARQGAAAAKTAS ERLAAARQHAERLAEARAAYDKAKSQSTTKKMDGLKQQKLNTEKINEARRAYKAAKAR EEAEKQAEKEREAEEEAAKQAEKEAKRQAEKQAALRAARLAEQQAEARHKEAKVKSKT SVPTSSSAKNESSNISSTNAKITREPARKLGVHRQSVPEKKSRREDIGASGLDEPQIP ITTSRNHYDISNVLSFAVFYLSFNKFRDFLRDHKIYSQILLYCENLFHMATHCFKVCK RLAEVWLEYKRNGYLPQSCVDDFGQIAGDVGQALVNLAVLCFVFVVVVRTAAYVVIVT NWIIWFCRPIGWVFGNLVRAVME TSTA_038600 MPRLVRRQPLSERIANFFNPWDFLLWISEEIDSSDWAQLEKDWA QPLGFGLNFVFLIARANSATGPSRADYDIFGDDRSSLSLISWAATFIVHLLTLFSLTN TVYTFWRKRRYRLFEASIDKIPATPSAQRVKVSSTPVSTSPIGYIRNILSGETAESRR HPDPKRDVWEIAVWDPFPLSLRLFCSFSPGHVLVYWLFLPTLPSDPRPSVTIFTTIVL AILLSVQMSFLSSSFSQQSKDLAVVHKEVMHEYDTKFVHPRTQPLMRDVGVQFSEEHI KHAASDEKYNQVELWTPTHIINRGFKTSPNPNYVAYVDPESTGSKVSPSRRQSFMPAN SQAGAQLQTPIHLRDSSPIVQHQLSNVRQPQFRPLTASLGSGDGGSLGVYSHANSPLR KSMSTNFTADRQGSTPSLNDRLSALTPAKKASSPLKRTSTPGGFSTSSVAQPRWGHLN DAHRRESGRF TSTA_038610 MAAAAAFKDRQFLAVIGDEDSVTGLLLAGIGHVTEPPDSQRNFL VVDSKTETSTIEKAFQNFTQERKDIGVLLINQHIAERIRPSVDSFTEAFPAVLEIPSK DHPYDPEKDSVLRRVRRLFGE TSTA_038620 MKLFSALLLSLAPLQIWAASLPLFGQSPIKSLEDTFPVAGENPL EYCADPKNDILQIVSVNLTPNPPLPGEILKIEAEGIFLEPVDKGSKIHLQVKYGLIRL VNVEADLCEEIEQNTDLKCPLEGHKKFVKEVEIPKEVPPGKYAVLADVYTPNKTRITC LQAHDIIFQ TSTA_038620 MKLFSALLLSLAPLQIWAASLPLFGQSPIKSLEDTFPVAGENPL EYCADPKNDILQIVSVNLTPNPPLPGEILKIEAEGIFLEPVDKGSKIHLQVKYGLIRL VNVEADLCEEIEQNTDLKCPLEGHKKFVKEVEIPKEVPPVSRNEAFTKTLLCPY TSTA_038630 MSVRLRSIALCKKLSLSTAVSFNIFDNRLIFPPIRNPRRVLDCG YGAGNWALEVAERYPHCQVIGVDISPHMQPLDIPDNLWLQVDNLNRSFTFPSRHFDLV HSRLVASGIDRSRWSSYVRDLVRVTKRGGWVQMVEIYFNVQSDNGSITERHALREWSR RYMRALEDLKDLRVAPRLGSLMTAAGLQEVDMRMIQLPLSAWSSDPRSRQIGASNRSN IHQLLESLALYPLTHRLHMTLDEFNSLIDRARAEVDNHTLKAYFPL TSTA_038640 MPSTYKRDKPWDTDDIDKWKIEEFKPEDNAGGTFAEESSFMTLF PKYREVYLKEAWPMITRTLEKHGIACTLDLVEGSMTVKTTRKTFDPAAILNARDLIKL LSRSVPAQQAIKILEDGVACDIIKIRSLVNNKERFVKRRQRILGPSGSTLKALELLTG TYILVQGNTVSTMGPFKGLKEVRRVIEDCMANIHPIYHIKELMIKRELAKDPTLATES WDRFLPNFKKRTLSKRRVPHKVTDKSQKVYTPFPPPQEKSKIDKELESGEYFLSKQAK ERVRKEEIQEKQREKREEKMKERQKDFVPPTEDADKKEKKKKRKRAEDAGDHTEKKKK KKKSKTDESGEISS TSTA_038650 MSYNDNNDSYGSGSRSGGRSGGFGGNDDSYGSSGRGGSSYGSGN NDSYGSSNKSDSYGSSNNDSSYGSSRGGRDNSDSYGSNNNDSYGSGNKNSSYGSSNND SYGSSNSDSYGSSNKSSSYGSSNNDSYGSSNNDSYGSSNKSSSYGSNNNDSNNDSYGS NKKSSSYGSSNDDSYGSSNNDSYGSSNKNSYGSGNNDSSYGSSRGGRDNSDSYGASNN DSYGSSNKNSSYGSSNNDSYGSSNNDSYGSSRGGRNNDDY TSTA_038660 MSSNTFTGWVAHDATSPLEYTDFKPKPFTPTDIEVRISHCGICG TDLHTLRSGWYPTDYPCVVGHEIIGTVVRVGSGVATLASSPASRGIRVGDRVGIGAQN GLENYCPRITSTYNSRYSDKSKSYGGYGNFWRGPAHFAFKIPESLPSAEAAPLLCGGV TVYRPLKRYRAGPGKAVGIVGVGGLGHLGLLFAKALGCNRVVAISRTSSKRKDAVEGL GADLFIATEEDKKWAKTYTRTLDLIICTVDSPDLPLGQYLRLLKVGGTFVQVGAPEGP LPALPAWSLIQKEVQVTGSNIGSPDDIREMLELAAEKRVYPWIQKRPMSDVSAALADM DAGKARYRYVLENDMKLDEAKL TSTA_038670 MSPNQASSSKPDTLTVREPVRTENNKFYLFALVFSINESGALPV AMSNPYIGDRLLIFTSVFASIQVFFVALRLLTRIQFPKAWGWDDVVILIALAGQLGIA GATIGYVQRTGIGYHQEYLNENYPEKVIVGLRYLFAIQVLYNFFFNVPKFAILLLYNR IFPPPLRINTLVRVMMVFLILQTIANTLAEFLICDSHFTNFDNYVRTTCISRQTFYVW GTFPNIISDVIILVLPMTMILRMHMETRMKIGLVVTFLLGSLGLTTSILRFAYFYQEV SMTDYSWNAINLMIITQAETGTYLICSCLPTYRRFFLNCSFRGKNHAHITTFYEYNNC NSASTPQKQPTSQRADSPTSNGRLQYMNDTYLLTFVPSKETQDHSYASSSLNFRRSSS RLREMHSNTPTGQYFHHEHN TSTA_038680 MTLCKLEAAEMRLTRWGAALGIDGPDAKLVIEGDSDEEKVNKAY HWLNEIKRAFDSAMETSLRFTTTAKPEKLQLLENGEQIRKGSNALQKLHEKMRNISDE RVKPRKRDRVSWALYRKGNYENLVENISMLTSKLVELFPSAVDAQKRLCQGEIREIEP ESLDLLGNAIGEEDEIFKQAVKVELAKGPNLFTNIAVTDHFRGHFGNNIAAGEASAAR AAGGNAVAHFGSNIGKYKGKTVFDTSQ TSTA_038690 MYYNKFILLPLVLASVCLAGEDTCPADAVPVVVVKTITTTGYFL EPTPTPVVPGDTSVSPVALFPSPSTSASVPVDVIATPESSIAPSSAQSSLTTLVPNSS TLTSIPVDVVATPESSVAPSTIQSSLTTAKQASPTTLIPQLQSSSSSTTSSAAPSSLS STATATTSSVAATETFVGLGTRYGGDCTEEDCWQSGACSFVDYTLPAGIDGSTCVSQD IWNNGANCGGCISVTYKGKTITVMVTNETGGNATHLDMTPATWSKLTNGYSGGGVDGI EWEWIACPIAQTTPLEIRMHGGASKYWFAATVENARLRTSKLEVSSDSGKTWEAAVLD NYNIWTLSGTLPDNTAWVRVTSVNGDQVIVENVALQSGVTTKAAENY TSTA_038700 MANGASIIIGLVFVILASLCAWFFAPKGDNQTYAPFSSVSIRKI RSTNADMIML TSTA_038710 MDKLQAFGKNLNAQFTPFAARTQQFVKEQLGTADEKTQLPDDYL ELEKRVDALKQVHQKLLSVTSQYSNEAYDYPPNIRESFNDLGRTISEKVNLLSHASSP AEAQAALTAPPSAKPQPKTFSHAIARASLAGSQLIAQTTPAEDPLSTALEKYALALEK VGEARLAQDAQIQSRFLAGWNTTLNTNLTFATKARRNVENARLNLDSIKARKKAAAGG DLDNLSEDARIEIEQAEDEFVGQTEEAVAVMKNVLDTPEPLRNLADLIAAQLEYHKRA YEILSELAPVVDGLQVEQEANYRKSREGA TSTA_038720 MPTYDEIRSATESLDSGGGRWDTERFTRERDERIYRGPAPAPLR ERSRSRPPPPPPEFDRRRPGGFDDRFERRVVEEDRYGLPGRRRERFVEEDDYYAARGS GPLVHRREPSPSFRPPRLVRRQSSLDTFDRLPARRIERVPPPPVRGFRGGPPRRSSPP RFVGRDELYEEIDIAEPDEYGDEEFRHFREREMRRPVREEIVKERIIEKEKPYPRKGK TKMPKRLVHPRAVQQLGYPYIEEDRVIIIQKALSKELIDEIVTLSKEIRRRSETVYRT YRSPSPPPVRERELVERVVIASPSPRRSERLYVERSPSPVRAERLIVEHSPARTERLV VEHSPARTEHLIVERSPSPLRYRSPSRVRTSRYLERPDIIETRPRSVSVNLPQRSSEP VIVERRDDSAGQVVLVERPRRTELDVSEEIRMLEDERRMLQIERRPEHVGSVDIIRDK VIRRSDGETDEIIEVNKNRRAPDSRLIRAMMATLT TSTA_038730 MPICIECSYPVSHLYTSYSKADDRALGKGVRLTQCPRCKRFADK YVEHDNVVLFIDLVLVKPQVYRHLLFNRLGRDDKAFDRSIVRLGILLLLFDVYLTWAR IEKSSTLSSTPLSTAPIIVQYLFFLTLNALATLAHHLVVRLGASIISRKSQQSAIASG ADGTNNESPATPTAATNVVSTSANVSRSPSSQDISQGLHPSASASASAYSTGSATSSG PSYWPHDASPSQQGVHTQLRRVSTSPAQLQPLPPPSPPSANAVSTALFVSSCPKLFPI LMVIWGSKDVAVPEEGSSTADSPAVTMLHKTLTTVTSSLSIPTASGVLLDNAAATVTT TMSKNNIVTRATSVFGFLSNPSTILATFLSAFDFTSLMSFLSLGTASTHLVLLNNIEA LYILLDCGYLWAVALAVTGQLARWSVEKVVLGLFGIY TSTA_038740 MDEQDRTRLGGDNPVEQEDSKRSLPEGQSEATANKSAPPARGPK RRTKTGCLTCRKRRIKCGEEKPRCNNCIKAKRECEGYTQRVVFKNALGTLGAAYDPRV PANLQTPVTFPQLPHQVQLQQLQQLQRHQGQPIAGQALNPQQRLLAPRLPYVPPTVAS GYGTAQSMHQQQQAFHYPPIPLQSPLQPEQIIAHPESIHVHGDDFASHLYTWPTADQH TYLTVPAETGGPIQGVHDAALLPQTVAGVGTVLPSHQNLHNHPVPMGQHDPAVQSIAE TIGFYTDDTFQQAQVYYSWDAEQEALIPTTYAPEGLENDYYDVDSDDEQQEVTEEEGY NQLDLIMASAHRDAIRSYNTYLNEPHILASYQPSMGSSPLNNPKTARIWIHFMHATGP SMSVWERHIANPTPLFGGPVPSSQQGLWSYIMPLKSLEHPALLQAMLAISSFHIAKLQ QSHLTITFKHYEYALRKVSKAVGLPLRRKQVATLAATLILAFYEVSAADHTKWDRHVA GAAQLLKEIDFAGITRDLRAYRRSLRSQKHAWTGPFDTFSAYSYSEDDPFAEKESGVD PKVVSRLVGRAIDYDQIGRVDAYPKVKRRHFSRKDIENFRLQCDLYWWYAKQDLFQSL ISGNRLHTPFERWDACPPRAALGKLDAIYGSFDHLILLMARMMHFGYQDRKRKLKSLE ACGGEWRPNPSFFRFIGRFAPKSSTGGGPGSPPGKGPPQSGKMQGPAGPSAQQPMYGM APTGGPVPAPSAFTTMGESPPYSGFIDDDGEDKTLEEAEAEWERISDAYQYYESQLGE SFAPLPADSTSPIGSPFGSALQFRSHIIAVLWGYYYTGRIMLNRLHPSMPPAAMVAAT AAAATTANFAQTIGKIAAGVYYPQLYMQAVGSLNPNLGGAWIETTVNLFVAGVQYTDA TQRDWTVATLRGTARVTGWQSAEAIASGCEAAWARTAALGRGPPYVRGPDVQMRGPIY SRGEKTDEHIAPGSNYERRFVKVERRVRAHWAMGLLELESDLDGLNLDDPL TSTA_038750 MGNFCNSAGGDRKKIPTQKLAMFAVPGWSLSTADLKPQKEVSSK QHATSTPTASNAATTESNENVGQSVKKRKRENGVTKSNVDEMFKRHIEGHTDKSSKKT KNKKAKDKKKEQKENKQSENNEVVQQKSAEAGEGRVNKKQKKARGEERKTHAKDDTSA TTPVDNATITSVVLPPAAPTSTAKLTPLQQKMREKLMSARFRHLNETLYTTPSKQAQA MFEANPELFTEYHNGFSRQVKESWPSNPVDGYIAAVRKRGAVPAHHNDTKKHNNNAVA PLPRRPNGLCTIADLGCGDAQFARSLIPSAKKLQLKLLNFDLQSPDDSLVTKADIANL PVTDGSVDVTIFCLSLMGTNWVSFVEEAWRVLRGDGKGECWVSEVKSRFGKVNRKKAQ IGLKRDEATMSNTQKKKLKNKKKKKNGNNESDEEDADEDEEIYAEDAQPGSGSNDDTD ISAFVEVFKSRGFVLKQESVDKSNKMFVKMEFVKAGGAPTKGKYTGIIPAPARRNSEK STQTKKRFIDRGDDEASKQLTAEQEAKVLKPCVYKIR TSTA_038760 MFIARSEYDRGINTFSPEGRLFQVEYSLEAIKLGSTAIGVATSE GVVLGVEKRVTSSLLETSSVEKIVEIDQHIGCAMSGLQADARSMIEHARVECQNHAFH FAESLRVESCTQAICDLALRFGEGAEGEESVMSRPFGVALLIAGYDEDGPQLYHAEPS GTFYRYDAKAIGSGSEGAQAELQNEYHRSLTLAEAETLVLKTLKQVMEEKLDAKNVQL ASVTKEKGFRIYDEEEMGRAVGQLGGN TSTA_038770 MTSNDRRAASYNLGGGSRPRVAPSRAAGEHEYRSSRQGEDNAKM ENLRGSTSSARELHRQSSSAMDRRTERTTVTSREKVHVKTRNPVKESPNAGNRGEFGR SRSKKTASPPRRRDREVADVPWIPQATLIAHSAAPLATRISVPPLASTAPQSLEPISF KELSMEEQENAILQDILFVFMGYEGQHIRYHSSYDPSVEKDRLVGPAFQIGPGLDPSL KDLTISMLKMATHYSALEAFIDVLSRPEYGAVSHALCAAIRKLLKDYLILIAQLENQL LNNPNFTLHVLHLQTMPTSQSLAQLHSLSQELLRRNKLLDQDLDESIDDFDDVDNILE QLKEGGDLVPGSMSSKKICKGGNVLGLLTERLATFSGDPATKTLLQTLLREASRPYMT MLNEWLHHGEIRDPHGEFLIKEQKWIKREKLEEDYTDEYWEKRYTIRDNEVPPQLESV RDKVLLAGKYLNVVRECGGVDVNKAVKDVPKTFDDPRFLDNVNSAYAYANTSLLNLLL SENSLTTRFRSLKHYFFLDRSDFFSYFMELSASELRKAAKNVNESKLQSLLDLVLRQP GSIAASDPFKEDVKVKMNKIGLTRWLMQVVSVSGIDQDNPDGGLEKYQTPTTQSAEDE KDIIGFDALELDYLVPFPLSLVISRKTVLRYQLIFRYLLSLRHLEHLLVTSWQDQQKT LGWRHKSSDRRLEMWKRRAWTLRAKMLVFIQQLLYFCTAEVIEPNWQSLMERVNGTAA STQSGALPIDDHALDGSKQVNRTVDELMQDHVDFLDTCLKECMLTQAKLLKIHSKLMT CCTMFASWTAASLGRSMSTADPDLSAGAPGAPADARPYDATRLAKLEDTLKRYEDHFN RHLRILLDSLNYFAATESVVLLKLAHSLSSIGKDDDV TSTA_038780 MDILAQAAGNAPAALGGAALALATAAYTNAKFGIKADVDSLRDE AAFGKRLAQRIGELGDTCTLYGMLKRVIEVNKQGSAEALWFENKTWTYDQLKDYADRL AAYVYAQGIRTGDFVAVYTINSPEMVFIVYALSKLGVVAAMINTNLRDETFKHCLKIS TSKLILSTPDLAEFVRSDDIPKFSLNVSSFDSVLNIPDDTTLITSETLAQIPESDVSS ILPAKRSPPDLAVLIYTSGTTGNPKACAIRNIMTLVTSTPLPKDTRNPSKYYPMRIYS SLPLFHGTAFFSGVCYAVGNGGTLCLRRKFSASNFWKDVYESRSTRVLYIGELCRYLL ASPPSPYDKKHNCIIAFGNGLRTEIWDKFSERFNVPEIREIYRSTEGVARFDNFYGGS FGAGAVGFQGPIRRLFEQDTYLIKFDMETEMPYRDPKTGFCVKVGAGEEGEAIGRVRT RQALTEYLHNEEATEKKLMRDVFEKGDLFQRMGDLLVRDHDGWIRFGDRVGDTFRWKG ENVSAGEVRDHICRMENVQDAVVFGVKLKNYDGQAGAAGITLERRTPQTEAAAINNLW KFLRSQGVPTYAIPRLVRFTKEVATGVTFKQAKGELAKRSWNPESPVDGGDTLYWLNS KNGKHPVYEKLDRDGWEEIESGRAKL TSTA_038790 MSSASQRLSAIASQITGGGESIARKRVLAKNADDIVITLAVRTP LTKAKKGGLKDTNLDDLLISLLTTVREKSNLDPNLVEDVCVGNVLNSSAAYLARSAVL AAGFPETTAASIASRFCSSGLLAVQQIANQIIAGSIEVGIAIGAESMSTNPDGGAPKF SDKILNHPLASQNQQPMGQTSENVAGQFGLTRAQMDEFAASSFQKAEKAQKAGWFKDE IAPVKTTIKDPKTGEVKEIVVDSDDGIRYGTTAESLSKIRSAFPQWEPSHTTGGNASQ ITDGAAALILMKRSRAQQLGQPILAKFVAATVAGLEPRIMGIGPTVAIPKILSKTGLN VEDVDIFEINEAFASMGVYCVQKLNLPPSKVNPRGGAIAFGHPLGATGARQVVTALSE LRRSNKRIAVTSMCVGTGMAMAGVFVSEH TSTA_038800 MKSTSALLTGFLATLWAASPTNAFFRMPCPGRLVDERADPIVSP GAISGHVHAIAGGNGFGFNMTYEDARASSCSSCPIKADLSNYWVPHLYYRAQNGTFVQ VPVSGDGKGNLGGITVYYLQRPGANNDPLKAFPEGFRMLAGDPFQRNFTGDFAAQAIS YACLDYSGGGKPETNEFPDYNCPDGLRAQVFFPSCWDGKNLDSPDHKSHVSYPISGAY NNGVCPDTHPVHLVSIFYEIIFQTNLFADQWWDTKQPFVWAMGDPTGYGFHGDFVNGW NIDTLQYAVDHCLNDSGNISDCLAEDGSEFFDLFDNQVSQFCTLPDFVDEVVGPVVDN LPGCNPVTWGPEHATPGKCEDEAVISDEMLANYYTDVTQSLGWEYYGCAFDDISTRTL NGPNESKDEMTVEKCISFCSDAGYSYAGLEYSTQCYCGNSIPTDKAPISGVVGGCLFP CAGNSTEMCGGSAALSVYHNCAGGSCKNANIGVIEGAASSPANAAPAPGTNSAVATGK TTVAAGAGTGGTSATTMSGGAVSSKTTSAGASSITSAATTTVPAAGGHIIQGSSTITD ICQLETVTVTVQGPAATVTVTAYT TSTA_038810 MVDSQKLPPPILHHLSSSQSLRILWALEELSLSSGLEYNLKCYK RQNARAPAELKTVFPLGRSPILEVPGTIVTESRLILQFLSDKYSNGEWIPETDEDKDR DTYFQEFAIATLNGILNSILYFQIIPPNSPWLVRPLMYAIFNPIVKIFSQDIDPHFSL MERALSDEKPWFSGAKIGLADINLSWPMDSAKQRGYLDEKKYPKLADWLRRVHERPAY QNALKKGGSYDLVKFDM TSTA_038820 MSITEDRASNATKNTSATTDIEEGIKTLTDPEIKDLQQTVDKPE EGSIIAPDQFDSRYETSRKEIWSYYAYYIGNNGLTLFNFAPTAFQNLLYQAADSNSQL YFAGKLRTINSIVLLCNGISFAIQIVVFLVIGSFADFGTWRPNILIGLSLVAWAIGFG WLGVHDATKWEAATGLYIVGLIAYQTTLTFWTAAFPGLARNTAEMKEKADLLVAGFIT RDEYDFADTMKRSQLSNIAFYIQSVGEIFILAVIVGIMFGLDVNASTANNNWGLSVLI AFATGVWLLLAIPWFVFEKRRPGQDPAPRNIFIAGLWQLYHAGRQILQLRQSLIYLIG YFLLGDSLNTTVTVIGTLQNSIVAYNTLQLTYLLIVGIAAQAIGIYAFWFIQRHYNLT TKTMFNIIALAIILLDGWGMIGIWTTNFGFHHEWEVWLYQAYYGLFVCPWYSYSQIMI SEVTPRGKEFLFFSFFSIIGKTSSFIGPIVSSAIIDATPSGNESTPFYFLFALSLLSF VVLWLFVDIKKSRKEQEEFLILEERRRGTS TSTA_038830 MPVRHACAKAVEMTFFDPIKQANNMVIAKVMMAQRAKAAAAALK QQQQSALQPSFSVKRKSPSTTSNSSVSSITPITPMAQTNELPQLPTTPSMSQIAMNQQ LAQLNAGLGAAGVPLQQNSLPSIQSEAQRPIYQEVRRKSLQPALKNASKAMLDGSASA SKAAYNKASNMVDNIDKDSMKKVGKKMAAFAGALGVQAARDYVQQETGVRIPRIRPNQ RPNQPNQQAQAANANALAQLQLKQKILQLQLQQQQQQQLQAQNQAAIQAGAAALPMTA VNVLGQGQAGTPQMTTDIPSSQGVAAGVLHQPTPITLGGASSVPHAIAVASTPSPYNS NVNSVAPTPLSQPDNALGVADYNSSSIATSQTNMASNVSNLDPNGMAPIPASELQWTS GVGLSSSHMAPIPPSEAIAAPSVHSIDSEMAPIPASELNSLPGAANNSMAPSPSEADI APIPPSEQNGYASNTHEVSQHASDHDMAPIPPSEQASADHIAPEANSSPNAGDDHAQQ APSPAGHPESSPHHLDHPGNYHQPSVENGSESGTQQSATNPTSSDQHTNNGSSVSHLD GSNHSPGQQHESQSAPGHPHTQQSHDSHFAGPQQNSQASLGHSNAVQSHGSHLAGNQH DSHAVHSLPHPQQPHDHHGLNSHAGQAGHQNHHQSQPGHANNQPFSSPATPTPSHSGY QQFTPQSGNSPNVHGSSPNLHPYAGSQPHPYPPTSSYPAPASQPQYANSPSPHQQVHG PSSSPLPLNHANSLPARPPVSGGGQADEYYQNHPLNQGVASGGPSPVHSPNQQQQQTV SHHQTTQFPPPSLPQNPITAMTGNSFQPLPQPQHAVASTASSTPQLGHSQLHNPITGI LPGATPGPPMTSTPQPRPPTKPHNPITGILPGSTPATAGSSQAPPQININVQAGGSAQ NGAPAVYNQVQMQQQLNTNVQNQQIQALQQQLQMMNLQQQQQTQQFQSLAQGGQNGGN SSVIEQLGVQIIANQFQGQGQQGNQHSALAEGLSIIDNLTQNNNNNNMNNMNSLLQLQ QQQELQQLNLLNQMTAQSQLNADTIIINDQTQTNLELEQLNAQLASLQSMSGVNDAAF MDSSLGVIDVNTYNVNIDVDQSIDMQSNMGIGFDMTSTTDVGFDMTSTTDMGFGMTDT SAFVSDTSFTEVDIEY TSTA_038840 MTVTRKYLFGGDTPWSKQDWTTCDDRIRGGASASRLSIKPSTVD HIDNQPYSSSAFFHGFLDITTLGGAGFASQRNTTYTLNWHLEKYDGIEFIVGKSDGKR YSFVLKDEMLPKRPDGREQSSLNWEYEFVVPATSDCEDRTREGTVFRAKWCDFEPTYR GKPQEGLTRRILPRNIKRITLMMRSFFGMQSGDFEVEIKSIAVFKEGNQVDGAAPLRQ DGEDIAEKLRNEYTPPLSSRAESFGSKTAKETDEPKRRGRPNSLLLRLLSSCCGG TSTA_038850 MAQLAYIGLGNMGKGMTKNIIQNGQLSSPILLYNRTYSKALAHA EALGGSTKAQAVHTIADAVSPSDIIFTCVGDDVAVEEIINTALNDDSQTDVNGKLFVD MSTIHPDTTRRIHKQLTSHGARFISCPVFGVPAIAEAGQLICVLSGDKSDVSKILPYT VGVMARANIDLSYDSSETGQDIGKASTLKLIGNSFILSFVEQLSEGLTLAEKSGVGID PLAQWMELMFPGPLPKYVDRMVTGDYYKREYPLFQVDLARKDLRHVTSVAEQSGMRMR SLEVVDEYLKQVKEHSGERGDIAGMYGAVRKESGLKFENE TSTA_038860 MTGLVGENFRRDGGVIPATITKNLNKSSGRQRAREKMADKEFFL SLLSSAATKRDAKAYLSRFPSVKKPKPPIPRQNQGAVETQSGKENEKPGVNLGSFYGA TRSVLETPVFRQGPAPETETAHAIGLDEALHVALVKLANAQSLDDETIQGVALTLAQL TRLGMASCVVVDPGPMQDETTWRKAAAEQADRLSAAIDACDGGKARRLDSVLVKNKDR DLPKIISRQVLLRPLRNNHIVVVTPVAYSEETCKASSVPSSDAMLALTRELAGLERKH DPDEDPRVTAEIFAALQKEVAVDRLIVLDSVGGIPAFKGPQQSHVFVNMEQEFKDIEA ELHEAMASIERFVDPSAESDITEAATKSNPISKFVATEVTPLSTGQQKPLIEANGGLM TSTLKGHIENLRLLQQTLTLLPPSSSAIITTPRDVANSARPHQDVLSVSQVGTRRQKN PLIHNLLTDKPVYSSSLPSERRGQTAPSIAPSTFLKRGMPLTILPDPRITPWSPNSPD GHHLTLDDPRIDLPRLVHLIEDSFNRKLDVQDYLSRVNGRLAGLIIAGEYEGGAILTW ETPPDIPEQDRHKPENISRLVPYLDKFAVLKRSQGAGGVADIVFNAMVRTCLPGGVCW RSRMDNPVNKWYFERSRGTWKLNGSNWAMFWTTPRVPEEDPLKFRDYEAVCRSIQPSW ADKKAVVD TSTA_038870 MAANDHATYTPLYSLPNEILVQILNSFTTRALLPLASVSQRLHA VVLRILHYRLLHVSSLKDYELLFECFHPISKLTDPHFFCTYLGTPGLNDKYEGNGSLY EDCKASECLGKLSNVYSCFRPEIDATEWENDYMSIYDSDHNSDTDGHETEKTRTLVKK QVTLEDFEDFSQLCSIVNLVKIMPNSRMLLSAVTVQDGIIRVWRDWLKRQSKKEYDSD IHTQLKQHLDEEDGVLWVNNNKTVGLKLRVKEKKWNGPMLPVLIHQDEEGEVNYEVVI EELRIRTTRLLLTVEKSMAEQQVCPNAVIIGARNMFPPA TSTA_038880 MTELNFGDTNIWLIGTDIDHKVKYNSATTEPAWNNGTIGIQPGL FIWRIEDFHVVPWPKELYGQFYDGDSYIVLYSYTIPRSENGEQAERLAHDIFFWLGAK TTQDEAGTAAYKTVELDEFLHGVATQHREIQLHPSDEFLTAHGHSVVVHEVDPTWQSL DDGDVFILEKDDKIWVWQGKDASPMEKAKAAQVVNELTLAKHIDVEVLAQTEARSKIV VDLLGGGNTDQSYFRTGRPIHNSRPSPASSLLPARPPRLFRIVPTESGQFQFELDKEG GTIRLSDLDSNDIFIFDTGRKIWVWEGQYVNTHVKDAWKLCTGAYLRYLQQESQAPEV IAATPVAKVKEGNENAAFFQSISAH TSTA_038890 MRPLWTVHGWGITVTAQPMLTRPVMAGVFRFEPIQAQIIDILQQ EGLPLLRASIANHSAVKVEVIKHQIGMKYTAISHIWSDGLGNEDQYWLLELQFKQLVK AVNAAKGGRRTTRVPSARHLRPGAEIPTKRVPMACVFFLGHG TSTA_038900 MGRHEEIVKEISRVVSQFHKLNQPYRIFHGSTNSTRPRPGSKQN FVDISALNNVLRVDRGTKTAAVEPNVPMDRLVEATLKHGLVPPVVMEFPGITAGGGYA GTSGESSSFRHGFFDRTINSVEMVLANGEVVKTSTAEKQDLFRGAAGAVGSLGITTLI ELNLVEAKKFVKVTYERAPSIKAAIEALERDSADPKTAPFEYIDGIQFSPDHGVVIKG ELTDELPASTKPQTFSNPWDPWFYLHVQEITETQQVVTEYVPLAEYLFRYDRGGFWVG ASAFKYFRFVPFNKFTRWFLDDFLHTRMLYKALHASGESSRYIVQDLALPYETAEEFI DYTTKTFDIWPLWYCPLKQSPTPTMHPHNTQTKYTGRLLNIGLWGFGPSNSAEFIAKN RDLEHKLNDLGGMKWLYAHTYYPENEFWKRFDREWYEKLREKYSATGLPSVWHKVKVV TASDKNTDKSWLQNLVGYWPIGGFWGIWKSIASKEYLKHRNATWRVSAQLPRNLCPNV GVIGLRLSRFIMVESVLDDISHRRFNPLRGSNILVSPHRTKRPWQGQEESPSKTTLPA YDPKCYLCPGNTRAQGDVNPQYKNTFAFVNDYSAVKEDQADYKPEEKGAESFFLRAEP VVGKCYVLTFSAAHNQTLADLSAAEIVPVIDAWTEIYTSHLSPKNPLAAVAAATHLPP NAPTASLTTPKSQYRYMQIFENKGAAMGCSNPHPHGQVWTTSSLPDEPAIELEQLKKY RAEHGGKHLLGDYVALEIQKQERIVFENDGFVVLCPWWATWPFETMIISKTHKRALVD LNADEKAQLAEALAEITRRYDNLFETHFPYSMGIHQAPLEGTDEEIGASWLHLHFYPP LLRSATVRKFLVGYEMMAEPQRDITPEQATTRLRACGGELYRKRL TSTA_038910 MASSLLNSFRLNTDEGWLPAWLLIVSVISTANSLQSYLSPSYHQ QLYIGSSRNPSPSAPLAARTFGTWTFLSSVVRAYAAYNIHNPVAYDMAFWTYGIALGH FLTEVVVYGSAQVRGRFVFPLVFASSALVWMGSQRGYYLS TSTA_038920 MTFQQETMEHVNNYLDKLTDFRAADMSQSQTALVFGLGLVGGVW LLTQLLTFVRVLLSLFVIPGRSLRSFGPKGSWALITGASDGLGKEFALQIARAGFNVL LVSRTESKLVSLADEIKSKNPSTQTKILAMDFAANKDSDYEQLKSLVDNLDIAILVNN VGKSHSIPVPFSETPDDEMKDIITINCTGTLRVTKLVTPGMIQRKRGLILTMGSFGGL LPTPLLATYSGSKAFLQYWSSALGSELEPYGITVQLLQAHLITSAMSKIRRPSLTVPT PKAWVKTALNKIGRRGGSPHFYYTSSPYFSHGLMAWFITCVVGVWSNWLKNYNLNMHV GIRKRALRKLERSKTK TSTA_038930 MTVSQFLNKLKPKAEQAEHSENAMPSATTSGNASLAEKNAHYDD SKVPYLTWRSFILGIIASMGGFIFGYSTGQISGFETMHDFQVRFAQHNDNGYYFSNVR SGLIVGLMSIGTMIGALAASPLADRFGRKPSITFWCIIHIVGMIVQIATTNKWYQVAV GRLVAGLGVGALSSLVPMYQSESAPRHVRGAMVSAFQLFVAFGIFIAACINYGTESIH STAAWRITMGIGFAWPLILGIGILFLPESPRYAYRMGRIEEAKHTMIKLYGVPDNHRL VAEEIQDMKEKLDEEHAAGKAGIFEIFTGPRMLYRTMLGVVLQSLQQLTGANFIFYYG NTIFTSTGLNNSYVTQMILTGVNFGVTIIGLYIVEHYGRRKSLIGGALWMFVCFMIFA TVGHYSLDRANPPNTPKAGTTLIVFTCFFIVGFATTWGPIVWAICGELYPARYRATCI GIATASNWTWNFLISFFTPFISGAIDFQYGYIFACCCFAAALVVYFFVCETQGRTLEE VDTMYIQHVKPWKSSSWVAPEHIHRAAAEEPEDKAVGGLTSA TSTA_038940 MPLFHHDKATASSSSTSRHSRRDTSPIPPATESRRTGLFGSRHT TSPSPRSSTSTTSSPNNIKHSTSLLHRNRDHREDPSITHAREQVVLAETAEKEADRAL VAARQAVREAREHVKRLEEEAREEARLARIKQDQAKSISKRGKPLGLTYTTVTTTALT AVTSIVHQTILTTIIATVTNRPPHPPANPQNESSLLVECLHPSL TSTA_038950 MKTTWKEIAPVPTSQEFLDIVLSRTQRRLPTVIRAGFQISRIRA FYTRKVKFTSETFCEKFQAILDGFPRLQDIHPFHKDLMNTLYDADHFRIALGQISTAK HLVETVARDYVRLIKYAQSLFQCKQLKRAALGRMATICRRLKDPLLYLEQVRQHLGRL PSIDPNTRTLLICGYPNVGKSSFLRSITRADVDVQPYAFTTKSLFVGHFDYKYLRFQA IDTPGILDHPLEEMNTIEMQSITAIAHLRSAILYFMDLSEQCGYSVVDQVKLFNSIKP LFANKLVFVVINKIDVKRPEDLDVETKELLESVLKQGNVEMLQLSCTTTEGVTNVKNA ACDKLIAERVAQKLKAGTNSSGNPSGRLGEVLSRIHVAQPIGGVRETFIPDAAKNLKK YDKEDPNRRKLERDIEEENGGAGVYSVDLQKNYTLANDEWKYDKIPEIWNGKNIYDYV DPDIEAKLAALEAEEEKLEADGFYESDDSVEDAEDAEIRMKADLIREKRALMKNEARL RKSLKNRAAIPRSAKAKRLSEMEKALDNAGYDTYDAVVRAQSQQQPSRGRTLTRDDDA DAMDVDSTSNPRDAIARAKSRARSQAATNRLTDGVTDTTSRSKAERLAKLGQKKMNRM ARQGEADRHQTVALAKHLYSGKRGMGKTQRR TSTA_038960 MAENMASPPAESGLAESDENIMSASTASELSRIFNSAGIIPGPG GYLSFNPRDDDDDEEDEDVAEDFVAVDHNDAHDYSYLFRRPSRGKQRTPLDELYPFTS VLTVGNVEDCVTVEEAFPEHERASREKFVYRLTKCPELSLGIFSLPILDRDQPKPRPE LIAHIIATRTSAPRVTEESMAIPENWQTRKRSLPDAEEKNYVGHEDQGGTVALHSLAV KEEHQHKRVGSTLMKSYIQRIKEAAIADRIALLAHDHMVPFYEALGFVNLGPSACTFG GGGWFDMVLEFPKDQASE TSTA_038960 MAENMASPPAESGLAESDENIMSASTASELSRIFNSAGIIPGPG GYLSFNPRDDDDDEEDEDVAEDFVAVDHNDAHDYSYLFRRPSRGKQRTPLDELYPFTS VLTVGNVEDCVTVEEAFPEHERASREKFVYRLTKCPELSLGIFSLPILDRDQPKPRPE LIAHIIATRTSAPRVTEESMAIPENWQTRKRSLPDAEEKNYVGHEDQGGTVALHSLAV KEEHQHKRVGSTLMKSYIQRIKEAAIADRIALLAHDHMVPFYEALGFVNLGPSACTFG GGGWFDMVLEFPKDQASE TSTA_038970 MHLTVSGIVLHAMLELSNILLTILLTTIFWRIAVSVIKPDESDA DIISLDVAGDMTLEVLKAVIESDSNIPPSSQRLVYNNQLLNNDTQTLEQVGISEGDML LVHVGMGRPQAAGRSLGGGPSSSAAGNSQALQRQQQQQQQQQQQQPLFDTETLRLNLL GDPRVMETVRRQNPELAAVADDPRRFQEVLLSQKRREQELEREKEAKIAALNADPFNV DAQREIEEIIRQQAVTENLHNAMEHHPESFGRVTMLYIPVEVNGKPIKAFVDSGAQVT IMSPECAAACNIMRLVDQRYGGIAKGVGTAKIIGRVHSAQLKIGSMFLPCSFTVMEGK HIDLLLGLDMLKRHQACIDLKRGALVIQDQAVPFLGEADIPKEFQDEFEDEPLIRGAD GAEIGARSGAVTLQAEKPPAQQQQTRTLGSETSTSQQSASSRWSASSINKITELGFSR EQAISGLDASNGNVDQAIEFLLGG TSTA_038980 MSRPILSRKPDLLILIFFSLHIFFVTFVDCLDLWPEWLSTSPYI PFFTAGRLLRDFYLQKYQDKFLVEQPGWFVVFTWCELGFHLPVCLWVVRGLWNGIIPW TVETFFTTLLCVVEIWKWDDRSEAIKWGLTGCYGPYLLISALLFVVMYGKVKEALLLQ RQQQVKAKGQ TSTA_038990 MGASAPNTESPLIVGALKNKGATFSWLSNAGIVKLNLMLLIFQI SSYATGYDGSMMNGLQSLTTWQDSFNNPGASELGLLNAIQNVGQLVTMPICAFSCDRF GRRPVLFVSAFILLIGVALQAAAQNVGMFIAARGIIGMGLVLNITAAPLLLLELAYPR QQGPQVAVYNSLWNLGALAAAWITFGTFRIESTWAWRIPSLLQGLSSVIQIGLCFLVE ESPRWLISKERDEEARRLICKYHANGDESDPLIILEMEEIRTALRLEAEARRNTSYLT FFQTKANLKRFFIILCVGFFSQWSGNGLISYYLTLILDSIGYQAESTQTLINALLALW TLIWSVIFAALMNRFGRRTLFLISTAGSLAVYVVWTGLEATYEKKTALDGTGGDGYAK GVLAMIFLFNFFYSVGWTPLQVTYCIEILPFDLRARGLVLYNLFVALANIFNQYVNPI GVTNSKWKYYITYDVWLLFELVVVYFLFVETGNLSLEETAVIMDGQEYENRLIEGAVS GAEKKLHQEPKRDVAVETKALPSDG TSTA_039000 MRSKGVLGFAASAILATVVSGAQITKSLSPNAQDLFDWSIYVND LRWDDSYKYIWYSDNGPWSTRFTAWYVAGLLYRNKGNDLSNAKAAIENILSCQMSDDY ESAWYGTFKLSPDEPYPTPDSDLYPPSIYNTYDPNWREFIGTQLVQIVEEFSDMLGSS LVSRIEDSLEIAAVGSMRRNGSFPEGDNLTPAYSNPALMRAWYVSWIGERRQNETFIN YANEQGNTILELFKSTGSNVLSEYNAPTYYGMDIWALAGAIKYGPKNATMTQNAKVIL TDLWEDIANHYSPYLARLAGPYDRAYTRDVVTNSAVIDYFWWGLYGYGNGPQSNKLET DLLYDVTQGAALALVVDIVADHISHENATWLSSRKEWKGERMLTKRAPDALGADAEVR IVTSWISSPLMIGAEQVNETVNRGQQYVPAIVQWAGDKDHTPYPYMTFFSLYPTASSI NAIAGPNILEISYLNTTQDGTDIFTFALAQLPPSWTLIKKKVVNGLEDVPCLDVNITA DGLIKQPVVYGATVEDNRVYNVSYVVPSNFTGTPKISFKFKYSC TSTA_039010 MSFPKSLPDWNNLKVIHKGTLLPRAHFYSYVSEKEALTLNRQQS EYVSLNGTWKFRHDESPLEAPEWSSVDPLDWDDIKVPGMWQLQGYSHPTYTNVNYPFH VNPPHVPLLNETGSYWRQFVTPSVWEGQQIRIRFEGVDSAFHLWINGEQVGYSQGSRN PAEFDITSFLQPSGSANTIAVRVYEFCDGSYLERQDQWLASGIFRDVGLLAFPSNAVI DFSAVATLSEDLLSGELAINVKTQGEDGVLQTKLYGADGTLLNDSTFHSTESHRIQVL GEDLQLWSAEHPILYTLLLSFNGRTIPQRIGFRRIEQKDSNFLVNGKRIILYGMNRHE HHHLYGRAVSYESMRADLVLMKKHNINALRCAHQPNDPRLYEVCDELGLYVIAEADLE THGFDPVERSNIQNQHLMSEYEIQETSYKMAKKWTSDNPEWKEAYLDRAVELVQRFKN FTCIIFWSLGNEAFYGQNHAVMYKWIKETDPTRLVHYEGDRDALTADLYSSMYWGIDA LKAHIKEKTDKPLIQCEYGHAMGNGPGGLREYIEAYRTEKLLQGGFIWEWCNHGLLKR DGDTTYYAYGGDFGDQPNDADFILDGMVFSDHTPTPGLLEYKKVIEPVTVQLWGNQLE VKNHYDFSTLDHLTVSWHLVKETGNTEPNLWQLPEIKPGDSQLVDLPNGVKMTTEPTW FTLNFCLKNATAWAPKGHEIAWAQIPLFQEQFLSIPSIPVSPRSVLSICERAGKLHIA SNTFGSRFTYDLIRGSLSWSSDMSKIFNSGPQLGIYRALTQNDLGLEGPHVEWSRFRV ESARMLIQSVTWRCNEDGTVNIDTNVRVAPTVLEWALEATLSYTITESSIKIHVKGDF TGTYPKYIPRLGLTIRLPRRYDASTWFGRGPGESYRDKKSAARFGTYTASLCDGLQTP YEWPQENGNRTDTLWARIHSSPLDTSYATSAGALAPLPGIEVGMNTPFNFSLRQHSIT ELDRAKHPHELSDLVDETELNVDFAHHGIGTASCGPGPFDGHRLEAGPFDFNVMFRLG DGI TSTA_039020 MTGRGNAGGRKTLLAPIHFIFKLLQQRSTVSIWLYEQLSFRIEG KIRGFDEFMNLVVDDAVEVRLATKSEEEKRRNLGQILLKGDNVSLIQAVQ TSTA_039030 MAAVQVAKKDLRRKIQNLLKEVPRESVNAQSTVVTNKLFTLPEY RNARRVSIFLSMPSGEISTAGIVRDALDRGKEVFVPYTHRLETGDINLPKVSVMDMLR LESMEEFESLQPDKWGIPSLDKDSVPRRQNCLGGTGVLAERSRGVSDDIGLDLIVMPG MAFDTDLRRLGHGKGYYDYFLNNYNREIAGSPRASQRPFLVAVALKEQIVIPPDEIPV ANHDQPVDAIIVGDGRLIFANGRLMEE TSTA_039040 MAATTHFRSLILLFSSLVWTSQALVDTKLTGTWSSKSRQVVTGP GFYDPVADAFQEPPLTGISYSFTDDGHYEEAYYRAIPNPTRPDCPKGIMQWQHGTYSL QTNGSLVLTPIASDGRQLMSDPCAGHYSTYTHYNQSVLFESYRIYTDKYHGILRLDFA QFDGSPLQPLYILYRPPAMLPTTTLNPTGKTTAKAKRAVTVERNHESSMIRRIDPVVI ERWWWFGIISTSVGGLVLWCS TSTA_039050 MFNAVRFSSRVGLRTVRWNSTSSSVPPLMVKLRSDLKDAMRAKD TPRLNVLRALISETNNAAKTSSPIETDLQLLSLIRKKISASKDAAEQFLNANRSDLKE KEDAQIAVFEEYASQVQTMTPDDIKAAVTSTISQLGADTKLNVGAVLKSIFAPGGALD GKPAEKSEVAHIIKELLSKN TSTA_039060 MSYPALTPTRPLPGTFFQTPAANNISNGQLFQTRTPSAPAAQQE STAASTLQKLSPAAPKVKTETLSTKERAARTINDTLAQEARYPDLDNYLSQGFSSDYE IPVNKAWAPFQRVKSYNIPEQILDQYNRAQISTSMGLFAELNHAWVAIDNALYLWDYT HPNPQLVGYESQSNSINAVKLAKPRPGVFLPSITHILVISTTADIILLGMGCETVAGG AKQVTLYQTGMSTSIRGLDVHVITSSHTSGRIFFAGSSDNDVYEFKYQQEEKWFQGRC SKVNQTSSRIASFAPSLSISFTQRQTEFVEQMIVDDTRNLLYTLSSLSTIRVFHLKPD GTLALAITKPAIDIYSNIGHIIPSNEALNPKVKIVSICPIPTPEASRYHLVATTATGY RIYLSATGSYSWSPTPSGTSPPTSMQAHFVKTPPFDTQPAVPGAMQFQQTATTTKVPI HTLDPTVMAQRFPPGYFFCFTCKDPSQKEDTLFMSAPDSGRIARAHENVVPPKPSESG WWFPLRSRAEDIGLITTPLEAVASANGFGNELAIQYDQTAAELAILTNSGVHVIRRRR LVDIFAALVRDGGGEDGLEGDTKNFIRVYGRSEALATALAVACGQGMEVSDSRLTKVK DPDILEYARKIFIEYGGKPSMNENAVADNSTPAIDSILPSPRHAGIALYMSRLLRSIW KKEIAVAKPSKTGLDVTPSVSIVKLQSIQRDLSALQGFFNVNKNFIEGLSGPEALSRA ATKQEETALMAEHRALHSLVQLLSDTIEGISFVLVLFDERVDEIVLALPDEARQRFLK LTFEELFSTGKGHEIAKELVKAIVNRNIAKGSNVETVADALRRRCGNFCSAEDVIIFK AQEQLKRATEAGANSEIGRNLLNESLRLFRQVSEELPMDNLVSAVDSYIANQFFAGAI QLCLNVADSSDKANLALNWVMEGRSEEDSRKIHYYFRKQCYDLIFKVIVAVDKSAAND PGVIDGQYTPLAKRRNEAYSVIADSNDEVFLTCLYDWYLEQGWSDRLLATQSPFVVTY LERKSIDDIFHADLLWRYYAQSERYFDAARVQFQLAQSAFNLPLSRRIEYLGQARANA STFTHEIGRQSRQRLLQEIGNLMDVANIQDDLLQRLKEDDRLDKGSKQEVLKDIDGPI HDLTLLFNRYADAGGYCDICLQIYYAADYRNMTDILSTWENLLEITHQQTVTKGQAQP YEAVIEKIRSLGSRLRMSEIVFPIPSILPIVERYSLQHQRGIGPPTWVVDLFLDLEVP HETIYTTLETMFYNDEAPFTGANKRYIATDLLYIIQRWFNDTLRVGGSVFGSDVMATR ISEMLVLVQRNGLPSEYVQMAQELRVQIDNSIR TSTA_039070 MAEIRKLLVLPGDHIGPEIIAEALKILAVLEEELPNFKVQISYG LIGGASLDKTGSAITDEVLETAVASDAVLLGSVGGPEWAHVPGALSPEKGILHLRQRL DAFANIRPCRFYASSLLNQSPLKPEIAAGVDFVLVRENCGGAYFGTKTEFEDEARTEV ERCARVSAALARTFGRGKNTDDKSPAIVWNADKANVLASGRFWRTVTHDIFEREFPDI ELHDQLADSMAMVMVKAPTKFNGVIHTDNTFGDILSDISGGIVGSLGVLPSASVCGVP GSEKPVKGIYEAVHGSAPDISGTGQANPIAQILSLAMLLRYSFSMPRQADLLEDAVRK TLEVREHGGLGIRTKDLGGSASTQDVGDAVAFQFRTLLRSADIK TSTA_039080 MSRLTGKVAIITGAASGFGKGIATKFVQEGAKVLIVDLSEEAGQ KVATELGCSFLVADVTQRKHWEEIFRKVIDDFGALDIVVNNAGATYANKPTTSVTDAD FDLVMNVNVKSIYLSTDVIVPYFLDNSRPGVFIQISSTAAIRPRPGLTWYNASKGAVS IASKTMAAEWGPRQLRFNTVCPVVGSTGMTHLFLGKADTEENRAAFVETIPLRRPSTP ADVANACCYLASDEAAFITGIDLEVDGGRCI TSTA_039090 MNLGSGGLDWLDFQLQEPILQQEQSLMTSTPHSIIPLMDPILGN NQMYGSNTAGLTIHDRGDNGGNFTNVNIPASQQWPFDQNRERFPPRCRLPPLRDILHG SVTSVYGKNMDTLQEIVQLLSVPYIPKLDNSSYVGSRLAAFNLLQEAIGSFFAGFHPI LPIIHVPTWNLFKYPTVLLASMACIGAMLQEDQGSSDVSNAFSEICTRMIFWLACSDS NSYSDLSYLSASCLHQIFSLGSGNRQLYQDADRSRGALIGGLRGMGLLTSRVSIEAEK ADLQASIYTNTTDVQAEWRQWIDREREKRVTWASFEYDCSLATLTGRRGAVDLGELPY TFPCAESLWEAQSAQAWKTLSIHSCFGISVTSVLERVMTRRSVPATLSSWGKRLCSQI IGRLLWDMKQLEVAYLSKALRLPSLVSVQQQAKFALLEGFENLGRSIGSPGSSKELID NNISQLIVHYCNLYSSDDVMELVVYIVRNVATTSHNQTNIQLIEAAKHRLRAKFSNDA SRTRKLVWHAAQILAIANQYLVSAPCEILRIFMGSIFLMAFSKYSTCPSYFELDKNDL PYVKLDEIQNTIGCQTPLISDWIRHGGPAYIADVDDIYSEKFSSHISIRTQALLQRIE YWGLSRKFVRILQIFETTG TSTA_039100 MTGKEKHGTTVYNKLITVAVAFGSLTYGYSSSIIGSTIGQPGWY SFFNLPEAGEPGYATTTTEAIATANGLYSAGGAVGSLFIAWSATSLGRKRNIQLGALL ALLGGALQGGAANLSMFQAGRFIAGLGIGILVTVCPMYLSELAPPTNRGWLVGHHAIF LVFGYVLASWLGYACYFATDKNPSFAWRFPLCMQCFGPLVLLATSIFIPRSPRWLLQK GKTEEAWKVLLRLRKSPSDGDDLVAREELFQTKQQLALDQSKLESLGYGPWMAVIKKK SYRKRMIIGFLTQWGAEFAGPLVINNYSVILYTNLGQTGSMPLLLSALWLTTAGLIYN PLGAWLHDRVNSRRWMFIVGLIGCLVTTSGLAGCVAQYAGTMNKAGNAAGVFFIFLYL AFQGTCCDTTMYLYVSEIFPTEIRPIGMGFSLFGQFAATLILLQTAPIGIQNAGWKYY LVIICWCIFFIPVVYFFWPETARLSLEEISAKFGDDVAVHFNDIPEEQRKGLDEFLKG QDILQLEDTATAGELKASMA TSTA_039110 MSLQSDSMDSGTRQIRVPFELFDKTLLHNECYIDGEWYFSLSGN YFGVTDPGSGTEWGACPDCIPEDVDNAVQKAHTAFQLYSRTNPRTRAQLLSRWYQLIL SAKDDLAKILVYETGKPLAEAYGEIEYGASFTWWFSGEADRIRGSTLVSAAKNRRTVT IKQPMGVAVALVPWNFPLALVLRKVSAALAAGCTMVVKPSPETPLTALSLADLATRAG FPKGAFNVLTTSLENTPAVAESLCTHPLVKKVTFTGSTRVGKIVARLCAENLKKSTCE LGGNCPLICFADADLDVAIDQLFALKWRHAGQACITANRVYVERTIYEDFIERIVQRT ESLVLGHGLDSATTIGPVTVERSLDRLESLVSDAVSKGAKIVLGVGTRVRENDGKDLS KGFFMKPTILVDMTDDMTMTHEEVFGPVLGIYSFDTEEEITRRANATPYGLASYVFTD NTHRIWRMMENLEAGMIGLNVGNSSAAEAPFGGMKDSGWGKESGKDIAIDEYLVSKTC TMLIKDHY TSTA_039120 MSEDPPTSSRAGMDATELNAILKIHKTPYDHLPNQHQVWNRPPN SRSEGLGRLIILTPDIVRAAASTCIQTGQRISLNWDLTKLEVANFNRQPTQHHVLPIM NGIAFDDLYIINPQQSSQWDGLRHFATPVPTEDMPKQRLFYGGVSAGLQHWAKEGICG RGVLLDYVEYAARHGISYSTFSDHSISLDVLKDIAREQQTEFKFGDILFIRIGVTHEW DTKMTRDDKAAYGASCSPQHAGVEGTEEMLRWIWDTGFAAVASDAISFEVYPPKQMYP RGHGDGAVKGIFMHEVLLAGWGFPIGELFDLEDLARICKTEKRWEFFVSSAPFNMPGG ISSPPNCIAIF TSTA_039130 MASKSNDGQPFAAVLAAVATMQGNVSRSEKAQAHEYLEKFQKSV EAWTATHAMLQTPDIPIEAKLFAATTLKGKITYDLDQLPPDAVPSLRDSMLNQLAAFA SGPRPIQTQLCVGLANLAIQMTSWKDVLATVGSALGSNAGDCVLEFLKILPEEVTEGR KINLSEDELAARTRELLDDNAEQVMHLLTQYSQSSATAATNPRLIDCITSWLREIPAT QIVESPLLDVVLKALDNDSSFDSAVDCICSIYRDTREVDDSLPAIQRLYPRIVALRPK LQELAEAEDVEAFKGITRLFAEAGEAWVVLVARMPGEFRGLVESVLECCVLDKDREAV SFTFNFWYELKQYLVLERYAEAKAAYTDIFSRLVDIMIKHLEFPTPEDGDLADLFDGD RAQEERFRAFRHSMGDVLKDCCAVIGVTECLMKAYRQIQQWVSKYASQASNDNVPHWQ ELEAPLFSMRAMGRMVDSEESTVLPQVIPLIVQIPDHEKVRFSAIMALGRYTEWTANH PETLEAQLNYVISGFQHSSQEVIGAAALAFKYLGSDCNKLLGGHIPQLHSFYESVLDK LKPPSQEEITEGVAAVVAVQPVDKIYESMKLFCDPIMARIMTLANNAQDEQGQKAVAD HLQLITIFIQLVTPYVGPQGENPAVKYCGEIMPILNTLVMNFTKSTPILERVCRCWRY MIISYRTAMIPLLPSLAQSLAAGFEASREGCFLWATDAVVREFAEGAELVDKATSQAV YQFFEQQSVAFLRILNELPPEQLPDVIEDFFRLASDAIRFYPKECVTSSLIVPTFSAG LTALTLQQVDPLIATLHYYRDLLSFGFETPSISNFSDSSGQPYSNPPEVRNAVKELIG NQGQLLVERVLTGMMFSFPEDCFPDASGILMAQFELMPQQTGLWVQSTIEQLPAGTMK PGEAARLLTNISEKIQLLESRKIRVLLQDFTNSYRRRNVAPRDGLGRLEATRFRFSG TSTA_039140 MTAISTMADIPRDHAKHNSESKKRKRNRKAQPDKKFECQHEGCG KSYSRAEHLHRHQLNHTPKQIYRCDFPDCYRSFVRQDLCIRHRERHTTHGSQLQKRDS FTQAAGRANVSSSPQSLHAPDDPSSAVLSPTSQTSKPMTAYDGLNGSKDAYPSVRYGG NPGIGAKTQRMYGSGTAYGSNFQGNSFSPNALRDTNTTNSGYINSDALNSPTAYMSHQ GLMPDLGYSGSELPQTTAYTTSMNVLGSVSAGMVPESTGEGELFDSSLPYPVFGGESS YNRSPFAMADDFAAWLFSEPTGTSPVNYSNMITGYTDLPQTNPISFIPNDTSSFNGFP SSLVSHPMSVTNIVDSTPPEAMMSEEKRQDLLHLISTRFNETAHSAVNTRKDSLMEGN IDADGHILSLRMMQTYVGSYWLHFHAQLPILHRPTFVADRTPSLLLLSLMAIGAATLE KMHGQSVIDAAAELANFLAWHIRWEIFMDVDFRPPAKLWVFQTLLLLEIYEKGYSTRA LHERAHIHHDTTLTLMRRGSSFLGRSAYDTPASSRDERVQRSMTSATDVSEREDPWSY WIRTEATRRVAFGAFLMDSIHSTMFGHSVKMVAHELRLPLPCDEALWSAGSAAEVSRM QTVLQSNGVKPTMFLDGLKKTLTGQKVRTNAFGRTILIAGLLSVSWHMNQRDLQVSSL GVTQALGGRDKWRASLLRAFDNWKHDYDDALAESGHRVYSKNSPSFYQQHHLQFQIDE DDTHESRTVLHHLAHIATHVDIVDCQIFAGASRLLSRSITPKDYSTAREKMTERWATK ASARDATFYALKFLSHVLLPSPNPRGDPSRGGKPPGYSAVDDFLLHRSWVVYFSALVV WSYGYALEGPIPSPPELSSEAAQLQDMQRFLQTVGAVHEPNDLEHVTGRNQCLGLLLH LRSSFLNTRWELLHEAALLLDSCVEKLKSPGR TSTA_039150 MASTNYKEAFSLFDKRGTGRVPLDSLGDLLRACGQNPTLAEIRD LEQSIGGEFDFDSFQKVLNRPGGFRDPGEPEEYCRGFQVFDKDLTGFIGVGQLRYILT NLGEKMTDEEVDELLKVVDTSSGEINYTDLVRTILAN TSTA_039150 MSNNDQASTNYKEAFSLFDKRGTGRVPLDSLGDLLRACGQNPTL AEIRDLEQSIGGEFDFDSFQKVLNRPGGFRDPGEPEEYCRGFQVFDKDLTGFIGVGQL RYILTNLGEKMTDEEVDELLKVVDTSSGEINYTDLVRTILAN TSTA_039160 MNDQHPNQANPSESHAESQPLNGTTEETENKLPIKTYHCRFCSH LLIASTRDLLSSTTPLRRRRQYIAKGGEGEGGEGGLDGALILEIPSSNTPKNKRRKVS EDHSIEESDPVERNTQSTTTAPGTNDQEAEKLNRKPEQAHYTILLSTLIPDTNPVIIR REDGVVVGYELATGNTPHSHESDGDEEDEFNRKNTTDRAIYLLPGSIVRTEDLEVGLE DTGVGGDVLNAIVEKNAVLKGMEREWMDWVK TSTA_039170 MAPELLKKPPQAPPLFVATPTSIIDDTKRLIARSRKVQDEVANG VKPEDATFANALLPLAQDENAMTLEAHIIGFYQAVSTDQKLRDASSEAEKLLDDFGIE TAMREDLFKLVDAALKKDEKLDPESRRLLEKEHKDYIRNGLGLPAGPKRDRFKEIKKR LSQLSIEFQKTLNEENGGIWFTREELDGVPEDVLSGLEKGKDENEGKLRLTFKYPDLF PTLKYAKNAETRKRVSIGNENKCNSNAPLFKEAMVLRDEAARLLGYPNHAAFRIEDKM AKTPETVNTFLGDLRSRLTAGGFKEIEKLKELKKADVESRSEKFDGHYFLWDHRFYDR LMLEKEYQLDHQKISEYFPLQTTIRGMLEIFEELFGLVFVEVKGEDRASISPSGKGED IVWHEDVQLFSVWDDEAQGSGFVGYLYLDLHPREGKYGHAANFNLQPGFIDSNGNKRY PATALVCNFSKPTAKKPSLLKHDEVVTLFHELGHGIHDLVAKTIYSRFHGTNTVRDFV EAPSQMLENWCWTPSQLKSLSRHYSTLSDEYRKSWEESSGSSTAAPARIPDDVIESLI RTKHVNDALFNLRQLHFGIFDMTMHTPSSHEEIVNLNPTVTYNTLRKEISQIEGPEVL GQGNEWGNGQATFGHLMGGYDAGYYGYLSSQVYSTDMFYTIFKQNPMDPVQGRRYRHT VLEKGGSQDEMKSLTEFLGREPKTEAFYKDLGLA TSTA_039180 MSATTADARSRPADTKKVHIADTKMTLRNWYKHVDWLNVYFIIG IPLIGCIMAIWVPLQFKTAVWSVLYYFYTGLGITAGYHRLWAHCSYSATLPLQIFLAA AGGGAVEGSIRWWARGHRAHHRYTDTDKDPYSVRKGFIYSHMGWMVMKQNPKRIGRSD ITDLNEDPLVKFQHRHYIKIVLFMGLIFPTLVAGLGWGDWMGGFVYAGILRIFFVQQA TFCVNSLAHWLGDQPFDDRNSPRDHVITALVTLGEGYHNFHHEFPSDYRNAIEWHQYD PTKWFIWTCKQLGLAYDLKQFRANEIEKGRLQQLQKKLDKRRQQLDWGIPLDQLPVME WEEYVEQAKNGRGLIAVAGVVHDVTDFIKDHPGGKAMISSGIGKDATAMFNGGVYFHS NAAHNLLSTMRVGVIRGGCEVEIWKRAQKENTNVDYVRDTNGQRVVRAGEQVTKIPQP VATADAA TSTA_039180 MSATTADARSRPADTKKVHIADTKMTLRNWYKHVDWLNVYFIIG IPLIGCIMAIWVPLQFKTAVWSVLYYFYTGLGITAGYHRLWAHCSYSATLPLQIFLAA AGGGAVEGSIRWWARGHRAHHRYTDTDKDPYSVRKGFIYSHMGWMVMKQNPKRIGRSD ITDLNEDPLVKFQHRHYIKIVLFMGLIFPTLVAGLGWGDWMGGFVYAGILRIFFVQQA TFCVNSLAHWLGDQPFDDRNSPRDHVITALVTLGEGYHNFHHEFPSDYRNAIEWHQYD PTKWFIWTCKQLGLAYDLKQFRANEIEKGRLQQLQKKLDKRRQQLDWGIPLDQLPVME WEEYVEQAKNGRGLIAVAGVVHDVTDFIKDHPGGKAMISSGIGKDATAMFNGGVYFHS NAAHNLLSTMRVGVIRGGCEVEIWKRAQKENTNVDYVRDTNGQRVVRAGEQVTKIPQP VATADAA TSTA_039190 MASETFDNLCNQVYWQLYNRLERKDDPNLRFATNGTAEKVLHND LLIRLFQSLLVANNTAVQQFGLAEEELAERVDDRELHDFLAVLLFASCGIEAARRFTK KLVARNDWPLKGRFTGQDTHRLPASREDLLHLFKDSVIVDKFCSSQACFSTVVIHNRQ EVVVESLSSQRLPYLEEEELGSGSFGKVFKVKIAKGHFKDTRHGTLNATSMDVARKDY ITTTQFDGQDERNMMERILTGSTRKCENILGNFGSLRIGTNSYSLFMPCAICDLSDYM TKYHPTRPSSVHEKAKIVLSAKGLAEGLDFLHNEMKGPDQQDMVCYHMDLKPSNILIF RDTAYDGGIRYVWKLSDFGMARVKYRSRGQTVEREEMDFNSAFLQRIKPEAERSPSGT RNRRGEGTYLPPESLTSTRTMTTASDVWSLGCVLSVVFAYLEDGGEGVENYQLRRLRH ADSDGYDRFFVRGRRFTPNKDHPEVHKTHTWLINKAAQRQAEEMHAVHFMLRYLENRV LVLDYRKRDGVKTVKEKLAQTYTYFKSMPPVENHLTGEHHTWIDRTRSRGTPLSHWQV DSWQLSSTEGLKGCEISPRGDLVAYWSDNQIRLYNELSLPPQVTFGEPPVVSPAAEYT LGLTDCIWKSVAVTDRFLIASTTGAVFQCYVYDLVFIRQYRITLQLPEISRLAISPDS RIMACVMQSKEEGQECGSVFIVPIEELIQEAPRQMTPSTQGSSGTESEGSLSRRGLPH DSTTLKLDWSASDITYISFPTPDEVYLIVQPQITARSRENEIPIIHLSLKTRPRLLQT VLVRSQGVDPGNTVGLFTAFTAFRQQQSTCAFIAREKQLYIQNLAYPGTTAIENSIKN YRVLKLVMDWNDRKIFALGTPSGTNRVYLLEMTVPQSEVDRVTVTELVSLPDLSQDDE FTQVLSSNSFQQGGDYILIAALTNASRRWIYRISLPDSSAAT TSTA_039200 MLQTLISEHGLDDHAARSVWELTSVFYTRNLKTEMVFCVPFTEY RDDDVIDIAYTIRYPEWKPMDEEWTIRQTGVYHRLNTRTKQSLYIIFNPTPGSKLHKS LVLSPNDYSGESRRSLVWVHQKLFATYMPAWRYYIASLERRFLPIANTAAATYINEEL RIGHGHLSSLISIEKDFLQIPTIVAAAADVITALRTLMSDLQAEQGTQQLQNMQRQCE TYSRTAAHLQQRVQVTAQLLADTLLFRDQVLANEQNGNMLQLNRSAVFLTTLTLLYLP ASFLTSFFGMNFFAMDQTDNKIVGTSMIWIFVVSAILLTVATFIMYNWLSSHDTFFRT MAPKKWMTADLNLRKLTRRFTGSKMDGDRQTGV TSTA_039200 MLQTLISEHGLDDHAARSVWELTSVFYTRNLKTEMVFCVPFTEY RDDDVIERREAKKKDIAYTIRYPEWKPMDEEWTIRQTGVYHRLNTRTKQSLYIIFNPT PGSKLHKSLVLSPNDYSGESRRSLVWVHQKLFATYMPAWRYYIASLERRFLPIANTAA ATYINEELRIGHGHLSSLISIEKDFLQIPTIVAAAADVITALRTLMSDLQAEQGTQQL QNMQRQCETYSRTAAHLQQRVQVTAQLLADTLLFRDQVLANEQNGNMLQLNRSAVFLT TLTLLYLPASFLTSFFGMNFFAMDQTDNKIVGTSMIWIFVVSAILLTVATFIMYNWLS SHDTFFRTMAPKKWMTADLNLRKLTRRFTGSKMDGDRQTGV TSTA_039210 MSQSDDYPTWFSELDWTHGWFQPNPPRPHENKDTSTEIKQETKP LFQPQSPLLYHTQSQSHSHSQSDQIFEDDTAGTGTIEWIQQPPIIVPVRPSSDRITKR RLRGIHLFMITINGTLGTGLYWRGGQILELGGPLAVLLSFLLVGLLAWAVMQCITEML CIWPIPGALSVYVSEFVDSELGIAVGVTYWFTYSVSFSALVATSAAELVFWPVINDSK SFQGLVIYFAIPVALILVNALSIGIYRHVELYTGILKICFLIVIFVFLIVLKFMDWSL VLVFDHNAAPNWATAFIMSISIATFAYVGVEIVAASALEVKWPRRIKSDLSSHPSQRS NDTLIGNTVKFSAIWISVMATLAYTLTSVLATLEIPWDDCQLPRLSWVTTTTPCSAPS PADIPPNNTGTGEKTSSVFVAIASRSSIPHLGDIFNLFLVFTCLSCASTNLYVASRAL FGLTSRLDDGRDQPWYLRAMAYFGKTDQYSVPRRAMILSAFAFWWVPFLQLRSGTGTD NPIGMFVEILAEMASVGILIVWACECLAFIRYYHCISTHRETLEREGISQVRRWSHKH PTDYPYRGSGQPFLAYAALAGCMFVLVVANSAALWDGFHVMPFLSSYLIVIVFIALLV AIKLKRGGKWAFVDLSNEQKVIRKINNLHSIRLGAT TSTA_039220 MSANQVKPAIVRISKLLVTSYILDWILIAGLAGIGGGFSSLTPS QRPFSLTDPDISLPYKPKDTVSSGVLIVIGLVIPAAIILLFSFALVPSLHALKGTPFA LIWRRKIWEWNAGWMGLALALAATFTATEGLKDLIGKPRPDLIGRCDPDLSQIERYAV GGLGALLQGAPTFVTYEICRNQGSELKNDGFAAWPSGHSSFSFAGMLYLTLWLCAKFS VSFPYLGPLPFGTRSPAAAAPPPPAAPPANSHLQKAESESTNSFTTDTLPTSTSPRDQ GAAPPVYLQILVFVPICVAFFVACSRYHDHRHAGFDIISGSALGIFFAYVGFHMYHLP LRRSDGWAWSARSRRHAFFRGVGYADLTVTEGWAGQEPISAVAENEVRGEEQV TSTA_039230 MDGPDQIGPDTGPKRTISDRFRRAVRSFTTKEGLIGDYDYALLF RPNLPFMKKARSRAPFFGLHDRIPILLALLLGLQHALAMLAGVITPPIIIGGVANFDS STSQYLVSTSLIVSGILSAIQITRFSIPKTPYYLGTGLISVAGTSFSTLTIANNALSQ MYASGYCPVDPETGAKLACPHGYGAILGTSCLCALLEIGLSFVSIDILKRVFPPLVTG PTVLLIGVSLIESGLKGWAGGSGNCSMDPSSRALCPSATAPHALPWGSAEFIGLGFLV FLTIILCERFGSPIMKSCSVVLGLLVGCIVAAACGYFDRSSIDAAPVASFIWVKTFPL KIYAPLILPFLALYIVLMMETIGDVTATCDVSRLDVEGELFDSRIRGGVLADGFAGLL AGLCTITPMSTFAQNNGVIALTRCASRRAGYFCCFFLIVMGIFSKFAAALVAIPSPVL GGMTTFLFSSVAISGIRIIATMPFTRRNRFILTAAFAVGMGATLVPDWFSYVFTYHGN NKGLTGLIDAIELIMTTGFVVAGLLALVLNLLLPEEDDEEDTDTRLLDSDTTVEENKT AAASANPTLSSSDNGEGKSAKLS TSTA_039240 MSLRKTVQLNPGLIKHGNARLGRIPPRHGIFSSSRSPPQINSYT RMLATFQHGSTNLTPLEQQRLRRPVSPHMTIYKWQYQSLTSILQRFSGIFLAGGLYVF ATGYVLTPIVSPGYTDLFDIETIVGALREVPGWIKGVGKFALSMPFTYHFYNGVKHLM WDLGVGLSHKRFFGRLAWVVAGFSVVSSLGLAVL TSTA_039250 MTDYDFLNEATTTPRISSISASQALRASSTQHASPSTSASGTCP LRTGLDGLDDAICAPGTSRPSSSGIPRGSITEIYGPPGVGKTAISLNIAAKVLTAGDD EKGYMDCTSNHHSIAKKSSKKDTISAPFQSYFPNATEIKSRLGLTALQQPPQNQGQGQ GQGQGKTPAQTQLQWLLNRKWNVTSDMINKITRIAAEHHLAVLLLNQTHTKIKGQPRP TLYPALAGGSWENCVQTRVVIYRDHDYQGGGMKVRYAEVMKKAGKVISVRVEGNVFPF VIEDDGLRELRPTTDASQQSNPLPSQSATSTMTVRKRKVDEIADSEDEDEDEFLDAQD DDLWDEENQQDNDLLPDGQE TSTA_039260 MAPPRQRPPPSSGAFDDSRSESSSTAPGNHTRGIPGPKPRKTAA TAASTAGTLASAKELKVAAATGAAAAAAAAAAATAGALSHQNGVEYGDGFVGDLPGIP WQQMPLSILHDYRHAYKLSTPSAYAQPIAKLYLSSGIGLRSPTAIAARKAATSSSSSL SSSSSSSSSSSSTSSGLTHRSRNTASRPTTDRILHKITSQDRVGKEQLALAVRKHFNA AGLVEQDAIARFLYKVREEGKGREFRLRFQP TSTA_039270 MPPSASSVDFSNLLNPQSDSPAESPEKTETASPATAGTDSNSDK EMASSVSLLPPLMKGARPAAEEVRQDLPRPYKCPLCDRAFHRLEHQTRHIRTHTGEKP HACQFPGCTKRFSRSDELTRHSRIHNNPNSRRSNKAQHIAAAAAAAAGHDSGMLNAAA SMMPPPSKPITRSAPVSQVGSPDVSPPHSYTNYTSHLRAGLGPYPRNSDRASSGMDIN LLATAASQVERDHYAGSSRHYPFSSRYSATPGRLPSLSAYAISQSMSRSHSHEDEDNY GHPRVKRSRPNSPNSTAPSSPTFSHDSLSPTPDHTPLATPAHSPRLRPYTAAELQLPS IRHLSLHHTPALAPMEPQAEGPNVYNPSQQHGGPRISDIMSRPDGTQRKLPVPQVPKI PVQDMLNPNGYSSSTPSVNGSLMDNEPLTE TSTA_039280 MSEALRPWRSRMKARLELMQFRCTRKSFNWVKSMRGVLENRSCS KTAQNAITPPAFMKGCLYLGKGYLYGSLSNHFSPTSNIIITSNSGKDETVTWWDWTTG KAVKTIEPVQQGPTMRSKSGTPGDLLKVLEGHTGATERFAFSKNGSLLASSSLIKQSV FGTFQPIYRACLLWDLHVNYISMEVTKSYMRVFFSPDGKLLAAAAHSLVGLKENYPEA GLSSESVKGVILIWNLSKGELSMSQGTQVNSFGSLSFAQAHCFLPGWQTAGLRPTAIT AIRSPGKLGQVNLLADAKSQLIGGLYASSDGTVIETSMGRFDIRSFYPGREFTSHLDF AAEENWILACNEKILLLHDSHVTCATAIGDLLIMVHKPGRVSFLQVISSEGLMQNEVT EDCLPESSYSGLAILRSCYALTPSLYIPIDQIHGNLLKYAFPPVPYFTVWSHNARNFP VS TSTA_039290 MAFDSLQDASFFFFIETNPIFPPVNMFSHTNLLSVTCAMAVFVP PLLAWEIQVEHRGLNLTLVHGVVNANSDLSKRSSIPPECQFGDARSDWTYIQITGLSE GTACFGYSNFPLCGPGTWSDPDWTDIQIAMAKQTTLDGQFEGSHSGAWDGSFFLGTSA FSDRDTSLFDLALAESKARAINYYWSRDSDFAQVLGHNAACP TSTA_039300 MKLLSNVSSLFCLLLALTVPGYSYPTAVNITAEDFMELQEIRVR ADGTLYNTGITDINFVITQKGGTLVACDTPDSSTGTGYSVELGWSLSIGLDAEFDSVG LDISESQTYSVSNTFSCNNVADEKGDICVLFYQAVTAFTVQVTEVLECACGPTSTIDR GTAIVYAPNADQVGSIAGRGINVIQNGVQQCVGDSDRTINYYCGPPGTPGWWDGRGEG PWIEDYVNAREPAGCDIPIEANRYSD TSTA_039310 MPPTTDYSKSFDPTDKDAKTIEKCIIARIEDYTDLYDEDLWNTF REDFSTWTEGAFRIAPLKTLINLRDTLRTNGVYVAKGRQHAATTLSETIAESEQHEWT ESEVIYHVQRRGTFSSLNIELTYGPAIRRLQATTPKAPQQAVHSKPQAMTIPYGMTLR SQRNEERDTTPTPIAQSVQQQYNPTTPAATTLYEQAVPATTHSRQTVHTNYTTQDTSY YGANISQLRKAYSEDLRYGNNEDTFDYSYQYFLEMCGHMGIHTQDAQRKAFFVMLKGE ALRYYFDNKDEWVRRGINPISAVKSYFETEEHLRFVQSQWDATNLASTMSKNPDKPIL ECLEIMLRDLQRLYSKIRPELRNDVYYHSKLVSATRLVPACHAATGKPSPNISSLIQD LRSSISQWEDSKQAAAQHPMDSYYTDRRYYSRQSRSPHRRCSPYGRSYSRGRSNLRYP SRSPRRYQSEAPKTCHVCHKPDCWSTNHTEEERQAARRPYEAAIDKFIMEFEGQPPTP GKRFESYLAEIDEPSQYDLPDRTKSEPTMATGYFTVATTDHAKFSPSLASELANRSAA HYLSCLLGNNQECTAKLTPSDEDDTNVRIIPSSHHLTVPEYAFLAEDRYSSNTFIGLL IDTGAAAFSTAGYAQYLAFRKVAKNCTMDTSTAGSVTIRFGAGNALQSLGSIDIETPV GNVRFHIIEAMTPFLFSIKDLDRLKVYYDNTKDLLIRSEPYLTAPIVRRFGHPFLIWD YSLAMYISQSFDDEQCFLTDTELCRLHRRFGHPSVGRLHKTLQRAGYDTHPEAIEEIN KFCHHCQTHGRSPGRFRFTLQDDIEFNHSIIVDIMYINGKPVLHIVDEATRFNAACWL TSISAKATWDALRMLWIDTYLGPPDFIVTDAGKNFVSKEFTQLASSVSTMTVSVPVEA HWSIGAVERYHAVLRRSYEIISEEVPELAPELALQMAVKAVNDTAGPDGYVPTLLVFG AYPRMTEYRPPAPTVAQRAAAVKKAMTEVRRLHTVRQINDALNTRNGPSSTLIHRLPL NSDVLVWREGGTGYPGKWKGPYKLISIDGETCTIDLPNGPTKFRSTVVKPYHKDDDPK QENTNNNDKAEPYSAPTAPLIEPSNVLPPPPKVAIPPYEPNHTEPQSQRPQRERRLPP RYKDDLTKPLRFNGAVITEDAQGVTLTQERTCKNIRLVQDHPSDTVNSRGKIRKNASP YEQDVAQRALAQATQPDEEDIKALNKRLKWQADNPNRGLRFVKIDLRTAQLYAFVDAS FANNKDSSSQIGYIIVLADAQNNANILHWSSTKCKRITRSVLASEMYGMANGFDAAAA IKSTLTQLLHLLEPLPLVLCTDSKSLYECLVKLGTTREKRLMIDLMCLRQSYERQEIT EVRWINGNSNPADAMTKSKPCRALQELIDTNKLRIDVDGWVERPLTKRNSESKNVRFA TPVTTPAP TSTA_039320 MNQSPMLSCPLKQTNEIDWVQPLKDYIRSAYGDDPDRYQEECAT LNRLRQDMRGAGKDSASGRDLLYRYYGQLELLDLRFPVDENHIKISFTWYDAFTQKPT SQYSLAFEKASILFNISAVLSCHAANQNRADDSGLKTAFHSFQASAGMFTYINENFLH APSTDLSRSTVKALINIMLAQAQEVFLEKQIADAKKPASLAKVASQSAWLYSQAAETV QEYVGKGFFEKVWSIVIQAKASHMASIASFHQAHADSESGSYGVAVARLRLAEKLSAA AVTWAKSFPSSVPANSNLLSEDGASLMEVIKRHQAVVQEEVATLIRDNDFIYHQPVPN EAGLSAIAKLAVAKAIPVSELYQGQDIQRIIGPDIFQKLVPMSVTESASLYDEEKAKL IRAETERVETANGEMVAGLDYLKLPGSLNILKGGIDQEVTVDEEFRRWCEEMADHPGF HKTLDELQDSKASIIDSLSQCTRQLDMEESVCEKMRSKYGADWTQQPSSRLTATLRGE IRSLRDTINEAGASDTQLNATLKQYEVDFDEMRSAGETGEADVLYQRAMIKAGAKQKM SRNGQASPYSPGGEGSLLDDVDDEGKLSVAEQIAKVEELLKKLNLVKRERAQVLKDLK EKVHEDDISNVLILNKKSLGNGQEQQLFQAELEKFRPYQNRIIKSNHTQGSLMKELTR TYGDLLQDKRVRSEQSKYETITRQRNTVMARYKKIYTAFRDLVSGVSQATKFYGEMQD TVENLRKNVDTFVNNRRSEGAQLLSQIEQDRNNNASGQEDREREKLRQLMERLSTEPT PAPRNTSPTKQSRPQPPVKVSSSSGTNSSSSPHYPQATPVAPPPSQSPAPNPYGPYAP NTMAGYYGATPISAFQQGAATPLSSSYNPMAYPYQTPVSPPPNQSTLYYHQQHPHPGT STTPVPLPGVYPGYPSAGGPAPPPPSHTPQFIPPGYIPPPPPPRPAETQYPPSTGGAY PSGPGGYAQSRPPYVSHQPQQHQHKGSQSQTSPNNDPWAGLNSWK TSTA_039330 MAATPAGLPKAVALPTRILIRTSRPTAGNCSSLYHQANSRSFYD HRSSSPPNRSYNALPSRKDPLRFSVREFHASSAQQAMKDPYKVLGVDKNASAADIKRA YYGMAKKFHPDTNKEPGAKDKFAEAQSAYELLSDAKKRETYDRYGSAAFDPNGGFDPN AAAGGNPFAGAGGFHGFGSGGFGAGGFGGGFSADINFEDLFGAFTGGAGRAGRRRASP HESILVGEDIEVQTNISFMEAAKGTGKDIFITPLVECKTCTGTGLKKGAKRTQCKSCN GSGTRVHFMQGGFQLASTCDTCNGSGMVTPRGSDCSTCSGQGVVRDRRTVHVDIPGGV EDGMRIRVAAEGDSPPTGGANAGTRTQRGDLYVSIRVAPDHRFSRSGSDILYTASLPL TTALLGGEVTVPTLDGEVKVKVATGTGTGDRITLSGMGMRKLGGRSRGFSSTGDLRVE FKVQMPKYLTANQRTILEVLADEMGDKTAKRTMNVGKDINNPPSSGSGGLPEDSHKNE GFLKSAWHRLTNQHKSSESKSQDADASKKEKSESDDHNESKKASG TSTA_039330 MAATPAGLPKAVALPTRILIRTSRPTAGNCSSLYHQANSRSFYD HRSSSPPNRSYNALPSRKDPLRFSVREFHASSAQQAMKDPYKVLGVDKNASAADIKRA YYGMAKKFHPDTNKEPGAKDKFAEAQSAYELLSDAKKRETYDRYGSAAFDPNGGFDPN AAAGGNPFAGAGGFHGFGSGGFGAGGFGGGFSADINFEDLFGAFTGGAGRAGRRRASP HESILVGEDIEVQTNISFMEAAKGTGKDIFITPLVECKTCTGTGLKKGAKRTQCKSCN GSGTRVHFMQGGFQLASTCDTCNGSGMVTPRGSDCSTCSGQGVVRDRRTVHVDIPGGV EDGMRIRVAAEGDSPPTGGANAGTRTQRGDLYVSIRVAPDHRFSRSGSDILYTASLPL TTALLGGEVTVPTLDGEVKVKVATGTGTGDRITLSGMGMRKLGGRSRGFSSTGDLRVE FKVQMPKYLTANQRTILEVLADEMGDKTAKRTMNVGKDM TSTA_039340 MLRGQVIPWRAALHRVPHYVNPRIASLSTRHYASTRFPVTRTQI FLTSTIASRTFTSSRISRKEKAPPSEDAPENAEDDDGSTEGKDTTKQVESRRKSGDAT DKHTSTQMTDTPSRRRDKSNTEKEKDEQAEVTKKGSSSESQQSRSRDSKADSSSPAPI PVSGGGGSESKPSGGNSDDGGRRGKKGERSLQKPFIPDVYPQVMAIPIARRPLFPGFY KAITVKDPNVAAAIQEMMKRGQPYVGAFLFKNDDADGDIIENIDDVYDVGVFAQITST YPLKSDGEGGLTAVLYPHRRIKISTLLAPSDPARNGTIDQPISKDAGEKKGDVVASFE EGAVEAQPKEIYHYEPTSFLRKHAVTLANVENLTEEPFDKKSPVIRAVTSEIVNVCKE IVQFNPLFRDQISAFATDHFPGNLGDEPGKLADFAAAVSAGDSQEVQEVLEAMNIEDR LPKALVVLKKELINAQLQSKISKDVEAKIQKRQREYWLMEQMKGIKRELGIESDGKDK LVEKFKEKAEKLAMPEAVKKVFDEELNKLAHLEPAASEFNVTRNYLDWITQIPWGQKS VENFGIKNAMAVLDEDHYGLKDVKDRILEFIAVGKLRGSVEGKILCLVGPPGVGKTSI GKSIARALNRQYYRFSVGGLTDVAEIKGHRRTYVGALPGRIIQALKKCQTENPLILID EVDKIGRGHQGDPSSALLELLDPEQNSSFLDHYMDVPVDLSKVLFVCTANVTDTIPRP LLDRMELIELSGYVADEKMAIADKYLAPAAKELSGLKDVDVRLQPDAIEELIKFYCRE SGVRNLKKQIEKVYRKAALKIVQDLGEDALPEEEALTTEGKQAKEESDKENVDPQDVL IEPEKATAETPRVALKVPESVHVSISKEVLKDYVGPPVFTSDRLYDVFPPGVTMGLAW TSMGGAALYVESILENALTYYSRPGFETTGNLMNVMKESTQIAYSFSKSVMAQEFPEN RFFEKARVHLHCPEGAVQKDGPSAGITMATSLLSLALNHPLDPTIAMTGELTVTGKVL RIGGLREKTVAARRAGAKKILFPADNMSDWLELPENIKEGIEGHPVNWYSDVFNIVFS NLDKEAANHVWKKQLTKPADAKKRRDKHDEDDD TSTA_039350 MAITIRDNSTGSSHRGFFNPPRDHELETRSESVSLPPTVPKVSM WHRLGFGRWLHRDEHTSGSTSPTNDHRENISRRLSRKVGAVGLPRPATFRRQNSERRD RLAPIESEHRRTQSADRRRPLSIPRTESPAPINVARLSAPEVGSWSEQLSALAHRDDG SAHEFLPETATLSHVDSTPYNRYDNASIQQEPVDDALEQELERKWILNLSMHFRDKSE REKFFVTYAEKPNRWRRVTVSCDYRNAPPDSLEQDLKELHYQRDKSARIYESIRDSLP EIQFYDTVTNLKLETSDGRLHVHVTEDVNETIPYPPVSSVRHLGSRLIPERDLMFNSH LSGFVYKVQLDGKAYIKKEIPGPDTVDEFLYEINALHALYGSQSVIQFEGIIVDDRLE RVKGLLISYAEQGALVDVLYDFRGQLPLARRERWARQIVQGLCEIHEAGYVQGDFTLS NIVIDGDDNAKIIDINRRGCPVGWEPPEIAAKIESNQRISMYIGVKSDLFQLGMTLWA LAMQEDEPERQPRPLFIPIDMKIPDYYRRVIAHCLSDRPRDRLSAKELLAMFPSDMKD HEHPVHAVPLPWRAYNSPNGPPFYDSLQHDVPQSVCLDGDHHEHAKHPSVVYVTPAEY ESESDFPEIDFPPRGRRPSTHGLPPSDYQPSTYIPSSASPNPDGRRSISQSDFEVNTR PSPAMLAEPRFEEVEVNGTQYLVNPEMFTPEDLEALRDNTHEPHDSQELESGRNSDIN RAMVPSSVCEGGKDADVDRDVTVQSHGAKIENHEDTGNRQNKSVVEDIAAMGSHSSAP LKHEHTQPTRSLENQIIEPSPASQTSTVPAPQISAPEPTQETWTKESATYISPGLGNT IPQDRSLINEKTKLTVLFNAPDQPGPYPANSITTNTDTIKKEFSFWFIITHKPGIGTA STVTTNIKTKFSFWLKVANKSGPSTDSVSNIKVRQE TSTA_039360 MSLKSLTNTNEELNSAIKVTSNYITKVAIVGAGGNSGKFMTEAL LRTDKHTVTAITRPDSKSKLPEGVIPKVVDYEKPETIVEALKGQDALVITLGAFALSN EVKLIVAADEAGVQWILPNEWSTYPGAHELIKDMLFYQMKISTGFWYEWSLPMPSAFG FDIINRSVTFFDDGEAKISVSTWPQVGRAVAALLGLPINAEGGRGDGSLESLNNKDVY INSFTINQTEMLESLLRVTGTKSEDWNITKVPADERLAEGLKEIQEGKHSGFAKKLYT RAFYPDGFGDFEHNEGTLNSLLGLPKEDLDEATKVAVERAGLPQWAGE TSTA_039370 MIDFPRDNDGEPTQTLINSNEELRRLFQGYDFNHSPDHSRLFVI EDLSRDVIEAFGSAYDIDPFFWRGHISDYLWYNTRDPWVELEELPHITRSRNFYHFRY VHPRYFKSKESQLRATEEARRMNVLRRLDDDRISASMLDDDQASVNMWRSKASLWLRR NERHQKHTLGILVVDPSIKEGHPLWGKGYNFDSPPSLASNLTPAPIPKDSLFEAVIHW TTRVSMQKLKELEEQPNNFAIAILSIIAVEWLTVKEYVTTRLTQIEWELEVPDFRGHG EKYGLDASLKWLHPFRRNVPRYRKWVTNILNGILSDKNLSPSQSKDQALRDLREDFLI ILRDLDTLQAQVQDLVRVVTAIISIEEAKRSAEQNRSLARLTYLAVVFVPLSFVSSFF SMTSDVASLRTTFWIFFAVAVPLTLLSLSVTRWWAITRWLRRS TSTA_039380 MSDKLLQQQGLGQDDRENSQFSEKGRRINDTDYSEEDTLAVLSL RNLLFDMCNQNGGGHGGSAIGMAAIGVALWKYIMRHNPSNPEWFDRDRFVLSNGHAVM LLYALNYLVGYDAWTMEQLKGYGSPKPKGYKTMAYGHPERESPGIEVTTGPLGQGIAN AVGLAMASKQLAARYNRPGYDIVKARIYCMTGDGCLMEGVALEAITLAGSLKLDNLVL IYDNNHITCDGPLEWTNTEDINAKMRASGWDVIDVQDGNYDVQAIVAALNHASSLRGK PVMINIRTVIGVDTESAGTAKAHHSLIDVESIRLSKIRAGQDPSSTHSVPEQALVFFR ERKTFGERLEANWNILIQKYETAHPDTGTKFRNQRAGIDPTPCLKALSDMSSLGFDGK ATRESNGAVMSKIWELHPGVFAGGADLVNSNKIPYTESDIFHPSVSYSGRFVRYGIRE HAMAGIANGLAAYNEGTFLPITATFFMFYLYAAPSVRMGALSRLPVIHIATHDSFAEG QNGPTHQPVELDSLYRAMPSFTYIRPCDGEEVIGAWKVALANRDGPTMLSLGRDPVGP VPATDRYKVALGAYIIQDLPNPKLVLVSSGTNLHYTVSAAKHMSAAGTPTRVVSAPCL RLFDAQSQEYRDKILPRDGTPVVSIEEYVATTWARYTTASIGMTGFGCSAAKECNYER FGLDTDGIIKRLTLYLADLKGANARGVGWRQL TSTA_039390 MMELFSLTGKTALITGASRGIGQAMAVALAEAGADIVLIQRDLY QNSTKEAIEKAGRTCLLVKADLSNRKNVQGLIASVVKDRRIDIMVNNAGIMHRMEAAD FTEDAFDEVMEVNFNCTFFLCRDIGRYWIENRIDGRLINTASLATFQGGVRMAAYSAS KGAIGQLTKALSNEWAKHNIRVNAIAPGYIATDMNIDTRTNPDQAYYESILSRIPIGH WGKPEDFKGPIVFLASDASSYISGQIITVDGGWMAR TSTA_039400 MEAINNLTQLLQGAAKSKSGLRFYKPVEDLLESMYVSYSDLLED ATEKARLLRAIEGLTPSTILLIHFDSQHEAILWFWAATIAGFLPAMSAPLVNDAAQRK KHLNHLQTLLRQPIILTAKHLLSGFDGVEGLHLYAVESLQDDSSTASTIFMAGAQKEE KELAVLMLTSGSTGNAKAVALRHGQILKALKGKTSFHGINPGDPFLNWIGMDHVANLT EIHLHAMSLGSDQVQVPAAELLRNPLLFIELLDLHKIVYTFSPNFFMAQVRDSLVANP TMKADLSHLRAFIAGAEASVVTTCDTLTRELRRFGVQTEVIRPGFGMTEICGGSIYST GCPSYDLAAGLEFANLGTCIPGIEMRVMHLTDKSERVRDGEVGEFQVSGPVVFDHYFN NAEATASAFTADGWFITGDLAWIDKSGNLNLAGRTKDLIVINGIKWSSTDIEIAIEEE GIPGIVPSFTAAIPHRAADSATEDIAIIYSPAYAPEDDQVRFETATAIAKTVALNTSW KPAHVIPLPQKLLEKSTLGKISRSKVRSAFEKGEYATFEKEDLEARKRYQESKWRSPE TETAKSIQKILAEILKIPAEGISLDSSIFDLGVSSFNLMLLKARVEDALETKIEIPLS VLLTEPTVGTIATLIEKALSETPDYNAIVPLQTQGTKTPLFCIHPGSGDIIVFIALAA HFPTRPVYAVRTRGYNPNERLFTSIRETVETYVRHIRQMQPKGPYAIAGYSLGSTIAY EVAKELEAQGQEVRFLASIDFPPHITHYIQGLDWIDVLLHISFYLELINETLMLHISP YMHTLDREEAVERILDISNPARMEALGLNAERLALITGITENLRVNLLDYKPTGTVDR MDVFVADPPSYAALDRQDWKNKKLGRWADFVRSSVEFHDCAGIHAKMLNREHMADFAR IFKMAMKRRGV TSTA_039410 MDFYLFDEPPPEYDDGLDELDDFDLDSDLVDSHYPLFYSTAPFI APPRSTFLRKAPTTLSVSNKMAATAAAAVSPLSSPRLPSPPPFTEVQIGPQSPTVGDK GGDSQLFTPLIETDDGSTRRIRPGTKAADMASGPPLVPLSQLDSPFQLQEHLKALFHN YTMPNGSSTIHPITHEVAVSLAHPPEGVERSLWLYELCRFLTMKVNNLIIAFFAEDPP CSQQSCPEMRASEWQYLCAVHDPPKSCCAIDYCCHTLDWATNILTSPKYFPSRLTLGS ESGGGPQASMRHLTNIFRRLYRIFAHAWFQHRDVFRQVENTDGLYVFFKTVCDVYELI PQDNYTVPEEAERLAASDDAAEESQGRSITAVVLPPENNRRISVLRNDSSSTTQALSL EHSGDAQASLNISTGATTRRHKHSPSTGSSVSTIAEVTEDHNSPSPKVEQTLPKVEEN AEDAKSNVPAEDAEKDPSTAQSEAPENNKISEKEEKASEAETTPEEAAPTQEEAQTTE AAEPEAGKQEESETNESPSTATDAAPEDQPPTSEREPSSGKLETDFKFPITTNEEKST TESSDFPTSTESTATVSVVETTEEKDE TSTA_039420 MDDDDAPPELVDVTAFPESQEKREEEKEKKTEDEEVVSRVPITL VTGYLGAGKTTLLNYILTEQHGKKIAVIMNEFGDSTDIEKSLTVNQNGQEVTEWLDVG NGCICCSVKDSGVQAIESLMDRRGTFDYILLETTGLADPGNIAPLFWVDDGLGSSIYL DGIVTLVDAKNILRLLDEPTPEETTATHAGEHGDHTGPALTMAHLQISHADVIVLNKA DLVTPAELEATRQRIGSINGAAKIHVTDHSRTPQIEGVVLDLHAYDQLSKMDFSEKGH AHIDPKISTIALTHPRISPDQIPRVDEWLMSVLWKSVIKYPGDAQNTQKPGFEIHRLK GILALTDGTFKIIQAVREMYEIRDSENRESKDDETDVPDHCKIIIIGRDLGTLASPWQ ESFAHALQA TSTA_039420 MNSEIVSHISLQYPHALTHHTTSATDIEKSLTVNQNGQEVTEWL DVGNGCICCSVKDSGVQAIESLMDRRGTFDYILLETTGLADPGNIAPLFWVDDGLGSS IYLDGIVTLVDAKNILRLLDEPTPEETTATHAGEHGDHTGPALTMAHLQISHADVIVL NKADLVTPAELEATRQRIGSINGAAKIHVTDHSRTPQIEGVVLDLHAYDQLSKMDFSE KGHAHIDPKISTIALTHPRISPDQIPRVDEWLMSVLWKSVIKYPGDAQNTQKPGFEIH RLKGILALTDGTFKIIQAVREMYEIRDSENRESKDDETDVPDHCKIIIIGRDLGTLAS PWQESFAHALQA TSTA_039430 MQTPHPSTPSWPAPSPVTIFLRNVHLLRLDQQPDWPGLSCKLFS GGQKSQTQRVKSVEWVLYHLFLLWDPTETKNKLRPFFPPLEPLQSVNLRAALFRALSD LKKNGDLGRETTVRKTMLDDCKGSKFEEVLAVFSTAVLRKVVCENRREPGLRLAFSKS LTDTELEEQLVPLILAHHSSLDNTKRDQAKTRESHTQFSKFLDEKTTELSSCSKRKPR QAGVSSHFERLAHDVKANWLGSRNLVDCLLTGGSTTEKDAFLELSFEKAWSRVRKGNI DQLGLSPNVGLLQDLETHLTDQRRRLQRLKDYKKSTYRTEKGSEMVQTKSHDKSQSIT FRDHRTLTVASTSKFIRDAVEYRNLFGEHQAIISSLRDALSEVKGIQNHYSATLVEPS KKRNPKNHLTLSPIPDTERFSPSPSPSVQVTDFENEHRASIDPTIDDTVLQPPHDTSN QFDSFIKEVHDPVSFKANTSQPELPSDREGEVDVPPLPHFEPHPASLLERTRQSMSLL PPQPTSRSRAPRESLAARRQSQRFPINQFATPPKEQPEPSRSGASTPRDELFTEEAEY ASIFKSRPRVAHSPLMSPAVHVGFDDGDDGEDYMEDSESALDLALIGSPLASKKR TSTA_039440 MGSADSQKGEWTPWTVLSTIPHPIEENSSSPIPFFHLLERLKTT KREGWRRFGLDRAESISDHMYRMAIITMLAPPSLSSRLNVPHCTKMALIHDMAESLVG DITPVDTSVTKAEKARREAATMDYIEQTLLRNVPGGTLSGPEIRRIFQEYEDSETLES KFVHDVDKIELLLQMVEYEREHGKDLSEFAHVANRITLPEIKEWADAIMNERKHVWQN QS TSTA_039450 MAPLAKGPIYFGPFLVTSQVFYLTPLSFALVNLKPIIPGHVLVS PRRCVPRVSDLTPDETTDLFLTVRKVGRIIERVYGATSLNIAIQDGVDAGQSVPHVHT HIIPRKKADLDHKGGTDAIYEMLDGEEGDIWKIQKEFKQLQESLALGTTGEKKRRTNF PAVDNESRTPRTAEDMEQEAVMLAAEMEMERDD TSTA_039460 MPPFIPTKRSISPPNRPSPPAKRPATKKQVVQILESSDSSLSDV SSDEERSDVKKPLIKSKGKEDNVDSVENDDHDEESVDWEDAMDTTTPSAYASHATPAQ DVEIRDLELTLDPMANVRVTSLLDTKKGPSQIERAIRLNTHKAHVQCLLFHNAIRNAW LNDKKVHDILRGQLPAGIQKEVQRWRVASALDPPPPPPPQKNVDTKTKADKKKGSKKK QAADTRNWGEEAKRLEPGQPDMSHGDPILQLLRVLAAYWKRNFKVTAPGLRKHGYCSA VKLEAIVSSFKNGDHNPLVHGERISDRHEFRILAEKRQGSRDVGAQLFTALLRSLGIE ARLVSNLQPLGFGWTKAEVDTSEPVQSSENAKNAVAESSSDEEIGADEEGDAEGKSAK ARQLDRDLPFPVYWTEVVSPITHEVIPVDPLILSNPVAATPEMVAAFEPRGGRADKAK QVIAYVVAHSSDATAKDVTTRYLRRQTWPGRTKGYRLPMEKLTIKPFRRGPTYTIDYN WFRETMRGYIRADNQRTAADDIEDAKDLVANQPEKKIVKKETDTLQSLKASTEFVLER FLRREEALRPGAKVVRKFVTGKGDKVKEENVYRRADVLRCLSAESWHKEGRRPKIGEA PLKRVPIRAVTLMRKREVEELERQTGEKPLQGLYASYQTESIIPPPIENGIIPKNEYG NIDCFVPTMVPRGAIHIPWGGTVRICKKLGIDYAEAVTGFEFGSKMAVPVIEGVVVAE ENADLVRDAWRADQEVRREKERLAHEKRILQTWRKFVMGLRIMERVRAEYGEDGDAEL DNPFQRRRPTGKSADTVQKPPDVERHEHDYEEDEDHYEDANADLGGGFLLPDHDDGTN DFVVEHGDGPQRQKVEASMDVEGENHESDVGDVEMSSPSEEEYEPPPRGSNRRRRRGG TSTA_039470 MHSGHITRFLSHSFRSQSTSGNRFLRMTEAAVTRFFQSPQFAVA GANNDPSRFGYRIFAWYHQHSLPVTPIHPREQTIRLPSREYSVASSPKSLTQPTETSL SFVTPPPVTIQLLKEAHEIGIPAVWFQPGTYNDEVLDYARSNFEAVIGGPGGAGSEGW CVLVDGEDGLESAGRTWKQQKL TSTA_039480 MASFPDYDTPFRMRTQLFRPPHTPSSVSSIYYSPDTESTRKRVR RDAPVVRSPAWDPMSSTELEGPSLLINTDYILANGGEDQRQLDLKDRREKLAEEVDYR PNRYRYNSLLGDQQPALTAGNKDVGSRKRSHSEATEMTTQQIPAPSSTTPGWGRAVFT VVGKVWDFCWTNAFRGFSAGGGNSYQVSTPTPAPKEASTWQATSQLTSDTAHESTSIP GQYPDEDAEQLRDKETWVFVPESSSLNLFAQSPSSTQFSRKIPRRITAHRPQSRRSPV NPQRPITKRPTLHGSRPISNSPLSPTGCPSTPQKQPTSPTRLSGPRFAAQVRRREREE DASFQKMNDQLKALIREGREALGSRVEVDDMDFDD TSTA_039490 MAPIQFLFTSALVLSAAVAAPINANHGKVDTTTPSKHQSEPIRM ADPVKSSVPVMSMDTQAMKSSAVAMAVQTPSSSDNGQQSDGVLGVFNKLPLKRGEENR RQFEEVTDLLTSINSIAKRDELEERQLGLVKSVPETVGQAAQVEKLVEGLPIKRDGIL GGLGGLGGVLGGSVKRETGEAKTHDKAYTEKALKGFQGWLHHGSKDHKEKTPEIPSAA DSIGLGDVVKRGDAADPVTGLLNGLLARDAEESKSHDATHTEKALKGFQGWLHHDSKD HKEGTPEIPSTADSIGLNDVIKRSSPADPVTDLLSLLAKRESMESDENAVDGLAKRRS PLDTVTGLINGLAKREHMETDENIVDGLAKRGGPLDTVAGLINGLAKREMVDSLATSE DVADALDGLAKRNGLDSVDQLIKGLTKGGQLNNGLNIRQLNVLSTLATGALSNAGSAA GQLGKVAAGKFRRGNVDAGSMADAATAGMNVGNHKAGKDNGENSSDVNDVAEEVAEGE HALHDATASPEGRLDRRQVPPIAGSDALSIVKGLVANPNAALDPVTKGILVGGTALGN LAPQ TSTA_039500 MGKIQPKKRNSSSAGSPYTKNLPSGSLKKNPIFKFNTDIGQHIL KNPGIADAIVDKANIKEHEVVADMLSISCKTRIAQADNIAQVGPGTGVLTRRILAKAK SCTAIELDPRMAAELTKMVQGTPMQRKLKIILGDFIKVDLKEIGHFDVFISNTPYQIS SPLVFKLLAMPRPPRVSILMVQREFAQRLIARPGDAMYSRLSVNAQFHAICSHILKVG KNNFSPPPQVESSVVRIEPRPDRPDISWEELDGMLRICFNRKNKTLRSSFFVKQVREL IERNWVTWASMFPDQVKKEDTDFLQGIGDIALPDVVMEIDGEDDNNNKDTQLDDEDDM MQDMQDMIFGTSTTAEKQKKDAPKLSGKTISVNGISVDRGRLVQLIQLKIQRALDKFG LSDKRSQKCDETSFLQLLHAFNQEGIRFS TSTA_039510 MLIGSTRAILSRIIDHAIHRPSPSPTYRRLCYDWYNMSNTGPAS RPTDPDALVLGAWGQGLMVGSLVVMAALTIANMRKGVLLHKLILAELILATLHGTWIF TTFPVYGWFLATTAILLFASWSLHNVIAWMKIRPFLSRRLSVFYIGTVCLVQPFWIVD TYSIFAFYNNVGATEIYLKIRPWEALFRDPWWLCTFCLLLYIINKIYNISFLELIRIS PRVGVMLFSMFASLVFILLDILSVTGVMDLGLAAGIEPFWKLSFIFKYLSDIVILDDF KTALDRIRAYRLSQANATRSLRAPNEYSGSGGNRRDTLSEAFDDIESGIELSPMQYPS SAATASSHIEVDNLSQRHLHVQAGSSENPHGISST TSTA_039510 MLIGSTRAILSRIIDHAIHRPSPSPTYRRLCYDWYNMSNTGPAS RPTDPDALVLGAWGQGLMVGSLVVMAALTIANMRKGVLLHKLILAELILATLHGTWIF TTFPVYGWFLATTAILLFASWSLHNVIAWMKIRPFLSRRLSVFYIGTVCLVQPFWIVD TYSIFAFYNNVGATEIYLKIRPWEALFRDPWWLCTFCLLLYIINKIYNISFLELIRIS PRVGVMLFSMFASLVFILLDILSVTGVMDLGLAAGIEPFWKVFFFSLSASMDFN TSTA_039520 MGSFGDSRTGEPVDKMSSPNPKDSMKSTWRHSSKDEWTIWHWFY EVLGIHPTVLDRDVPIHSKEEPVPHLPEWKEHRWVLLHAVVPLILHETYVLYTGRNLG PVAAFFLYATAFKVIAINQLHMLRGLGHKYGFFDGDKHARDGVPDVGVAKVVHSLMST STFRPMMTVYLAYKTSATPAAELRANWAWLIMEVSLYGIILDFWFYWYHRLMHDVDVL WKYHRTHHLTKHPNPLLTLYADTEQEFWDIAGIPLLTYGTMKLMGMPMGFYEWWLCHQ YIVFTELAGHSGLRIHATPPSPLSWLLKWFDAELVIEDHDLHHRTGWKKSHNYGKQTR LWDKIFGTCRERIESGKGMVDYNSTVDMPWY TSTA_039530 MGQDTASKDTGTNAAPVSNEVVEEVDIQQGDKTALPSGTFDPVY EAKARELNKAIQAIGMGRYQWQLFVVIGFGWASDNLWPIVTSLILTAVGNEFRPSYNA YLSLSQNIGLLIGAIFWGFGCDLFGRKWAFNLTIGITGVFGLIAAGSPNFAAICVFAA LWSIGVGGNLPVDSAIFLEFLPASHQYLLTVLSIDWAFAQLVASLIAWPLLGDYTCQQ TDKHCTRSKNMGWRYFMITMGGLSMIMFVIRFVFFTIFESPKYLMSRGKDAEAVAVVH EVARRNGKTSDLTVEDLTQYNVLADGREDGIRAQQISAVAALERNLEKVSFSHIRSLF STVRLGISTSMVTAIWAFIGLGYPLYNAFLPYIQATRGADFGDGSTYITYRNEVIISV LGIPGCLLGGLLVELPRFGRKGALALSTALTGVFLYASTTATTSDALLGWNCAYNFVS NIMYAVLYAYTPEVFPTKDRGTGNAIAASANRIFGVMSPIIAMFANLNSAAPVYVSGA LFIAAGLLALLLPFESRGKASL TSTA_039540 MLQVHSDPIHTQTISAGKHAYSLLTRVSIRWPPEEPYENTDTTV ISVNNFFVDLRVEKESRRLDWAIAGVRLVDQNDSRRVTFLHTINSRNTFQHVDTGVFT PLENGDEMETGTMIRPGDGPGAFPRPYEEVWRHKRAPHVPGPNIAWILEGAGSRVSLT QNSDGIGSEESKEVETFLARLGGVYIAVRQPTRIVQTPLPNGSFTFQKFGDMEGVSVR HEEYVQKRGWIEKFVTGPEGRDLPTMQKNKTSDFALAQEGKGNWRKPSQKVTIRGEEY VVRGFADIA TSTA_039540 MLQVHSDPIHTQTISAGKHAYSLLTRVSIRWPPEEPYENTDTTV ISVNNFFVDLRVEKESRRLDWAIAGVRLVDQNDSRRVTFLHTINSRNTFQHVDTGVFT PLENGDEMETGTMIRPGDGPGAFPRPYEEVWRHKRAPHVPGPNIAWILEGAGSRVSLT QNSDGIGSEESKEVETFLARLGGVYIAVRQPTRIVQTPLPNGSFTFQKFGDMEGVSVR HEEYVQKRGWIEKFVTGPEGRDLPTMQKNKTSDFALAQEGKGNWRKPSQKVTIRGEEY VVRGFADIA TSTA_039550 MSSNNPITQVSSTVQRSWTAESKHKTRKNVSSACRACKARKLKC SGIPPCETCVKSKQECIIDAEGDQRRKLNLKRKVDSLENDRQFLIRLLESLRTGKEGH ITELLSYIRSSSSLDAIKSFLDSHNPQTEIGPTPHLTEIFTELERLQQSRQGPPTTLA VRQFADTPLVTVPAKPWTTATSDDTFVSHLISLWLTWQYPWFNCIDPVIFINAMQSKD LQSSLCSPFLVNAMLAQASFFADNPEACAISGDVRTKGNHFYTEAKRLLDDEEGRISI PSIQGLGIMFECACAMGKDRAGWVYAIQAVEGMRTIRRKQTRLMLETGLSTANLELLI HRIELSHFNIFVSGALVLQIPPQVKKPFEYCLATTCDIDKDVFVPYPRCGRRLPAHNN CILNTSAQMGEIVWDISTFIGREEGTFTQVDLVDGVQKWHARLTRCWELRSRCIDCER NPVPGIFTLYMYYHTIIITMYAFLKTIPSEADDRLLERAERAQQICLSSAHTVAQLTK AQQLHWGPDPGPLANAHFITISLYVLMEDLKTPESHQAFMSLCRAHIHYARRWPLHRG TARMVQLTAQRMKDPLPHDVVAHFREFEERYWKPDSERRFSSRYPNFAIAIRRGVDYL PDDLELDAFLEKWVNLDDETRMD TSTA_039560 MNAVSFLYLLALATTSQALPNPTPAPTPASGFATHGLAIDGAMT TRSIAAGIKYANPPECMTIVVVNSHGDTITTALDTNPSAPTPVSGNTVPGTMTNGETA SIAVPTNWIGNLAINDARWGLTGDDSLIEANFVVPYGESVAVGDIDVSYVDGFSVAIV CHCGGLDDTVVSGCNKNLFNLNTCPDDDGQNACVNPLRADQFATAPTDFFKPCAGAAY TFPSDSGANSFGTCQTGTYTCCVGAACAANPNQPA TSTA_039570 MAPTVTTTKTTSILKLPLDTRNDPSLLHFNQLVYIPETGIWAPG KKSTPIFDFSSHFDRSSVSWFTDDYVGFNEDVMAQAKEIALAAISNDQNAAEDIPNPS VIFKLSRTHWYNDSKLTATKPVYDRRLLDALDSESDVDSIRKQKLQNLEDAQEHQKEN RNEIVLAESSSPLLAFGVTNITFPSNSLHSSHPITVRPTNATRRSQSFVHDSVSYAWD VNKTLFPGGGAVLSLYKGVGSTKKIEIGRYQSDNGKVIPGGVMVIDSDEVDVLIAVLT LLAVLAQRDSTLLDFVILCNGCSGTMWISLEIGKWNSEKR TSTA_039580 MSGQEQGVIRHGVPPPPYSERETVPVQFQIRRKPISVDKLGSKK DTTVLGHDGIHTLASSKDEAEHLADPIRTPYIPPHTTSPPPIRTVITDGELTASPSST SGSAIPSQQDTTSTSLSFVSDTSPATESASGSWKKAAAGHAQKAFQEARHFVGGLIEH PSVSNKHYTILRHSHGVVFYQGSNTFLAISIFVDEPLPKDRTIWMQCKGWSGKTGMKA KALFGLNDSWLNVTPTLAIQPDQVNPNDERAWQRDIKRFRKKASGTPLEHHVLRETAI IRVPVETADGYYQLVLCRGDQKRKVLCTSPVFRVISTSSDPSTLRGASLSTLPIELGL WIGGLAYQPLMPSGKTQFALQTAYMASGLEDRIDTSIEDAGGRYEQMETEAFSRLGPT DVSIENGPTAPYPVDFVAKIDVQVSTSSYDLNGLPKKINLRSVPERILPRLDGHYFAW CKLLHTTKPNTNIGDEKKIEPGQPWLKCIISASSVVFEEWVSNVRSRKALKIITSIKI IEDIDIPPTTQVQIRIMGFIRPPKPTTAPVNHPSGEGEGEQQMVLDICDIELSCSTLD NPTWQPDYIPGSLSSHRSVDGSEPEKQAGLWDKAKDGYANVRLKGQTMVGQIPFHRVG IRTPTDELKDKNLAVTGFYIQR TSTA_039590 MPVTELALFHFKNNKSIDSPENEAVKAKLLSGIKDQASYASYPV YLLTQVEDPSYLYLVGGWESVETHMEKWIPSKTNQDIMLQLQDNLEVAWLQHLDVSPG TLSPDSVNLESECSGIPLAAPVIAISRYFINPLKRGDFQSTLATNKHHLLEFTKASSI GGGWRLDPEKTEDVETNMKDEFVLFSGWGAIENHLKFAETESFKEFGKIKGYLEGAEI KHARRPSNVAAV TSTA_039600 MDSATSRLRQLLADKNNILVCPGVFDGLTARIALQAGFDALYMT GAGTAASVLGMPDLGVLTLNEMRGNAEMIANLDRSVPLIADADTGFGGSLMIHRTVTE YIRSGVAALHLEDQPTTKRCGHLRNKQIVEETEFLSRISAAVNAREQSGGDIVIIART DSLESLGYESAVQRLKRAITLGADVAFLEGITSKEQAKQVCEDLNPTPVLFNAVPGGV SPYLSAQEAHDLGFRIIIFPGLSLVAVSGAVKADAKYLKETGTTPGKEGSPKELFNIL GLKEAMHIDAAAGGKLYEKGV TSTA_039600 MPDLGVLTLNEMRGNAEMIANLDRSVPLIADADTGFGGSLMIHR TVTEYIRSGVAALHLEDQPTTKRCGHLRNKQIVEETEFLSRISAAVNAREQSGGDIVI IARTDSLESLGYESAVQRLKRAITLGADVAFLEGITSKEQAKQVCEDLNPTPVLFNAV PGGVSPYLSAQEAHDLGFRIIIFPGLSLVAVSGAVKADAKYLKETGTTPGKEGSPKEL FNILGLKEAMHIDAAAGGKLYEKGV TSTA_039610 MTNDGRSKFGEILRLLHQTRNVSLPEADIHVIGDGNVLAQLKTL NELLQSHDHPREATAVNDVLILCEQPHELGGLDLQPHRQLAGDEEAEILFLVSAWLEA LNSADRAKEKPEPLSSRPPGRRGMTLSEKVFAMHDVAQNGFVKVGDLIRVDVDWVIAS EASWAGMEATYNSLGQPEIFRNDRFWLAGDHVIDPRVKDVPQVKALVDASERAKKVFK LTEYQGMNYTILHTEFYRERAQPGMLVIGSDSHTCSSGALGCLAIGLGAADVTLPLVT GETWFKVPESVNVRLIGSPKPGIGGKDIILYILQQLKRNTVASERIVEYTGPGLQYLT SDARFAICNMTAELGGITGIFVPDGTTLDFVQKRLHPRHKTASIFLKPDEDAQYAETY EINLGNVHSFIAKHPKPDDVVPVAECENMPVDGCFIGACTTTEEELILGALVLEQGLK SGLKPVNKGNRRVVPGSLPIQRRLRELGLLDIYEACGYKIGVPGCSYCVGMSADQAKP GEVWLSSQNRNFENRMGKGSFAYLASAATVAASSFEMKVKDPHDLLCRVNLARWNSLK AIKKTSGLNGQQNLQVLVNYVEPNGFGNPSSIDQSSLRAEYVAASTEVRVNNPGEPIN VKAEENVLKGKIQKLGNYIDTDALAPANFLVESKTNEVLGTHCLEYTHPDFRQKVKDG FNIVVAGTGFGCGSSREQAVMALLGCGVQCVIAKSFAFIFQRNMPNLGLLGITISDES FYDAATDGTEISINLSNNEVVLGKRQVKFELSQMEKELFDHGGISSAFQKFAIAANKQ DASTSTTHRISGFSKSEESSDCLQELSAGKELEFKRMNKPEKLEELEREIRELRSSIA NTSATGAPLLEGITRDQQATNSQSIPEIRTEHYQPGNQLFSILPILPFEQRSTSIPID TPSQKYKPARSLNDITLAPDKVTKLFHIFFDKYHPHHPILNPLTTPEEYFSKSALLFW TISYVASRRDVDDPHLLVSLIPSVKKLLWEMISNPPHTLELVQSIVLICMWPFPTSSL TTDSTVSLATLAHSIALQLGLHRPDAISDFSRTHKRLSQNEISDCLKTWSACYIALQS NLFTDGQPSPSSYWTIDRLSNQDDHTLIPEAIVQQLKIYRFVTCTSSSIAGVPRFAEG SARPGDTLPLLALLEQEFHELGASIGHGLTDENSILLDGAGLQLYIFYLMELSGSHLR KMALLRGYSLAIGLIRRASDLAMKSDFIHYGSQVLFQVLSLAAMFILKLSYSGYSRFI EVEEGKRMFHIGAQLIRQTSLEDNDLQGRMSKILTQLWSGYARIGKCDEEPSLKLRTR LAASLLHDLLWSWRNAFGNQGNDKTTSQGIKGPHHLLLRLRK TSTA_039620 MLKANIFKDDYYSIWKNTLLEDAHNVQSGTGALTTPIYASTSFT FNNSQHGADIFAGKTEDFAYSRLGNPTSSVFEQRIAALEGGAAALPTSSGEAAHWMAI AALAMAGENIIAPSTISEETYTLFKYRLPPLGINVKFVDINDTDSVRDVIDEKTRAVY IESISSVSLEIADIEAVASVAHEVGVPLVVDNTAGVAGYLIRPIDHGADIVINSTSEW VTGTGTTVSGVIVDSGKFPWKTHSSRFPHLTTPSPGYHGLNFAEAFGEIAYILYVRMA ILRDGGPCLNPFATALALAGLGSLSARMDRHNSNALSLAAWLQTHENVTNVTFPGITA HSTFPRTEKYLRQKAGYGAVLHFGLKKGGAQKAAAFIANLKLIKSSHGLGTPQTLIHV LDEHYASRKEVTGVEGAVIRLSVGLEHIDDIIEDLKQALQLF TSTA_039620 MLKANIFKDDYYSIWKNTLLEDAHNVQSGTGALTTPIYASTSFT FNNSQHGADIFAGKTEDFAYSRLGNPTSSVFEQRIAALEGGAAALPTSSGEAAHWMAI AALAMAGENIIAPSTISEETYTLFKYRLPPLGINVKFVDINDTDSVRDVIDEKTRAVY IESISSVSLEIADIEAVASVAHEVGVPLVVDNTAGVAGYLIRPIDHGADIVINSTSEW VTGTGTTVSGVIVDSGKFPWKTHSSRFPHLTTPSPGYHGLNFAEAFGEIAYILYVRMA ILRDGGPCLNPFATALALAGLGSLSARMDRHNSNALSLAAWLQTHENVTNVTFPGITA HSTFPRTEKYLRQKAGYGAVLHFGLKKGGAQKAAAFIANLKLIKSSHGLGTPQ TSTA_039630 MSFAVPSKDMDSSSSEGSVQHPAPAARPRMSRSQTSKSLFLGMK DVDSYTRSVTMAQFLYRRFQEKGWLLPDPAARLTSALGVILRVEPETDEEVKFISRPD DTDSKLQLISANLGLEALFTMSSDITALIFKRIGKNDSEVTLSPHNITVPVVDSFSAL AADSSNVRRRDFCCFSRQEKAVLIWTNSPDELMTHGIEIETKLMGSIWGTMMVSGTQS PRPSRPFTMTGPGGLTPYQHRTPSVISDSPSGTYTHSNEKNLVVLDEVDLTAEDEESK KPVARPFLLSHSVMVGLAMCLLMVIECFAVRLIIIEIKALGTSMLPRLGLLAPLPIFM FFTLFFTIVIVGTAFQMFGPMNDVKFGNSRFYSSQPPNLKRHPNYQFPHVTIQMPVYK EGLKGVIIPTIESLMPAIRHYESLGGTASIFVCEDGMQAVTPEVADMRKKFYKANNIG WCARPPHGKDGFVRAGKFKKASNMNYALSFSLRVEDELLRLMKLKADAEERSQESLSI EEEEELYQQALQTVLEGDEGKTWAEGNIRMGEIILLIDCDTRVPADCLSLGALEMEES PEVAIIQHASGVMQVIHSFFENAITYFTNLVYLLIRFSVGNGDCAPFVGHNAFLRWKA IQSVSFMENGIEKFWSESHVSEDFDMSLRLQTAGFVVRLATYDKGEFKEGVSLTAFDE LLRWEKYAYGCSELIFHPVYQWIYRGPFTELFRRFLWSNMKVSAKFTILGYIGTYYAI GASLPLSIANYFLTGWIAADLDHSYLPSWDMLCGTLFIFVVVSPIAFAWYRHRLGDKK FLWALIEAFMWMPFFVVFFGGVSWHISYALLAHMFCLPIEWSSTAKELEAGGFFVGME RVFKAFKMVLLFMACMTAGLIYLAQFAPYGWKISAWTSILPVANQIVGHVLLPVMTIL L TSTA_039640 MRTSMSKILTAVTIARVALSLDVSGTTKFQSSSKRGLVYISSEH SSDDQIWVEGNSDLTWYYDYTDIPIDAYSNRSQAEFEFVPMLWGSANSSAFASEIRSQ MTAGRNITHILTFNEPDGSASTGGSEISPADAASIWIKDIEPLRNESIKIGAPSVTGS QNGLSWLSQFFTNCTSLGTNCTVDFIPLHWYGDFQGLASYIGQVVASYPNTSIWITEY ALPHSDLKTTQSFFQTSAEYFDRLDYIERYSYFGSFRSSVSNVGPNAAMLTQDGQLTD IGSWYLGGAATGNSPSSSSSGSSPTNSGSTGAGYKLNSWKSGLQALITTFAVFNFGIW F TSTA_039650 MEKSAVSITTEKYHSRSEENITHDYLFDNPFSTPHDQRSIISSA QQYSIFTGTTLLPSTSYSLSKSKSHFVYLQGSFDTYTVFILESKPLRQRKFKSARLIG EYDKPWTVKKDPRMLWDKIFFIGLTVVGLGIGAYIIYSGWASVENPPYCLIFEDDFLN GINENDWNYEIQVGGFGVGSFDWTTNDPANAYTNEKGLHIVPTITKDVTDITTEQLFN GYTLNLTSQGVCTANDAADCVRVSNITKATMINPVRSARLTTKGKHNITYGKVEVKAK LPRGDWLWPAIWMMPEEDMYGAWPLSGEIDIMESKGNDGRLYEGGRNLVGGTLHWAPN PVLDAFWRTNGVKYMTRGDYSDDYHTFGMEWSDEYIYTWVDSRLAQSMYIPFGKKYGT MYERGKFATMSVNGSIPLDPWSGTKRYNTPFDQAFYLILNVAVGSTNSYFEDGYGSKP WIDGSDTAMAQFYNASSAWESTWGEGDTRGLSVQSVKLFQRGLCTSPPK TSTA_039660 MTATGNEVIGLTDRELKNFSLDDPGSVNLILHAQESDAADRKLT IRQALAKYPKAVFWAMFLSTSLIMEGYDVVIITSFYGQSQFQKRFGSFSPNTGTWSIS AEWQSGLSNSSSVGQMFGLLLNAYAQDKFGCRHTMMFFMAWMAVMIFIPFFAPSLSVL AFGEAMCGVSWGVFQTLSTTYASEVVPTVLRPYVTAYVCMCWGAGILLSSGVVRAVAG IEGDLGWRLPFAIQWVWPVPLFIAAYFAPESPWNAVRRGKIDLARKSLMRLREDSPAK EREVEATLAYIQHTTQLEMEETGDASFLECFQGVNLRRTEINCVVWAAQILSGNAILG YSVTFLEAAGFSEIQAFDLNISLSACYIIGGIICWLLFPHLGRATIYMGGLTFMFFCL VAIGGLGFSHSKHADIAVGVLLVISTLCNMITVGPACYPIVAETPSGRLRYKTIVIGR FVYNLTQIFNNSVTPRMIQASAWNWGAKAGLFYAGTNLLCNIWCWFRLPETKDRSFGE IDLLFENHVYARKFKYIKVDQFAHQNFEESQFHEEKNGATHVENEVV TSTA_039670 MATTFDEKIPFEKNGLQLYGLFKRGDQDRALPLVVLLHGGGTTA AYFDNSAVSVVQNFHSLGHDVLNISRPGYAGNAIPASEKPMTDSIPVFIDFIEKVYTE KSSARHCNGGIVLFGHSLGGAFSLSITAEAQDRLPLLGVSALGCAPVPDRKILLVDPD PEPSNPRYVVETNPENIRKFMGEVEWLNLDALEPELVAQVFEPGIKSEIREFSSDEFY DYLVNRVYPAIRVPVQYLCAESEIVWGNEKEARPIFDNLVSRFTNAPEVDSAILPRGG HNYEFSLNAGTLWEKRKTFLKKLINKAASFSSNGATTNNIVKEGAFTSVPVIDYAEAA SPSTRPAFLKALKAAIVNVGFFYLKNTGVPDDVQSEFTKQSIALFNLPLEKKLEIEMV NSKHFLGYARLGQEITALKNDYREQFDFATELPAPGPEEPLYRNLRGPNQWPDPKALP HFRAAIESYMDHIDKLAESFKSLVAEALDLPPTAFDQFFDYPQQNKLKLIKYPEPINS KANEDVQGVGPHKDSCFLTFLMQGTPHTGLEVQNKAGTWLPVKPIPGTLVINIGRALE AITGGVCTATTHRVNLRAENYKDAQGNSLGPRYSFAIFQGVSLDLGMEKINISIPQHI KDLVKDEKVRSDAEATFNQMFNGNIGEGTLIARITSHQDVAQRWYPGLLAQALQAQKD KYQ TSTA_039680 MSHLRIRPLAGILVLLCILYVLVSNIFNFSRIFSSPHSGISLSQ SAIRTAYYNHTINRTHEAVIPRKIHQIYHDWSGQGGNVPHTAQWMRLRDSCINRNPGW EYKLWSTAESREFLQREYPWVLPIYDSYRYPVQRVDTLKYFIMRHYGGIYVDMDNGCL ESLEPLRYYPAFVTDGIEGPLFINILGAVPHHPFYEYLTNNLWTYNLFYYPLPYLTIS YNSGRWFFTSMWEKYHDRVNWMNWNLIHSAGEGADQIHASKINENQLYRVVMESRRGA EPWVFWNEGAGLTWQDWDYSMFSSIGQDAAKIIRIATAVTISLSILTPLVIWRCCCRS RKAKHNTTGGLKTVAALLTPVLSPVLGSREKRADYFIKKGHDIV TSTA_039690 MPVPFPPAGHSEDVWRRVRAIFNGQMVVDANVPKLVWEHSYFPI YYFQSADIRSEYLTNKQTGEDADIYDLTINGKSSPSAVKQFKSGDLKGYFKIESGKVD AWFEEDERIFGHPKDPYKRIIILQSSKKVQIEIDGVEVASTSRPKLLYETGLPVRIYI PHTDVRLDLLEDDVDRTSYCPVKGDASYYVVKLPNGDRKHGLAWWYKTPKIESAGIEG HVAFYDEKVDVRVDGVLNQRPTTKFS TSTA_039700 MATTMEKVWEIAKNEAKLCYGFIRRDVSMGMLPIPVFTTASLLY RNASGEEIAVAIASAYPNLARFIGVLLTFRKETLLLGLLYLYSFVVGNQICGVQEDQI NKPDRPIAAGATTLKAARIRWAVLTILYFSYGCYLGVGIWSAMWIAISFAHNFLSFGD FGPTKDMCIGLGCIAQLTAAWLIGGAPYEVGWNWIKIIALYTLFPIPLQDLRDVPGDL AVGRRTTPILMGDMPCRIYISLGVFISQFLLIKYRILDYRLDWSTVTLSSVLGFLALT VIFRLFAFRDVKSDRYSYRLYTVIYLFQPLSACITLR TSTA_039710 MRKTIPGKGKTLAIGQVTADSTDSRLLFKVLRGNERAFDHFRRP TPSVRPSSPYLVSLGTLKSKQHRRPKQ TSTA_039720 MSNDRSKLHHLVPAPWGTNPDGERSQSGIPRRVRPKNASRACNE CQKRKIKCIGSNPCKNCHENSLYCEINDETDGRRRFALKRKVEDLEQDRHFLLGLVEA LRDDEYHAMQLFNLIRSNSSLDDIRAAVHDRMERVPNAGSMPTTSTSAPESSSWGLSS SAASSVSPMPSNSSQMDIQLYSSTSDTNSTTRKIMNIDRLTDIPLFELTAKPWTEVTT DDGFVSHLVSLWLTWDHVLRDWIDKDLFIAAMKAGDVNSPFCSPFLVNIILAEACCYS SYPEAFINPANLSSRGQHFYNEAKRHLDAMEGRIDLTTVQGLGILFLSTCLMGKDRVG QNYLSQTINSVRRLVGRADEFIAQAGSQANEMERSIGLCARGIFSFLTLAGLALHTPI SMRPPKQAYLPIVHHSTDIWMTYPYRTQPVPAHRNCVHNQSFDLHLIMYDVRRFVDAG EQDEQVRNACSYSEVNRATSSFYERLVQWHHNLPECIKEGQTWTPAVIGLHMYYHVYI ITIFSYLKAHPSTPANVASTAQQQCIASALAVRDLMNIYRSRWRRIEYQPVDYMQLTT VCLFTLLEDLTSPGSSQAFVDLSVIARSAARRFQLARGMFRLVQLTARQQNITLPEEA QPLYQEFEIEWIRAGGVEQFSSSYPNFSLSMRSLAARNSPPQDTGDDNDSTKRGMDKR SDLSLSNAELDSFLRKWDDALKIDNEI TSTA_039730 MSYHHFRTNRSDVAPPRDIPVCLHDQDGTMLYEDDFAGLRNRII MLNDAATLEKYIVKDPIGALAPTDLFYHDPFFIAAKYGSTDALHLLLELYNNIACQHA QIETACFLLDSQPPLGNVHTRSKCGDTTLLRVASSLEIFEFEDFKDNNYSLEWIRERF ARSEDLMQLLLDRGASARDSNTVGTFREHYDKGKSQPRDIVLGLAVTHASYGLVKRLI GKGADILRRDWYQRKPTISFGGDCVIDAQNVTAVHISSLYWNYWAPTLHWAAAGPTFR NELTIPESEVGMRIIDTSKLLLDAIPNIINLQDKEGATALHYTVSSHAECGAGHCGDA IRFLCDIGIKCRGQKQ TSTA_039740 MVLMSPPSIRTAIPLFILWQKKLGQVEAARFLVNKGADVAAVNA KGNTPLHEVMGGLLRDKRATRGPKYKTLRLADILRAQNEMITVIQDGSGYSNKLMDQP NAVECSVTPIGYQALAWQNSFCCLDFPTRICPLLDGNYVNAQFEGTAMREAPTRFEMY HIPSPRLYGAMPNMDPIALSTTMDGRSRDYRYMVRLVSLFEATAGAGKEIGQYE TSTA_039750 MKATKLSTLALMPSAIAAQNLTQLLANTTELSSLNALLSSYPAI ASSLANVSNVTILAPSNAALETFTNSSSFHALTSSGNQSIADLLSYHILHGEYYADNI TDTPAFISTYLNDTAFTNVTSGQVVEAIKQDNKTYFYSGLLANSTVTRTDLNFTGGVL HIIDKVLTVPLNVSSSAAAAGLTAVVGALQAQHLTQPLDDAKNVTIFAPSNSAFQAIG SALGNFTTNQLTDILNYHVINGTVAYSTGLKNDTQYVAAGGQNLTVRIENGSIFVDSA RVITPNLLVANGVVHVIDNVLNPNNTSAAPNATATSQPPAFSGASSASNIPFTSGVTA TPTATGTGAGAGAKVTGTSTSTAAAMPMRTGGIGAAMVFGAGAALLNADVF TSTA_039750 MKATKLSTLALMPSAIAAQNLTQLLANTTELSSLNALLSSYPAI ASSLANVSNVTILAPSNAALETFTNSSSFHALTSSGNQSIADLLSYHILHGEYYADNI TDTPAFISTYLNDTAFTNVTSGQVVEAIKQDNKTYFYSGLLANSTVTRTDLNFTGGVL HIIDKVLTVPLNVSSSAAAAGLTAVVGALQAQHLTQPLDDAKNVTIFAPSNSAFQAIG SALGNFTTNQLTDILNYHVINGTVAYSTGLKNDTQYVAAGGQNLTVRIENGSIFVDSA RVITPNLLVANGVVHVIDKYVLLSK TSTA_039760 MHHIKPGASFAQAYNAIKAYFDTPNNQTDYYQEWTTVTLAGERQ SNPSKSWVEVVDLMRALGSVYAGDEHLIAAITRACQSTPEMGEALSEPTSSFQTIISK LRARTGVVQRKELASQYVVDEVNNPQVFYTDRKFMGRTNRNNSPISRQEYRRKNRDDA KQLRKSNGRKKLSTRAYQTFLQEYEGTSSEEKDDDSTEEEVKQDVTTAYFMVNQLQDQ DENNHPAPASQFLLDPHENETFYGIMPDTGASTVSTIGKGQLAAFLRLYPYTKVDRSR AGEYSVRFGMGNPIISTAVITVESQFSDIEFNTMDLPTPFLFCLKDMDRLRVKFDNLT NEMIQGDLIVPVIHKRGHPWFFLDEKYAPEAFLTEVEMRRLHQRFGHPAVDRLHKVLK RAGHLDVDYKVLAQIEEFCHHCQMNRQAPKRFKFTLHDDCEYNYEIVVDVMYLDGKPV LHIVDWATSFQAAKFLKSLSTKDTWEALRVAWIDTYLGPPDVISHDAGTNFAAAEFRT EAKIMGIQCHQVPVEAHNAIGKVERYHTPLRRAYNIILSELGASVDKEIILQMAVKTV NDTVGPDGLVPTVLVFGAYPCMTYDLPPSALTAKRAQAMRKAMIDLRNTMATRKVNDA LKARNGPIVTETLNLAPGTDVQVWREGKGWTGPHKVISVNDYNVIVDLPSGVTDFRAT SVRRYQRDEIESSPTRRLLGTDLPPQKEEEGRKIDGLLAGRKSGPAFARGSSSCDAAA GALQEVESGEPAGAANPNRRLRTRGIHVPDAPVMPPAPRRRGRPPGSKNKPKTYTNEV EVFLSRKEKDDLELAVKLRREGKITADGAPFELSAMAEIDGLIASGTFKIMHHDDLDL RGVRIFNSRLVNEIKGKNEKPYEKSRLVIQGYNDAGKTGILTQAPTIQRASQRLVVSL IATLILMGMVVDLRDITQAYTQSKSKLQRLIVANLPAEMRDKYPPDSLLLVEGALYGI PEAGVHWFDTYQSHHKDRLGMETSTYDPCLLMTTKGKENFGLVGMQMDDTLLVSTESF AGEEQVALQEAGFKAKPKTRLSQQVPIEFNGARITLQDEKVYFRQKGQAAKIKPVGKE DRAQKYVEQRARGAYLASICQPEAAYDLAVAAQLQEKDRSEADYDALNKRLIWQAENP DRGLCFVPIDLTKAKIMIFTDGSFANNRDLTSQIGFLIAMVNEDFSESGQFTITGNIL HWASSKCKRITRSVLASEIYGLTTGFDQGFTLASTVNMITKRLGQPEMPVVVCTDSYS LYECLTKLGTTKEKRLMIDLMALRQSYERHEIDEIRWIHGDDNPADAFTKSNPNKALR DFVGSNKVTIRVEGFVERTRID TSTA_039770 MVNENTTPSDNDQDNTGEHQYQDLPPGDGRVSPSIYQIVPESNF PDDRWMTEIGFNNETGRNALYYAACNQYVDYEIFMWLLDYFGNWTHDDFKRLSVHIQK KIKDMLMDRGIFVDYIGRKKTIAKALDDLVQMTRMPEWPHEIAAAKAFDSRSKMAKAS LIEDGREDYEKGKQPLVETPKQASQDHDQQPKNHAQNDKPSRPHDHLSLPGPAYTYPY RSKLEDDWRRQTPRYTIAPPAPEISMSSEKWDDWTMLPPREVGCEHIDSKSMLTFVKI WDKKLTYSGDRYDILDDKVRAFLRACKLSSISIS TSTA_039780 MKSTVATIAVSALLLGSQVAVANPIQKRDIPSSFYSNMAIDGSI YSFADDASCNEIFYTAGSFAMASAVMSQFGASQNNELCGKTIEITGANGVVQQAAIAD TAGADIIDMCLNLWEDFGGQDGDGTIMTGANGIQWRLV TSTA_039790 MDTINKTTKSASEHTEEQKQHFYNNLPVNERENKSYTEWVREAY QEQYEKWMPWIEDQYLKWFGKGDNKASYATKDTLNKSKVTGVSQIDQLQDDVHNVVGN QLGENGIAAPIGNLASKEGINRAQRNGKNEKGSYGSPVAGYTDSMISGTKNSAQYIGN SISGSAKNAASYMGLGSGSSQSKE TSTA_039800 MGKPSSTQPVAGSSSQSVHSLQLLDHDETDLLVDADNYENPPSY DAAISNGDGNGLNHSTSTTQNTIGQSLPSARLIDTDYRLLGGRRAQSVRSSIRNAHIV TLEPAYTRYAEELASLMAQQIRLPPRPQIVITGSHTESSTNHKDNKKQTNSVTDFDFR LDLAETLLTGWEIGSITATREILPQSTWHNATVSFDYDERKTYRGTRLKTLTWKGSKA NKNLPSAAGDIQSLNRNNSYRDEEEGVPPVNIDQERLIQGDKTGLFEWCSRYCTDTSP VKSFTLTRHVHNFNATAMVDYLKSQIRETNYRGQISAHMEIVNSKVTIYSPHWINKLR NNSFVFWTCIILQLWIITWPIIWLLEKKYAVIYSCWYSSHSIDDGTGAVARRMYARGR DEHTLAEFWAPAVKQAAWARRKDDEIILLEDAERLQGLTTEQILRARTNDSEAEIERR RRVDRGDGTFMDSVVGLARGVSEVRQDWNLTMGWGGNT TSTA_039810 MEPYPFKFSTEESNHQLQPDQSGVTLHLKNVRGRVPSPQASRLR SLWVEAHKDPTKILAFPCSYDGLSSRLIEEAGFPMIFISGFAVSSAHGLPDTGYIAMQ EMCDKVQEVARQVTLPILVDGDTGYGSPMNVRRTVESFAKAGAAGVMIEDQTWPKRCG HTKGKSVVTREEAYARIRAACDARNQGQDILILARTDSLILGWEEAITRAKEFKRIGA DGVFVEALPDRAAMKKCIAEVDMPMLANIIEGGKTENISAKELAELGFAAAAYPWTLV AAKLKSIRDALESLKRSFMVGPPETILSYEEVVKGVGFGKYWELEEKYKY TSTA_039820 MAPTYSHLQLSWLIVSFFGYLSYSLKLQPITLTSASLAAGSLHS TSLALRNNESFLWSSTIGDSTTLATLTLHTPDDGESIISLERLQGLTTSIKCSNDTIA LQLNDASAYVQLRNQWDWMNQAENNTVILVTGAGQCDWNEDRQPFSISSFSYSNNNTE LVLSGSRVEWREIASNYHLHMSTMAQTALSRRDYTGDYTLDFNHQLGFGNWSFPIDPT FSVGLTCKTCYTTGDFAFEFDLKTELGIPVDATLTIAPRNVSVVLDPTFSLSGNIGSS LSFKETFLRIPIDGIAVAGIIDLGPEIAFTGSLNIGPLKGTASVTARHASVEDQLRCI SIFFAIRL TSTA_039830 MPSILYLPAVLAAATSVVNASPTTKSPGVNTTICNKLTYVYEEL AGYGYLASDFRDRYGDSVSLGSSIAIDKSTWSRRGNVYEGLMYALPDRGWNTNGTINF QGRIYKLWLTLTLDENASVENPSGPNLDIKYLDSLLLHDIYGQHMTGLDADATGPYLA YPEIFPFPKFPEVPSAKYSGDGFGGTGPGGFHMSLDSEGLVLGVDGTFWVSDEYGPYI YQFSADGLLLQTIEPPAAFVPMRNNSVSFSADSPPIYDLNMKITPSDNPTGRDNNQGF EGLTVSSDGTKLFALTQSALNQDGGLKKMNRRYARLVEYDLSSTKIAPTYVAEYVVPL PLYDGDSQVAAQSEIHYISDKQFLVLARDRNAGHGQKSSESVYRNVDIFDISSATNIK SALYDAHNGTISPDGVLNPKITPAQYCTWLDFNVNSQLNRFGVHNGGDQNETLLNEKW ESLALLPVDLHGKNEKDEYFLFSFSDNDFITQNGYMNFGRYPYSDASGYNVDNQALVF KVRLPKGTNPLV TSTA_039840 MDTRKNIVILKTTDDWRKWIEQLSTEAMKENVWEYINPDPNRMV LEPAPAKPTEPVAPEIDFSKTSEAQLLLQKYQIESNTYERQLSRYEKHQKRMKHMRSY ILDTVYIGHKPMIREISEVSEIIRKLKKELAPKPNRERALLIEQHRELIMTKNSLKPK ELIQKWRDLIIDMKFAKFTEIPDDRLTRDFIKTTENVLPKFYETWTTRMIEFDLDSGA TNLIEIPTVDEIISQFEQWEEVYTKSNPPSRRDIAMATFGDKSDQTEKEKQDTTPKQK TRTCICGQEHLFEDCPYVNSKKRTVNWKPDEAIQKKFEQLERRHGHPRAKMLQRIKKK LEKEGSSGTKVSFLTDAKNDNGNKESANLLYDSDEYIGILLNAKQPSLNPPISTALSA SSRNLDIKEMTLLDSGATVHITNKRDKLINMQSNVRTIMAGKTEIQMYGPGQYILHPT DPISDKVICKGIRILEMWYVEGFPTTIISMSQLRSHGIKYDGKTDRLWSERTNEDLCH VKCTGKLYLLEWNSNKNSKTSLSKELALSSFDKRILKDPAQVWHKRFAHVSNKSIENI EKATEGANITAPFQKRNEEGFEEKCEVCAITKIRKKISRVPMTPPTRPFQKLFVDIIV MNLAMNKDSYALHAVDPYTKFHILTTTRTKSVNFNLENMIEEIEHTFKTRIEEIQLDG ESSLNGISFRDYSQKRKIRLIVTVPDTPEQNGPSERAGGIISMKSRSLIQEANLPQGL WPEAMKAAVWILNRTPIKALGYKTAYEMAHGTKPYVGNLFLFGSKAYVRVDTKKSEKM ALRAQIGFLVGYEAHNIWKVWTTGPNGSKVIRARDVIFDETKKYDPEHPFVKEIVREG VQRYVDNVDIPNLEDIEQNDIIDSVDEDMNLQSMVSPVVSNIENTGGTLPHDSMDISR PGQALDIQQDVPQNMEIDEPTQPDQDTMDIDHENPENEAQEATQIDNREKSVVKKLKI DSAGGVEHEDNIKEEVDEDKNIPSDKQLPQSSSPVTMERLSANHDAEKANNVNNDLPT PPQGASQHSSEKNESTGTQEPLSTSRAQEINADLSESNIVTGPRIRVPSKRALSPESS SLSRKKHKKLSRAFLARQKLLQDSTTDKILLAALEKLEKPLTEQLPPEPKNWTGVLRH KFKNQFIQAAKTEFEALNKKGTFEFVPRPQNKHILPLTWVFKYKFDKYGKLSKFKARI CVRGDLQQPNELEKRAATLAARNFRLMMALAAIFDLEIVQYDAVNAFINSLLDEEVYT LCPDGFKQSGKVIKLRRALYGLRRSPRLWQKELTTTLLSLGFVPIPDEECLFIKNGVL ILFFVDDILVFYDKDKKQAIFEETEKGLTSKYELRKMDKFEWFLNIRILRDRAQRKIW LCQDSYIAKIASQFNINTTNNVDTPISGNIEASKGEATNQEIHAYQELVGSALYASIM TRIDVAKAVNELAKHTKNPSIAHFQQIRRVIQYLYNTRFLAIEFSPPQNPEKDAFICA SDASFGDNPDRTSSEGYLVQIYGGPVDWRATKQRLVTTSTTEAELRAATEAAKRLQVW KRVFRSIGFKPDRELSIQCDNKQTVALLTSEEPQFRTNLKHVDIYHHWLRQEISKKRL RIEWVDTKRMAADGLTKILRGQPFLDWRKHQGLVNIGHLTQE TSTA_039850 MARKGSGTDGPLQTALLESTFAATTRASEGQKIFSPIAALLDKH RSQTAGLAPHLLRALTALSDDLASIAQQHFNAYISGLEQSTYATITQHAPAKSTPTTH SKAPAKKPMPLIKQPLPDNRLFVRLPADHAARKMEAYTIYSSLRSQLNSNSAALKEVQ TTKTGFALCPSSPEAFLALEAQKEIISAFFVNCQIERSSRWVSYRVTNVPRKIG TSTA_039860 LFNAHDAGNGIMQDPVPAPLDASYIAPQSILKRAMPIAAQPWNL INALLDAYTRTLTQGIGQPWWNKDCKNALHNYRSGLCSKTDFRRITRWSQRQFWRDKL STVTQMKDVFNMSTFRSPPLKDPLRPSSLPAVTIHEKRDVLVRNLLQNSAEAGDIPLD SPAVPITSLYFPDISMSQVEESILQAGNTAPGADEIPTCILKVAWPLIKDKQLSRNQK RLIGPRPDHTDRSLSYQFLAKDSSA TSTA_039870 MTASLLTLDVKGAFDAVLPGRLIRRLRSPVSGILFMLYIAPLFR LGNPRNKFGYADDAANLAISTSLATNCEALSDSLQEALNWGAAEGITFTPDKYELLHF SQRKADQDPTCTPSVKAGSITVSENTKRLYLRWLGILYDKKLTFKWHVSETVSKALTV ANALRSLGNTARGVKPYLLQQAVLACVLHKAYYGARVVLLVFRITPISVLYRESGFSP LEIELDRIALLATVRLRRLDPYHPLRRRAEQVASNSRQTSRFARRILALPNSKQINPL QHAPWHPRETRENAQARIGAPMGRSKEQAAADFIAFQRTIPSSDIIIFSDGSRLVDGR AGGGYIGFQAHNQFLRSSLSYKHGKEVFDAEAEAALAGAQAAIAYPTAQFATNLWICL DNLEVATRLLSPSTGSSQEAFESFRTLAAGWPLYERLPHTKSGSV TSTA_039880 MARKGPGTDGPLQIALLESMSAATTRASEGQKIFSPIAVFLNKH RSQTTSLAPHLLRALTALSDDLASVAQQHFNAYISALKEVQATKTGFALCPSSPEALL ALEAQKETISAFFVNC TSTA_039890 MLQQAAAEQRCISLKQQYLWQPSLQRSPTTFIEPFINWLDLQGL VLISDIDCPTHERGNVLDLSFASSPLALAGAKASIASHLDATSDYQPLITTVPWDQRY KETAQKLRFDTLDHTSFLSLLASNLADTESSDATEEDLNALAKKLTSAI TSTA_039900 MTASLLTLDVKGAFDSVLPSRLIRRLREQGWPTNLVLWIASFAT GRSVQIRLDGEIGPSTDITCAAWPLRKRLPYTKSGSIQIRWVPGHAKIPENKVADLAA KEGAASIPENKVADLAAKEGAASIPPAPHKSSYASLKRYAKTQSLSAAQSQWEKVAP TSTA_039910 MKEVFDISKWHKLRGSYRSPPLKDLLRPDNLPTVSVQEKQDLLV RNLLQNITEAGDIPLDCPAISTTTLPFPKITIAQVEKSILKARNTAPGEDKLQTNILK MAWLLIKDKILFLFQGCLQLGYHLK TSTA_039920 MLEDQIAIYDPNYYIARNKAFGALSEATGVQKSYQVKRRTGWRK VGHQAQTFVKKFADFMGVYSGIISLLKGAGGIYGEVAYETLSILFIVVVNKSANDSKI GDLLFELRRSFPKLDDWTSIYPTSNMKMLVTEVYKQVIEFARDASLYYTQFITRLWMA IGNPPVRGIDKIATRIHQKLAEVSSEATMLLHRRNQSIQGTVENNNARLKTVERQNDV LLDAYERIKENYERFRREVEAEREDQDTQRLQHFKEKLELPFNAPASTSLQAYASILQ RAFPFASSVNPSPSSSFRQKSPSYQYMTSSHLSSLPCFAAWTASQTSCLLLLGGKTRP EARSNIGYTHSWLSPATIDVATRMKDRGARVAFYGCHAGVRADADGAHGARQMVSALL GQVVEWHPQVLRTRMVQWERLVDGKEWKGKKELEDMERERERERESESEQLRTWFNLL KEALSALREDYGHTYGNMEEEKKKVKEIGNVPQAKSDMDKVVYLVLDRIDLVQCSMNY FIKELVGLVRDEGCLIKVFVVMDTGRWVWDTDSFKGEERVIAMPDLDQKRVGLGKMPK VPQSSSI TSTA_039930 MPFTSPANHQAVLQLRYNELLEKRVAQLESAIETSARPSSQISE ESNNKKVPPTTDPRKPREVEEETSNGRLKQTDSSKIPDEAKQGDGDAAKASKSRYRNV VRQFNKDTGVHEDIDVGGLDPKRDDDGEVAFVFRKTLPNDFRKNETYSEIDVEAPGLR ALLRDCVGADYPGQNLDGDTVNLVTPFAPLIHNWEVLQEATKLRTDDDERTIQARQDL EKLLECVTTAPELEKYFKTRESNLKAHITTYETMWTIFPPKTKIVAKLFLTQVQLLEV RSAPIPHRYPMPPSMKLLAWCWDWNGKEMVKVYFFLSIDRFWGTKDINQLFCYPLQYH KDESPDDLCRMLRERGSLYNKIVRSKPGATQMYLYNGVALAERRNVIKPKRDTIRMSN KDNDDSSDDEDVSSVRAQTRRRKIVPVGVSQKAVTTSAKASTGERRFESQLLGENEPV WTGDLDSVTSDTVNPEETSKKDPSEDQSLLYPPRVLGYSTEEKIWGQFAIYQTQSAPQ KNASLFQDDLELDQNYKNMIQALVEEHGERVDSKDPNTSSVKVKDVVENKGKGLVLLL HGPPGVGKTLTAETIAKATGKPLFVVSVAEIGLDASKAERNLDQMFYLAGKWEAVLLV DEADVFLEARTTGSDPNRNALVSVLLRVLEYYDGIMILTTNRITSLDIAVQSRIHLAI RYDDLTRQQKQNIFQLFLKQLKPDSISDFESIMEYIKEYGSDYKLNGRQIRNVVSSAL SLARSQAKGNGGDERMTVKHLKSVLMITKDFQEQLESITMDQRSANEATRPRK TSTA_039940 MVDASSPRNVLNLRDFYEGGSSMSPSSVPNGYNEYEQQVISKGL EMRVKEVEQKSISKKEPTPMDPCRPTSSMEKQAGGESAVGEDSNSKNDADIAPRDKDR ERLRAATFGGADVHELEWKNEQASRETKELISQIERCELINVLKAKEIRLIQCGEGIR EDFTDQIKNLREKLRDSKHREQELQTQLQNSLQQLVEATAQKISQRSSSATNTQQAIY QRYPGDINTIAQQSQRISYLEQAKHHAEQKAQKSALETHQANLEAKCIRKELTELRER KQQKGEKVFALTRLPGQRGIT TSTA_039950 MQTNDTLILTDNTFANREKNEIKQANILCKPQEKLTPSNLLKFN GGLITEDAQAKDDFKSLNKRLQWQLDNAERRLRFVRLDLDSLHLIIFADSSFANNKDF SSQIGFIIVLADGANNANIVHWSSIKCKRVTRSVLTSELYAMVHGFDSAASIKSTTTQ LLHLTKPLPLVICTDSKSLYECLVKLGTTQEKRLMIDLMCLRQSYEHQEIAEIKWIDG ESNLADIMIKSNPCRALQALINTNKLNINVDGWVERSTTPLTQYTPIPSPHQSCTAHY TVPIS TSTA_039960 MTTSTYDLCLLHCIDQSQGFGIVGMQTDDTLILANNTFANHEEN EIKRANILCKPREKLTPSNPLKFNGGLITEDVQGITLTQERTCKLIRPVQDRYADTTS SRGKVRKDVSPQEQYVAQRALGAYIASVSQPEASFDLSFVIVLADAANNANIVHWSSI KCKRVTRSVLASELYAMVHGFDSAASIKSTTMQLLHLTKPLPLVICTDSKSLYECLIK LGTTQEKCLMIDLMCLQQSYKRQEIAEIKWINGESNPADAITKSKPCRALQALIDTNK LNINVDGWRVSALDISCSPRTIYSILQESGYSHWKARQRPLLKPEHAQLRYEFAKKYA DWKYEDWCKVIFTDECSIELGSGKQGRWVFRLNRAGEKWKQRYIQPVKNGKGIRIMVW AAIWGSKRSDLLQLEPDFESKKQGYSSVSYIQILEEMVPTIWEPGLIFMQDNAPIHIS KKSRAWFTDNAVKLLEWPPYSPDLNPIENLWFPLKAGVYVVNPDIELATGGVDKIREI LFAAAEPSWSNIQEVRGGEEG TSTA_039970 MYGGKETPAMQESGKAHRLKQFRTTVVRLYYKALDENDQDTNSE HTNEEPEAPLGINSTLPTSQDDEPDTSTPQPRPAQCPQRNRQLPARYRDDLIQLVFAQ FDQSQEKEINSLLENGVFEVVKVDNILKGTRIFNSRFVNEIKNRGTDKAFEKSRLVVQ AYNDKGKEIVLT TSTA_039980 MAGQSGNDTDQAMTEKKTLDATVKAKIKEYTNTYDSRLLRAFKQ DFDKWTLNNFNTVSVTELGKLVDLLQTNEPLNEVQQALNLAIFAPSTQSISQALVQLT KMYSDNQKYSGNEDSYRSKLRIFNDYCERLSLPKNVYKLAFPTMLKGQALDFYYDNKE IWEASDRDPVEGIRAYFEGPEYHRTVLDKWSGISLQNTVNEDPEKTLKVCLNMMLTEL ASLYDHLAPKLRNEEFYLARLLQATHIYLACQLATSKQQDTVPGLTRDLQSGVSQYKD IIKATNGHRTANPSTNIYFTDRRYYNQQSRSPSQGHSPRQHSNQRGRKKCFVCQKPDC WSTRHTPKERADSKRRYLDHFNKRIDQYIADYEGTEDDDEELPEELLSAADDLILTDD YKSRPTHDASSTLFTATFFTTHNNNDTNHGPSITMELANRSASHWIALLFLKPDLETN SYKTNEATLKVLNPESSHVYLNESRYSSESFKGIVIDTGAAQLSTAGYGQYLAYKRIV RNIDINTTTAGTATVQFGPGDPYQSIGSIDVPTPISTIRFHILTTTIPFLMLLYELDR LKLYFNNTCNLLVNKKMGKITPVIHQFGHPFLVWDYSYHTHLLTLFDYNPCLLTDTEL RRLHH TSTA_039990 MGLIGVILEVVAAINTLSVTPLSSYTQNFKHVNPLFYWTDVYYK KFDSEKNIYQERRPGAQSNKLLKATRLSVDSVAFPPDGRLLESGSRDKTVKPWDTATG TLQQTLGGHLGLVLLVVFSPNGRVLASSSKHETVRLWDTATGNFGEAIANSKFPQDSP YLGTNFGTSRLG TSTA_040000 MGAIEDAFNYLNFLGEDEQINFTLVAKMYGVDRTTLSRRYRGVT GSKEAHYDNQRLLNDHQSKKLIQWIEMLCEKGLPPTPYMIANFAHELTDSKPGKNWAS RWLNKHPNALISRYSTGIDRNRKRAGCAWSYALYFELISRKIEQYNLQPNQIYNMDEK GFAIGIMTSQKRVFSRRLYEKKFKQFLQDGNREWITTIACICADGTVISPALIYMAKS GNLQDSWFQDLTTQRCYFAASETGWTNRSF TSTA_040010 MQSAFQPQNNQTELQHEKVEFVAVVPPTQHQRHQLSRSWRHYVF SPIAVNTLAELELLLLTFNIGIQDAATFSDYRCFASNQTGNTVMLALAVTAQGDNTAD LFILANIGISLPMFLAGAFITGQLGNHVLGPRMRVWLVGINFLQTVMVFCAAIIQFKL GRQSQGPAALGIISLLAFSSGSQVVLSRVFQVPEITTAMATAAWVDLMIDPKIWKIRN RPRNRRSFFLLSLFAGSLVGAALYYKAGSPTALVLSASVKAIVTAMLFFNPSEPISDS DDFSPSVMSPGGSHYQ TSTA_040020 MLGRRTDAYWYPQEPWPGRWESRFAYVQEPWRELPHVVIDEREK AVSVHDNITRKKEHIAIYTDGSGYQGYIGVSMVIPAYGKQRTQCIGTEGTSTVYAGEA CGIKFALETVLQIAEQDVRIKKPVIFSDSQAALRTLINPRMVSGQMYIRDCVDLLRKC MVEDIDDAWEKLWDKQKAGKPTKKLVTRPSKRTLQYWMFLRKATSSILIQLCTERIGL AHYLWRINKREHPYCAGGLSGQSVKHIFMECPLYADERALMWTRIKGFRRTTDLQKLL SEKTAAVAIAQFIIDTQVLDQFRVADPEAIGMYESAGTAAQPGLANDKDTDVGTRTNA REDDVRSRSASLSVMTNIDTNTSLGSRDSEPANGDVSRGHKGRICEG TSTA_040030 MDQMDRPSSSNTFAEKNDDPEAGGMRDTSLINDVVQNYAWQNID VQVKDRSTGDPLSILSDANGIVHASEMIAIMGPSGSGKTTLLNAIAHRVAAAKATTTG DLLANGQAANLQKIRDLSSYVEQDDALIGSLTVRETVGFAAGLSLPSSVSKSERQRRV DSLIASFGLQSQSHTIVGTPIKKGLSGGQKKRLGVCSRLVTNPKILFLDEPTSGLDST LSFEVMNYIKKIGRENNLIVIASIHQPSTSTFMLFDKLFLLSRGKTCYFGPISNARDY FGRIGYPMPSEVNPAEFFLDLINTDLVKEGDDVVARTKDITEKWVASEEATLINQEIN DVIANARMPGSAIPQLSDVHVQKPHSWTIPLVLLQRSWIKSYRDIVAYGIRIVMYLGL AILMGTVFLRFTPTQEYIQSYINAMFFSSAFMSFMAVAYVPAYLEDQATFYKERANGI VGPLAFMVSNFIIGLPFLFIIALLFCVVEYWLTGFRTSGTGFMTFLMWLFLDLLAAES LVVLVSSVFPVFVVALAVTAFANGLWMCVNGFLVPITILNSFWKYVFHYIEYQAYVFQ GMMVNEFKHRTYSCATTAAGHYQCSYESSLNSEGLIAGTDVLKNYDINANKMGEWVGI MISIIAVYRLLGYLALRLRQA TSTA_040040 MVSHPIQSLFLGTFPMGFATIINMFCFVCVPTWGQWAAYFALAM WIVDAVISVLACFGIPFIIIVAEVVPNFQIALGTLVTSFVLWGVGVLLSLTVIVIYLM RLMLHKLPPKAVIVSMFLPLGPLGQGGYGIQKLGSVAKTILPQTNTLGAGAGDTFYEM GFVTGLLFWAFGLFWLLCAVGSIAQVRKFPFNLGWWAFTFPLGVYATCTCQLGREMPS KSFAMLGTIFSLSVIFLWILVTAFTISGIHDGTLFVAPCLAVLREKRRREFERERLVN IGDNSPSFKG TSTA_040050 MCIVRLTAEAPLKAVENADLSQPLSQGQAELQDQASDATQTTSA PVLEDFTLRDIATLSSASGSPTVSPGQTQQNLPIIIAQHLESQGSSSPIESIESQDIL EDFILATLYLSENFSNSLQNEIARVPSPLPQPVVIPDLPRFLDLLQGSPDWAASHD TSTA_040060 MGADVNAQGGVYGHAIQAAAQGGHLGIVQQLLDNRADVNAQGGC YGNALQAAAQRGHFGIVQQLLSDRADVNAQGGEYGNALYAAAQRGHVEIARRLLENGA DVNADVDAPRGWIDNTPGSWYGIAEGPWYGSALQAAAQGGHVEIVQLLLKKGAEVNAQ GGEYRNALQAAAHKGNLEIIQLLLENGADVNAQGGEYGNALQAAAQVGHLEIVQLLLK KGAEVNAQGGRYGYALHAAAQGGHVEIVQLLLEKGANQDMSRSFSYYEKGADANAQGG YYGNSLQDAAQGGHLKAFQLLLEKGADSNALQATAE TSTA_040070 METPPSRYKFQIGWICALPIEAAAAIQMLDENFGILQEQERTDS NTYTLGRIGRHYVVIACLPDRQYGITLATTVANNMMRTFSDSLCIGLIVGIGGGAPSA EHNIRLGDIVVSRPEGSYSGVIQHNMGKISRDGKIQRLGSLNSPLKSLLNALAQEEDR ITREDGDPQTHYGTIASGNTLIKDGKTREAIREDTGALCFEMEAAGLMADFPCLMIRG ICDYADSHKNKQWQGYAALAAAAFTKELLGYVPKGVSQESLVADMCSLLEDIKEDQRK AFDQREGHHREKMERVLTEDQRRCHQAFKTSTYEKFKNINPNRLEGTCEWISADPGCG KSVLAKSLIDSVFGASDPTVSIVYFFFKDNDEQNNLATALCAVLHQLFSWQPQLLRHA LPFWERNKEKIQYEVDNMWRIFMVATSDRIFENTLIERLREFHNRHQASQGNWLKFLV TSRPYDDIQDRFRPVTEFFPQIHLRGKEENDQIHEEINLVVKVKVTELGKDLGLCADT QERLQKELYNMKHRTYLWLYLAIDDLKSTLKHSLRPDRETIPPLPKNVPEAYERILDR VPSDQKAKVKTILRIIVGARRPLTVREMAMALGVATTPDAETAMEAGLSSNKLDQKIR QLCGLFVFIKEFKIYLIHQTAREFLICKHDNSVSFYWYLEQSKTEAQMTEICVKYLHM NDLVSDDRASKIGPIISEAYHVQKLDWCNGSGGCMTLPPICFICGFPNFGGQ TSTA_040080 MEGQQQSTSFLVNDLRIPRFTQGLESEPLATEAAAQTSLGSPPS NPPSTILFDEELPPLQLYSERLASGEESSGAQNLFIPVIEISEDEGDSSTVLSQPSRP RRRNTRHPDYAYRDYQVTMDHAISASSLGKRGREDSDTPSLPSKIRQLVTEIQGQYES LEKQNEQSAQERHRWKEERDRWKKKKNEWKKKERELHRQIQFLQRQVNEQKRRNILKC VVCHRTFNKNWNVFSCGHTLCKDCVDDIHSKGSLFKYSCVQCGRPIQACFDFYPNVVE A TSTA_040090 MSITSPSSHHLGDENIAEERRLRALMVQHPPQIPYYGVYVRQAS GQAGAHEALGTKRHYRPNLRGQTSSAGYIQKVKAIRAKVQKGLDSVSDQHTALNDQIQ ELKGELENDFSDLDRLVASLTVHWVRIENDIAREYGYEQADDDQLKILSLAYTDAEEQ WYRTHLEI TSTA_040100 MARKGPGTDGPLQTALLESTSAAMTRASEGQKIFSPIAAFLDKH RSQTTGLAPHLLRALTALSDDLASVAQRHFSAYISSLEQSTYATITQYAPVKSTPTTH SKAQVKKPMPLVKQPLPDNQLFVRLPADHGARKMEAYTIYSSLQSQLNSNSSALKEVQ ATKTGFALCPSSPEALLTLKAQKEIISAFFVNYQIERSSRWVSKVGQILDGQYSLIPV NPTLLSLEISETTGLKPISISETATSAANPDTLSSSCYTFLALLLMLVTSLREQQLSN AYNAGNGIMHNPVPAPLNAGSVAP TSTA_040110 MPQQTPSEQAKPRKLLQPYQRWQPSLHRSPTPSAEPFINWLDLQ GLVLISNIDCPIHERGNVLDLSFASSPLALAGAKTSIASHLDATSDHQPLITTVPWDQ RYKETAQKLRFDTLDHTSFLSLLASNLAGTESSAATEEDLDDNHGLCSKTDFCRITRW SQRQFWQDKLSTVTQMKDVFDMSKWHKSTGTFRSPPLKDPLRPNSFLAVTIHEKRDVL VCNLLQNLAEAGDIPLDSPAVPTTSLYFPDIIMAQVEESVLQAGNTAPGSDEIPICIL KVAWPLIKDKKLKKTDWSSPSSYRLITLLSVLGKGLERLVARNMAWISIYYKVLARQQ FRALLLRSANDLTICLTHDVEQALNQGMTASLLTLDVKGAFDAVLPGRLIRRLREQGW PTNLVLWIASFATGRSVQIRLDGEIGPSTDIACGLPQGSPVSSILFMLYIAPLFCLGN PRNRFGYADDAANLAISTSLATNCKALSDSL TSTA_040120 MPPTTDYSKSFDPTDKDAKTIEKYIIAQIEDYTDLYNEDLWNTF REDFSTWTEGAFRIAPLKTLINLPKGRQYAATTLSETIAKLEQHEWTESEVIYHVQRH GIFSSPNIELTYGPAIRRLQATTPKAPQQAIHSEPQATTISYRMILQSQRNKERDTTP TPIA TSTA_040130 MSKNPDKPILECLKIMLRDLQRLYSKIRPELRNDVYYHSKLVLA TRLVPARSPRRYQSEALKIYHVCHKLDCWSTNHMEEERQAARRPYEAAIDKFIMESKR QPPTPGKRFESYLAEIDEPSQYDLPDRTKSEPTMATGYFTVVTTDHAKFSPSLASELA NHSAAHYLSCLLGNNQEYTAKLTPSDKDNTNIWIIPSSYHLTVPEYAFLTED TSTA_040140 MQERTSMMMVSVPIEAHWLIGTIEHYHAVLYRSYEIISEEVPEL APELALQMAVKAVNDTTGPDGYVPTLLIFRAYPRMMEYSPPTPMVAQRAATVKKAMTE VQRLHTVH TSTA_040150 INDALNTRNRPSSTLIHRLPLNLDVLVWREGGTRYPGKWKGPYK LISINRETCTIDLLNGPTKFRSTVVKLYHKDDDPKQENTNNNDKAEPYSAPTAPLIEL SNLRSHYMNQITPNLNHNAHNANAAYHLDIRMILRTYDESRKKEVNGLLERDIPPEAR IYGFRFVDEIKNKGTNKVFEKLQLVVQAYNDKDKEFILTQSPTIQRSSQRLILCIGAS KDN TSTA_040160 MKQLAMETSTYDPCLLHYRDPKQGFGIIGMQTDDTLIVADEAFA IREEEQIKRANILCKPREQLITSKPLRFNGAVITEDAQDHPSDIVNSRGKIRKNASLY EQYVAQRALGAYIASVSQPEASFDLSFAAQATQPDEEDIKALNKRLKWQADNPNHGLR FIKINLRTAQLYAFIDASFANNKDSSSQIGYIIVLADAQNNANILHWSSTKCKRITRS VLASEMYGMANGFDAAAAIKSTLTQLLHLLEPLPLVLCTDSKSLYECLVKLGTTREKR LMIDLMCLRQSYERQEITEVRWINGNSNPADAITKSKPCRALQELIDTNKLRIDVNGW VERSLMKRNSKLKNVRFATPVTTPAP TSTA_040170 MAEANIHYQKAGLPVVTPDEDEELTQALTSLADPDSLEEGALLA DGADPSGGNQLETVYYVNFNPNTSAELKPQLEAVSANVNLNSNFFSVKADAAVAEKIP SGTQTKKANYKAKVINHLAQTAPWYVLDNAMQQNSISYLLYEYTLTYGPRIANVGLQT QKKNFKVEKNVFHDTLVKTFTHGLNLPETITAKLENFLQNVKNVIDKASDTSDSLTFF ILITLYQQDDVAKIWRPYIRTIYFRVDQSLSEYTKKKGDKSSGKDVNVDFEYVQSDGQ FNNALFESNAKTGINQLINAKSADFIKNTLFDVSVDD TSTA_040180 MSTSFLSGLLSGDKEASKPALDTTPAPALEPIHDLDLANILYVQ NIPDVPDTHKPALYANVKSINLWVAWELTRVEGLIKTKVDGKNLPSDDSVASKSKRTG YRAKVADSSRGQSPCVKATEDKISFVVLYALLGIINVPQSPQLSNIVKALGLGFDGTG KTVQPYVWYIIDHQLDPVRQTPEAVIKTFSFSVTITESTASTETAASTKAASAPKEKT YEAIINYTSSAVQFNFGLWNTNAPKDDEQLALGKKILAHRRLNLLEI TSTA_040190 MSNPIVINGRSVALSHDLDSSSTNYIILRTKGEPLNKRQKEKLK DLDVVVDEFVGDETEQVYLCGFKEDSLEQINNLDFVDYVGIYANEFVVPENLQADAQA ATVNVDILLQRDVAEVSEELIQKIAEAADVDPEAIDLEDGGLQIKVDADKLEKIAALD EVRVLHTINEPALFNNVARKILNLDDGNDSTRAQEIVYTGKDQIVCVADTGLDKGSDK DVHEAFSGRIKHLFSWGRAESHLADDLDGHGTHVCGSVLGKGEHNSQGLVQGTAPDAD LIVQSLFSGFNVLNQARLGGIPKTNLAPLFDQAYQAGARVHTNSWGSPLPSTKIQRPY DGRAESIDLFVWEHQDMAILFAAGNDGQDADLDGTLDGSVNPRSLGAEASAKNSITVG ATENYRPDLVSGDLNRPYTYGGFWVKRFSMNPLRDDHIANDPEVLAAFSSRGPTAEDR LKPDVVAPGTAILSARSQNQKFLAKVDQAGKSGDSKYMYLSGTSMATPLVAGCCAVLR QALRANGYRDERPDGVKNPTGSLIKALLINGAVPIKGQYMPDDAEEEHNPHSGFGRVD LAGSLPKLNDPCSGYGVGVADEDDEAPFKLEIPVPDLKEKQNTAGTTQTEEGQWTLKV TLAYADLPGGKLQNDLNLIVVVGEHEYHGNQTKRKFPVDSKEAFDRQNNVERVSVPTV STGKVQIIVKPFRFMSERVPFAYAWRFS TSTA_040200 MSSSSNVPEAHEIVTLGALAQVPAFVNEEEDATTTSDFGVLQGA VDLLGAAAGEIVARATSSDLFQGLSSTRPEPTVIEQVVGRAQDISNNFPPVWYVNFPA DRDMTESMRESLRQHSQAILDFKCWSSLKWWSNVFQLVPTNDHPLNKLIQSGLFVQIA MMDLPQTPWLRSIREPVQSGQSITCPFSDLHANIINMAMNAFHQLDDLSRNALEPVLK GIVTSASSATVDHKDLKMVLAEKYEYKRETDSIVSSIRMITFAIGESFYDVQDGKNSR SRWVSCEVGFVQYDAEFDLEGWKNHAVTLNAETKRATEDFINARTINIPGDQGDLIER QRRFIMNADIEIPLD TSTA_040210 MFKVQPKGKGKDKIRMDLEDEDKGGNHAYVKIHVCQASYGDCLA LEFDENASSEASVGLKVVENTPLINVPNPLLNPQGQKDAVIGTGSDMTMVEEKGKKKV TKSSKTDMTMVVENGKKDTGIGGESDMTMVEEKGKKKVTKGSKTDMTMVVEKGKKDVV TGTGTDKKMVEEKEKKKVVKDPTQIKLIQDSTLEKHRKKGKTRKVILIDGGPGNYQIH GTRVPPTTPLAVSGLWQKVNMYAMLNTLVPKGSPNTLDTLVITHDDADHINGIHQLMM SMADRSGAGHPDFQFARGVEALEDKKPPFNNIWYNSPTLLFKNNRAKGWLDQDIETLE AKWNVKMPRCNAEFGKIPNEIPAFNKVIGGEDAKTLLFKGKTERGRLQFQFLSPNYNQ VYNLKKLYEEGKKTEKKSREEKVDKPSNSGKGSKGGTSSKGDKDRGRRGEKDRSPRRD KDKGLKGGRGYSKGGKPKMYDDSEDEEPDDGKGRNIKAEKAKASMARMARKQILQGIE WEGLPSANGNAPIYSATGVAEEVRLSEEHENLIAERITGNPRDSSAKNQSSLVFVVRD KANKFSAAFTGDGNATASFLQMWDGPPIRYNVLKSKGQIQNKTCDEHMAADRLTTFMQ SCTTGVSTTTVGTPFLACTNRLINPAIVGWSTSKVNRRIKTRGTAFKKQMAYIESNKP LWSKVPKICTKDKQVQISSAATEGHRNPHLSTLAGIITRAIVCQEENGIDIYLTSQVL TEQIEGFMRNFGELPADLELELQDITNEEALNAARMMKPKEGELKLFWDEDGSYYRIW QLRPNIDPKFGTIILDPWNKTYDPNPAWQAVSFTEQFWIEFHEQNFTTKVRTTVGGVD KRPMVKKMKEKREERKKRKEEVKEEKAEKKKQEIEKKKEESKKRPAGKTLKDKPTTKP GRKTNTLITKKNDSEDEFFTLQADDSIFLDEPVSNDQVSIMNNSADPEPTQSQVDIQM EKVLKKAVGVEGLLSPDTFTKFDIKASRLISEGLTAFQVIVDYLWFPDTESSVDFHIR YANDEFVADGYKMTLKNLWSTIPRGLSTIDALAASIMLPGKDSSIKIDDFLSIHDCGL VVERTSPIINDLHLKSIFGTASFSDEKSFQPFGDIITLRLLTIRLQIGNLFSITPLKV FVRIQENISIKDVLVPVVFETRRSRGGDQTIYQLSYIAAAAGQGLTPAQILDWLSPGD LDLSAIPGLKKLMKSVQILSLTLGWHGSTSNKSFSAKPDFIEITIELDQLKLVPDILS VERAILELRLDRAASGYDLSLTSHAEITIGQCAVEFFIAYGDRPEWFNVYGDDDIPGH LKLSLNTTYSGSLSLSNILGHFMPNVQCLPPAFQTLLTKTGITKFRLGVEENDSGHNS ISMLDIEIVLEEDDITVFEGLSISRPQFNLRIDYPSDKDRRTINASISVTTTIHDEDI KATIWLDSGEDTIVGVTLFPTDNDIPLGDIITFFGQKLSECLNLPTPKDFSYLEDITA GPIYLSFRKSSDEGFKIDSFFIAVEADREFELWNSPLVSFSNVTFAASYIRGSGMHIG FGISLAVGSYQLMGNLSYDCAYHDSTITDESQKVDGTPTKDLTAYTAKVRFEGELSLH EILSKMTGVNIKDVLHQTQLDSITKYTDITIHTVDLRLSKTTSTWAIKLAADLEWLAF NKISLEAYRAESWAFNLRLECKDGPLNILPDSWASDVRQYVDFGDTKIYLFYGSMKDS LGNQQLSLDKNSMGMLQSKGGVAIATTLKFGSKLDLFKEWLKVEDIKVFGAAGPEFFI LGVELKRLTLFQDESLSIVGRFLLFYNSGGIAIGLEGEFGLNLPAISNTTIAGTVEAG IDLSNGRLALGFTTQDNLKNLCKVQGLDLDAIVIRLWLDPAAEMIPTRFDLHGGIRIQ GINNVSGQFIAKDPLDCYIEGEIKNLNLMSLLGKFAAALDLPEAIQSFLNNNGINLQY LAIQLVPKDMVDVTNGKILRRRFYFEGTLSLVINGDPIWAGYTLIDINDSGFEIIALM EPIQLVHEDVFSLKRFQDPSTAQYKLELPKVNPDYLTPRMLNEGPVLKFASKGPDPVF VSAQLAFLGTYHTLYAVAKESGFFATFKSKAPIGSFELTVNLVLNSNIDVVSILMLDL GEQFLQISGALGLLDGALDPKSFKKASESSTSVSFSGTLKIGIAWAAGRDPLSVELFG KVHAFDHDWDLGSISVKITEDDLRTLQNLASRLWEYIKNEFSNLVMGWIRDAETAVKT AIAFIEDNWKLVEQDLARLVADLKDLPVASVVEPVLRELQTTYEDARRVYEDLGFQTF MAIKLLIPIYGENPPPDRRPDNLPDVPEDILDLPKPPGPSGPMGIPISSDLLRGLPGF ENPPQKPTPAPEPVPVPATPATNPFADIPISFPFSTQKPASGSGDIFKGFINGFGISN NVSEDVLAAAEAEEESITLEAAPEDVHTPLQQASGVPLNAVPQPNVIVARLPTWYTNE IEQYLESTITQSNSSLSHAEAAPVHNVIRQIASFSKGEVVNIAKSLRLSGFSDADIQK AYQDSFGQDMPPQVLARV TSTA_040220 MPPIRKKGPLKSTQDEGKIELAISDLKNGRIRSIREAARIYMVA RTTLQDRMKGVPYRQITRANNHKLSQSEEDSLVKWVLDLTKRGLPPRHFLVRDMANYL LSQHGDQRVGNKWVYNLVQRRPEIESKFSRKYNYERAKCEDPKIIQGHFDRVRDIISE YGILPEDIYNFDETGFAMGLCATAKVITGSDRYAQPKLLQPGNREWVTAIEATNSTGW ALPSLLMLAVLQF TSTA_040230 MFMIIPTFRKQRTECIGTEGTSTVYAAEDIRTKKLVIFSDSQAA LKTLMNLRMVSGQTYIQGCVDSLRKCTDENIDVTLRWIPGHEGVPRNKAADRAAKRTA LIGARRQIVPGDLSSEE TSTA_040240 MPLYLCSYWTSSGAYDNAHHLRLLHNMKKRHLGHFMPTKIQIPE GISGQIPTLTGIPQGSPISPILYLIYNADLIEDCADIVNHTTTSGWVDDVSLMTIVFD TKKYQLIHFVNPRSTTNPELQPIQLRDEVKIKPKEAVKYLGIWLNTKLSFDTHCDEAI VKAGTSLEALRGLSGPTRGAALGPTRRIYQAIVIPQMLYGAAAWFQPDLMSQRQITQT VRKFTTIQKRAACLISGAFRTTAAEALNIELHLMPIRLQLDQLTKAVAIWIRTGPTFA IPDGLVNRRTSDELKLGGYTPMEAHAWKKGGCLLAPPGTLAGK TSTA_040250 MQQNTTANEDPTPHEAAQIQASTRKRTNTTKSTTTKSISGAVKR TKTSTTAPIVPTEINEPTASTTHPQTPEAPTTSRIIPTAEKIKAIPFTAIKKQPRGRP PKSKSSNNNDENESEPTEQIQLAIDITQSSAPVETIDPTLLSKDNMAKSMAPVTRSRA YLEQIRRQRIQAHRQVRTRSQDSDEIPLPRISQLPQINMTMEDNNVILSSTASYRELN DNTTIDSEGYTELLNSFHPSQGPRAAPIKEEEKEDDLQTYNTHKSKDEVMATFLRDPR ALRASVIAIQEPWRNELNNTTHQPASLTHQLLYPKPNDNNDNTNNNKRARMALFVNKS INPASWSHTVISPDYQILHIHYQRCLHSNSNSEPHDLYIHNIYNEPRSTTFTHIDREL TRLGCSQTTAHIVLGDMNAHHPAWGGPGTKIDEQATELLEIMDRHGIELATEEGLVTW ERGQSQSTIDLTFLSTTLFHRLVLHERADEIQHDSDHWPIRMQIDIDTPTHEPPRRRN WAAIDIKVLQELLSQLIAPRLINTSKSHIKLATVAFTAAIRKAVDQSVPWARPSAWSN PDFTPECKEAVRTCRQLRRQFSNTHNPWIWRAYLRARNKKKRLVKKSLRLGHRRCVQQ ATEQGPLGL TSTA_040260 MRIHILKHPEIPKNPRGPPRAFTHHIHIYPRVHCQRFFLSGVGS QYFAVTPSLSLINQEANRIEAEVNTALAQSEAIIDTASNMIQSHRAPTEASPWLEMTR WGDYLCGYSFQQVALLGARPDPLQEPLLAEFASSVSRIIQQAHQSIQEDKINVFDQVQ INSFLQHRRAWDRPLFIQLKKATYRSYEHLWQRLLSFVHRSTQPEQPVQLRHRLTPRQ LQHLDEMVEYGIEVLAYQGQITRPLPTVIRGSTLAEAQALLDQACLRLSIALLDHTLK GDLYESAVVGFLAVLGVDAEKRTFRDAYSYTPSLSGLVKMAQMLVVQEAIIQADEDQV EHPADALDEMRERFLIHGTRSPFAWITRLRTYGKKIQNTTTSLGYIYWSED TSTA_040360 MTRSAPYEKSKFLGSGGSMVARLKLKEIDGRAPQGPGPRLRAAG FLGGLSAQADGNVLGRTRATLTGPASTSPWPRGLGNLVKPCRAGDRALQLLLFNEECL VGTSHQLVPITSLPFVHTARRYYRLNGSVRPSDWLRGVGNDRPEPESWSNLVI TSTA_040370 MTRHLATLRACGTQAFQPVIRLYLKPPSGHREAHYHLVVELHPY PGVSWAQIPLVRTSSKLVVKRTPDGRSLPRASTTRCWRAPAGCPASAHLLQGLPPKAQ RARPLEPILIPKGCSPWRPAAVMSTTWRENYSFPRIFKDRRGRTGPGKGAELCQPWNP SSGQTDFRVIGCQEEKRTLPRTPADVSAFSYVAVENPHPGAGILTGFPFDGRRTRAHF QTELPYVLDRLTHVQLLFTWNLSPLQSSKFSFEYLLLPPRSAVGAVRPGITPKDSSRT PTPAYSSGHRFYPDGEVWVARLSAIHFQG TSTA_040380 MPFNGSGYQGYIGTFMVIPAFGKQRTECIRTEGTSTVYAAEACG IKFALERDGFQAMRTFPETKPQTGLQNVQL TSTA_040390 MVWKTSLVQTPWQEPPRVVVDDGYQGYIGAAMVIPALGKQKIEC IGTEDTSTVYAAEACGIKFALQIVLWLAEESVRLIVRKPVIFSDSQAVLQTLQNPRMV SGQVYIRDCVELLKECIEEGINVEIRWIPGHEGVPGNETADRAAKRAAFVGARHQVVP RDIKNWTMLAAAAKRRVCQSAKNAWERSWDKQKAGKPTKKLVTKPSWQTLAYWNYLRK ATSSILIQLRTERVGLAHYLWRINRHDRLYCECGLSGHTVRHILMECPIYIDEWNLMW SRIKGFRRTTDLQALLTEKAAAVAVAQFIMDTRVLDQFRQADP TSTA_040400 MLSDTCLRENIRFDRQEFCQILPYFELHTISYTGRRTPSPSMAL AILLARLSYPRRVRDLEIFFGRSFGYISTIFNDVLQHLYRRYKRLLEWHPLLTQERCK AYAQILEAQGAIPRGWGCIDGTFRATCRPSKNQRIAYSGYKKRHGFKYQGIITPDGMV LSLIGPFEATFIWRYWISGMSFCPNTISEDWGIICTSNRDKR TSTA_040410 MSDEPERVFSGARRTVSWERAQMGPDTLEKWCSALGVELLSNSA WRPALSKEQAVNTDYIIFLAKVRQNVELFDILSNFRQDAKSSLQATEYIIITSSPPPP VRSQPTTDTQGTTDSQATSYNSTDDSDSNEASISQNTASQVKNNKRGRSLKDEELSLL FKCALDLKLDYKPKRKYWEAVEDRFVRLIGHSYSWKSCKSQIERLSKKRRLYLAQYVT GREAEATSELDELIDQWNDFIDGYEKDEAEKLAEKNKYKENSQLVLAYRDQLVSTGLQ KSKKQAPEELKPSEDDTGSDNRKVPEYRKTATASRPSKKRSIQEAIFALVDVLEEDRQ ASKKPESVAKKSELERLSGDVKELQNQYKNLDEKLDKLISMIGEKRTG TSTA_040420 MDSGNASQKQFTVAIVGGGIGGLTLAIGLLRHNVLVKIYEAASA FGEIGLGLSIGPAAHRAMPLIDPQIRDIYDSLITTHADSPGYEKFRQTWFEVIWATGE KSGQRLMTLNALPSDQTTVRRSDFLNALVNLIPPGVAQFGKRLVKLEENTACVTLSFE DGTTVVADVVVGCDGIRSKVKESLFPDDLERVQPRYSGMYGYRAVFDMETLVEAVGDE RARVSTWYIGKGAYAITYPIMRAKKVNVGLCTLNDTWNSDEWVRKASKKDMQRDFEHM GEYVNSIMKYMSDASQWAIFEHPHIPTFAKSKVAILGDAAHASTPHQGAGACQAIEDA HVLAELLADTRVTSPELVAIAFKAYNAVRWERSQKVVTSNKENADLLCLCYEGIGDDG QKLQDTWQERFRWLWDIDIEEQAEKARSIMLDLMHHQHLLPDS TSTA_040430 MALGFASGGFAIARTVLVPSLTSTQDPTCVFGQPPKGPSAALQV GSVSITSESPEQKVLRQPNTQPDSKQHSVLLQLQHPLFVLYLVITVTGTRTQPAGKGK EFVRRAIVPFQITFAPITLLMGTYIFIALGLPIMQASTLATFMEPPVIAGGYGFSSLQ MAFFTMTTWVGIICAQVYGLFFNDQTPLWVARRRGGTWHTEYRLANTILLSILLPIGL GLWGAGLQHHLHFMVLALGSFLIWFGALLALPVCYNYIIECFLHNPVEASVSLNAYRV TFGLISVFITTNWQAAVGIGWMWGMGAFFIVFVDLIMIGLILKGHVVRQWTTKLSKTL AFTEDGVAISTKHDVVA TSTA_040440 MSSTQSQQTIVVVGATGIQGSGVVRALLSDEYGGPWFVRALTQD PRSGKAQKLLSECQTTDNRLSLVSGHIYDETSLRSAFMGAHGVFAMTSERYPGKLITE EEELKPSRPLSIGEVLESNSNSSLRHQPLGIRIAAAKMDEQGLLSEDMYELLSNWDEK ACSAAKPHLLYTVPSGQSPTGATQSAQRRQVYKVCQKYDIFIGEDEPYCFLQVEPYKA GVPPPSSCEEFLKVLLSSFLKVDVDGRVLRLESFSKVLSPGTRTGWIVGSEQIVERFA HHFESPERNTPATLREK TSTA_040450 MKEIFAKAQCERLEPANRLPAIISQNTLDAVLRLSKMTLDQLLQ APHNEKLILLKFGPDIVRIAAAKEVLRECDAWWAVDLYLDEADGYLRRQLREQYSNQT NFCDGDIYSKIRVYRKQRSEASRNNDMDAVRTYRFAEGRWWARLSASNQGILKQLFDK KHKKYVTELDAFLNFPALLAGDVTVDRALANNTEWINMTDRPTLRKLESRIPKFCKED ENMIRQGMSNRNSDEEEMSIDESFKEMFTDINQKDDEVLIQVQKYSLIPYPGEKDAGQ SKPQPEKDITVWYTFATLAYQLGFESNKIQTLKSQDPDREKARAVLLGARNPNEFIYD EVLFARFQDEICSMCATATERSTATQLRFRTIDGGESIKRRSGRPLHINIIGNDFSPM LSGAQWRMTARGVTALSIRVSICNAYFGDCFSKISTNPLGPAFSISKPLNGMNATRDA LQKLNDQIGALQEELDFTRRDKQSIDSKISNFRRGQIANFRSQLGDLESRMHNAARNA SIVDKAKAQMQSRVRELEAGEKLQKEGLEKAKDEILSLQQSMVSVKNTNEALQQQLQD LRKTNGAVVQQRDYLEGKLHDYQAAQSIDDGNSQARIEALTIENTENTKRFAEEADEN SKRKKRSLLSYEKLNATSLERRRNGFARLEAELKDIPQLDLHNGNQFQLHAENQEPGS MMEMGNQNESADTSPRSKVAWYHGDGNKKPDVQDMSLLEIKRLAKKCIRNRRFLFDRK TDRSLNPESVAESVKEGKELETVVVSSGDVKAINPPKGLVNSSTRNRPIIQLPEGHIQ PTITEVV TSTA_040460 MLPTLLIVVLLHLFRLSEGGPTFLEEYRGSYVPKTIETWYGLQV IAPDTPYVARAGPNELYFIDTRFDNETASHVKEQIEKAMLPKADGYYFSIDGTSATVE IKNSETNETTFVFDPPYARVIFAQAINKRNPELKLPEHEPAGDWLVTYDHQMAFVPGA TSGATTTVGQELPMLLAGRPESVDLLLDAGADPNLPGQYGYTPLRTCADFEVEQSRWR RIVQNGSAKAKIAGSIFLRDHHQPLSADDLDGSKWRSHSLTQESDSTHLDSILNSLVL HGAKISGIESSLREAFDAAVLHRRDYTAECLLRLQSRFLPDMNLLQGSEIEGFVATKS RVESERSSLRQENSNNSRQTNNASRRQSRALYLNKLLGLRQYDMVKETVSNIDVSELG GFWISGHIPLLHTLARFGLFDVLKRVCTREVASKFDDHEWCNQAETAYHVHKNDIEPL LKVACNREIPNMAVIRFLVEDMSVNINATSRKRVFVDNGKQSEHVSGHSVLHDIAKGK TWWNVHEALPYLIRKGADLEVRNGSGDTPLHIAVEQKRYKGVFYKEAVKILLESGADA NTVNYHGESCLSKAGTDTGLIKLLLSHGAKISSAAIFSAIGLHQGDFANLRRPTNGIL EGIPPLYHAAFCRVQDNRVKDNDLIPSRTRMMTVLLRHGADPYATIGKLHRVSDGTFK GDIESEDGPESEWTSKTYTVIHEILHKGFVAEPLFDLPSLQMETRDSTGQTLLLAASR GRNMKQFQDLLARGADFTARDQEGRTIVHNLMKHEPEETTYECLKALFNQYPNLVHMP DKAGDTPLHYVLKSQEPHLSDYFDRESERGCRRDIDLLLEQGADPLQPDSNGNTALHF FALRPLRFKSRIEQFQSLGVDINARNKAGNSPIFEYIARGQLRAGGPYGYIHNRELEN HDDVHYLRYFKQVGVDFFARDNAGSSLLHVLARRKLNSSRFVHFEEERTVPIKNLVNW FEFLTGIGLDPMLEDAQQRTCLDVASACGNEHILKLFQQKPVE TSTA_040470 MSTSYKNTVVPDQDAVKSLRELTASVQDLCSIIHSEHSSSRPDK SREELKAKDAISNTVYEQINKGRPEKILDWKKVELMPVGEIEVENKQFKQKYEDFWKD IDESTQLEKEAAVQAYTSAFSRFQEIYRLIGWRDRDDEDAMFDKIVLTESRDLLIESI FGFEVYQMSQRGEFCERSRMLFEHSRLPRLLIILVRIACRQIHIITACGADKVMKERP FNALTVVRVLALTARILKGSQVASSLSLETLLHLCYARRILNQPDANDVDTCGKQFDL LYDCLTALDFTRKFREIREPLCELFYLRYKSESIRELFDRVRTSEEATIGIEWYPELP LEENTDSMFHPEIFTIPYLQRFGRLNIEWTDCLDEHLKIYANRNSIRVFAHPTFFYNG IDLHKAKRDYHESTFRELSRTYGLLFRPTSSRNLQRLRQYVTAGEDDQILWYNSMTFN GRPIHRLKPYLEKEVATNLQKIMDIDPVRYPTKDILSESFYRCSLPPTTQVALDIASP FGVVKRITKRLDQHTVHQPQCNKS TSTA_040480 MLDRAERDDNFKIDGQFLSSPDDFLQEHQGYNPYMDNESNTNQR RETAALVQSQRARICYQAERLLEKVISLYDFGVSLDILVADPNLRMLLVNASTNFNAQ RSVESHGAESAAFEKIRWVMF TSTA_040490 MAHQARNIRWEALTSRLKTMAHQAHNIHWDALTSCLKIHSPEAP TKVKPTNLYFLFEPNQDEKISRFVASFINNIKEHTACERKKYPEKFDVPDGNDLILGD AITQKITPLVYKWRQTHEWKEAIPYQRKSSLRRLCTHNDGFECGCAIPLQERKASSFL RCHTLNNCFEFYVKNKEAFYNLEVVKTLILYEEMEPILRVCAHPDVDYAEWETQGECC CMGNDCGWDTVYESALRAYLALNILYCFPALWDPASSSSSSSSSSRSTDYRSTELYQL MLRYCTSSDSTSEVGKYPHIAFFGIGDDRFRSWPHFKPVLRLKYMPDIDKKDMVRERH IPYGTVPFEDSLNSKVHTTEYLPDVSAVALVGDMLYSKGLPVELVDDVMEKADYTAKR RLVYAHDPLHPGNLEELQQYLKYCWRLMVNCNLMADALGTGIEWENVIYRFLAGHISS LGEKRIARPDIRY TSTA_040500 MRPRSGTTTDRAHTTAILAAVTAQVNSKKPDITTTLSKIRSLAV FVNASPQHRDTFYALQTTATKILPIQDVKTRWNSTFLMLRRTKRLQAIFIPFCTEWER PDLLLNNEEWRQVDYLIWITHPFYEFTTELSKTKDVTTHHVFKIYNLLFKHLESTIIQ LKRKSIPWKKNMLQALEAGQEKLDYYYSMTDAIPGDLYAVSTMLAPDNKFKFFQTKNW DDELRVRYRESFKESLISYVERFTTQQAPPIFQPSHKTGSHLGLLLKKGKYQPAKPKD EFTKYLDSAIAALARDALSVSVTGAGIKWLFNIAWDICHYRCGKLHAVTIQELILFLC ASRFKIDQEQHFFISDFLSQDEIESAKEEEIPAEFELELISDNEEQRTEEERREEDRD DFEDEASPHPVIDVSLPVIEEKTTQVRQSVRLRKRPREKDDQYIYH TSTA_040510 MARLSPHGVTTSNDESDEIPLEDIEIARGNDHGADTEEQGARRL TFDPRDLEDLEGLETDEQVGDLNLQEFVKLASKDAKLLYKSIDKLKKSCERKLQTKDD ALSALIDERDELQQVLERMTIRFTNMTDSGAAPSSYKGPKIPDGKKLRDGSNPRYESW KTDVRGKLRAMKHQFNTPEARILYVKSMCEGDAADHLMARMRDDAADPYLDSDDMFEH LGTVYLDANREIKAKTEFRQLVQKTMRFQTFLSKFNLLALDAKKARSKWKEELYHKLN LEMKRAMIREAKDPACQYNDFVKECNLVANRLEQNAKEEKSSAKEAKDAKDGKASKDD KTNKSSTGQGGGKSAAKAPRVHLSEEEKERLKKEQLYFNCKQPGHINRNCPLRKKPIV DVKSVEESETAVVNQGNDEA TSTA_040520 MNLPFLVTQLGRHEVILGKRWLAENQVLPDCHRRRLIWPEERSL QEELDADRRDELMDNSKPLNAHLEISKKPEPEVRIMHGRSYKKSHEASMIRMKKAFHQ ANQDQLESATTVPPPLPKRDWRENAKKAAKLDIALIGAVGFARHARQPKAELCMTSLY EIDKRLDELNHPYELEEPEIEEIKKQLPRQYWEFVDVFQKSKSDELPPPKPYDHKIEL VKEQELGYSPIYRLGREELEAAKEYIIDNLNKGFIVASQAPFASPILMAKKLGGGLRF CVDYRKLNSITKKDRYPLPRVYSRSLISDKDFTGSECIRTQRISQPFGAVTGPLSLQA AIHKCEFHVTTTKYLGFIVTPEGIKVDPSKIDAVVKWTMPTTVYRVQSFLGFCNFYRK FIEAYSQVAAPLYRLTRLDTPFVWSKTCQEAFDRLKALLVSAPVLAHYRPERPTRVET DASDGVVAGVLSQLQEDKEWHSVAYFSHTITPAELNYNIHDKEMLAIIKALDEWRPEL VGLQREDRFEILSNHKALEYFMTTKKLNAWQARWCEFLHDYYFILKYRPGRVNVLVDT LTRREGAEQEKNLDHRHQTLLPLDVLDPQIAQELGLSEVAKIHTSVNIMSRVVWANYE AAKEESYLALLSKNNGWSVSEGRLLYKDRLFVPDIDDLRARLLDEVHRQSLTAHPGRS KTKLLVKERFYWETWSSDIDRYVDNCMTCKRTNTRRDLPPGLLQPLPVPARPWQHISM DFMTYESTACYSAV TSTA_040530 MATQMKDVFNISKWHKSTGTFQSPPLKDPLRPNSFPAVTIHEKR DVLVRNLLQNSAEAGDIPLDSPAVPTTSLYFPDISMIQVEESVLQAGNTAPGLDEILT CILKVAWPLIKDKVLILYQGPIALLSVLGKGLERLVARNMAWTSIHHKVLARQQFGAL PLRSANDLTTCLTHDVQQALNQGMTASLLTLDVKGAFDAVLPGRLIRRLREQGWPTNL VLWIASFATGFGYADDAANLAISTSLATNCEALSDSLQEALNWGAAEGITFAPDKYEL LHFSRHKADQDPTCTPSVKAGSIIISENTKRLYLRWLGILFDKKLTFKWHVGETAAKA LTVANALRSLGNTIRGVKPYLLQQAILACVLHKAYYGAETWWPGRTRPGPSQISNRVG EHLEKLTKSGFSPPEIELDQIALQTLVRLRRLDPYHPLRRRAEQIARDGRQTSRFARR VLALPNSEQINPLQHAPWYPRESRKSALAQIGAPMGRTKEQAAANFTAFQCTIPSSDI VIFSDGSRLIDGRAGGGYIGFQAHHQFLRSSLSYGHGKEVFDTEAEAALAGAQAAIAY PTAQFATNLWICLDNLEVATRLLSPSTGSSQEVFESFRTLAAAWPLRERLPHTKSGSI QIRWVPGHTKIPKNEAADLAAKEGAVSIPPSPYKSSYASLKRYAKTQSLSAAQTRWQT VAPQTYQDLEITTSPKRPGELQLNRLDLSRIIAARTGHGDFADYHERFNHDDAYLLCR CRARKAPLHFFFCHIAKRRAPRPPGPPSEVISFLLGTAKGTRKLASWLAETRFFEDIC PRQPLLST TSTA_040540 MPQQAAAEQVKPRKLLQPCQRRDLNLLHNRWQPSLQRSPTTFAE PFINWLDLQGLVLISDIDCPTHERGNVLDLSFASSPLALAGAKASIASHLDATSDHQP LITTVPWDQRYKETAQKLRFDTLDHTSFLSLLASNLAGTESSAATEEDLDALSEKLTS AIQGAYRGSAKRTMTQGIGQP TSTA_040550 MPGTGMQQVSELALPGVQPLTRLNAPGNTMARKGPGTDGPLQTA LLESTSAATTRASEGQKIFSPIAAFLDKHRSQTTGLAPHLLRALTALSDDLASVAQQH FNAYISGISTTSILPALSPSPSSSPTPNPLPPSPPPSRPPSGLNQSTYATITQYAPVK STPTTHPKASVKKPMPLVK TSTA_040560 MAKIEPCTNSDLSIHLDWSQPFLPGQKITGYVPRTSRWASAETS ISIALHGKCTTKFFSRDSYMCRSSLDLFGQEEVRYELFNDPLHIQLSCPEGKMWRHPE PTSLRSHPLGRASYLPLLYVDKQALPPSFDLGSSNATTSRNGSAVVEYYLEAMITTPN SEPVMARMPIHLRCQSSPFPITDFDIQIHSRQRYTINSPYLISAGTETVQCSMGQKLG KVVGLSRSHRFTFRLDISVASVLQIGSPYHIPLEMRAIPQWEDTSECLSKTLPTIDII SFTLVLRSTSCILAFATAALGPAPVVREESFMEKILLADYIYSKSTKQIEERKYSSLN DSNSLTLPVNENTAPLDIGEVLDLKLQHEKFSVHEVPTFITYNIKRTYELEWKMRLEV GGETIKVKGKHPVLVMGRAG TSTA_040570 MHDPVHAPLDAGYAAPQSILKRAMSTAAQPWSLISALLDAYTVI DHIQLTSQTQQAEIRKSCAINLAKARTEGGCSSQLSIGTQETPMALDEMPSQPPTHEI ISPFRSVTPPPRAPTEDPPITARAVRFTTSQP TSTA_040580 MTASLLTLDVKGAFDAMLPGRLIRRLREQGWPTNLVLWIASFAT GRSVQIRLNGEISPSTEIACGLPQGSPGAAEGITFAPDKYKLLHFSRHKVDQDPTYTP SVKAGSITISENTKRLYLRWLGILFDKKLTFKWHVGETASKGLTVANALRSLGNTIRG VKPHLL TSTA_040590 MGRTKEQAAADFTAFQRTIPSSDIVIFLDGSRLVDGRAGGGYIG FQAHHQFLRSSLSYGHGKEVFDAEAEAALADAQAAIAYPTAQFATNLWICLDNLEVTT RLLSPSTGSSQEIFESFRTLAAAWPLRKRLPHTKAADLAAKEEAASIPPAPHKSSYTS LKRYAKTQSLSAAQSQWQKVAPQSYQDLEITTSPKRPGELQLNRLDLSHIIAARAGHG DFADYHERFNHDDTYLLCRCGA TSTA_040600 MEKYEVALEALRSLDPGEKPNISLFARTYGVDASNLRRRFLRLT GPKQVQYDNQRVLSHGQSQALIKYINQFTEKGLPPTNRMLANLAEDICGKKPGKNWAT RWKNADKAWKYALNFELLGRKIMQYNLASEQIYNMDEKGFMLGMMIKEKRIFSRYKYE LGGFKQFLQDGNREWITTIACICAGGKAISPSLIYSAKSGNIQDSWLQDFNPKTQRCF LQLQSQDGLIMTLVIIGLLMFLIKRQNLKQAGDGAF TSTA_040610 MLLRLPSVKMRSIILFIAICASWISLTVAVRPNIPRPPASAAPA AFEYSTGWFDQLLDHDKPELGTFRQRYFYSTQYWKGSGSPVILFQPGEQTADGFQGYL TNVTISGVYAQEFGGAGIILEHRYWGESSPVNTLTPKTMQHLTFKNALADAVHFAKNV KLPFDNSTRSSPKNAPWILVGGSYSGAQAGWTAATLPGTFWAYHASSAPVEAIWNYWQ YFVPIQQRLPKNCSTDLVNVIDHIDSILTGSNESAKDDLKRKFMLGDLRDDDFAAAIV GGPYLGQTTSWGPSGVIYEFCDYIENVHATPPANVSSSGVGVTKALEGYAQWWTTTFF PGTCASYGYWTDQYETACYDTYNSSNPLYADRSINNVADRQWIWFCCNEPFGAWQDGA PDGVPSIVSRLVTADYYLRTCGTYFQPDDGYTYASADGKRPDFLNSWTQGWTGTTERV IWAQGQYDPWREETISSDFRPGGPAISSQVNPIYIMPEASHCYDLLWRNGEGNSGVRD VQKKEVEQMKAWIDEWDVSIHKHVRNT TSTA_040620 MEYDAEKGHGVSNYAVDTKGTLNVSSSDNDSSPSDMRNGNGILA QLSALERRMDKKFGMESEAIVRKRPEDKRPVHWREELSMALLWASGTMNISCFATGFL GWEFGLSLKQSIVITIFASILGGALTAYCATFGAATGLRQISVSRYSFGWWPNKVIAI LNGIQQLGWAAVACITGGLALTAVSDGHVSLVVGIIILAVVALCISCFGLKFILVYER YAWIIFFVIFLIIFGETGKYADNTSPASVTGANLSASVLSLLAIVYGSSASWSTMASD YYVHYPVRVSRLKVFLMTTFGIGIPTSIGMVAGCVVASALNNRPDWKDSYDLGIGYLV QDMLYPRGFAKFLLTVLVLSGINVNVISLYSAGISFQQVSRPFAAVPRFVWTLLCFAA ILGLALGGRQELNTYLQDFLSLLGYWCTSYTIILLEEHVLFRRGDFENYDLEAWNDPS GLPHGIGAAIAFLLGVVAWCMGMDETWFVGPLAKLIGDAGGDVANEFTFVVTAVVYLP ARYLELKYFGR TSTA_040630 MNEDDPVVELRGEQVALSESDQPISRTLPAQDQLRQNDSEWEKA RHRWFENTKQERLLVREIRATRDKDLSRILYRAFLEGRRGKGQRLKRNFVTAWPLRPQ DLQRGEYGSSPKVFLIRHVKPEMRNRSKGYAFIDLSGEQPNASPHQHAAAESSDDDDR VSDTSVTKPYGIAGSDYDSYRFKPEPDTRPAAQMEEVLSAHLTKMSKEKFRERLRNHH LLSNGYEPSSDDKLIATRLRPLVRDLIDYLNNLLSGMRYEASERKRKRRALSDWDTVM LVASQRGWPNEVIYRTTKRCETIFGSSSQCGQTSVKFLPLANTERIHQGGITRESLGH VQGLSTTEKYPQPASGNDKVSKRRHFCPVEKCQRHRVPFAQLPNLEEHMERSHSEKLT TSTA_040640 MDYSNSNIGADSTFQRQQNGSDFDDYESPNEIHSHQPYIELPSS QSKYPSPAVGSMGFALPESNGGLGIMNRDMNTGIGMGMGMTMNMDLRFHTNTSMNMTP GTHSLYCENGGTVPFHVQPNLTSSHAVMRCDAQSLLAVGHAVDTNAFMAVGTTSAPAT RPIPIPLPNHSFHSASQFTMTPEFNSSSYEYSSSPYYYSASPATSSSSTSIHSEEYNH KVVRNVDASQLAAKSPSPGSPISTSCPAVLDSKSSTPSSPNPPVQLVQKKKSPASFEC QKCGRFFTRGADVKRHETSVHSPRPMDCPVKNCVRKGSIGFPRRDHLMEHLRTFHNQN IPKRCGTKKRRTNAALDH TSTA_040650 MFKPSDKRLLLAALFTQLLPSINALSFPATVGSFNTSIATTLLV DHHRLDPYAPTPHPRTLMISLFHPVPPAECFSSLTSYMDPISATFEDNEYAPVGVPAG AFGSLTLETCKPCPASNPRRGVKGPNYPLVLFSPGLGNTRLLYSAIAQQLSSTGYIVV TIDHPYDASIVTFPDNTTILATNITTDTQIVDDLNIRVKDVSFVLDQLHRQSVISKLI PGRTCGLDTSKVGIYGHSLGGATAAEAMLSDSRLMGGVNLDGTFFGSVIDQGLDKPFM IMAHEGKNLTTDASWDALWPKLKGFRRGFMLSGSTHGTFTDLAEAADLIGLREQFPTQ AAALLGSIDGERALQVISAYVSRFFDIVLKGKKSELLDGSSVEFPEVTVGEN TSTA_040660 MYHASIALGALDLSRMPVLTLPPERKDAAVGAFTAYHTSITKLK AEIVSHNTPRDVNLWTTFFLGLFELMHDMTGEGWVKHFLYGTSEMLQLRGPEAHVAGS GRSFFLTVRVFEICRALIYSEPTFLCQPEWMSLMAKIWKEDDNNHWHPKEPLFDLMIT CSSISHRQVHSQAHYILLLALLELAAEGFVVRSALADWYANFQKWSADTGTPEYNHNS VLATIYFHGISIYLSGIYDYRTQFNKIPTPTISHAVVQNHVDEILRMTEISLKTANLA AVLFFFPLRVAGARVTTARETESICAMLGEISTRGFVVANAFTADLKSLWRQKGI TSTA_040670 MDLPRLIPVPPSVVRETIPNEEWEVTLDAWILLTELRLHLSAKQ FEECAHIDGFNVTFLASYFAAHGDARLHASNTNRHLTTAMKAKHLRRSIFLLLRRYYL DVQLFPLADELLDWRFLSQFSDTYHSSSALKQLLLEVWARYENEITSSLEKGKAEVTE MLLKADNVKKLAAAPDIILDMRRLSVLSSALPYAGYLLMAGSDYLDSLHDAYRISFLA SDEADGRALRNALVANVYVGLTSLLKIPNPNLSLFLDQLFSLKVSAGVDATSSKTSDL SPELLSDLVCSTDILVRIERNLVSSSQKRGQDLVDSLREYQHRMRSIHNQHYQRHKQI KGKGKMKAVADNGDGARVHKMSLITQIRDLFPDLGEGFVVRLLNYYADSVESIVAHLL EGSLPRELKILNRAEPLSDHNMQNHDVMEPHHTPPHVTSPQPTYTRNIDLGDDEIIQA ARSAARVQAQGEAGGQKKKLFFGRANIDSTADSILADRSQHAANKAAILSALANFDSD DDERDDTYDLADVGDAEGEQERRSRISTGAVGDTDKDTAGLDMTLYRLWKSSPAQFAR DSKTRRSHSRATLKHETGMTDEAIEGWAAMLQRDKKKQSRLETRLALEAGMGGAGGAA ASQPELGSAAYRRPGAITVADEDESPEKNDGSSTGLNARSRGRGRDGTGRGGSGSGGP NRGRGGNRGRGANHRRRDQHAKKMVRAGVLPS TSTA_040680 MSFQKPEKDFGEGPKIHKIRITLSSRKVASLEKVCQELIERAKS KSLTVKGPVRLPTKTLKISTRKTPNGEGSKTWDTYEMRIHKRLIDLHAPTETVKQIII NIEAGVEVEVTIAA TSTA_040690 MSLISCGNMALTVSTSTGSIPGHLDRCGNPDDTANYVLLLKTLR ETFGASGSKFGLTFTTLTSYWYLRWFDLPKMLQYADRISVMTYDLHGSWNADNPIGNI VQGHTNLTEIKLAMELYWRVEIPPSKLVLGFGFYGRSFTLSDPSYNSPGCTFSGASNP GLCSATAGILAYYEIQELINQHKAQKKIEAISPIHDRDAAVKYFTFGKDQWVSYDDKD TFKQKVDWANSVGLGGAMIWASDLGEYTDTA TSTA_040700 MASTAGGRPSRRAASRRTSYIDDVSNDEDLGNVTPTPSHSNADD DAEHEYTPVARKPIPRRGGRRKALEAPSQPSKRSTRGGRRAKTVESTPQREQQHEHEY TSIIAETVEERNEEEAEESTILAAQEEPESPSEKVHAAQKRKRAASSRKSRDSATPAA ELSSLPTPSPSASPEASSRSHREFNSPLSDITQSAVNKSPAPTRTDAEEQKSQISIVN SQSTNLEKPMDIVLKSRSLGIPIAEEIKTPRARMVITHLVLTNFKSYAGKQVVGPFHV SFSSVVGPNGSGKSNVIDSLLFVFGFRASKMRQGKISALIHNSARFPDLTFCEVEVHF QEIMDLPGGAHKVVPDSQLVVSRRAFKNNSSKYYMNRKETNFTTVTTFLKDRGIDLDH KRFLILQGEVESIAQMKPKAANEHEDGLLEYLEDIIGTSKYKTPIEEAATEVETLNDV CVEKNNRVQHVEKEKTALEDKKNKALAYIRDENDLTIKQSALYQVYIAECENNTKVTE EAIMQMQELLNMELEKHEGNESGIKEIEKTYKRAAKEYESMEKATQSMIKEMAKYDKE AVKFEEKRKFLTGKQKKLEKILHTSRLASSECSSLVEKHASDIEKKSGEISQLEEEMK AEELELTSIRESLKGKTQGLSDQIAAKQKSLEPWNAKINEKLSSIAVAQSELDILNER GNAGAKALEEAQVRITAIEESLAEKEAELEEKYQEKTQLEAEVDSLKKDINKLSQKEP ELRSYVSNARQKAEEARASLASTQNKGSVLSGLMRLKESGRIDGFHGRLGNLGTIEEK YDVAISTACPQLENMVVDTVESGQQCIDYLRKNNLGRANFILLDRLPKRDMSTIFTPE SVPRLFDLVKPKEPKFAPAFYSVLQNTLVAKDLEQANRIAYGAKRWRVVTLDGQLIDL SGTMSGGGTRVAKGGMSSKQVAETSKEQVSKLEYDRDELERKLQLFQDKQRQLEASLR EKSDEIPRLDTKIQKIGIEIESGKRSLLDAQRRIKELSVEHKPSKTDETRAKALNQQI SALQKEVENLRQDMVGIEEEIQALQAKIMEVGGVRLRSQKAKVDGLKAQINLLSEEIS NAEVAKSKNEKLIKKHEKSRLEAEKESEQLSAEIERLDEDVKNQANDASGSRQKAEEA QEALETKRGELKALKQELDEKTAELNETRAQEIEMRNKLEENQKILAENQKRSRYWEE KLSKLSLQNVSDLGDDQETVELQTFTADELADMNKESLKAVIAALEEKTQNASVDLSV IEEYRRRVAEHETRSADLSESLAARDAAKARLDGLRSARLTGFMEGFSTISLRLKEMY QMITMGGNAELELVDSLDPFSEGILFSVMPPKKSWKNISNLSGGEKTLSSLALVFALH HYKPTPLYVMDEIDAALDFRNVSIVASYIKERTKNAQFIVISLRNNMFELASRLVGVY KVNHMTKSVTVENRDYITGRSGSSTAAPTQS TSTA_040710 MASWEIFDVTFQFNRNFTYEKRNVDAINANRRSLENQLFIDRLL DLMGVKAAQEIYPPKTNQQLRELYKQILLSSFPNHQKQAVIYYLLRDCRATNEALVQF LRRCSIPDKYQLFIDGIWHLDRLEFQLALQYLTEPSLIPTLPDEILHVLTLQKLPRQD DSLAIAYYLTVSPPLESEKVQLSYFQTLCRASLTEAFYFSRKYDDDRRKRFLEHLILF VHKTPAGDLRGQRAIELLGLPLDEEEESWFEEYLLNGKVVALSGTRDTVLMRRLATGN TRDLPPSVRSLGGPKIDGVNWDDLRQGSNRAESL TSTA_040720 MTVCVHFQQGRCRYGDRCRNEHPGQVTSSAAANRFSVLGSNPLS AANNGSNQQQQDKSASFHVTAEGIQLDLTLGKERPGWIFSAYGPGKNAPRQLFGGPSR EQSFEEMRLRHYESAAAGNVNMAVQEAQKLHDETVNQIQTILNDLKGAVKYVLDGQNE HPNRIDIIEGKSPALSSFVQRPSAVSSTPAFGQASSSIGSSIQGAPTAAFGQASVLGQ SQPTFGRPSGLGTSAAGTSFGTPPRLEAAQPALGKPAFGQPSFGQPAFGQPSAMGGSG FASAAAGPSPFSQATQPNPLAGGNFGQSSTFGQGAQSQLTSSPFSQIGNQATATSTLG QPSSTSATFGQSSQTVSPFAQQQRPSTTNPFAQTTSISATPSVFGKPTATAAPPPSVV PQPQANPAIKAPLGPKPIIKVGPEELNPIPDLAGDTVRDARTNQLIRWKGRAVEYKDG SPRYLHPADNKTWVRIFFFDGPPDLATLRDCSDKEENYTADVTEQYQYFIQNGVFKDG IVPTVPPKTGWVSFDF TSTA_040730 MDGGSKQEEMTNRLKREVKERRRGDRILSHRRTAVEATDYDNQQ RVNQLVTSRNGSDELGSSPETRTIPLQREHDCKLSSKLISANISLARSDTVLLTFHLE YLLPFLFPFYNPSILEGGKAWVLEMMISSPVVRQATLCQTSYFFSLARGVPNGNLDWE TVLTQTRDAFSMLRQSLQIIGSSSITEHLHGAVRIFASVMQIQRFEIAISSFENCQAH LNAALVLFRQVLDSSGTLESVDPRLSFNSVISLLGPATRLLPTQSIQVPSAEQVAFRF SATLLLFDDIIASTVSRDRPRLHKYHHGLLHSSENTEPLINFESVTGCQNWALLQIGE IAMLDAWKQERNTAGNFDMIELVRRATAIRDSLQEHLAQLEDNTTASASRQVNNLLET FTTGYSEHSNTHTAQSTLVTQVWAHATLLYLSIVVSGWQPASVDVRYHVDKVVELLTC RISHPALLRTMVWPFSVAGCLAEPARIPHFRAIAEALHPPSVFGTVRKALEIMEAVWR CRDAADASSRDLATCFRTQDRWILSDDHGESPSATTMSQEQYPHVDIGRSRHELDDTT AEDIFTANNPQTIIRKSISVFLSGAEKFDG TSTA_040740 MRWKLPTTLPAGAAILLLPSLLLTAPQQLSYAQQHDAAVSSSIY VASRPTGRSIIDESPHTYFRDTHTHMPPDVKKIDPDDVSALATLALAGSNSHAVRAPP AQLSSPTAGLVSQLQARSLQDWEVEDFVLLATVDGTIHARDRKTGSPRWALEVPSSPM VESIYHNRSITGLDTEASVRDDDFLWIVEPSMDGSLYIFSPGKRGGLHKLGLTVKDLV NETPYSGTDPAVTYTARKETTLYTVDARTGSILRVFSSRGPMSTDHNCKVDRFATDDV LDDNVDGTDAANCGGTTGTLTIGRVEYAIAIQNTETGELICTLKYSEWTPNNRDIDLQ SQYSKTMDQSHIYSMHDGVVLGFDHSRMERPRYTQRFSSPVVRVFDVARPVNVQSPDS PLPLVLLSQPLEPPDPDYAASPDTDHRVFIDCTEAGGWYAMSETTYPLVTGRAGMAQC YDKDFFRDGHSLLTLDRERQRKALAGVHLVNMPAGHSGRRSQMHSISGPSAIGGGQNG TPRDVISSPSYLASPPALRQSVIIRTGWENRTDIFVLVILALFGYFLWVNSALFQEQL KQKLDLKNIMAAYDQHISDSPTSPTVNSFQRDSSPVGIRSDFATPRKASVHLEDLQDV DNESTPRNERTSSRSDDPETTPRVRIREPSRGPDDEKDELNLQEPTKKKKRQRGQRGG KSHKRKPKPGSDEEKALSQAVEQVKSLAPPSGLEPDVQLSRQVSNEIYEIDCSIRIGQ LKVYTDTVLGHGSHGTIVYKGSFDGRDVAVKRMLVEFFDIASHEVGLLQESDDHSNVI RYYCREQAAGFLYIALELCPASLQEVVEKPHEYPQLVKGGLDVPDVLRQITLGVRYLH SLKIVHRDLKPQNILVAAPRGRSMSSQFPALRLLISDFGLCKKLEDNQSSFRATTAHA AGTSGWRAPELLVDEDQQSAIAINGGGHSNHTESSEPAVVDPQTNRRATRAIDIFSLG CVFYYVLTRGGHPYDKDGKFMREANIVKGNYNLEDLERLGDYAFEADDLIRSMLSLNP RSRPDATAVLMHPFFWNAADRLSFLCDVSDHFEFEPRDPPSPALQYLESVARNVMGPE MDFLKLLPKEFKDSLGKQRKYTGSRMLDLLRALRNKRNHYNDMSEHLKAHIGGLPEGY LRFWAVRFPSLLMNCHNVVVKLDLCRLERFKRYFTPPE TSTA_040750 MSSAIASQAGQILREMFKIPSRAREQAGTTWRSLRQTEKPKKEL LQTSLMIHRPIWITGVAGTYTTAYACYLTMKYLRKLKR TSTA_040750 MSSAIASQAGQILREMFKIPSRAREQAGTTWRSLRQTEKPKKEL LQTSLMIHRPIWITGVAGTYTTAYACYLTMKYLRKLKR TSTA_040760 MSDLQPEPTPNNKAMEKRSVVSSFLFKLCDSQAHTTKVALFRRS NKVHTYQHHLAPISGSIDSQTDATPVAAAWRELKEETTLTSPAVKLWRKGRSFSFQDE SVGREWTIYPFAFLLKPPAEGGPEAANKVEDSHSDDGDGITFDWEHDFYNWHDPDEVL SRLDQDGANATICGQKLVPRIADSLRNVYPEYHLGSTAGRRLREGLQQLQNDHESGAR ELATIALNILKDILMEVRPSKAKENENEGKWDAWWRDVRLISWHLWTNGRESMGAAIG SALLSVLADIEKLYEERGSEDEIIRIVDRSIRKREAMTTRFCEALDSYLRDEVVAKGG IEREKKTLRLMTLSASSTIRESILHFLRKNARDISFETLDVRVLESRPLYEGVSLAST LSSSFQSTDDDSTGPKIRVTLFTDNSAALAARDVDVLLIGADRIAGSNGAVSNKTGSL PAILAAKHVSPQVRVLVVSELDKVAQAEAIESHPEEDNDAEEVVRAWRLAGVKGADVI DGVTIKNVYFEWVPAELIDAYITDEGVKGVAGICERSTWVSQQVHRFFDKL TSTA_040770 MRGPYPARSLRLFVMQIVYGLGSLQAVLPPEGDREFDNMVNEAD ILKAISDQNHKKKPKYVRTARRYNHEPATLRRRYKGQTVSNQEATSIRRKLLTDAQEE VLLNHISALIS TSTA_040780 MTPSLYKAEEDLIAEILGAWQHEKKPNFSELSRKYGVSRKKLSR RWNGLPSRSTRPPTNRVLSLDQEKAIFLWLEYLDNIGASPTSEQIEASANYLLAKDFT GSGEPPRVGKMWTYDFQNRLPEKYVRIIQKPQEKERTASEHYGEVERCSPSKSMDFDE TGFIVGRGKDEAVVTAYPKTSKRVSSLSSRESITVVECINAEGLVIPPLLIPKGKVHM EEWYQHIKDDDWLIAPAENGFITDEIAFEWLQHFHHFTKPEKWGDWRLLLMDNHTTHL TMQFVEYCEIFHIRPFRFPAHSTHFLQPLDGVPFQQYKHVHGRVVNKVARLAGFDFDK NDFFEELHDIRLKTFTNRTIRNGWRERGIWPINPSLILDKMPSPEEAFEAMVAEGDTL KIYGEADEAIPSSPTTKSISPPSTVAKLRRYVNKIEKSIDSIKDILDEASPGLSRRIK VVNQRSLTLAELGDLHRESFAKVRDTAARKNKKTTRRQVKASGALYVGDANRLIKRRH DGDLLRIHKSHALGMEEPAEAEAPTEPQNFVNILALEPRWPLSPGRLPTARWGEATRR VVVIRIMSDNPDLQQGIESVGHPQTYKTSPGAPETRLETSWPYSLEQRYPWVAGLKTK RAGGAMEEDPAVTTRVGRVVVPSTRAREALEGADSTDATTATRRTTSKVKPTAVRKAA NQIEERQCAQDENQMMLRKMCQYLEGTYREVKSLKETLSKQEKNIQE TSTA_040790 MIKGLQAQIEVIQSQAAEDCKQLREQLDTIAKASVNAALMQTKS QPSFADIINSQSVHQHGIRLGPLGPPVPPTMANTLFCTIDTSRVREEDKAKAQIANEI QGNEGMETWRCAAVIKDPKNADQVKIICRQEDEILRVKEAA TSTA_040800 MVSRLEITMVLSRLNFIFRGAAYTTEDIDRFCLRDLRCPDSLVV KNRLKENKDKLLSQSIEWILQDPQYETWRNGDDVSLLWIKGGAGKGKTMMSIGLIEEL TRAQHESTVVTYFFCQNADYELNTLEAIIKGLILQLVNQQIVLKESLRSRWDTVNNCF NEDVTSWRTLWNILLEMLDRCNCSRVYMIIDALDECEDDGMADFLKLIVRNGLDHPAR IKWMLTSRPLESAERALLAGHDQVQVNLELNSKCVSEAVKTYITYKVDELSHRHRYGE TLRREVETELTEKAEGTFLWVSLVCKRLESVCRDEALATIRNLPPGLDRFYEQILNQL RKGEHADVQRCMRLLKAMMLAYRPLKVEEVPSVTGLTDGEDTIIGLVNRCASFIRMRE NNIEFVHQSARDYLAGEKGLSILDSHECFGHDEIVLGCLSYLSQWLKVNLIELPRPDA TRECLKTLIDEKGNGLLSRVDYAATFWVQHLQNTNRTTNVQNGLTEKGQVSIFLHTKL LEWLECLSLLGRLPQAVDALKVLENISEDATRFLLRHYHTLTHWPLQVYSSAIVFSPE SSVVKRENLDKIPVWLRKVPPMEDSWASLIQTLAGHSCPVLTVAFSPDGNQIASGSDD NTIKLWDATTGDLQETLTGHLGRVLTVDFSPDGKQIASGSDDDTIKLWDAATGDLQKT LAGDSRGVVTVAFSPDGKQIASGSHDDTIKLWDATTGDLQKTLADHLSSVCTIAFSPD GKQIASGSLDDTIKLWDATTGDLQKTLAGHSSAVMKVAFSPDGKQIASSSDDKTIKLW DAATGDLQKILAGHSSGVITVAFSPDGKQIASGSNDKTIKFWDAATGDLQKTLAGHSS AVVTVAFSSDGKQIASGSYDCTIKRWDATTGNLQKTLVGHSGLVQTVAFSPDGKQIAS GSLDDTIKLWDATTGDLQKTLAGHSSAVMKVAFSPDGKQIASGSEDDTIKLWDAATGD LQKTLAVHSSAVVTVAFSPDGKQIASGSDDNTIKLWDATTGNLQKTLVGHSGLVQTVA FSPDGKQIASVSDDKTIKVWDIAKSLKASQYLGHTFSSHFKSRSWKEIKTSEQVYTIK FSADHRYLETDIGPIILESIPIDRQDMSLDSLRHLYVRNQWICYGGMPIIRLLSDSRP ISYDVQGDQVAVGFLNGQVLSFDINRCSLQSILGSHTIASGTRQRKTYPGEHFVKAIP YLVTGRS TSTA_040810 MDWSRLSQIHNILSKFNKLTLFVSEKPQISLAVLIYYELHDLLD EASERKERFQDLDEDISLAVKEGMKKYEKYYTFMDASDTYYTALILDPRAKGDLLLDE LEDKATGREILQALRGNLHCDYSVATMESSSTTGQSLLEYNTEHNDLVDQVVGNISDS VVLIKETTDRRLIFKGQDTCEFSRPEDICLGGSRFLIAMQAVDKHNTAGAYGWCVDIN RRDWTDLTRLWRWPVPE TSTA_040820 MSIPTDLTPRFEVRKLEAKHLAVASAILSETNIFHSPMWEIAHP DNQTKRCYDVFNAVDYLVRAAIESGLTYGVFDKEWKFKRPESVATDGALYWDQTDLTA TSEQLLEQIDSPLVSICLAYDGFYPLNLDNLKDFFEILPLFATMHEHFHTIDKRDPKS WEPTAPGQVIMRTGTSTRADYEGMGLMKLMAHWEMKDAAAKGFRGINMETLHPAVDHV WMNPPAPFKATRVNIFNMNELTVEKNGQRVVACPQVDVLAGRIGPWLWHGYEFLLARV LHRLQRHHVTLLVNIPVSVLFRRCIRRHQIGGAFRRPVSSAVVRRDKAGLQARHEQLQ VRDARSNNRGGLNNLRANDEFIRRKHKIGFEQSTNVA TSTA_040830 MDTPWAGEDVTPNNYAAIVQVITWMLMAISGLALLIRLMTRFFL TKRFAWDDVLIIVAFGFSVCEDVTYLVSAGQAWGQVFANDFPAESLMPALKISIPAGI LLISPIKWHRVALQVLGGFIVMWMVTSVFALTFQCPLPDPWNYITKTCPSRVSFITNW LLQMLMPDRISVRFCFM TSTA_040840 MSETGETQGEQIIRYIELSLELLYDLGGITETEQAWVNENAQFY SVVDGKISQSSSNWHRGNTAESNTRCSIFSTFVNGGGWQTQALSFFVGMPGLGFALFV NGVLGFVMVTCTMYVIGDLDTVLTAIAAGESPVLLIFQQAVRSQTGTVLMYVIILIMA GACMIGCFATASRMLWSFARDHGVPFSGWLSKLSRESVPLRSLAVNCLIAMLLSLILL GSSIGFSDLVNLTVACLYSSYICPANPDPPIHPSSLHWGPWKIPEPFGLLNNIFACSY LVLVFFWSFWPTVKDVKASTMNYNVLIYGVIVVFSMSWYFVRARHYYKGPLVETGI TSTA_040850 MASPSPILKLGQCLTGRLGTYTVTKQLGEFIWLGNTKVGETVII KTARHFRITNEKDILRKFQSKTPHLRPLVDDIIELQDPPAIVLKHLEDDLQTASAAKK LNGKEIKYVSRRVLQALNVLHEDGYVHTDIKIDNVLVNYVPSAQNCSEKRFTDVQLAD LESTVHITSRFCEDQDEIGTPIWRSPEAQLGLKWGPPTDIWSLGTLVISMIWGDNFFI FKPKVPRSDDEYELETLAKHHIYFGPFPPSYADLADQETLGVLSLIMNDVPPEKLKPF SLASQREIPKEDKEFILKIMKLDPRDRPTAKELLADEWFNQV TSTA_040860 MVFLRLTVKVLPRDRVLEDVGSSTAPNPISNGDKESVTAVKGAA LEKVIIFQLPVRDPDSITLGALAGLIQEKWKLLRPNAGPLDIKKILDSEKPEENLTID LTVADVFVQHGKAALDGQDQHGTVLVIQKPTTQPTFEREGSVVQDWAAAAAESSTSFR QQIQYSRRPQPSIMTIYEEEPLKNSVKESVEHDGIDVDVERGQTRSTQENQSTQNGVK NMQIDVHDHQSEAEPNKSGLGQDGPKSPPTSHNEFLPAASVNPVEREESAKPSIRDFS HVSRSQNDHPHYVPVNIVERAKSADNNHREPSPVSTLSNSEGGNVEGSPPRERQPSEE LGISAPDPRPPSPLRNERRLEVVETPIKNSLRHETVVDGVQAVENEEQLPSTMDIVAT QSFSEDGRPDLGDMGNGRVAASDDYIMTESFSSIDSPLEPSEEQQHRPTFETKVVRDS TAKRKPMSLNEPSQLELTTVPSTPPSRKLAPAESMNSPSGVGSVRALRIFSSPPKPLE FPEVTKNRPHGSMGLGITGSPSAPCRPSLPAYTSESGNAHSQRSCIRPALRKVDSGQL RRHSASLDDGENGSPPPSKKRRKVRASIESAAPTSTPNKVNCSSEMQKEALDMRQEKL DKKNSATLRAPKSQSTESVANQKGSGRSSGANLAKDSFKQSPPAEDLATSTFRESLER ALARKQRRTTKSSKIQSTQHSARVKAPEARKSKGKDTVKNQKPPAVTESDSSSESDNV DAELFGNAPKPEAKRESIRNSQPSAWVPTNNGFPSTLDEVREARRRNWGPEYASSKAP KVAPTKDTSAGKTTFRKKNTVTSNPKKDMYEMTSSENERDQESSSEDDNGGILPNGKA RGMQSLRSKVQPVKS TSTA_040870 MTTPFPPPPVDTIDWNNVGFKVREVNGHIESHFSYSGGGTWSEP KFVASPHLQIHGMAPGLNYGQQAYEGMKAFRSPGNKKVTIFRPNRNAVRMQHSADFVS IPRVPEEHFIKCVNLAVAANAEFVPPHETGAAMYIRPLIFGSGAQLGLNPPDEYTFVV FVMPTGVYHGVHAVDALILEDFDRSAPEGTGSAKVGGNYAPVLRHSQRAYAEKYGITL HLDSKTRSEIDEFSTSAFIGVRKNPTTKNDVTIVVPDSKNVIDSVTASSVYELASKAL GYKVEKRRIPYEELKEFDEVFAAGTAAALVPIKSITMKSRNDKFSYNVGSDESGGEVC SKLLKMLKGIQNGTVEDKFSWNFVVTEPPKGFVEGAGAGRDADGVNIP TSTA_040880 FSNRGLWPFNPDIVVDPLQAKFEAQMEQNITNGGVLTVKDANRA IKKRATKEELRAEKKRLKELQNAPLGSMPPPLSASDEAALDYDEWRNMVDPFTLDRLI KD TSTA_040890 MVDTTESLPTNLQLKTSKSRLGSPRHSRRASGRPRIDAPGVAVL SKDRRDQVRRAQQKHRLKQKLAFEDAVSRLSEVEAQLERARGAFSNFYRLAYLLGLDV SHPSLHILIEELRTVLDTSESSQSAEKEGLKENTIPAAVMTSRDRDLCEVLAAPRHRA LNQSSAVVLIENSKELYSARVHCSPSETASDPQSIAQETQDRALTVINELERPFSGIH HITYSFQEHTFVRKLHRYCLEYAFRLFIDPSASPSATYRVFRLVPCMRDKKKMYPYFH RLVTGGAQDALELPSLPFYCVGGAGTHYPRTDDSGRQIYPSNMRLPRRVLGTLPIITS VESDDPGEDARRLLKLFDLGGEYFDCNDIEGYLRARGVDLNQSCLFPPVSIPGNNDIP YQTYDIMQLRSGRNICPPPTHTLDVESFFTSMCQTISLSLFQFMLTNNAEVVNGVIIL GRVPGFRRDDVERAFYSSLRRKATA TSTA_040900 MTAGRMLDNTGPFHTLYNNTHLIIALALTIYLALVRRHRYRRMI EIGRPFTDGKRELSSMTTKEAHAIMAQLQELEFPYAFAKARQIALLKAGGIPTMSKLF AATGQNNRRNAGKRAVDTEILLREAQSKSRDSDRYATAVARMNYLHARYRRANKITNN DLLHTLGDGLAEILNVIEREEWRELTDVEKCAIGIFHKNLGEDMGIPFDQLPSKAEGW KDGLHFAMELRKWTISYEEEVARPTATNDQYVRVYIDSAISALPSFLRTIVRQMLSAD LDKIMRTSLCIESPNPILIMVLGLVRYFRRLFLRYLALPRPPFLAVKLVHEMPNPGTN LYNFERKSLQPWYIRPTFWSKWGPGALLVKILGGKVPGSRGDRYEPQGYNLMTIGPEP QKGKGMEEMRSDMDIIRTRGVATCPFSQAKSGNLK TSTA_040910 MPSEESKIQKAKKIAELAREFDVPYERLWRRVQGSASQLNRRPA HKRLSDDQERVVIIWLEDLDDRGVPPTIWVIKNYTEKVLQNMHPNADPPPRLGDRWVY RFFKRLPKEYKKTIDPDCYLAEDPGVIEAWFDRLRIQLETHYTAKMSI TSTA_040920 MAEHLFFPEKPQNPLRIEYKGPLYDGGEWDEYPTRHGWKNEKGD IEWIGFSLEHDKEVECWLYFGMLFYVFGEKLNQVDFLLSEESEDNLEGPEQFLTTTHL RDYVDNVQEWKNKRYGERAVTIVEKVCKELETFKDTLRDEMKLAIRLICQALWNTSVK RDGPRTQPRHVSKWLLSDTYETVRMIKSEWCPWEVMKSRFTGCHVDTIAYLLQLNRRK PTWDNRTHVACKLTECVAHNIDESNYLMRHVTEGCGCDHIQADVEQLHTVLKDGGIPL VKITPLAGEEEDAGFKVEIVRKRTGRQYVAISHVWSDGMGNPNGNSLPNCQVRLLYEQ ARRLVTDKEYIPRQVGDPLEHIETGVSRLTHFAFSQARGKDKSVLVWIDTLCIPHQRD VRSLAIQRIRQVYLDAYRVLILDSEMRQVESNSISRTQLLIRVVFCSGWMRRLWTLQE GLAAKYCLYMLFSDKPINISTIADEILTKIDKNKLPILQERVAYHAMSVWFMFFKESI DYASKFLRTLEVIGSPFAEPVFDKDRPIILAGVLNLDVKPILDAKGGPGERMRVFYRM LDEFPCGILFEEEPRFEDDGMRWAVKVCQFSGMPHVLGSTSGEITPRGLQVSTLSSWL FPSVVALNISSEDFQSTSGDWLMQHNLKDVTRADACTLHFKNSVKLMPDKIYGVILER EESYTGQSCTFALVEYQSTEADNVHYARYVGVGTARRVLVWGLLPRDGYLLPFGFKGV EKRV TSTA_040930 MMFTKTIAAIASLGALASALPATFDRRGNSAAGGVDIINNMDKT IYLWSVSNTADAEMITLPPGGQGYSEDWRLNPDGGGISIKLSTTPDQSDVLQYEYTLV EPTIYWDLSCINMGSGSTFTTAGFAVTSNDSGNCPSATCKPGDSACADAYLIPTDDHA THGCPDAVHGSMRYQSCALECEGWPKKTAKQKAIERNANLRDEYYHYISDSCSYHLVY VDESGCDKRIGFRKTGWSPLGVAPCQVAKFHRDQRFQILPAYAQDGVVLSRVFQGSTD APVFEDFIEELLHHCGKWPEPKSVLVMDNASFHHSEKIEQICIKAGVKLVYLPPYSPD LNPFEELFAGRKAFIKRHWQAYEDNPKQGFDSFLEWCVETVGARKRSAEGHFGNAGWT IEEP TSTA_040940 MRLLSLITSSILLASTSAEQFQIPEVQSAVSSALSQYSKYVRYD GPTGTAAAAVASATAEAHAFQAAAVTDPSYWLADIAHQGFAPYAGSGYTVFRNVKDYG AAGDGVTDDTAAINSAISGGGRFGPSSGETSSTTPAIIYFPAGTYVVSSSIIDYYFTQ LIGNPNSPAILKATAGFTGLGLIDGDAYQSSGNQGWTSTNVFYRQIRNLVLDLTAIPA SGGATGIHWPTAQATSLQNVEIRLNADAGTQAQGIFIENGSGGFLTDVTITGGLYGLN IGNQQFTMRNVTISNAVTAISQIWNWGWTYTGLTIVSCNTAFDFSIGGSSAIAAGSVT IIDSTISNTATFIKTAWTTSSSPAGAGNLIIENVVLNNVPTAVQGPSGVYLAGGTTTI SSFGQGHAYTPSGPNTLNGAFDAAPRPSALLHAGSSNYFTKSKPQYESYGTDSVVSMR SSGAKGDGVTDDTAAIQAAIKSAASSGKIAFFDYGIYLVTDTIYIPPGSRVVGEAYPS IMGSGSKFTNKEAPYPIVQVGKSGDSGSIEASDIIVSTQGGAAGAVLIEYNLNGAQGS GLWDVHTRIGGFTGSNLQVANCPKSAAVRDTCEAGFLSLHVTSSASNVYLENNWFWTA DHDIDDPSNTQISVYSGRGALVEGSNIIMYGTGVEHHQLYQYEFSGASNVMAGFIQTE TPYYQPQPDVKSGPYAYNATLNDPDYSTCLPGNCDALGLYVTNSHSVYIYGAGLYSFF NDYSTTCSNAGNGEACQSEIFRVDGSTTGLRVYTLNTVGVTNMVEVDGTSKAVYSDNI SVYTDGLALFTYN TSTA_040950 MAEAQQIPISTSQYKPHPTETMKAALWMGRRKIEIAEVAKPSIT EPSDAIVHITHTTICGSDLYIYEGDLDRYMEKGRIMGHEAIGIVEKIGSSVSRLQVGD RVIILPIIACGQCRYCEKQQFSLCDNTNGSKEMEAKYGYRLSGILGSSELMGGYSGDQ AEYCRVPNADLTCIKAPDDISPKKLLGLADVTASAWHGCELAEVGKGDIVAVWGCGAV GLSIQRLAKLRGASKVFAVDKDQTRLQIAKSFGMIPVDVVAHPNVSDYILSVQPHGLD CGIEASGFRSINTPKHAAMRYMKAETDSGDTVHAVLKSTRKGGRIALIGDFFWGTNDF PIGMLMEKGITVRGGQHFGPKYYPHLLNLVIEGKYDPSWMFTYDDEFENISEDYRLFS RHQIPGGLKVCLTTEYGRQMYKNRQW TSTA_040960 MSPTCSFAEKPVLIVGAGLRGLCLAQASKKHNIPFKLFERDFKR DFRAQGSFGGRFNVLTVEPLPAGGGPPAGAYDKMRPYTVDRTTMCEVLLTNLDREVSY GKLVISGRQSAHTPSTSTSCQLALYALVHNHFQAHIPLRLSSGFETGLCGLFSPSVAK LAFNLPKTNEMILTTDIPSILLKVACMIGHTPLKAVQADPRASYPLYILPTRYDTTSN PVKLPLLVWLHGIGRKLSALYEELVSYADTVNTLRHSP TSTA_040970 MPSGFPNFRQIPSNYEVVMANRRAAEQSKMDATKQDDTDSDAQS LASSSMSMFKEKATKSSQSSSSGWRKRLWILAQERAMSTVEQTSLDR TSTA_040980 MALHCSQSAATPMKENRGSVSANGHTNDTKHQTIVTTYSTASRT VMNINSNNVGDDNDIELQTTQHSDQPLHEGWILTSREWWMVGCFVVMTMMVTMDALIV IPILPEIAEKYHSSPSRDTTWPITVYTLANATLQRCYVSLMEIFGQKNILIGGLSMFT IGLILLSASPSLFLVLLVGRGIQGAGTAAMISIPSVMLMDLISGRRRTIYNFVIFFGG AIGAVLGLVLGGLFMREGSWKWIFYISLPFCFMLLLVTPFAVQPIGESLKSKKRLMNV DWTGMLLFSASMAALLLGLTWASSLQKQLKWEVLVALVAGAFGIMATMVYETSGASRP FLPVIVLKVSPVTFVCVLVQSLLISVQLVYIPAYLRGVHHLSSTSIGLILIAMLATML LVNAGVDFVPTKIYHYRWPLWLGWALNIISSASTALFNTRTTLRVCVIVMIVMGLGHG ITISATHMALHNLSRGVHPQRDTALIANFVRTAGFCLAIASADSAFHTRMSIHNRHHT AAQAYALSFQDLMRVLTALAGFEFMLFVMCAYTGGRILGINTWYLVLWMPDKKRAKLT KLVMYTAYTHQGCRRHTVRSTNKLAELLRYNFLPTVLAPFENPKVGAVETSKRALRIE KDFTTAAFWKMLGVMYLERQDFDVRAKKALDGGLFVNSGWTSAHRTSILQSPGFLNGY LNELLFFRLFGPLKCEDDNYIRRTFVDRNRAKAKVFSAAFTIPLFGTTTMIFLENFEN RRQSFTKSLFPASTVGRIKVTSLK TSTA_040990 MTVPVAFLQAYYSLVYFATLGTCDKVFYGSQRIFGFDLHQMTKN FLITGAARGIGRGLSRLLLQRGHSVFLIDNSKTELEHTSDYLAQNFSSSKYSVALCDI CEPLQIKSAIEKAAKFFNGHLDVLINNAAYTGAVGSAPFAELSLEMWNKSIQTNLTGA MLMSQGCLPMLRKGAGRQRGGCIIHMSSTRALQSEPNSEGYAATKAGLIGLTHAMACS LAGDSIAVNVILPGWIHVANECKDGGAWEDLLSKEDHRWHFSNRVGKVEDILRAVEYL ADAEFVTGTEMVVDGGVTRKMVYPEV TSTA_041000 MDNLDVIQFPTLTVLSSSSNNQSSDTSNLPKTIKKTVRKKLYKR TIRRSSSSSSSPRRSSTRKSSPPFTNRRHKWSILQYKEGKNWSFKGRSRKAVPESDTT PSSAKIPTPYTPRLTSSVSYGESLSAPQSTQSPIVIDSEHDEDPLTPSPIRNSPLYGR RTRRQNISFRGRARSSSSVLYRDLPTETPKAHFMPLYPELRDDTTTDMRLIERILKGP TAEQRDRKRGLLYTAGLEGETRYIKIGYTDGDLQTRMNALSSPRKTGTVYSINDLTPG GQSRFLNSHHAEQIIHLELYNRRHRFIEKNQCEWFKLEIEEAHRVCRKWRNWFRYWEP YDEKQQLKEFWRERITRIQARDPYDAAKHGSLHQRWNRVLNPTRVGIVWRTLHRWQTW LREQYLFISAVLVLASLAIHVYGVLTFILWIVGPAGFVVWITETNNHGKKLENKGR TSTA_041010 MFFRYTKVISPLLRPSFARPAKYFSINLPKHRHTMSLPELQWAQ VAEKTGGPLVYKQIPVPKPGPDEVLVKIRYSGVCHTDLHALKGDWPLDTKMPLVGGHE GAGVVVAKGNLVHEFEIGDHAGLKWLNGSCLACEFCKSSDESLCPDALLSGYTVDGTF QQYAIGKAAHATKIPKNVPLDAVAPVLCAGVTVYKGLKEAGVKPGQTVAIVGAGGGLG SLALQYAKAMGIRVIAIDGGEEKKAMCESLGAEAYIDFTKSKDVVADVKAASPQGLGA HGVLLLAVAEKPFQQATEYVRPRGSVVAIGLPANAFLKAPVFSTVVRMINIKGSYVGN RLDAEEAVDFFARGLIKAPYKVVPLKELPHIFEMMEQGKIAGRYVLEIPE TSTA_041020 MKTPGTGSSANHARYVCRSCKTRKRKCNKALPGCSSCSKRNVTC EYSRPNSIRHSAFSSASSDLQWNRLSVDEGTPSLPEVQAVNFPTLLFLDPSILQHGHL EIPSPATSVPQHILRLIGDLDDIHTTASKFFDHIHLWMPFISRKRFYDIHIRTVPPSK PDVILLLLCLKLITSFPPANPRDPRTPLYHAAKHFFLDLEGDVTLSLLILQAGVLLAL YEIGHGIYPAAFLTIGTCARYAHALGISVSRAVESRKVITLVELEERRRVWWAIVILD RFVSIGCPGRPFVTAEPELDELLPADDAAWDRGVINPDNLTTLSEPLSGHMSRFALLC QAARLLGQVLLHLSSDSVEDDNVWMQLDRTLQSMLAASLDVDVPDDDQIGFIYSTLVA LHTPWLASSSGSNIQRSLRAREVMQQITARVKMNLSERQCFVGRNPDDMSHWGLFFAY RICAYHICSRGKKPLEPDLVEMVRSMREAFSMIDVRWNVAGVYLKLLEAQEAMSLS TSTA_041030 MEEVEKKWEVAGTRGRNKWKAAADLSAACQYTSVHANPQGPRDA RPTAAQIVQDEKLVNRLIGRVIVITGATAGIGLETARALIITGATLFLTVRDLEKAKT TLHDLLMSDQVHLVKMDNFSISSVRDAADDILEKSNNQVNILIINAGVMGIPNLEQTE EGFESHFVTNYLSHFLYFQLLKPALLASATPEFSSRVVNVSSSAHRSVELSASDNYNF EKGNYDAGVAYAQSKLASIYMANEIDRRYGHRGLRATSLHPGAIGGTNISRNVSPEFV ARVYETLKDIMHTMKSPEQGAATTLVAAIGKEWENKGGKYLEDCEEAKRGADDQNVFG IGWVRQTYNAENEARLWKDSLEMLGLKDDL TSTA_041040 MPSNSTTTKVAQAGILSGKNPAVYDASNPILVFIIQAGIIIIFC WLLHWPLSKIRQPRVIAEVIGGILLGPSVLGHIPNFSNTIFPSQSIPTLNAVANLGLI FFLFLVGLETDVRFLVSNWRIAASVSLLGMILPFGLGCAISYGLYNDFGNDTGTVPVE FGTFLLFVGVAMAITAFPVLCRILTELKLLNTNVGVIVLSAGVGNDVTGWILLALCIA LVNAGSGITALWVLLVGVGYILFLFLVVRPVFVWYLRRTGNLEKGPSQSAVTVTLLLV LASAFFTQAIGIHAIFGGFVVGLICPHEKGFAISLTEKIEDLVAAVFLPLYFALSGLQ TNLGLLDSGKVWGYVIGIIAIAFFAKVIGGTVASKVTGLLWRESLTVGVLMSCKGLVE LIVLNIGRQAKILSERTFTMFVVMALVTTFMTTPLTLALYPKWYQDKVTRWRRGEINW DGTVRDQTTTISSSDAIEKPTAKPIERITVYLRLDSVANVCTFIGLLGTDGKPAEVTP REHYSKRAPANGIAESSIENHHLGESEKKPLLHAHGIRLMELSDRLSSTMKVSEIEEY SAWDPVVNVFRSFGQINNIPSEGRVSVIPETSFADAVLDMARDTNSNFLLLPWSASGR IIDRQSVWSSESASDNTNAPYPSFVADVLRGASNTSVGILVDRALDMPSQERHTLRRA ASTVSLPNIRTNLASLTAGNRNQHILFLYIGGPDDRFALRLVLQLARNQLVTTTIIHI DVPNHLRPSDSQTEPSEAEIDKIFFASMRDSLSSELSSRVVFSTVSGAATDSNAVKLA VDAADNEANQARGETNQIIVVGRRSVGGVSSTTDTSDRPDTRSVLGVVGDALVRKESR ASVLVVQATGSY TSTA_041050 MFFLKIASVSALAALAVAAPSPVKHVLHEKRGSPATDWVKGARI ESSAILPIRIGLTQSNLPSGPELLKYRSDPRSEKYGKYLSMEEVHDLFAPVEDHVQSI KDWLHQAGIDKSRIVHSDNKGWLAFDATTEEAERLFQTEYYEHEHVQSDRVRVGCDEY HLPEHIARHVDYITPGVKMTQAVKRTVKKQKRASNSQASRTSIHAPVHDFDLGNGHSG SDSDLANCSYNMTPPCIRALYGLPLPTNKPNPDNALGLYEQGDYFAKSDLDLYWKNVY TQVPQGTYPKPQLIDGANYSVPAYSSLNNGESNIDIEMAFSLVYPQEVVLYQVDDQLY EPAEVATTNLFNTFLDALDGSYCTYTAYGESGNDPNIDPVYPDTREGGYNGQLQCGVY KPTNVISASYGQSENDLPMKYVERQCNEFLKLGLQGHTIMFASGDYGVASFPGDGGNA NGCLGPDAQIFNPQYPSGCPYVTSVSGTMLYPGQKVTDPESVMSVNLGGTAANFSSAG GFSNYFTRPWYQELAVEEYFLTANPPYPYYAELNVNFNTTKGRYNRIGRGFPDIAANG AYFESYLNGKLVHFFGSSLASPLFASVITLINEERLAIGKGPVGFINPVLYTNPWVLN DITNGTNLGCDTHGFAATKGWDPATGLGSPNYAKLKELFVHILP TSTA_041060 MSEMSSIEQVSLSLSVERNAIAPATCANKSRLERDLQAVREHVE AFFASASIPIPIHHRMSPFNICITQEFAQKLEVIHRLLDRALVDIVERWFTDKQADFP SRMPLEPHEEEVLGWISNQEYSESDLGKRLNFGKCSGMWRTDILFEDRNVEQPTICEI NARIPFNGFYVTGLHRKATMTFGANQIGFDPPSDLKDTREVLFDCFDRSKPMFHILKK WPGVDSRLFGCDYKQATGQGVVQIEPSQLQLKVDDNSPTGWSLYANVSGNDGETSGLL KVEQCAVELFQEEFAKIDPALLKQLATCSVNDFRTIFLIHDKRILGIVRDEIANLVTR HVLSAEEGRILHDSIAETMTPGSQELRQLLEGTRQDPLAKNDWIVKPVRDASCNGIRL GGDMEQDEWVSLLERLSNKPLLPASDDAYVVQRLVQHAMYDIVRHDVAVTKTEKFHLI GSCHMINSQSFIFGPWRIGDKVHVGLGPDARGIVMSCVVKPADLKRLDARKKEE TSTA_041070 MFFSRPVRDRFSSVLALCLYVTGSIAGPCDIYSSGGTPCVAAHS TTRALYDSYTGALYQVSRGSDGATTDISPLSAGGVANSGAQDSFCANTTCLITIIYDQ SGHGNDLTQAPPGGAASGPDANGYDYLASAVGAPVTLNGEKAYGYRNNNVKGTATGDE PEGLYAVFDGTHYNTGCCFDYGNAETNSHDTGNGHMEAIYFGTGDGSGRGTGSGSGPW IMADLENGLFSGYDPINNAADPTITSRFVTAIVKGESNQWAIRGGDATSGSLSTYYSG IRPANGYNPMQKEGAIILGIGGDNSNNAQGTFYEGVMTSGYPSDDIENQVQANIVAAG YATTPLTSGPGLTVGENISMRATTACCTTRYLAHTDSTVNTQVVTSSSGTSLKQQASW TVRTGLGNTACFSFESVDSPGSFIRHSNYELKVNANDGSKIFSEDATFCPQAGLNGQG NSFRSWSYPTRYWRHYNAVGYIASNGGPHDFDSAVSFNDDVSFVVSGGFA TSTA_041080 MKIISVLAMLASLTFVTAKSTFTPVKPPSQPLAVRSPYLSTWQS VGSSGGNGGYLAGQWPSFWNGRTLGWQVIQTSYEYTATQSIYTQTVGDKVKLTITFLS PITPDDWKRQSLVFSYLNVSVESIDGKLHDVQIYVDISAEWVSGDSSQYAQWDYNTTD NNVAYHQIFRQNQEAWSEISDQTEFGNWHWATSTTNGYTYRSGEDTPVWGQFVNHGAL VNTKDANYRPINSQWPVFAHSHDVGSVGTTPVSRLFSISLCHDNPIQYTTSSGVVEQT AYYRNFFDSQIDAVSFFHNDFPTAYEMSTTLDAKLQDDSVNANGENYAVLTTLGLRQA FGGLQWTGTEDEPLVWIKEISSDGNMNTVDIPYPAHPAFIYTNATILKLLMEPLFQNQ EAGLYPNTYAMHDIGSHYPNATGYRKGNNEAMPVEESGNMIIMALMYYALVSDSLIPA NQASTDDFEGPLANQTNLEVQGIVGIQAMSIIANVTGNTADVSNYSDIASGYVTQWVE LANDTTAELPHTTLDYENSSTWSLLYNAYADKTLNLGIIPQSIYDRQSEFYPSVLETF GIPVDTRHKWSKTDWQIWAAATAGNSTRQDMIDAVATWVAATSEWLPFGDLYETQSGE YVYQFANRPVQGGMLAVLVANMGLTM TSTA_041090 MAYGTTDSPGEEQSQGNNIQTAPNDQTAQARGSESPSPNHDEEN PLLDSDQTSQKVASISSIIAVLLLGEFISNADATIIMAAAGPIASQFNRLHDANWLST AYTLGSCASQPIYGKLSDIYGRKPLLLVSYFLLALGCVICGIGQVMPIVILGRIISGM GGAGIMAMSAIIITDIVPKRDVASWRAYVNIAMTLGRSVGGPIGGWLTDTIGWRWLFL LQAPFLGLASLLVVIFLQGLHTHKTSAQPDKNISPIRRVDFLGTGVLAVSIVAIILLF DRGGQAFLWLSGYALGLALTGILALTLFVYVENHVAPEPIFDLRIFRDPNIIPSYLIG GLQTSAQVGMMFTVPLYFQVMTGTTSTVAGAHLVPAVVGNTIGGLLAGAFIRRTGRYK PVLIAAGIAASVSYVLQFYLWNGHTGFWGSLYIVPGGMGTAFAAAAAFVSMTSFLEPV DIAMATGGYILFSTFSVTAGVTATNTVLGITFKRDMEQGLKGLPDKEEIIRRAMSDTS YIAGLTGRVRDIVLQSYLSGLRGTYIISFASSIAGSLIAWTVRDCHLD TSTA_041100 MRYRAVLSALSCVVPVLANSAWQQVLGEIWSEQQQVAPARAPNF VFIITDDQDLELDSLHYMPLVSRHLKAKGTFFRNHFVTTALCCPSRVSLWTGRQAHNT NVTDVSPPYGGYPKFVERGFNDDFLPVWLQTSGYNTFYTGKLFNFHTVDNYDSPYVNG FTGSDFLLDPFTYSYLNATFQRNREPPVSYKGHHTTEVITDKALGFLEDGLAEDQPFF LVIAPIAPHSNIDVGALDDHGAPTVMTEPIPLSRHENLFQDAKVPRTAHFNPDEPNGV SWVRNLPKQDDQTIEYNDHFYRQRLRALQGVDELVGAVVNRLEDSDQLDNTYIIYTSD NGYHIGQHRLPPGKACGFEEDIRVPFLIRGPGIAKGADQDAVTTHIDIAPTLLKLAGI PLRDDLDGTPMPLHPNSNETRHEHVAVEYWGRGYLEGLKGAIDNSPVVPNNTYKSVRL IGDGFDLYYSVWCNNEHELYDLTTDRYQLNNLYYNVDSSNRQIQGFNLRKIIDRLDSL LLVLKSCKGDTCINPWNTLHSDGAVSSFKDALHEMYDAFYQHQPSVSFSRCELGQILD AEGPQEPLVYRHGLSWEVWT TSTA_041110 MSSPTLQKPPADVLSQTEPVSDGDATGLDSDIVVDWDHREEASV RRKVDFILLPILGLAFFSLQVDRGNISAALTSTITTDLGITTNQINVGTQLLSAGIVL TEIPSNIILQRVGPQRWLSAQLFAWGLVATFQAFIKSYPAYLVTRLLLGLLEGGFIPG ALYYISTWYRKSETSLRISLFFFGQIFAGATTSLISAGLLKIAGKGGLAGWQWIFLVE GLITIFAGIVFVLFLPPRVGDGRPLVSFGRWSYFNERESRIIKDRVIIDDPIKARGHI RITGKDILRTARNPRIIQHLFITLVSMSAVQGLTQYTPSMIKSLGFSAVRANALASVP IYCFMVLLILLSYLCDKFGHRGPAVLFGATWNLITYVCFRQTSRRSSRWHRYGVIVAA DLFYAGVHVLNVGWLSVYCKTPQERSVAMALIVMAANCSGISGSQIFRTSDAPLYRHA LTAICALAGAAWLQIVALCLQTWYSQVKKKKQYTEKTNEADVKIATSTPSPES TSTA_041120 MPLASTYIALGVAGVISSTATSTSSSSVALADISRCPGYELKHV ARTTSSLTASLQLAGEPCNLYGRDIKDLKLLVEYQSDGRLHVKVYDAAEDVYQIPPEV LSFPQGSNDTADPLLKFSYVESPFSFAVQRSDTNETLFNTSASPLIFEPQFVHLRTWM PTDPYIYGLGEDVDSFRRQTNNYKRTIYNVGDAFLPKNANLYSSHPIYLEMRDGKAHG VYIASSNGMDIFISKTNKGQQYLEYNIIGGVLDYYFFAGPSPFDVGRQYAEVVGAPAE QAYWTYGFHQCKYGYQDVMMVAEMVYNYSEANIPLETVWSDIDYMNLRRTWTLDPERF PIHKVRELVDYLHDHDQHYVVMVDPPISVDDPATYNKLLKSEAYFRNNDGSVFLAGMW SGATAFVDWFHPNAQEYWSSLISSFFDEKTGVDVDAIWIDMNEPANFCPYPCEDAIAW AKAAGVPPAPPPLRDSWRDLPGFPKDFQPPKTKSISKRQASGERIGLPGRDLLNPPYP LGTVDGIIYGGTIFTDRYQYGGYAFYDTKNLFASSMMQATRNAMLERRPNRRPLIISR SSFAGDGKRSGHWTGDNISSWDHYRISIRQNMEFAAIFQMPTIGADVCGFNFETWETL CTRWAVLGAWYPFYRNHADITAPFQEFYRWPKVADAARAAIKTRYQLLDYFYTEFHYQ TVDGTPSTILPLFYLYPHDPVTLDIELQFFYGLALLVSPVTDDESTTVTFYLPAGIWY DFWTGEKLTIQSSHEKQNGNTAKGEWITRQNVGYDEIPVHIRAGTIIPMRIDGANTTT QLRNLDFELTIAPDEKGFASGRLYLDDGESVVPAKREDGIATSDILLDYDAATGKVHV HGRFGYHTDVKIVKVTVLGDAKNRSPVTDSQGQMLLGTVTETEGYGRTVFVEEDLNAT STLYVG TSTA_041130 MNAMSRKAESFDFMFPPSERRRRLPTNCRWPLSIALVAITLIVI FFAYHNPSLSDSNILSGYSLEEIKPSSDSRYSDLSRLIPQLYKPFLHPIDAETFIGEN EVEYKIAQNPPRFTKSLGKKVLLLDVDTRALNGEGGMMNDHISYHDLSPHTAGMLSHY LYAMIHGYEYKFIQAPSYTDRHQTWVKVPMIREALKTYDYVVFMDADAVFHYHHLPLE WLFNHWNITSDTMLAMALDPDADFNKDERGNVNLNTGFIIAQASERTTEMFNIWEDCV TDNKYANCSKWRYEWSHEQAAFSNYLRYEYTGPNEVISLPCAEANGYPKKADLGCTGE FVRHYWLDKGATVGALQDAVTQYAALRLHDQFHRHMKSTLVNATGTTLPLKEGEGVVV TSTA_041130 MIQFPPSERRRRLPTNCRWPLSIALVAITLIVIFFAYHNPSLSD SNILSGYSLEEIKPSSDSRYSDLSRLIPQLYKPFLHPIDAETFIGENEVEYKIAQNPP RFTKSLGKKVLLLDVDTRALNGEGGMMNDHISYHDLSPHTAGMLSHYLYAMIHGYEYK FIQAPSYTDRHQTWVKVPMIREALKTYDYVVFMDADAVFHYHHLPLEWLFNHWNITSD TMLAMALDPDADFNKDERGNVNLNTGFIIAQASERTTEMFNIWEDCVTDNKYANCSKW RYEWSHEQAAFSNYLRYEYTGPNEVISLPCAEANGYPKKADLGCTGEFVRHYWLDKGA TVGALQDAVTQYAALRLHDQFHRHMKSTLVNATGTTLPLKEGEGVVV TSTA_041140 MTAEDIESESARLDPTLPTVEKPVQHENQPRKGLHPAFFIIAWI ALSSTLILFNKQVLGYGHFAYPIILTTWHLTFATIMTQLLARFTSLLDGRKRVKMTGR VYLRAIVPIGLFFSLSLICGNVTYLYLSVPFIQMLKSTTPVVILFCTWVFKLEPYNLR QLMNVCVIVLGVMIACFGEVDFVIIGVLFQIGGIVFEAIRLVMVQRLLSSDEFKMDPL VSLYYFAPVCALMNGAVAAAVELPRFKMEDVWHVGIWVLISNAVVAFALNISVVFLIS KTSSLVMRLCGILKDILIVISSLILWHTPMTPLQVGGYTLALLGLIYYMLGYERIVGF SVRTAGGLVDSYRARKNRLISVLCMFLLGFVVVLSLMGLLAVKFAPDKIEDLKSWIYY TTTGDDTR TSTA_041150 MDEERTSLDKQQQEFIETEEAGPAKPVFNDEIFMPPALAALSEE EYERVKKSAVRKLDLRIMPAVVLMYILNYLDRQNIASAKLAHLVEDLNMTSVQYQTAV SLLFVGYITMQVPSNMIASKIKYPGIYINVAMAIWGAISACTAAVHSFSGLVAARFFL GVVEAAFLPGVVYYISLFYNRQQMTLRTAIFYSGSQVGNAVGPLIAIGVLNLDGKQGI SGWRWLFIIEGVVTIFFAIVFALILPSSLQAIRGFSKLESEFLLYNYAKDIGQQDHKD EASAWKGVKLAVMDPKTWLLLATLWATYVSAAVVNWFPSVVATLGYSRNTTYGLTAPP YILSCIVISLVGWHSDKKQERFLHVAIPLAVAVIANIIAVASLNTGARYFAMMLMPGS CYSAAIVILSWVTGTLSQPAVKRASAIALINAVCNTPNIWTSYIYYGAPRYLAAFLLN MAMAALAIVFAFALHIYLRRENAKLDRGEDSGKNGPTAAQQAAGFRYLI TSTA_041160 MWKDLPTGLATSICLMVTPESRQSVLNAPLPESAPLENRKEIPY VIAVSKIARYPRPQLAPGEEAEDVFGRDFKGYFDVTVESLLRNLYPLVALDIMDLPRL TINMQHDKDMWFDTSRGGIRHYMEESNTFSSYGLMNIHNSAIEIDEPTPIKSPVTPAF SKPSIRPSTPLAGMSTWAFTTVCFRRRIGMLRPHLPWSSLPPATRPEQALIVTSECAC PQNKCSKMLTLGRYYVIQSGDNCVLLENEFGITMAQLQA TSTA_041170 MQDPLDKFETYRYRKSKSGCLTCKPICLRCSSTGRKCDGYRPRK DYAVLVPAFSPPKAPYAENDRETRCFQFFYERTVPALAGYCGSEFWSRLVLQASQHEK AIWHALIALGSLHEDFENNDHFFELDLFLQQQNDFAICEYLAAIRALLGPSTSSSENV TVDVCLISCILFTCFEIISGHYGSAINHIQSGVKILKEVYHDPSSGTFRHPHLRPSTV SSLEMESLRKMFFRLQGQALTLTRADTYHLTQEELAIPDTSLFWHDIPDIFLSIAEAR DSFELLNQRCVNHYRIVMQSVSDTMAPEMSKYLLQQYGTCLDKWCTALARFAERRSDS LTSKERIGLKLLNIHKHNLLMYYEYVTVCEMGRYSDAIPPFSWDKFNSQFAEIVSLAA SIVTSSVTDAHAESSVKPAFSLDNGVIAPLYEVATLCRDPITRRKAVEVLRSAPRQEG VFNSYLSAMVAEKIIEIEEAAALDCVTDYSSDFPLESVLSGQNLAQHVNQVRDSSEIP DAVRLSYAHPKFDVVKKKVFLTIGQAAKMHMDIPWSDMNYLVDSER TSTA_041180 MLVATITVFALLRVQGALAQASKTTNIPRWCGKPYKSGSPNINP GGQLTQPQPSPNPLLYVQIEPRHSIYVSSEESATFIVDAALSDYYGSPYSNSTQVLGS STPEPFSDLYFSILAESIDQVLVTNSVRINTTNNLFDFNIAQLTPQFDPYDIVLYGAS SDGNQTYSTATKLFYLPDKQPGSITKIDNLNGNLLYRNNATNNTFVPFFAFGFYTSWG GYLEPSLENLKAYYDLGYSAVHPIPSFSDNLTTVLDYMDELNLMFQYDMRGTYTNLTS VKEQVNLVKDYSSLLAWYTADEPDGNQDPLNATTLAYETIGQIDKYHPVGLVLNCQNY HFEEYSAGADYLMEDAYPIGINATWSKWGTLCNATYGDCGCDNCLGELQDVSNRIDDI AEYQEWLGQWSKPIWAVPQSFYGEGYWDRNPTAEETWVMNQLALNHGAKSIMLWAFPT VDELATANSQQSKVLTKSPVLEFVTGGQPTRLSVKAFESLDVSYWIVGQQALVSIVNL EYADASSAIKIVLPFPASSIVSSPWGNVPWELSEKTLNVQTLDALVTSLVILHL TSTA_041190 MGKMIIVSAIELDVAIMASNAASMKAVWRKYVSKKPLTGEQGSS SCSKQKPNEPVNLPYSSRAKRSGHTRMASIDGSGSSGRKEPYENESEEELFMITELCK KGASSASADLNRPYFEFKK TSTA_041200 MALHLFPEISKTTTVRDGTKYSYIHVPPSDSNKPTFLLLHGFPS TSYDWRHVLPLLKDRGYGVIAPDLLGYGDSDNPAEVEAYSIKRMADHVIEVILSEGLE QVIGVGHDWGSTLLSTAALTYPDRFSGLTFTAVGFVPPEPFDLKSVNTMVKEYIGYPA FAYMDFFNEDSAAAICDKNPASVMSMLYSTKPDDWKAHMGAEGGAKEWVSCGKVAHPP PWMSYEEIATHVQLLKKGGYTGPLNWYKSFIRGIDKSLYERFTTDESKNLDIPAVVVT AAYDCGSRPEFQIPAAEKHLSNRRIERFECGHWIPLERPKELVILLEEFASGL TSTA_041210 MLSPTLYDALIIGAGPAGLSVATGLARQLHTAAVFSSSVFRNAK VKHMHNVLGWDHRSPTDFRAKARADLLARYSTVQFYDEVEIESVSRQSDGRFEARDVR GDVWRGKKLVLAMGVKDIFPDIEGYEDCWGETIHHCLFCDGYEKRGAPSAGVLATDVL ETNQRAMHVNRMARRLVDKVTIYTNGNNEQASTFREAIAALDGFKVDPRPFMKLEKKR EMDGNEPKIIIHFKDGTCAEEAFLAHGPRYVLNGPFAQQLSLETVETGELKVSPMFNE TSMPGVFAVGDCATPMKAVTPAIAMGTGTAAAIVMQLQEEKQV TSTA_041220 MAALSVLPTFDQSPPSEAEKRRIRDLSRYYCAFRNPPASSDDLL TPVESDNQLGPSLSKDIVLTALVQLGVYRFGCNRAFVSLIDGQNQHIISEATASISLR NSRSHLPDDGLYLGVTSLDLVFGVCPHAMKLFSGEDVPQLRNTANVTANPTRFIVRDF TREDNFKDRPYVSGWPHFRFYAELPIYSPAGYVLGSFCVVDDKPRQDFMEADVLALQE ISDSISQHLENVRTVHSHARSDRLVQGLTNFVKGRPDDASTSSVASLQGLENLSHLQQ RSSVGIAEPSSPNIEALSLASTVNDETSQFLSTQDLPTVTRSTSLSSPPRDSATSFPT IDNTTKDEPYVTSGVERQSVETTGPDTPLVTVKEGIPISKRADALFSHASSLLRESMD LDGVLFLDACHCNYGVGPSADLTDWEPFPTPVDADFTAHPIDTTSDNDKDAFCKILGS ATEITNDTPLNTTVKGSITESFLQHLISRYPEGEIFDLDGVVPCDLEESKIAEVEDRM RIRPFRNGPRSPRSDQNALQITAARLANHFPDAKTVVFLPLWDWNKCHWLAGTLIWSL DSERPLELDEFHYFKVFGDAIISEITKAESFELENSKSDFISSVSHELRSPLHGMLAS AELLLGTPLEPEQRDLVKMLETCGLTLLDTMNHLLDFTKINNLMSIEENANPFHGPAT TLASVFDLDILLEDVVNALYTGNLHVSRYLGTATDYEKQKTKQDMGSMSVVLRVADQG NWKLRSISGSWRRIIMSIFGNALKYTQSGFIEVSLCKFRKEPEISAPEFAHLSVTDTG CGMSADFLKNKLFSAFTQEDTLSEGVGLGMSIVQQLVGIMKGTIDVKSEPGIGTQVDI FIPITLIPHSPAIPISVASSKTQFCLIGFDGYSDLTEVPTGSLSREGKRRLCIQSFLA HVITLDPNWSVSFAATPSNACGDVVIIEETTLEQMAANESIQSSKVVNSSRLLILRSG PPSSGVIKPANISADVVHTIYPPFCPRKVLEALRRTLEMTSAQTLTKPLNSSTSPIIA LDSSGISSTDARKLIPSFGMITPMTERQSFLNVLIVDDNDINLKVLSTFVRKLGHTFE TASNGLIALNKYKENSSSFNLVLMDISMPVMDGLVATSQIRIFEKRQSLSPVTVLAVT GVASATMQQQALAAGIDNYLIKPLSLQQLKRAIAKST TSTA_041230 MVAHGFLARLTAAALCLLAIPISTAVPIEKRTGVVPTLSGGENA MGGGTYPRANYLSDGSIIGAYTAFSNGDSILTVVHSTDNGVTWNQVGTVARGATATTD IDNPYPFQLPSGRILLVFRNHDRSNGGYTFFRITICYSDDNGVNWSYLSTPASDPGPI NGNWEPFLRLAEDGKTLQLYYSRENSAADQDSLMRTSTDGGASWTSATVISGADSNNQ RDGMLGVAQISGSNLIAIFESETNGGKFSVHSVTSSDDGKTWGNRKTVYAPSGFNAQA PQITNVGGTLVASFQTDEDGGSGEAIKILTSGDGGATWGNKLTTFPATSNWAGLLTLN DNASFLSLADHSGAKAQKVTLS TSTA_041240 MASESEPRKGCFAGCCSGKNKQVNLKGYGSAEKQQEDPNTPSCC KNKPSPCCDVSCLDRIALRQCDSVSPNDASPKPPNASCKRPDGKPCDQHSRSARAEYA GVLEALGCICRALIALGQESCCTPPRGSSSGNERHSADSSRAILRISIDSCCERYATV SDRRRSANPKGKGKACSGSCCGSPKAPASPEKCVDSEKKGPIKSGSCCGKPKASEAGE QHMPLTESCCGKSKCEKPLPTSCGKGEANTNSCSAGCCGEPTSPFGALSQRTPNDPCK RAVSGCAVAKESPRDSIEVIPRTAVPDLEKGFLNKEHIILSISGMTCTGCETKLKRTL GTLESVQNLKTSLVLSRAEFDLDVSIQSLDKVFRHLKKITEFEYERVTDRGSQLDILV PNTSSEFVKQDWPRGVTEMTVVDEQTVNVSFDAKIIGSRDLLEHGWDIPLRLAPPRPD ATLAAGNKHVRHIGYMTILSIILTVPVLVLSWAPLPEREITYGSVSLALATIVQVIIA GPFYPKAFKALIFSRIIEMDLLIVLSTSAAYIFSVISYGYLVVGNPPATGEFFETSTL LVTLIMVGRYVVALARQKAVESISLHSLQTPTAILVDESGPNEKQIDVRLLQYGDIFK VIPDTKIPTDGTVIGGSSEVNESMVTGESIPVEKSPGSTIAAGSVNGSGTLIAKLTRL PADNTIAVIAGMVDQAKLSKPKIQDFADRVASYFVPVIVTLTIVTFVIWIAVGIAVRN QSGSRATTEAITYAITVLVVSCPCAISLAVPMVIVIASGVAAERGIIFKAADSIAVVY KISHVVFDKTGTVTRGNIAVVVEHADNTNGSMALLLGLISGNKHPISAAVATHLTAKG ISASTVTDTTVLTGKGVEGVAPGLILRAGNSRWLNLLSNPQVQSVLDQGYTAFCFTIN GDLAAVFGLVDLLRPDALETVTKLQERGISVHLISGDDTGPVNSIARQLDIRTGNTRS RCTPADKQAYIQALASPPHEPPHTKTPIIMFVGDGTNDAVALASSTIGVHINQETGSD VAKSAADVVLMRPSLLSILTMINISEKAVRRIKFNFGWSFVYNLFAVLLGAGAFVNAR IPTEFAGMGELVSVLPVVVAAVLLRWARV TSTA_041250 MADAQTKPAAVPGREERIKLRSAQDKPECQRCKTIGAQCHYSRS MRMGKPRKSAKAKAMMNAKLPGKPAPGDMSHVNSAIENPLPSSINNDNVVLPTTELPW STDWSFDNLLAAHDHMAANTASATPAADPFRAFFDQHNGNGNTNNANVVVSTADLMNV DALSAGYSNPRSQSDDAFLSFPQSPVGMQKPLKDEPENVYLSPSSYYAPSFESTLLST GSSPFEDLGPSLLSQQNSHDCTHLALETMTSLCLPSTVQRTAEGQPRPTIDQVLKINS DAMKNVSTILSCSCAKDSSFPMLLSTIFSKALAWYRAAVKTQDVAFDGNSNGNSVKEE VVHRPISVGGYELDDESSDLMKIQLVLNRLRMMSKPIKQYIELYSGSECCHDQPISPS STAGSPIETKTSNAAFAVGCAQMYSAMGSFIQANLQSTIDELQSKLAMAGGHLQ TSTA_041260 MHFFIAGGSGQTGTHVINKLIQQGHTVTALVRNPSSITPRVGVK LVQGTPSNIDDIRKALQTPRTPDVIIITLAHVKGAVFDDRGTTFLTYVARNIAQCLEE SQMMTTKIIYMSAFGVGDSFPNLNCLMRVLVRVSPLASQFSDHKGAEDTLQSIATDAD GRKAVVTIVRPTMLTNGEEAKVQSLGSRGEKASFMPSIPRASVADFMVDAAQIDKCDG KVVVIANAKSTLVDIIPYSWYNT TSTA_041270 MSGKNFIEKVAIVGAGGQVGTFITQALLKTGRHQITALSRQGSK NIIPEGATIKHINYAEPSTLVEALRGQDALIYTLSVLATDEADRLIKAAAEAEVPWIL PNEFGYNSDNDEVNKDTLSGLSKKAQRKLIEELEKSSWVGLASGYWYEYSLSVGAWSF GFDIKGKEVTFYDEGDVKIHTSTWPQVGRAVANLLSLPITSDDATATSLSQLKNKFAF ISSFYVSQQDIFASLLHVTGTTRDDWKISSQPSAARFALGKQMLQNGDRRGFGLALYA RTFFLDGAGTHPDSANAALDIPEKENLDEYTSVAIQMATENYFETKVIARITPGAS TSTA_041280 MKTFILTLALAVSALAGSRMTPPAGSIVVAKSGGKYSTISAAIA ALSTTSTSTQTIFIEQGTYNEQVYIPSLKGELVIYGQTEDTSSYSSNLVTITHGLSAS QAGSDDLSATLRNYAAKSRIYNINVKNTYGSGAQALALSAYATDQGYYGCQFIGYQDT VLAETGNQLYAKCYIEGAIDFIFGQHATAWFDGCDIGVVARNGNVGTITANGRSSSSD PSYYVINKSNIAAASGQSVSAGSYYLGRPWSQYARVVFQYTSMSNVINSAGWEVWSSS QPNTQDVLFGEYDNSGAGSQGTRASFAKKLSSPIGISSILGADYTSWVDTSYLS TSTA_041290 MADDRSLVVKAVPAVFCSIAFLTVVLRCYVRLSVVKAFGLDDGA MVISMMCYIMFCGCMIGGALYGTGRRFVDLTADQRMTAMEYWWLCEIAYCFSSVFCKI SICFFLLRITIRKSHIYLLYCVMILTVIGGFIFMFMMLLQCKPVSFFWARTTLDPVYQ GHCISIDIIITMTYVYSALAAFCDFTVGILPIFLVGKLNMRHEAKLAVIGILSMACVA SLAVIIRIPFVKTFRDPDFLYATVDIAIWSCIENGLGISAASLATLRPLLRKFRGSYN SRPESSSRMISGPNSKPRRLWNNSLPLGSIDRLPERNDLRPDKTAVILTTIRSDPREN NNLNQSRSSGEREFPVLDIHQTFEAMTTSTSALDDERVPREHV TSTA_041300 MWLFALLILLSNGKISTSSIPTSTCPLAPVYTSATLHGFDISPA LFPSKSTLPTNIHLSVLDARQPISDDLRGIYDLVHIRLIAVGLKPTEWTTVVHENMIA AGLVAVQSDFVSSDRLPETRKAMTENGMLAIFSWARLMTAKKSPGFLTLERLSELERQ MKRETYAGAAKYGASKLTTLTSLPDSNSKDLSGHISNSVSP TSTA_041310 MYGNVVTRVATLSLKNGTSSMEHLCVQWFYYSISCTEVTYSGPE EITIFHPAAHEAMDGPGNNNVRLDWYDLLYPHISSIFTRDRDLHNARRKMWEHALSRT ALLGYYQRILRKVKTPESLVADQYYRPININELMYWFAFDSMGDFAFSEDIGMMRNKA WQKNIFVPAIWIARLGFAFIPGLWRVRDWFQMLAFCDQCMEQRMKKEVENRDIASWFI DDYVLCEKTNPNQHRWLSGDTATLVIAGSDTTAPSLSVVFYFLALYPDHAEKVYAELL TVNRTDQNALARLPHLNGTINESMRLLLAVLTFGYRVTPPEGLTIEGTFIPGSTKICT PRYSIGRLECAYEQPNDFIPERWYRRCELIKDKRAFAPFGVGRTACVGKHLAMVQIPS VVTSLVSQYRIEFAPGENNGEAVERDMKDQLTARPGKFHLLFMPR TSTA_041320 MSRAKPVTHEIPPMKDLTTENITENVNLINSQCSNPRIRFLMER LVVHLHAFARETRLSSKEWQAGLDFLVAIGQISNDLRGEMILLSDTLGLSMLVDSIDH PRSDNFTQGTVLGPFHTHDAPVTENGYVLHKDPDATRLFVLCTVKDSQGRPIENVQCD VWEGDSKGFYDIQNPNRAEPDGRAVLRSSKDGIFYFNAVVPVPYPIPDDGPVGKMLKV LNRHPNRPGHIHFMFQKDGYDTLITALYPRGDPYKTSDPVFGVKESLIVDLGEVDEAI SKQYDVPVWTKLLRYEFVIPTEQEAMEMRVAKATEAIGGVGLDDEGQELKLEYEVD TSTA_041330 MSNKASELPTTNQGAAAAAAKPAPALHPAVYIASWIALSSGVII FNKWILHTAGFGYPLFLTTWHLFFATVMTQILARFTTVLDSRHKVPMTRSVYLRAIVP IGVFFSLSLIFGNLVYLYLSVSFIQMLKATNSVATLIATWALGVAPVRLETLGNVSVI VVGVVIASIGEIKFSLIGFIYQVFATVFESVRLVMVQRLLSSAEFKMDPLVSLYYFAP ACMVMNGLATLVFEIPKMTMYDIRSVGVGNLVANASVAFALNVAVVFLIGKTSALVLT LSGVLKDILLVVASMVIFHDPVTPLQALGYGIALMGLIYYKLGAENVRQSLRGVGIRR SILFVLLGALFYFGLSQYTQNATVFSPSLLSGLGSKN TSTA_041340 MPNPKIKAVLFDFMGTCLDWHSGTINILPQSIPAKIGSEFALQW RQTYFDSNAARLAANLPPEDIDLTLRRTLDEMLDSGKWDEVKAGFDTHHDPNIKDKCV SAWHSMRAWPDVKPAIDQLKGLGYEVFVHANGTTRLQLDLCRSSGLSFHMLFSSELLG VYKPAAENYEKVLRFLKLRAEECVLVAAHAWTDDVEEDMEVVKRENDFFLEDMSGLVD VVGRL TSTA_041350 MSSLRVAQRATRVLVAGGSYAGLAMTLNLLDLCHGFSPRFSGTN APADKTQQAPVEVTIVDQRDGFYHLIGTPLAYTSREYAEKAWIRFQDIPALQTPSVKI INAGITQLNCQEKVATVTEISNQQDTKIPYDYFVAASGLRRTKPSAPTALSRKEYLND ALEHIRLVESAEDGVVVIGAGAVGIEIAAELKTTHPHLKVTLIHSRKQILSSENVSDE FRDLALGLVQESGVETILGARVNKVIKKSDPGTGLTSYEVCFVDGRHVKAGFVVNAIS KFHPTANYLPSSALDEEGYVKIGSSTAFVEGTPNADYHYAAGDIARWPGIKRCGAAMH QGLHTAVNIHQRILSAQNGITPQFKELDPNVPPMMALAIGKKAASYTPDEGIASGEDV LNLFFGDDLGFAICWNYLRLGEAPYKGERLIDLGDADVRNDTQLPELPTNEPIQA TSTA_041360 MRFSYILSGFLAALAIASPIARPEEVDVTELVTRETYQDTAEFT AANSVHPGLQNGQYYWFTLEWPLGAPVGDGDKESQTELQQLQQKLGFEHIGVVVGKVT ETTTGKGKNQKTKRDFNAVLYHMTKKNVNPGDVELKTANWKADPRKNLKGGQVTSSKK VDAAKKVAKNWAVIKAL TSTA_041370 MKDDEWAQNIIKDWDVKGMLSKESIIARLDKEIQNSYAIREEEE AALKGTFESICSEEGLLTETTLISILQRKANLPRSPDGIEAGKVIYASLAPPSTKSPP GLSLAELTRSLVWALPGRYASIIEEGSHSRVRTKADHRRLLFQSLASTNHNKQYDPES ARRLALFNAFDVDRGDNHEFCSSNHDDDGDEIYHDLLDVLYSTQEVRHPGLSRVARDA FRGVAKHMASENEMPSLYTIGIPTDRFISLVKLLLALQFKPTEANMDLTGFETAAKSV CAAFCEEKNQVITWPMFDSALRDTVPYLFDPFYYMLSTTFLGTTGLFDVLDRPEVTNT SGGILKPALFSQLATFLSGGVYFGSLRRIHRCTGPTRTSPTEFVGAMESVPDEAIMVL SGTTSAGESCIFGVFSPKPRADGASVQPNDIPGHVGQEPCSVFQLAPVQDIFRGAIGK PGWTVDNDTVIFGQGGGVILGLKDGLRQVHVTHEVHKGDERTGVYRSNPWRGSWEVDF EISEMEIWSEQE TSTA_041380 MAEPSQRTLWCAFSDNIDTVFPIDCILGVDTIGHVKRKIRSESQ PKLAHVASWELDLYSPSSPVKNIPTRENLVHLHPRERILSDFPRSNDPDIDIIVIRPE EQQHSTATQVSKLAEVIDSQNIVHVRGTPASGKSTLSLLLRDYYRWNGRIVFWLGIWE QNLRDFGDEDPWANLARYIRRNYPRLDKKQNIFANGNVIIIDEAQTSYGDTALWNQII KDIHGGIGYKVKLCLFCSYGSPSEGLPYNRRDHGTPVGFGCAQRISLTPSGELGSPPI GLFYNRDEFEIVVTKLCSSDPVEKYIIDNDARNYIFNFTNGHPGAVSSIVYYLFQVYR SQVKHKDISTITQDYVTQALADDNKVFSGLDGFAVSRSFPRPRKLTSEAKNTLITIIE DGYILFDESVDSIRCCYENGWIQRAVLEDDAQQPNGVGVLPSRLHEKYVEYLIAKRPT TFPDEAFPTIESLCQKVLEHFSSKNLRHCLEGKLSTAAKLRPVEAQYQDEFYRAFNAV VGRGVPISSEWSREGDGRVDFWIPQKKWGIELLRDHNRVNEHCDRFKKGGRYYPWIEA GMLEDWIVIDCATSPPISGT TSTA_041390 MKDVFDMSKWHKSTGIFQSPLLKDPLRPNSLPAVTIHEKRDVLV RNLLQNSAEAGDIPLDSPAVPSTSLYFPDISMLQVEESVLQAGNTAPGADEIPTCILK VAWPLIKDKISLHHKVLARQQFGALLLRSATDLTICLTHDVEQALNQGMTASLLTLDV KGAFDSVLPSRLIRRLREQGWPTNLVLWIASFATGRSVQIRLDGEIGPSTDIACGLPQ GSPVSGILFMLYIAPLFCLGNPRNRFGYADDAANLAISTSLTTNCEALSDSL TSTA_041400 MPQQAAAEWQPSLQRSPTTFAEPFINWLDLQGLVLISNIDCPTH ERGNVLDLSFASSPLALAGVKASIASYLDATSDHQPLITTVPWDQRYKETAQKLRFDT LDHTSFLSLLASNLAGTESSAATEEDLDALAEKLISAIQEVYRGSAKRTMTQGIGQPW WNEDYKKALYNYRLGLCSKTDFRRITRWSQ TSTA_041410 MSTMAANLFSWVFRPNQTSPTMAEPSQRTLWCAFFDDLQRPFSV DCALGIDSVDRVKEKIWIKNQNKIGQIDYCDLDLYSPVGPLKDGFAKENLAHLHPRKR ILPDFPRSDDPDIDIIIIRPEEHQHSLATRVSKLAEVIDSQNIVHVRGTPASGKSTLS LLLRDYYRWNGRIVFWLGIWEQNLRDFGDEDPWANLARYIRRNYPRLDKKQNIFANGN MIIIDEAQTSYGDTALWNQIIKDIHGGIGYKVKLCLFCSYGSPSEGLPYNRRDHGTPV GFGCAQRISLTPSGELGSPPIGLFYNRDEFEIVVTKLCSSDPVEKYIIDNDARNYIFN FTNGHPGAVSSIVYYLFQVFSGLDGFAVSRSFPRPRKLTSEAKNTLITIIEDGYILFD ESVDSIRCCYENGWIQRAVLEDDAQQPNGVGVLPSRLHEKYVEYLIAKRPTTFPDEAF PTIESLCQKVLEHFSSKNLRHCLEGKLSTAAKLRPVEAQYQDEFYRAFNAVVGRGVPI SSEWSREGDGRVDFWIPQKKWGIELLRDHNRVNEHCDRFKKGGRYYPWIEAGMLEDWI VIDCATSSPSSEHSERKLWRAVFENDYSQLMLFDYRNNCLMGPTVLVN TSTA_041420 MAEPRQVCSSCRVEKPLHQFKSKTGNRTLKTCSACCDRVQGHRI AKRKSNEADVSEPPVEETHVPHFMRPTTASLRRLAPQRVPAQQLQQQIIENSQQVSSS SPTPSYHHRGVNSQDTPRHAEARRRQAQDQRAHRAARRAGEDVQPTQDLEAYIANQQE QDIPQSTQQPLPSTPQASQYPSTPDPLMAINTNVFSTPDPLVFVSNPPDSPALRRIAS GVSATSSTPDPLAMDVSGRSTPFLGRISSFALLPYRGRSRRPSSLQSTPRRPLMSNHF VCNTCQTPRHLSRRIANGLDICEYCQDSSIPFEDQYKFCVSCQRDIPITAFFDDKSYE HAHCNPCRASSVTQAETDPSYAEERPEQDPPYVPGDPDALLQSALTETDWEYVTNFHQ SLNHQQLEFCQRCCERWFNLRLNSQGICDRCIRVDKSKDIHLFSAANNLHIGQMPDLP ELSQTEEMLIARVHVSVQYSGHVVNFLRDTARVYDTLPLIPQNLEVILLCPANTDADP RLQRQFINDFRVRRECITKWLAFLRSNHPGYRDITISQQAIDFLPRDSSVANDIFSQS IDPVEIDLKDVSDEVEIPEHCAVPDLIAQEDEITAIREQLQPQFNEYVKHLMRFDDYR FARHPRLRYVVFNTMMRQQANTKAGFFVKQRTAGGQEITAEQLRAAFEEDTPEGDALI NSISRRSGTLRGTRPFWTNKHQQLKAMVHNLGPAHLFLTLSAADLHWDDLMKLMPRYQ EWQQGTSSERIKISRENLRENPHIVANWFHIRFAAFRKELLDKKFKVKDYWYRYEWQG RGSVHVHSLYWLEDAPKSEIAQLSEPFRQAFTDFWSARISALNPQPGVMVNVGNERSP LQLAFADQRNTVQYLSQISNSVQRHTRSNSYCLRKEKGSNQVSCRFHFPHSIRNDAAV EIAPGHHFYCFYPVRNDPMMNSWNRCILMAWLANIDIAPCTGTEALLEYIAKYATKAE KKTESYSDLMKSFLPRLNEKNPFLSAVSKMMNHLIGERDWSAQEVLHLLLDLPLQASS RITITMDCRPETEQPANFANTEDADDRETLRRSLSPLDKYKKRPSIFDHVTYFTFLRT YDFGSVKNMYERSTAEKRVINYFPIYDSEKQPGDYARVKLMLHHPFRVMQDLLTIDNN SFGSYAEAYEYCKSACSHENDFYGEKLIQPDPTHEDSDDETDNEIPGSWEALARQLPH RDDATRLEDPDLLGDRTIDREMDWSSHIGSHSYIHTDFWATMKEEFPHPPTVRSSASP EDLEVQQRHLYDLVINHYEQDLTGQAPSQLLINLDGRAGTGKSHVIMLITTTLDRMAR NADINTSPVMRAAPTGVAAYNINGRTLHSLFRLPIARGRMADLTPENLQAMQANLRNV KWLIIDEKSMIGLKQLYWVNLRLQQIFPTPESESARPFGGLNVILAGDFYQLPPVGQR PLYFNKKLDTMEEIHGHSLYLKFDVTVELDVIRRQDDTDQDATSFRQALENLREDNLQ LADWKLLCTRVKATVSPNEIDTFKDVLLIYSKKVQVHEFNHNRLRDIGNPVLRIMATH QGLNAEKASTDDAGNLHAEIHLSIGCRIMLLENIWTDYGLVNGAFGTVLDIVWEAGTT NPRQTPPFALLVHFDSYNGPACSLVDNTPVVPIFRSKRDFMISNINCSRTQFPITVAY AMTVHKAQGITIGRAVLNITDPDFQLGLTYVALSRVKRLSGLLFEESFDYDRFVRKKP HPTMVMRKEDGHRRLEQHIDYVPLPGPLPSQPSQLFQAFLPIRTSSPLRGSSMAPHVS SQTPPGDEILEDNIA TSTA_041430 MIKLLKEKLAPKENREKALLIKRERELTIPKRGTKPKELAKKWR ELLMDMNLANFKALTSEQLARDFIDSTEGVLPKFHELWSTTLLQYDLNSKMSTLSEPP TIEGILNEFDSWTDAYAKKELNPKPDITMATFSNKSDQPEKNNERSATPKSNRKITCL DGEEHGFENCPYVNPEKRTSEWKPDPNIQKKFDVLEKDKTHPKAKKLRWVKKRIERKM KDDTSTDSNKNSDQQEQSNLVYDSDEFCGTVLDTVLSASGNKRDMKDEWILDSGSERK DEDLCNIKWHGRLILLEWKNNKTPKSSLQYELAMSSFEKVTLKDPALAWHKRFGHISQ KAVQKLEEATEGAVVTTSEILNRNPDGFQEKCEVCEMSKARRKISRVSMTKPTKPFQV LFVDIIVMNLAMNGHTYALHAVDPYTKFHVITTTKTKSVNFTLETMIEEIEHTFKAHI DEVHLDGESSLNGISFTKYCRENKKRLLVTVPGTPERNNYRFKLIRFAANYKL TSTA_041440 MAETVQICTDCLQSKPIDDFKSKSCKTGNRVRKTCSACRDRKQS NRAKRKSNDADISEPPVEDTHVPHFMRPTTASSLRRTASTLRKIAPQRVSAQVFQQQT LEQSQQVTSSSPAPSFHLRGTHSQDTPQIADARRRREQDRRAHRVARRAGEDVPPTQE LDIFADYAKHLMKFHDGRFARHPRFRYVVFNTMMRQQANTKASFFIKQKTKDGHQITA DDLRLAFEDDAPEGEALLNSITRRSGTLRGTRPFWTNKHQHLKAMVKNIGPSHLFLTL SAADLQWADLMQHLPNFEQWKAGTSSERIQIARDNLRDNPHIVAQWFLIRYNTFRKEY ASNVEKKTESYKDMMKGFLPKLNPQNPLLSAVSKMMNRLIGERDWSAQEVLHLLLDIP LQSASRICINVDCRPEEVHSAVFVPIEPEIEGDETVQRGLSALDKYKRRHTLFEDLYP PEH TSTA_041450 MMIFYLELGVARLLKVVSGISILLVLNNTDRLRYPINKLKRSKT ERPNIGSPQHSSRQKVTSKVNVKIERKDDQKPDLAPPEKKDFKFAILFYSQDEADQWN PPHLFRTLRYLAPGSYYTTRTLQEAWTPFFRDNSRKDWTPDNEQPSVFHSRSYLYGIL REVFGPGY TSTA_041460 MDAIFGTNSGGMDLFAVLAKLEGTGIPLGYCLVELLKAPQANSV QTKPRRTDPGAMTHVIQQFLERLENNISSRCRLDAESRRQVFSLCLLQLPGSTLLYGN DAGGRLEYLEKRVRQLEQEKRDLVSRNSSGHPFATLSDNHRISSQAQTFSSPPSTTRA SSINTQYQQQDIKSIDDGKLRNTNTASTYNESLSHDSDRITKDQPLAHEVGLFSLANV VSVPKYLGPSSGVAFVRLIYASAPQTQGLPSRVCLQGDTGNRTAQRDIAQPVGLPSSA ACQQFADSYFSTLGQLYPFILEDEFDELLGAGQKSSGNQN TSTA_041470 MGFSWLKAHTLFLSGITMLYCLWVHPYVCKNLFITNCLDQARAA CGLLSTLGRTCCACQRENNENVSHAGFVAPSNEQNHRTFSDDQLEVDRSSLIESDMDN YAAVYNISNVSYKLKADKFMDEPDGFRDFFDLGLLDDVNLNLDDQSWNMGEVTQNKSI S TSTA_041480 MARKGPGTDGPLQIALLESTSAATTRASEGQKIFSPIAAFLNKH RSQTTGLAPHLLRALTTLSDDLASVAQQHFNAYISGIPTTSILPALTALKEVQVIKTG FALCHSSPEALLALKA TSTA_041490 MAVPTTITTSTTSAIRALTTTFTPAVSCLTDLYLYHVVSGGTVW DYRQLGPSPTSDCYPPNFTPTSSAYYSPGVCPTLYSIACSNVVSIGTVTETRATCCPS CQTFTDGWPWYSTELCTYAATGTSTFLLTDTSTISTSTGAPNFNAYGIQIRWQESDTS TPNAASATTTASSPLSTSPSPVSTSTPTPTSSSLSTGAKAGIGIGAGLGALLILAGVI FFGLRHRRNRAAKRQESVNMHFASDQSQQQQQYYVEAAAGDVRGKQPYHELSAQSREK APVELSSESWK TSTA_041500 MAELLQTHRRVALSTSSTGVLLPIRPPAYKNVPRTHGHRAVLPD ISTLTNMEDPAIEGVLNRIHSLDDLSAEDLIELRRVSERSFAIDKFKLDEVGSICSVE YDAQNARIILRGGPEWMHEAAPALIGEFLGLLRDRLSAATGSRYFLTGSIDCALAGDF AESTKQAVASLQQFGAKWLAVVLEVGISEPTSKLYQDAKRWLKGSNRQTKLVILVDVQ EKPRWKTSSNNWSLSEIDFQQINHDKLSDHIFQWYRSKQILLHGSFNLSVHLWYSDDD KQCILNKAVFLPGNLIDLTTIQDVPFEVGASHAWWK TSTA_041510 MLATLTFPFKNSLKKYMQISEPSKRTVIAVIEGDNEEARCVAGG IPLRKLDHLTDRTLVPGNPDHYYGACPEQLNRRIRNERDNQIIPTTEDKIPIAPNSFL AVKGPDGLASVVKRQACYDNAFGVRGMHSLQEYGKDEPEFDNHAYTISSIYHDGTSNI FTIHPSKPSDRLEYHMTRLRSFVITDTFRQGVIWYRNARDWVKE TSTA_041520 MELHADPLSHIYCHISQFEAMLRLDGKVVLITGLGQTTDEGWGI GAAIASKLASQGAMIFGGNRSLASAQRTKSRIDSSGGICDIQETNVTEANSVKALVEA CMQRHGRIDILVNNVGRSEPGCPATMTEDIWDSQVDINLKSVYLTCHLVLPIMERQTS GGSIINVSSIAGLRYIGKPQVAYSATKAAIMQFTTATAVIYAAKNVRLNTVVPGLIST PYTRELAKRYAPGGDEEEYMRKRDAQVPMGRMGDAWDVANAVLFLAADESKYITGQEL VVDGGITSSTGRV TSTA_041530 MSTTVTETKKNTNGQANGNESVSIRLTAPVKTVPLKLSGVLDGY ARKEITPVIGTEFIDANVVDMLHAPNADELLRDLAIMVSQRGFCVFRHQSNLSIADEK LLCHRIGQLTTRPYTSSLYIHPVNQMELEDGSVDPEVMSPSRSTKKKLYTREGGYSKG SERNQSRADGWHTDGSFENVPPDYTLLHMVKVPVEGSGGDTMFASAYEAYDLLSPPMK KFLEGISATFMPWDHKPENIIDHMWKGTRGAPENQGPELRASHPCIRTNPVTGWKSLF AFGHHLEEFEGLGDVENRMMKEFVQRLITENHQLQARVRWEQDDLVIWDNRAVYHSAT YDYGGITGGKRIGNRVCGVGEIPYLDPRSSGRRYALGMDYLA TSTA_041540 MEPNTDTSHTTDSSRTLPDIELAEHPITPGTVQSIPHNDVSTTQ QFADHQSRALPHSRLMVVFPVLALAQFTAYLDQTSISTAVPAIGDALGLGASLPWVAT AYLLATTAVQLANGRLSDIFGRKRLLITSLLILAVGNLVAGFSTSPGMLFAFRAVSGI GGGAITALVMIIASDVTTLQQRGKYNGFIGAAVACGSGMGPLIGGAITAHVSWRWTLW YDVPWLIFLIVLLYITLPGGPKGVNTQSKIKMIDWAGLFISIAAIVLLVIPLSRGGST ISWSSAQAIAMLVVGGFVILVFLAVEGKFASLPIMPLHLFTVDFSCNLLLIQNVLYGF VFWGNLFYMPIYLQNVRGYSSTLAGAIIMPMVGTQGIGSIISGLIISRTGHYNPVMIT SQFIWMAGLIGQIFYSAASPIWVVCLVGFFQGLGTGGCFQPSLVAILAHSRRADRAVL NSLRNFLRTMGGTLGLTVSGTILNNVLQSRLNGVVSNDIASQLTSSAHSLDSLGLTVE QRTAVLDAYMHGIHLIFIVYAPLIGICGLCALLVRDQGLAEKDTSTTLQVRFEKKSNV TEASSASASVHAMEAIAGSK TSTA_041550 MARKGSGTDGPLQTALLESTFAATTRASEGQKIFSPIAAFLDKH RSQTAGLAPHLLRALTALSDDLASIAQQHFNAYISGISTTSIPPALSSSPSLTPNSFL PSPPLSRPPSGLEQSTYATITQHAPAKSTPTTHSKAPAKKPMPLIKQPLPDNRLFVRL PADHAARKMEAYAIYSSLRSQLNSNSAALKEVQTTKTGFALCPSSPEALLALEAQKEI ISAFFVNCQIERSSRWVSYRVTNVPRKIGQILNGQYSLIPGLSPHLLARPLQALSTLI LPPQAGL TSTA_041560 MSRRQRDERGRFISTTPEPEGRIPGSFEQGNETALGQRDEATEN EIVEQERDNTVHSGWQNTVVRVEVPIREFTPDRQLVDKLARMPDDETIPTFEEVNGRK FMTFEIAKLDRTNVRSWKNKYELFLKSQGCWRVLDYTYKWRKQSEKIDELLKDDKWSA ADSLSKLYILQNLTESDESAVQHMRSSGEIWAYLMEKYERRTEVDVAYAIRDVITWKM SSTTTVEAGLQQLEQRHTELVDVSGGEVKLPEKTIMVIFLEGLPSEYDSMKFSILGAG DLSRGLVLSRLQQQERMQGGSTNKTIGANESANRASDIKCFNCNEMGHFARNCPKPDK RKKSKEESRDDSQESSKTRSSRKKAHEKRSKKVRFKGKARNASKESDTEDESVSEESS EESAYKVWIGVHYADRATSIDPDQSEQKVKKWTIDGGATSHCTGDIQCLEKLDTRYRG VLKTAGGNLKIMGKGIARVPLMDGGVARLNNVLYVPDMEENLLSTQVLYRDGIYNAHE KDGYRFYRKDRKTLATGYNIGRTSYLGSVESQDTLMTRSRRMNKDEEARIVSREPDWD LLHKRFGHPGKPRMKRLVKRMGLKLPESYDFTCETCIQAKSVKRQNRGEVPKEKEPLK RVYIDFWGPYQGQYYLAIVDDATRFSWLYITDNRRTETVIEILEKWMAKEERILGKAL INIRLDNAKEFAALGSWAEKKGIDLEFTEPYTPPQNGPAERLNRFILEIARAMMQQMN VPKKYWRYAVRMANFLRNRTMFSPREGEKRKSAYEMIYKKKYNLAKLKVPFCKVWFHI ETKDKLDPRAQEGVFVGYTKSSSQYLVLDRQGRVRKVTNPIFLEDQRGFISDEAGDRE FTNDEAYNSLIENPSVFNHTVNPVINSASTTAMSPTVLNHKDDVDATTAVDESHSQES TTSTTPDLADPNPSTTPSLPKTSQQDASPKRRSERIRQPTQALIESQQTEQIYGRKSR QERRREEREASKVSTTDSSSQVSHEETRLRETANLAVAIELLLGEDDEFALRVDKRLE GEQIPIPKTYEEAVNHPIYGPRWREAIGLEIRNLIRFGTWKFVKRPIGRSVISCKWVF DLKYGADGRLERFKARLVARGFSQQEGLDFEDTFAPVIRLESLRVLFAIAASYGMVAH LLDATNAFVGSRIDKEMFMEIPQGLEDHGVGPTEPDQVCEILQSLYGLRQSANLWNQK VKSFVNTIGFKPSTADSSVFINERGVIIALYVDDILVFGKATKDIDWTKRKLKKFHPM KDPGLAKKILGIRITWLPNGAGGTRPDIQFPVNRLSQHLAKPTKVHLEAVKRILRYVR GTIKYAIIYRALDEKGSGKILVGYTDASYANATKGRSTSGYIFILAGGPVSWSSRKQP ITATSSSEAEYIAASDGAKQAVWLRHFLHSIQKGSKGPTPFYMDNQSAMKLSDNPVLH SRSKHILIRYHAIRDFVNHREIKPIYIPTTDMLADSLTKASSSEILGKFTESLNMKW TSTA_041570 MPPIRTGYREKLVEQEGKILLAISDLKNGKIRSIRQAVRIYNVP YTTLHDRLNGIEYKIEKRANSHVLTQNEEESLLKWILDLDKRGLPPRPSLVQDMADLL LSQNGNKHVSERWVYRFVDRHPEVKLRFSRRYNYERAKCEDIKIIREHFNRVQEVIQE YGILSEDIYNFDETGFAMGLCASAKVITGSDRYGRPYLLQPGNREWVTAIEAVNSTGW ALPSYVIFKATTYYQQGWFETLPQDWRLDISKNGWTTDEIGIRWLQKHFIPHTTSRTK GRYRMLILDGHGSHLTPQFDQICTENNIIPVCMPPHSSHLLQPLDVSCFAVLKRQYGR LVEQRVRLGFNHIDKYDFLTAFPEARAMAYKAENVQNGFKATGLVPYNPDHVYEKLTV QLRTPTPPPSRSSNSQSSCQQTPQNPRQFNRQTATIKKRINDRTIGPFEVVDQAINRL SKAYEMSRNELLIIQKEVHDLRAANEKEKKKRKRSRAQISHEGSLTAQEAQELIGSRN EASQPIPTAPVESEPQTSQPRLRAPPKCSGARFPEKVIGWVS TSTA_041580 MQSIETSSSIDAPFKASPESSQYDIVCVGFGPAALAIAIAIHER GASFDKSVLFLERQAEFGWHTGMLLPGTKMQISFMKDLATMRNPQSYFTFVNYLHKHN RLANFINLSTQTPFREEFNDYMKWCASHFRDQVTYNQEVLNVSPGQQKAPSPESAIDC FWVTSRDVQSGDVQRICSKHVIVANGGEYSIPKGLKSPDSSDRIVHSSQYLNRVPQQF KDENGNYNFAVVGGGQSAVEISEDLQSRYPKSRVSLIFRDSALRPSDDSPFVNEIFDP ASVDEFYSLDSAHRKQTLKKNKATNYSVVRLPLIEHIYEKIYRQRLLKPEPRDWTLSI YNNSEVFGAKKAKSGEGLILQLRNTITDEVKVSEQAYDLVVLATGYHRRPFSGILKDL KPLLLSESEDNGSLVQRNYRLLFKPGSVVRDAAIWLQGSCETTHGISDTLLSILAVRA DELLDSINASRKTVEVKAHL TSTA_041590 MGADMQSSSAITRLQQTQRHICPECPQAPTQKESIVHGPTTPAL WEITLAELLEFQCIEHAGRECLVVPWTNTRWTYRQLQMESERLARGLLANGIQHGDRI GIMAGNCEQYVSLFFAAATVGAILVVINNTYTKAELMYALEHTACKMLFISPTIGRHC LEEAINYLENRDRSHSLPGLNEVVIIRGQYHNLKTYEDVILEGRGVSRNVAYRHTNVV SPYDVCNLQFTSGSTGNPKASMLTHHNLINNSRFIGDRMDLTSSDVLCCPPPLFHCFG LVLGLLACVTHGAKVVYPGETFEPAAVLDAISKEQCTAIHGVPTMFESIFAVSRPENF DCSRLRTGIIAGAPVLYSLMQRLVAELNMTEFTSSYGLTEASPTCFNAFTHDSIERRL TTVGRVMPHASAKIIDPKTGRTLPIGERGELCMSGYQVHNGYWKDPQKTADTLLRDED GRVWLRTGDEAVFDEDGYCTITGRFKDIIIRGGENIYPLEIEERLGRHPAISHAAVIG IPDGHYGEVVGAFIELELDIKALPSAEELRDWTRETLGRHKAPRYIFACGSHELLPRV IPQTGSGKVQKQVLRELAHKLIEQGRTETLLA TSTA_041600 MVPLSTAHSLLELFHTHYGRWVKFPEDISISALLSHINKSPLLL CSIFLIAVRHTTQDLADRLAPKLFQEAMRLVTSSLLIVPQPIEFFQAVLILSLWSTTI GQVPLSVDSWLLTGYALQQALASPDFVEIFRAGSNTPVTRPQLDVWCLWNHLCFAHLQ YSVGTRRQALLNQEQINHCVRLIGADNVTNYEARMVAESSLYWIIYGKCSGSEVTLTE AKAALQTWQQEWMTLFDQPRSQFLQMGFHFAHLLAYGQFIRSPSRRQTGPSAVIETIV MEMIHHSTTIINLAIETTDERTRHLTDHIYHIVTFSALTLCRLVHIYEAKLQAANHNV DALDDLVVKLIDWLRSIGLPCHAAHMLAAILAAQFNKLRPTYVRPDVVGDIGFSTFSQ QNFLGDDGILSSEFIGSEFFNIAIDATPWPQWEPRYTDDEL TSTA_041610 MDISRQDIKHEVDNIIEDESPAISVPGYDPSIQPQPGEEGRALL KVDLYLLPFIVLCFCFLQFDRTNLSNALTDTFAKDIHIGNPNINLAQTLFTVGFVITE LPFNMISRYVGAERFLPVTMFLWGVATWSQVFVRNASGLYAVRFFIGALEGGYIPSFA LYLSKFYTNRELALRYAIFWASNSFAGILGGPLSIGLLSLRGRGGLHGWQWLFLIGLF DMFPWSRHVLLPSNSASTHKRFFGKSYNIFTERESSLLITRVIKDDPTKGIRYGKPVL PSHILQTFTDWRLYGHLVAAFLSMIMISPMNTYAPSIIKSLGFTSLQANGLNSVGSAC ALMFSVSLAFSSDKVGERGIHIAIGYLWGAAGLLWLALAAKGIDKWILYGGVVWTQMG MGSAQAINAAWLTTKMEDHQRPVALAAYVMSIQLANFPGNQLFRAKDAPRYHHGLIIA ASCAIFAAFVILVWKLFYRLFDGKDQGVRAKSGVIEFGHSRTEGSDMA TSTA_041620 MIQTSDFAKIGLTYPSLISLPEQPRAARTPVKMEQNTLKRRGVG LIGVDKQKSFGGYTLFCPLTSDIAYLIDIDGNQVHSWKLPGRIGRHARILPNGNLAAN TLRPPSDRTTNDRGPFPFPFFNKYGGGVMSELDPDGKVVRQFEDPLGHHDQYHFGDGK ILYTGLEALSPEQSAKVIGGVPGSEIDGITYADTINEVDEKGSLIWQWKVSERLPREE YPLQAHYTREHYPLINSVLPMRDGEHILASMRSVSAVVIIEKASGNIVWKLGPEVLAQ QHNATELDNGNILIFDNGAFRNGESITYSRAIEVDRATKKIVWEYRDRSQMLYFFTLF MGSAQRLANGNTLLCESAFGRVFEVTREGYICWEYVNPHFSTYLDEVTAKIFPGESNA IFRAYRYSLEEIPWLRQRLLKSKLA TSTA_041630 MSANVVERKVAADLNVDASSDSVISEEVRAAPNNSATVEKQALA DDEEIQPDPPVYNPDDDYDKDETRHDTIIITGADAAKHLLPLRDDRQPSLTFRSIFLA TVLSGFQAVMSQIYWFKPTFITIQGTFIVIIAYFLGLAWANFLPRGDHLETRWRNNGG QGQLPRWIKIISFFNYGPWNLKEHAICSITATSASNGMASSEVFATQKLFYDLPLSAT TVILSTLSIGLFGYGMAGIIRPIAVWHEESVYWGTLPIVKTLQGLHWQQVKNSKPLRY FWYAFGGMFVYEWFPAYIWPWLNSISIPCLASMHATGEKAAILTNIFGGSLPNEGLGL FNFGFDWQYITSFQTALPLKFQINSIIGFLVCYIAMIAIYYGNAWGSRSLPFMSSRLL TASGKTYPISKVFQGGVLNTDALAKYGIPRLTGSFAYGMFMANAAIGAMIAHCILFWG KDILVSFKKTRQGTSDDRHHAHMVKNYKETPSWWYIAVLVISFVLGLVVVIKENITLP VWAYIISLILGMVIGPFSAILYARFGNGIATNNLSKLVGGLLVPGRPVGNMYFAAWSH NVITNTVNLCNDLKMGEYLKIPPRIMFITQIYGTVLGSFVNYAVMISIVTSNHDILVD GNGDASWSGATVQGYNTNAASWALAKYLYKSGTTYSIVPIGLAIGAGAVVIHRVVVHF LPKIRGFSLAEFNFPQFIQYAGYIPYNSPQTCVIFNQLASGVFVQYYLRNYHPRIFRD YSYLVTGAFDGASLLVLFILSFAVFGAGGPSVPFPAWWGNNINGNYDFCPTSD TSTA_041640 MDGLSSKKLEHEQETYRQTADLSGPPPPAYSTVDAQDETMNTSK YPPQSYHQQAPTLTLQTENTKFLGQAMRIYDLSNPFQNIYEIKIKTLGMDLYFYRPGA NQKGQEFATVKFHKLSLKMDITLPDTPMFTLKCKQGWNYKVSYPSPSFQGEMVSWKTN YHFKTMDFELRDAKGIMLARLKASNWNWKKTSQIEIFGGHVSGNQKLMDEIVVTGFGL LEYVIVMNAVTITAS TSTA_041650 MASSNKPTVLFVHGAFHGPDCFDALISPLKAADYFGSIKNNLKL PSAELNSSSSLQQDIDVLRAEVLKVLDGPTVTNGPRGGSDCVVVMHSYGAVPAAEALR GLGRSERGVGNTAVVKMVYLSCNIPKAGDSHVGQLTAWIAERGLSADLPLEPLDNGIL KFTGAADVFYNGLPEDQQASLIASLSTQSAAVLTTPLKGSVGSMAYDEIPGWYLLCKN DNILPEAFQRECIRVVRDGMERVEEVDAGHSSFMVVPEIVADFILRAAKSGNSQRGTQ HHII TSTA_041660 MKSGEHRDRIAKRERVIGFEMEGAGIWDNMTCIIIEGVCNYTDS HKNKQCDQYVEEITPEEHERKFSTRACNSVSREALTAVLKANFRNYGPAADTIDKGPG CCNVYGFHTRGNSEQLLTNLQDNLPRVHTISNSMSGLWRCLSAYPRRNGRKSGPCKAS TRLDAYSETPDSMSSTREQLKRLLSPYFPRPETEQENMDESFLCSEIWSKSYDVILKP GHGIITITLENKEGNDTVIVEPYQEKDLGLFVRPIGLEGDVLRWETEPKFSWAQGPSD YSGNIGIRNFSFEFRKDLQIL TSTA_041670 MATASRDASHDPADSSERTPDHAFIEASEIDTDASESAYGDETE SYTTSLKSSIRNYRYENGRRYHAFRDEAYFLPNDEIESDRLDLFHEILTRRCDGELYL APLGPDPQRILDLGTGTGIWAIDMGDKFPSASVLGNDLSPIQPTIVPPNVRFEVDDIE NDWVYGSKFDFIHGRYLAGAVKDWPRLMRQAFKFTKPGGWVEFQDFDMRFYTVKGEFT PGCPLDEWTKIVINGLKKVGVEPEPGPKLEGWVKEAGFTNVHHKLLPIPVGVWPKDKK LKEIGALDYHQFLEGLEGISMHIFTASEGWKPEEVQLFLMGLRKDLKNPRLQAQHNFH VVYAQKPLDAE TSTA_041680 MSNRLDKSAYFYCMLGNPKASFGTIAADLHRIRRGALNPFFSQQ AVAKFSGHVQAIVDRFVDRIKSCAERGETIPLFYAYRCVTVDIITEYIFGHQFNLLDR ADWGRDFYSAWRSLWELSPTIRQFPWMMDAFMAMPRWFTALTNPKALEVVDLFSDVDE QTKILLSSDLKQIESKPYPIVVWGLSKSDLLPASEKTFTRLAVEANGLLAAGFETTGA VLTHTTYHILANPEVHRKLLAELAEAIPDLDHIPGFQQLEQLSYLRAVVKEGLRLAVG AYSRLPRVNPSGDMQYGNWVIPAGTAIGMSALFIAKSPLLFPDPDTFNPERWIINDQS PGSERLEQYILAFGKGTRSCVGTNLAHAEMYTILATIFRKFPHIKLHNTTPRDVELAH DYFAGMTRADTQGLQVIVDRTNRSEKQ TSTA_041680 MSNRLDKSAYFYCMLGNPKASFGTIAADLHRIRRGALNPFFSQQ AVAKFSGHVQAIVDRFVDRIKSCAERGETIPLFYAYRCVTVDIITEYIFGHQFNLLDR ADWGRDFYSAWRSLWELSPTIRQFPWMMDAFMAMPRWFTALTNPKALEVVDLFSDVDE QTKILLSSDLKQIESKPYPIVVWGLSKSDLLPASEKTFTRLAVEANGLLAAGFETTGA VLTHTTYHILANPEVHRKLLAELAEAIPDLDHIPGFQQLEQLSYLRAVVKEGLRLAVG AYSRLPRVNPSGDMQYGNWVIPAGVSRSLS TSTA_041690 MSTLSNEPVPAPHQNISSDELSLALRLYGVLKEAVTEMIDFFCN TITPPAGPLDMFIEPAKSGLNPMQALLRWVIETQQPFTVVEHPTWKELLKSLNANYLL EFKEIDGLHSGENLSTIIKSVLEVFQIEHKLLTITGDNAGNNLTLCDYLHADLLKDFD KEDSPFCIKPLMRFRGRNSFIGCLAHILNLICKDILVSLKTGTVRDAHIILDEMPSQK DHSPETLISTKGAIVKIHLLALWISNSPQRRQAWKDISPCKQIQKVLKPFWDHTNSVS KHCPSITKSLPIYWSLDDILDNIKNNEGDFQEITKEVQNAVKGGIRKMDKFIKKMDSN IIYYVAAILDPRVKTSFIRAQMSKSDADVIVSDIREYLKKQYPASPISSSSAERPPDI ISDIDRYLDLSPEMWSHGMIEDGDPDWILKWWKANAFNYPLMSKAVQDYLPIPSAEVG VKRLFSNARDVLGIRRHCLNSKTFRWLMFLKGQYGKERRDSA TSTA_041700 MADGRVVGNNVLSNSLANKSPGTREGEGYMLSSDDGDYVFAPIL NRLISWLHRQLHLLFRQRAVEGTSHSCPVIFVDDETSTPLQSGKRLIDPSSTALHKRI AQLEKLVVSMTSQNNSLAGKESKSKADFDVTDDSTELHPRFGRIGLENTETSYVENSH WTAVLDGIAELKDCFDNDPEAFEQVPTSRRISTPGPALLLGNFRMLDQQQILASIPGK QEVDGLVSTFFNTTSVYLLCIHGPTFLEEYDRFWKCPEKTSIMWVGLLFSIMCMASVY QRSSASAEATRQAEQRIHIFRERIVQCLRLADYTKCGPYTIETMLCYLSVEYTQATDN QTGLWLLLGIIVRLAVRMGYHRDASNSSCLSPFQAEMRRRIWAVLYMLDSAAADQYGI PRMVNESLADAREPLNLLDGDLDPRMESLPRSRPDHEPTLIGFLAIKNRLLSTRNIIT DLTGYPNKFVSYKEILKLDKIIKEQFENLPAPLKMRPINKSLTDSTGVIANRIFLALI SYKSRCMLHQHYLLTARTDDRYRYSRKACIESALDILHIQESIREESQIGRRLYTEQW KFETTVNHVFFLACTILSVDLNCDLSETPAKRLLGKEIFTKIISALQSCYNIWVESTD TSREVQKAVAMLRFILMKARRLRRISLISINDVGFGYDGLSTLSNQIDPALFNHDIAP SSWENYNIDMSQFDVNGFDTILDSTHDNNQMNTVCHA TSTA_041710 MEDCGSFISTWTEMGLSMNQENIIHSYNTIAERIVTNTWDETSH PVTLACFLSLQALFSSKVDITNTRNSKGQTRFHIAAHYNIDIVSILINRNTDVNARRN DGQKIWALVCCFE TSTA_041720 MDIQEETIRLNSRGVSELLRSGADVNAKGGEYGSAIQAAGHLGR DVILELLLEGRADINSSNVGTRNPHGRTPLSLAAGSGHSSVVRQLLAIDGIDADSTDD NGRTPLSWAAGSGESLGSIELLLERSDVHPDFEDLDGRTPLSWAAGNEKGMVVELLLK RPNINPDAKDKYGRTPLSWASGNFHAGPVNRLLEREDVNPNSQDSYGKTPLSWAAENG QYLVVESLLKNHNINSDSMDDHGMTPLSWAAMKGHSEVVQLLLKRADVNPNSINKDGI PLIWLAAEKGRYEVMEILLQNEKIDLNYATLDSRTLLSKAAENGTDRVVKLLLAKEGL SADSPDINGRTALSWAAGSGHSAVVELLLRRSNVRPDSKDEFNRTPLLWAAMNGHNTV VEILLTREGVNLNFTDACGRTPLLWAMHNGHHRVIRSLISRDMVTLHGLVRDGDLIMA ERLLDCGYDVDRCDASRQTALRLAFQLKNRETIDFLLRYGAQTKGIRASDWLAAYGRP RTDIIHLEEDTYAKQWVNFISKDKAESTGHSANPNPQLIVYPDYKYWPKKLLSDEMKQ IRPNELQILYENINGSPKVCVSLWFPAGQSQIRQHCFDMPDWGKSRISWTIQSPASPH SRPWKSLNYFSTLRNAWIPQGGADFLLQFIQHMESRWSRVCDTADEYLKRSRLDQLRS EGRKPELMRRLAKDAQKWTELRSILQNQADVAQNFIVEYCERYNANHVPDELQQSVDE LKVKIGHRISHLDQTIRDLLQFEFAWASINESRVSTRLGQNVMLFTYVSIFYLPLAFC AAIWAIPNITESDTRNPFIITSVIVGFVTLFISFNLDNIAGLVQKLYRYWRKRLVQDM ENDNHYWRERGEELGADGPSSSPTPSDWLLLGYLIHRLVDKSQGILFRRKRTEDSQKM DNESSC TSTA_041730 MTSKALTIASALRSLGNTVHGMRPHLLQQAISACVLRKAYFGAE TWWPGRTRPRSRPQADTPPISNLVNKHLTDLSTVILTGARAILPVFRTIQLPVLHRES GEAALRRVGAPSGRTREEAADNFQILLQSIPNNDIIIYSDGSKLENGQTGGGYVGFQA GSQFLRGSIPLGHNKEVFDAEAEAALAGLKAAMTHSTAQCSPNLWICLDNLEVTIQLL SSSIGSSQAVFKSFNTLAATWPSRRRLLQIESGAVRIRWVPGHANIPGNEAADCAAKE GAGKTVPTSHPWSYAAFKRHTKSQAASRAQTYWQAAAPQAYQDLEITTFSRRPPELQL PRHILGRILAARTKHGDFADYHERFNHTDAHLTCRCGARKSPIHFIFCQIAKRKAPRF PGHPSEVIPFLLGTPKGATKLAKWLTETRFFEDICPRRPPLST TSTA_041740 MARNRSEPDKRSLQNVLQEITTAATTRAAEGQKIFSPIAAFLDK HRNQTAGLSPYLQGALAALSDDLATVAQHHFNAYISGITPTPSTHSPAPALSPIPSPL PPTPPPSYPPSGLAQSSYTTITKSNPARSGTAIKQTKPPTKKPTPDVKQSLPDYRLFV RLPIDHAAKMMDSYAIYTSLRAQLGINSNALKEVQTTKTRFALYPATMEALSTLEAQK EVISTYFGNCQVERSARWISYRVTNLPRKIGQITNGQYSMIPVDPATLSTEIAEQTGY RPISVSETTASAANVNSPSSSWFINFSEDSKASLSTWLRLFGTITNARFLTRKVTIIQ CNRCWKWHNARSCARSPRCRLCGLSEHIEEEHNNSCSTPNPYCYPPRCFYCHGPHPAD FTDCPLRPKAGTTRTKAQRAEIRKTCAINLAKARTEQGCSSESSATTQEQHMVIDTPP TQIQVASPFRPTTPPPPAPSQEPPVTASVEPYIFSNLSQKITKRHPSYECFSPTDSWT VTRRPCVLTYVWKNAGLQASQLRPIITDPATLSDLLFLQISSSTGQSVLTINAYNAPA GSTRAGKAMETLISLPETLFLQPTLLAGDFNLLHIRWQPSLTYRPAVSADPFIEWLDH LGFILTLEIDCPTHTRGNVLDLTYATSLLALSGLHTSTAPHLDSTSDHTPLLTTIPWD QRHTLPTQKIRFDILDQPLFLSLLSTNLSQIQPLDSLKANLESLAQGLTSAIHSAYEG SAKRSLPHKKGQPWWNPECKEALQAYRSELLPKEEFRSTIRKTQREFWRNKLITASQT KEVFDISKWHKSRGSYRSPPLKDPLRPDNPPAVSVQEKRDLLVRNLLQNTTEAGDIPL DCPAVPTTTLPFPEITMAQVEKSILKARNTAPGEDELQTNILKTAWPLIKDKILFLFQ GCLRLGYHPKYFRHAILTILQKPSKEDWTNPRSYRPIALLSVLGKGLECLVARNMAWI AIYYKVLASQQFGALPLRSAIDLTTCLTHDIEQALNQGKTASLLTFDVEGAFDNVLPG RLTYRLRTQGWPNNLILWISSFITERTIQIRFDNELGPHTDISCGLPQGSPISPILFM LYIAPLFHMGNPRTRFGYADNRATLAISPSLLTNC TSTA_041750 MLELLTISASADIIRSDIGGGYDNRCSFHGGCEYRILFDCTINN ITSYPPSPSLLTGARAVLPVFRTTPKPVLYRESGFSPPEIELDRIALLATVRLRRLDP YHPLRRRAEQIASNGRQISRFARRTLALPNSEQINPLQYAPWHPREPRGNAQARIGAP MGRTKEQAAANFMAFQRTIPSSDIVIFSDGSRLADGRAGGGYIGLQAHHQFLRSSLSY GHGKEVFDAEAEAAIAYPTAQFATNLWICLDNLEVAIRLLSPSTGSSQEIFESFRTLA AAWPLRKRLPHTKSGSIQIRWVPGHAKIPENEAADLAAKEGAASIPPAPHKSSYASLK RYAKTQSLSAAQSQWEKVAPQSYQDLEITTSPKRPGELQLNRLDLGHVIAARTGHGDF ADYHEHFNHDDAYLLCQCGARKAPLHFFFCHIAKRRAPRPPGPPSEVISFLLGTAKGA QKLATWLAETHFFEDICPRQPLLST TSTA_041760 MPYIQPNFARYWRLFKNKIWRREACPSRRHEGSDRFIQCGTSRE CSGKTLKIIKHTIHRPPIDRKALFKVLQVPLEIVYTFTSGKVAERSLPQYAVFAAYAA GMGGATRNLALDLKPIRINQVNPERVLTQPWGVGAEARVEEAAKNALLGKLGRPEDTT ETYICLMKDTTATGVVINNSGGEFLVEIRTNASHGV TSTA_041770 MAGQGQVMYLFAKACRHEPFSEEEISIGNIDRRNVVQLLDDHVQ LQETAAPDPPRIKNSPSSQRDRAKESQSKKLQSSSNDCTWTYFSFCRSKLASLKSQAT ATLPRETNGFVSTDDVLTIYSNEWERIKLKTTLSRNVNVRQHLQIPASYPGFVTNTTL HTFALDEVANHLLGYLSSQLRSALSPESLNQRTRELATRIRRDKNSANATIVPKGNPE LDVRLSSWAKENCYNLDFGFGLPLAVRRPRFTDGSREGLVYFLPKAPDGEIVVGICLR RDDMERLKKHQDFAKFGTFLG TSTA_041780 MGTNDPISTKMYKSVLSLGLIASTALAQSVSIGLPAAGAQLSTG TPTTFQIQRPDTLTGSQEIAVVIGISKCNSGGRCASPDESMGTVLYNGPFNPQFHETA LPPYQNFTLEIPESAATDNGLVTVAHFSLVGASAWPMVEYLNQSITVA TSTA_041780 MYKSVLSLGLIASTALAQSVSIGLPAAGAQLSTGTPTTFQIQRP DTLTGSQEIAVVIGISKCNSGGRCASPDESMGTVLYNGPFNPQFHETALPPYQNFTLE IPESAATDNGLVTVAHFSLVGASAWPMVEYLNQSITVA TSTA_041790 MFGRTNFDPLGQSVIIAGGSKGLGRELALQLADRGANVAILGRT PKALEETLQDLQQKIKGPDQKHSSTVLDLTDAAQVTNYIDSLPEPPSILFCVAGGTAS EIGFFADIAAEDIRSCMERNYFAAAFIAHAVLKQWLARPPPFKQRRHIVFTASTAAFV GLPGYTAYTPTKTATRALADTLRQEVLLYRSQQDIRVHCSFPGTIYTDAFYEEQTRKP DLLKELEGSNKENSGLTAARAAELTIEGLKQGRFFITMDMETELLLNNMRGPSPRYWS VWDWILGLLASLVWPVFRIMHDRKTSQYRREQRKNE TSTA_041800 MSGFVPLFMRFWIVSFQEGKNALDRLADGAAVHGFRRLAYISVS TSSILDKLKSELEKTMDNPDARVSDHYQASTLSTCLMVLKSSSKGRPTRVISPNTPTS MIVYLAHPLEEIFPNSMTYRPEQWIQNPRLDEYLFGFENGSRGCAGIDLAYAESYITI VRTLRSYGSADCRHQDDKECVGALMLLKV TSTA_041810 MSNLEQGCVPSKELTAQLEHDAHRAVEAEHKMSLWQSLKTYPHA AGWSILLSTAIVMEGYDTTLISNLYAYESFQRTYGVKGADGKYQLTAAWQSGLSNGAL VGEILGLMLNGIIAERFGYRKSLIGALMLCIAFTFIIFFSASLPVLLVGEIFIGIPWG VFQTITTTYAAEVCPVVLRPYLTTYVNLCWVFGQLIASGVLKAMQARTDEWGYKIPFA LQWMWPVPLIIGIALAPESPWWLVRKGRHEDARKALQRLTVPERDPDFNADETIAMIR STNEMEKQWSEGVSYWDCFKGVDLRRLEIVCVTWSIQTLCGSTFMGYSTYFYEQAGLA TSNSFTMSIVMYVMGAIGTVTSWLLMGKLGRRTMYLSGQATMALLLFIIGLLGLVSPN NSGAQWAIGSMLLVYTFVYDATVGPVCYSLVSELPSTRLRQKTVVLARNTYNIVGIVT NILTPRMLNPTAWNWRAKTGFFWAGSCLLCLVWTYFRLPEPKGRTYAELDLLFEQKVP ARKFKTTVVDPFAAQSQSEEKISKIEHVEVAEKI TSTA_041820 MSWWKNATVYQIYPASFKDSNGDGIGDIPGIHAQLDYIESLGVD AIWLCPMYDSPQHDMGYDISNYEAVYPPYGTVKDVEALIEACHERGMKILLDLVINHT SHEHAWFKESRSSKNSPKRDWYIWRPAKYDADGTRRPPNNWRSCFGGSVWEWDEETQE YYLHLFAPQQPDLNWENPATRAAIYESAMEFWLRKGIDGFRVDTVNMYSKPSDFPDAP VTDASLQWQSAHHLFCNGPRIHEYIREMGQVLLKYNAMTVGELPHCPEVGDVLRYVSA KEKQLSMVFQFEIVDLGAGQPLRYDTVPRNWKLPQLRQRTLVTQRLMDGTTDGWSTAF LENHDQARSVSRWGDDTTPELWARSAKMLSMFVASLSGTLYLYQGQEIGMVNAPSSWP INEYKDVESINFYRFVRETTNDDPIALAKAKVALQHLARDHARLPMQWDGTANAGFTT PEATPWMRVHDNHQSLNVKRQNLDGQSVLAFWRQMLRLRRNYPDVFACGVFQDTDPQG ESVFLFEKVGEGNRKLVVALNFTSKTQKVDLVNRLGRDYKLLIQNGDGESLEELQAYE GRVYLLTLSSWCAFSVEELKLMEKGGGKKKRLVNDAGPILTISSSPSIYLRNSPLSPP LTPLIDSHHMQRTSQACEPCKRRKVRCNGQPRCQQCTHTGIPCIYAASPARRSRKKTV GRGRIIAECRELHAPNNGTKVRNGLAPRLNEDGVITSHSTPTFFLEQLDKYDRYVYPM SPVVTAAEIREMIFQIDTHRDMASFVYVFAAVTLNLTCSEPVQRAPETSERIATLLTR SLELRSPFEFNSKPSIVTVMRSLFTQMCFVGLRKLDLGFLYLREAISLVYMLRIHEKD GMRDLEDKERCRRQRAYWECFIHERFTALTYYRPPCLPPLSALPDHDPSIDAELEEGF NKTIKNFSIVDPQFLDFWLGDRSTVTPDWVANKQQQLDNPEWITEVSRLPMIWQADLI ITRHWLRTLTWQIALSNILLSSSATPSMLLSLSFPLQLSNQLRQFLVTIPRESVGIHG SGLLEKLFEIANTITDVVLHLCHALGDDTVQYIHDILFLKHFVFSFPGFANLGPISLT QKFEMIRDKYPEIKDIELLV TSTA_041830 MHSPEASEPTSPSEGSHGYSIDEKSANAFSTLEVVPPSNLEHYR RVSEVRRSFYPNWMSSGHGSNSETIHPTTGSGSEAPTATANENKRAPTRITICGMPQR AFWIVFGVIASLLAVGIIVGGAVGGTHKAAVVVPTVTAVVTVTYTQTKTTSAQSETFA AAVATTTVCVRGIGQGNYVGLCNFACSYGYCPPGPCTCTSSGTPVPTPPTYGINGIPL SSEDDSYLGLCSFCCNHGYCPSSACTTSS TSTA_041840 MSAFKYPVDIPIDSPFGLYNIPFGIYSTDSKTPRAATAVQNWII DLDALLRYGIFKDEGYANLLQGVFLQPVLNEFAALPIAVRHHVRQSIIDNLSDPESLI FTDHTLQSEALLPIDEGKMHLPMRLTDYTDFYTSVVHAETAGKGLNVPIPPAFWEYPM AYNGRISSVTVSGTDVIRPKGFFLPPESSDGHVKLQPSQKLDFEMELGCFISHPVAPG HVVPAKDAWKHVFGYVLLNDWSARDTQRYEMHPFGPFHSKSFLTSVSPWVVTPEALQG SLISPVAANKLPIESHLQSDPNKHAGYDIEFSVFLSRNSFAPVKISRSYLRDSHWDSL QMIAYQSSSGCGLNTGDLLGSGTNSSPTPVVRDPLSPVGCGCLFEAVSAGIDLPPVAG QKVVWLEDGDRVIMEGWFTTPDGKRAGFGPLSHLVVPARM TSTA_041850 MAVTPFHSKRVYNWYISCIAAACMVLYGYDASVFNSVQGSARWK AWFNHPSSSLIGTINTVYSVGAIVGGFFFGGPLSDYLGRKVGMGIGCMFVIVATFMQA FAPYHSIGCFLAGRCLIGIGQGIALTSGPIYIGELAPPHIRGKIMTLWQTFYSVGSFI CFWVNYGCTKHTSRLGEWDWKMVVIFQLLVPVIILTLLPTIPGTPRWYIQKNNNIDKA RAALRRVRETEEEVEEELTQIRGALEYEKEAISSSYSALWKDHSIRKRLLLALVINAG QQLTGQGSLNSYSTIIYQKVFSSASQIALINALNATFGILFTLNAIWMVDRFGRKFLL IVGGIGMGLVMIMVAAVETETPTLPNGAKTQSVGIALVFLLFFFIFFYKPSWGATVWI WTSEVFSMNVRAQAVGMASQTQNVANTIFQQFFPTFLKHDGFYAFYFFAGINMLLAAF VYFFIPETKNVSLEEIDVLFGGANHSAQGENMLAESKLHGAAHIEHIPAGKPNE TSTA_041860 MDEKTQLAKNDDPSHAVTVSYDAIRPPTEPSEKTSAENAGDDPV DNEPVITDPEQAGPSTRIQVEPPLDGGYGWVCVMCVFLVNTHTWGINSVGLRGLHAMF DLLILVATWHMASDLDFAFIGGLSLSMAQFIAPVATITTRKSGTKTTLVLGIILQTAA LLGASWSTKIWQLFLSQGVCFGFGMGMQFSATVGIIPQWFGRRRSLANGIATAGSGIG GLIYSLAADTIIQRWGTGWAFRILAIVSAAASGFSTVIIRDRNKAIGAVQIAFHASLL KRPEFLLTLAWGCFSVLGYVLLLFSLPGYANAVGLTVSQGSILGAMFNLGGGLGRPVM GYVSDIFGRINTALVCTFLAGLFAFVIWIFGKSFGVLVFYGLIGGAVSATYTTTVAPV GAEVVGLKLLPSALSIFWLSVVIPSTFAEPIALWLRTDNGTNFLHAQVFTGCMYMGAA ISLLFVRAWKISELQATGSDGDPAKWEAEIRNNDTVPPQPVMARTTSKVPSVAIKAKA AKALFTMAKV TSTA_041870 MPVSKLAWKRLTEAQEYLQEEEEQALTKILQLWKQQKLLKKRAG EFLQRDIKDVEELEKLEEKEEEERKKWEAEKRKEAEASRARQNLAAALKFPSSADIEA FDPSILDDSFLD TSTA_041870 MPVSKLAWKRLTEAQEYLQEEEEQALTKILQLWKQQKLLKKRAG EFLQRDIKDVEELEKLEEKEEEERKKWEAEKRKEAEASRARQNLAAALKFPSSADIEA FDPSILDDSFLD TSTA_041880 MTNKWIKIVGIDGETITKGYKKKTSGILMRLGKYTTTITFDIAP MDTQYYDAVLGMAWLKEQNPIIDWASGTVAVNSSMLKTKIETKDIEKILNLHKESGTS SEKGQADTLRKTRKVTWTQEVEQQENKREIEAQARQIATVRPKPKELYEKELAKVKAK LPEKYYNYIELFVKKEYRLPNHPKEYKVRIPLKPGFKVLSVKQHCKSRDKLEMEDKFI EEFLAAGYIREGRGSASARPLFVLKKDRTKRMVIDYRALNNRTEDDTNKAPHQEQKRD LLQGAKIMTVFDIQWDKSLYEWAVTLMGLKRLPVEFAQFMTYVLIQYLNKFVVVYFND IIVYSKDPNEHEGHVRQVMTKLMEAGLMLKIKKCEFDTTTVNYLGMIYTPEGLKIQPE KVDAITNWPTPANIKEVQGFLGATGYVRRYIRNYSEHSRPMTELLKKDEEFLKQLVTE APILALDDPEKRKIIRLDTSGYTLGIALEQVGSDGIVQIVAFYSRQFIAAERNYDVHD RELLVIVEAFKQWRHYLQGVKEETIVKSDHHNLKYFTMTKELTGRQIRWAEYLSRFKF RIEHIKGKENVIPKTNILKEDEDGIRYNVKVILAATIIVNHHDFYNHMIQAIQQDKIL TMAITNKEA TSTA_041890 MKKPTNTRKPEKNGFKKDISDEEKSLTCWEDVHHTLERNEPRKK KTHPEINDNNEDLIDSYSSSDESLQTLPTFQDDRRRAITHRDLNREQLEQTLLRKEIK KLVKTPNQEKQPQEWATNEHDDDEVRLKEASIKKARTLVMQWNTWTCTKLHLQPLPKF RRNASSRDTLDVELPQLKCPTEKPGCECVYEEEAHPYYSIIKADRCRVPGCLHHDENR DESDWMLRNVRLRNYYNQH TSTA_041900 MASAAPSTQRPAVQSQPTQSNEQNEKIDMIGTHVEKLTRYVEEL KDKLTEKDDQVEQLEAQVLALGNKNDGKPTAGSNKVKMPKPINFDSTQSKLKSFLVNM DMHLNANKITSDKEKVIFVASCLTDEAVDWMQPMLDDYYNSDANEWDELTKTIFRSYK NFWIKLEESSAQQLAIKFKQIILPLHYDDDVLIGLFENILKEELQTELIKIDRPDKLG KFIKMAVKMDNKLFEIK TSTA_041910 MLSDALKIGLAVLVCCELYDLLHDTAERKEDFADIDEDVTSAVR ESIKKYIKYYTFMDISNTYYTALILDPRVKGDLLLYELDDEDTSRKILQALHGNLYKK YPDTTNQRTMAEPTDYSHKKQKVGSWMLRRLQPQDKPQSSNIDRYFDNIWVDAIDMED LNWLFELWRVHRKDYPWMAAAARDYLAILASEVSVERLFNSERDVLGV TSTA_041920 MPGTGMQQGEVTYGLHVTSSSKDYIDRVRASLRQIDTTEHRTQE SPIEIDSSASKTLGCGGSTSDPDKLLTCMRSKDLQDIRNAIPVSRGIASVTGLFDPTI DNITASAIMLQALLATRNFIPRPLVLGSNNHERGLPPNFRHENVTFTEAQWDYLYIVI YTCPTSYRAAASVSNNVPTWRYRYFGEFPNLLDARMQSRSG TSTA_041930 MAYKAQTVRNSFTATGLVPFNPDRVYQQLTVRLKTPTPPPSRSS DTQSSCLQTPQNPRQFKRQMTTTKKRISRHTRSSSEAIGEVFTRASKAYEMSINKLTI AQKELHDLRAAHEKEKQKRQKSKKQISHDQGITREEAQALLQGQIEASQAVTTAPAEP ELPVSHPPKLRFEFNIAYAFGEKLSARLHCRFAYRLR TSTA_041940 MPPIRNKNEKNLAEHKLSQFEEESLVKWVLDLDKRGLPPRHSLV GEKWVYNLVKRRPEIESKFSRKYNYERAKCEDPKLIQEYFDRVREVISKYGILPEDIY NFDETGFAMGLCATAKVITGSDRYARPKLLQPGN TSTA_041950 MDSINASNIGVVKADGLTYYTPANNAGVALSEDLKSIPTLFRPL QIRDVTLKNRIIVSPMCMYSADPDPKSPAVGALTDYHISHLGHFALKGASLIFIEAQA VQPNGRISPNDAGLWQHDENSAQFLGLKRVADFAHAQGAKIGVQLAHAGRKASVNAPW VAAEHGLHSLRAESSQFGWPGDIVAPTGGPDFVWSGGGPEDKQYHVPRTLSVEEIKDV VKAFAASAAAAVKAGVDVVEIHGAHGYLVHQFLSPVTNKRTDEYGGSYENRTRIVRQI ATAIREAIPPGVPLFLRVSATDWLEGIEVAKESGSWDAESTIRLAKELPSLGVDFIDV SSGGNHKDQRIDPHTSYQINLAARIRKELHESGIHSLYVGAVGSITTSSLAKEIVEGA DSTTELLKDEAKAAESVVSGSEPKADAVFVARQFLRDPAWVLNVAKELGVKVSLPHQF YRAL TSTA_041960 MKAQFITAAGLFAGALGQQSIFSGAGFGTYYYDVENVDDCGTSF KYQNMGPVMCNQETALTLNDINSNYIVAMNNTQLGSDPSLYCGKKVVVSVNGQASDLP LFIGDGCQRCGGGSLDATTWNAQAAPGLDFSLSVLNEISGGAGCTNGHVDITWEIVDE TLYNFDTNAPASLSMPIWQHSSIALGPDFCLLFYFQNTV TSTA_041970 MSAPNLLSLPTEIIFHIFKRLDRPSKLSLGLTCPRILALYASFF DMERYRHDPDAREKLRFSADVSWDDPQGMAVTIRYLTLSGGDGINSEPSSPTTEEPSP LSTSTAEGQHAEPGFSTGFSWGGEEEATIPSYAESNEAREDAMVVSIMSEWLKTRFRI HGGCILCVECGRYMLCRGPDGRRVRWTENQLRRSPWMQHCGPCDAAMGIAPGTVLS TSTA_041980 MPLSRQYDHNSMTEDEESQSDSDASSVVSEVFSDDECDDDSSSD SELESEDSEVESDFEEEDNQDPGQLSQEDYLAIAKQYCQHVTINLVQQWYLISDSEET VRFLYAYFSWQCDICHGKNGRHNPGIKVKSSLETF TSTA_041990 MVKSRTKNDPSYEGRLSLAIDALNNEKITKLRDAARTFDVSLTT LRRRLKGSVPAHNAGITRRKITPTEEAVLRGWVFSLERRGVPPRQHMLHEMANILLAQ RDPTKIPEKVGKNWVTTFLKRQPDLKAKFAHRLSYSRALCEDPVVIGGFFEEIKQLKE EYGIADEDIYNFDETGTALAVPYLATRRAAALRREWLHL TSTA_042000 MGHQTQDQIDHILDRVHSLDDLSAEDIMELRCLSEQSIIMDKFK VSPAQYYDWLDKISDGIRGVEYDAQNACIILKGGPGWMREAATGILYELLLPLRDRMS AATGSLYFLTGSKNCLLTGKSCRSSKQADASLMNFKAKWPVVVLEVGISETTTKLYDD AKRWLKGSNR TSTA_042010 MVQIVKAHPSLETLKSLDEIEQLATRTLDKESWAFNFAASGDLI TKCLNTEAFNSILLRPRIFIDVSRCDLSTTIMGQPSGLPIFISPMAMARRFHPSGEAG VTQACCKFGVMHIISNNASMTPEEIVENAAPDHAHGFQLYVQMDRRESEAVLARINKL KVIKCLVLTLDEPVPGKHELKGQHGGKAEIQDRFEPSPQLTPAVPSISGPAYNLTWKD TLSWITQHTELPIVLKGIQTHEDAYIASQFPQVKSIILSNHAGRVLDTAPPAVHTLLE IRKYCPEVFDIVEVLVDGGIRRGTDVVKALCLGAKGVGIGRSVFWGLGAGGVRGVERT IEILADEIKTCMQLLGVRRVADLGLQHVNTSIIEQQIYKSPSGLEGVKVLV TSTA_042020 MGDQKTQEEGTQHVEISTAVDNETIQPNMTHPPRIIITEAESKR ICRKTDRTILVVLMWVYFLQILDKTVLGYGATFGLKTDTGLTGNQYSLIGSISSIAQL IWQPFSSYLIVRVPHRILMPILVLGWGIAQTCMSACTNFSGLMATRFFLGLFEAGCLP LFSMITAQWYRRAEQPVRVAAWYGTNGIGNIVAAGLSVGLSHIQSDVLKSWQIIFMFV GLLTVASVPIIYLLLDNDIPSARFLNEEEKIVAIERLRANQTGAGNREFKWDHVFEAI IEPKTWLFVAMSLMLNVGASVTNVFGPSILAGFGFDAEKSSLMSMPFGALQCIVILTS CWLAQKARLKGAVCMGLMLPVVAGLAMLYTIPHHGNQGPLLAGYYLLAFLYGGNPLVI SWIVGNTGGTTKRSFISSAYNAASSAGNIIGPLLFNSKDAPSYHPGVRDCLAIFSTLV AIIIIQWGNLIFLNKLQEKKRFRNGKPAKLVDHSMQEHYHDIEENNEEQPSGRKLDGG DELGENAFLDLTDRKNDEFVYIY TSTA_042030 MHLGTSSIIAIAELVVYIPAIILAFFVCARHSFSRSSGWYYTFT LCLIRIVGAVCQLLTLADTTSVGLVKAVLVLNHIGLTPLLLSTLGMLSRIVDWINARS SSPLLSVTHFRLAQLFVVLGAVFGIIGAVNSGSSSNGSNNSDIDPSSPSVWSHVAVIC YVVTYAMLVYMIFRSLPFVQMIPPQERILVPAIGLALPLVFVRLLYQVLIVFVHRGTF SRLNGPILVQVLMSLVVEFLVVTIFLLVGLKLDRLEESEQGPILSRPWKNRDKRRRKH RQGVYSESAAPITGGHEMGPGAYMGRGV TSTA_042040 MAYCGRPSAACHACREKRGKCDKRKPGCGQCARKRIPCPGYRDP ATVIIRDQTVATVNRVRKRRDKKAKQAKYNASIKTDLLPTTPVNEHTPGLSDHSPTDV YSTATTAIPDRDKLTLCSTFTLAPEDAAISFFLSFYAPTCPMAYLSVMATSSSLSDML PMSAMLAPALAMLSHEVNQPSLMSFARMRYAAAIKETNIALMSAQLAITDATLASVLL LALFEAIAFQRSTSAKSWMVHVYGAVELIKLRGPRQFESALGRALFVDVSNNVYALCA QRRVAPPAAISELRAYLGSIIGEDSPEVGLGHVADSMAKLLARMTVVGADRLDHEAVV HQGGELSAKIAHLMKQLEEICPYDFMCPADTPSPAYQDVAHHYQTPQAARHWNILRMM KLFVNKWIHRAATAIEDSLLNGDDTHAIFPAKILESATTDAQSMVVDILRSVTYFQCL PSSIYSNKTVARWLIWPLSAVATSSFATDSAQKYARDSLQTLGEYTGIPQAAEAINMV DEQQSMEDWLHLCHLS TSTA_042050 MASPLTWYXXWRIKLKISEWLQGNWIGAIDPDRVYQKLTVQLRT PTPPPSRSSPFEVVDQAINRLSKAYEMSRNELLIIQKEVHDLRAANEKEKQKRKRSRA QISHQGSLTAQEAQELITSRGEASQPLPAAPVESEPQASQPRVRAPPKCSGCGIVGHR INRCPNRTTT TSTA_042060 MAYLHFAPISYILAFLAIVYRSLAAVLPVRDNTITLPPGVTYNP QTNVWCTPTTWTDVASFFLGNYISHAATVISFPGEPVSVTVANMVLSIFFPSSGAGRG VLAILRHAAFYKDPIQQALRSRALCMVVRSPDWKPASGETLRSLSFLSKELRDETGEK YEAYKLMSGCLHFAYSAHKNEEVEQYVKELEAYHDPIELKVESPTWLERGANSTRLEI VGPNYQVFGTYQLPEGYELAYVPANAIIESISPSNDSSDHKPYPKSDFKLSSVYSFSS ALISIIQIIYALTGLYRSRGDQVTKYGYAAFSFTVLPYVIMSFVNLLGNLVTPRYSTL YLIRTEMMDEASRRGGYLCVLSDTEESPQELWELQLADKIYRAGDVEPISAESKESIS NANEEQIQIDMTVSHTLDKVLSTREKEVTNQVESSNSRDINKKHSKKADQKPLLICPN CYQFRTIEERPNKFLSLTNPKQYNLVGWWFVMATRVCIAAAPLAVIGGMSQFKIGSST VPQRAWTMTWLAVGMGVPFQPQLSLIIVRAFSGLVQSGIKMYRKEADARGKKAFWDYV IECSGYILCVAVFGAPAVGGFVVVTQMLRESASSGHDTERASSLKRIKIFLYTNFQHA IMPPICTRSIQNFSIQEGKILLAISDLRNRRIRSVREAVRIYNVSRTTLQRRLAGIQY RGISSKNGFLTLINVDYLPGHLSYKIWRICFFPSMAINIHSEVKLRFSRRYNYERAKC EDFKIIQEHSDRVRDVVQEYGILPEDIYNFDETGFAMGLCASAKLITGSDRYGRPYLL QPGNREWVTAIEAVNSTGWALPSYVIFKATTFYQQGWFETLPQDWRLDISKNGWTTDD IGIRWLQKHFIPHTTSRTKGRYRMLILDGHGSHLTPQFDQICTENNIIPVCMPPRSSH LLQPLDVSCFAVLKRQYGRLVEQRVRLGFNHIDKYDFLTAFPEARTMAYKAENIQNGF KATGLVPFDPDRVYQKLTVQLRTPTPPPSRSSNSQSSCQQTPQNPRQFNRQTATIKKR INEPTTGPFEVVDQAINRLSKAYEMSRNELLIIQKEVHDLRAANEKEKQKPRQAAAPG AQKRLTMPQFAIVSGF TSTA_042070 MSTTEEESTDCIQDGLEDGKNHIFLKAEATEGELERARIERQKS FEGHRKALPLKVEVLTLNYPEEDLEKIDVLRGHHNIDRDETGNASTPYWVVSQTATS TSTA_042080 MQITLVSDQIESEIRAKFAELEDQKSDPRSPEESVTGDIGNSTI ALRHVRRYIEFVEKDITPTWKHAAGTTQCKVRFLDLGIFFQPGELIYVPSLSEWPPDQ VWLGQPEYPTTSGDFARLAAIAFQGTTFATYDTPPKLDGQGTSDLVLSHRLRRYSSPA FGGFKNITLQEQGEWFQTITSTERHLYYDGWAFAHEPRESIQPAEQTNSEYVDSQAMV DFVEGHKSNNNFAKSSDLRLRNWKTEWRETTDPMHIYY TSTA_042090 MKSTTSISAAAVLLAAPSVYAGLINFPPIPKDLTTPFQQRLAAY GPSSVSVGWNTYAAQSSGCVQYGTSPDNLNLKSCSTIGSTTYQSSRTYSNVVILSGLA PATTYYYKIVSTNSTVGHFQSPRQPGDKTPFNLDVVVDLGVYGADGFTTSKRDDIPTI QPELNHSTIGRLATTVDDYELVIHPGDFAYADDWFEKPHNLLDGKDAYQAILEQFYDQ LAPIAGRKLYMASPGNHEADCTEVPFTSGLCPEGQKNFTDFLHRFGQTMPKAYTSSST NATAQSLAAKAKSLSNPPFWYSFEYGMVHIAMIDTETDFPNAPDGQDGSAGLDGGPFG ATHQQLDFLAADLASVDRSVTPWVIVAGHRPWYTTGDSSSACSSCQDAFEDLLYTYGV DVGVFGHVHNSQRFLPVYKGTADPNGMTDPKAPMYIIAGGTGNIEGLSSVGSVPSYNA FVYADDYSYSTMKFLDEHNLQIDFIRSSTGEILDSSILYKSH TSTA_042100 MICTRPDLAFALSRLSKFVQKPGIKYATALKRVLSSTYDAEYIG LATASYEVIWLQKLILAILPQYAEHTMPSNTIHCDNQGAIVTVNQPSHSPSTRSKHIN IYFHVIREAIANGLIRLEYIRITEMTADILTKALPKELHERHWEDTSRSDAFGEIPDE WECQRATPVDLPPARINYAPRVITLTPTTGPRDDLLAELRARTGRPCGHGNRQNPGAG QGGDGPDCGTDAGFDVGRARKQACRHKSQLFIESFQTVL TSTA_042110 MKADSHPPVLERCSKSFDRVHADLIPLDGISLGGSKYMLLLVDD YTRYVWYYFASSKNVPAITPLLQGFINLVLTQFNANGVLERRVQTIKNMERSMRAGAG VLDDYRLQAESLATSSLALQMDSQPIYNPRGSVVFGTCVKIHEHDTTRELVEAALIVQ GMESLSCPPWQTAERIQTDHNGDPLSYSDALLQDPIRWPPAVQEELKSHEENGT TSTA_042120 MSASNTFTSGEKLPILDYSNWVDWSEYWQDHLILYDLWQYVDPT STVTVPPPTTNVNRDIAKTITENLTKIRQYVSPECRKLLVGHTNPRDLWSSLKAGCDR GTTLPLIAQYESFYNNKWEPKDTISTYTSRFRNIFLSLENTSYKIHRDIAVHILVDRL PDCYKTKVQTVKQLNLLFIETVTYLLANIKDSSSEGDNTSGQALVTRGRRPNRRTSSR NLRNGGNNSNSNRRERSNRNSRNKRLICNWCKREGHYERDCHIQQQQLDSGAAKLDRG RAYLPLPLLAPPPPQANFSSSQSQSSESNAYSYPSHLPLTRASYINSEIRQQDYLSWI LDSGATQHFCNSKLDLKDYKHFLEPREIYLGDNTTIYAEGSGTQHLQVGPYILVLNVW FVPKLAENLLSLQLLDRAGYSTLIENGIIYIQQQGDSNSA TSTA_042130 MSVKTRVRQQAPPLRESIDPDDDYDAAAVHEGDESSSSSDSDSD RSDDDYERDDGYSTTLTEPDSNAQPCLPALLPSQRLRNPPSSASKRKKTSVVPSELPE YSDDPNDDTDEDIANVPLDYGRSDNTKTRRSQIKTLWQKYCAVKAAEQDAPPKWSNAE QALRQATTNNIHRFFIIKGSALEADWKALQGYYRLITRTSFNKVQCEEINAGLRSLMD KWKLDMEEREKTGVHVQDLTAFNETVLRTTEKRFHLGFERIQICLFTILGIFTVNRIS ALLSLQFKHLQFSLQRDPLGGPPIPMVELRAVHTKQFLGITQHNNFPFPEIVDDPTLI FSPHVFLFSILFYLDAFEANGLRSMEDVRRLLVEDGCEQMELYLKPEIEEYFLFCMTT IVDGTPMIQWNRPINASTMSARLQSLGEIHGWLHIFFAHRMRYGGGKQLNESGCVSEA QQNLIMKHASSRTFLNHYLPRNIDTDMQNIMNGRKPNTMLMHAIRRISRWIDKRRPRV ISAQDRAELYQHPEYLAAVHERDAQAILCQQSPSPRNMSRLGRLTQDVDKIFRRLCRV RRKEVRQAFSRKQAKIDIERQRSGTAFHNEETKHNLQTVTQMPSEMIHLLEKLFTWPT SHSLNNEWKRRNAATAAVTQYCGVWEGGPLRGRRKRASPSDDELDQMKPSKRVASATP VSDVAPSDSRDLLEEAREHIIRAGERDRKKPNVEKPIVCFQCFGNRLLPEHKRVKKWS RPDATVRHFQDKHLADRQCNFCDDGEIFLHQMHLQNHAAAVHRLVTGSRGC TSTA_042140 MVNEADILKAISDLESQKTPQYAKTARKYNLEPSTLRRRYKGQT VSNQEATSIHCKLLTDAQEEVLLRHISKLSSRGLPPTPQILRNLVVELLQHDVGECWI RRFCHHYHNRIDKKIKKYRISPSNTYNFDEKGFNIGLCHTEKRIVLKSQLHSKKLLGA IQDRSTEFITFIAYICANGIAIPPALIYQGELGDLQDTWLKDFDSLREKAYCWLLRAI EMPGTGMQQGEVTYGLHVTSSSKDYIDRVRASLRQTDTTEHRTQ TSTA_042150 MADKNAILSDNSQDDTSEYQYQDPSPGDRGVSSGRYQTVPEINF PDDRWMTEIGFNNETGRKLVNMALWDKEVEPGDTEIALSTRAISALYYAACNQYIDYE IFMWLLDYFGNWTHDDFKRLSVHVQKKIKDMLMDRGIFVDYVGRKKTIAKALDDLVQM TRMPEWPHEITAAKTFDSRSKMAKGQFPQLTTKNGSEEEEPEVIVSSIESGKKDNAKG KQLLVETPKQTSQDHGQQPENHAQGFKRSPFLTGANATLIVPRDDKPNGPQDRLSLPG PAYTYPYRPKLEDDWHRQTPRYTIAPPAPGISMPLGEWDDWTMLPPREVECEPIDSKS MLTFVKIWDKKFAYSGDRQYWEVFPEMLSGRAGAYYMHHVKPGASFAQAYNAIKVYFD TPNNQTDYYQEWTTVTLAGERQSNPGKLLVEVIDLMVDKLHLCQRALGSAYAGDEHLI AAITRACQSTPEMGEALSEPTSSFQTIVSKLRARAGVVQRKESAGQYMVDKVNNPQVF YTDRKFIGHTNRHDYPRSRQEYQRKNRDDAKQLRKSDRRCFICHQENCRSWKHSEEER REARDRYDRYRQVDGRKKLSTYAYQTFLQEYEGTSSDEEDKDSTEEEVKQDVATAYFM VNQLQDRAFIHRITGCNNGIEPPGLHLDFEVKLSGQSEGENNYLAPASQFLLDHYENE TFYGIMPDTGASTVSTIGKGQLAAFLRLYPHTKVDRSRVGEYSVRFGMGDPITSTAVI TVESQFGDIEFNAMDSPTPFLFCLKDMDRLRVKFDNLTNKMIQGDLIVPVIRKRGHPW FFLDEKYAPEAFLTEVEMRRLHRRFGHPAVDRLHKVLKRAGHLDVDYKMLAQIEEFCH HCQINRQAPRRYRFTLHDDCEYNYEIVVDVMYLDGKPVLHIVDWATSFQAARFLKSLS TKDTWEALRAAWIDTYLGPPNVISHDAGINFATVEFRTEARMMGIRCHQVPVEAHNAI GKVERYHTPLRRAYNIILSELGESVDKEIILQMVVKAVNDTVGPDGLVPTILVFGAYP RMTYDSPPSALTAKRAQAMRKAMIDLRNAMATRKVNNALKARNGPIVTEMLNLAPGTD VQVWREGKGWTGPHKVISVNDYNMIVDLPSGITDFRVTSVRRYQRDKIESPPTRRLLD TDLPPQKEEEGREIDGLLAGRQSGPVVAWGSSLCDAAAEVESGEHAGAVNLNRRLRKR GIHVPDAPVMPPAPRRRGRPPGSKNKPKTYTTEVEVFLSRKEKDDLGLAVKLRREGKI TANGAPFELSAMAEIDGLIANGTFKIIHREDLDLRAVRIFNSRLVNEIKGKNEEPYKK SRLVI TSTA_042160 MITKRLGQREMPVVICTDSYSLYECLTKLGTTKEKRLMIDLMAL RQSYERREIDEIRWIHGDDNPADAFTKSNPNKALQDLVEYNKVTIRVEVFLMLVLVDD RFCWLLRAIEMPGTGMQQGEVTYGLHVTSSSKDYIDRVRASLRQIDTTEHRTQESPIE IDSSASYEVFEEFRTLAATWTSRQIRLFTEHVNIPSNKAVDQAAKEGAS TSTA_042170 MSGVTCLQQVQAALGLVKRSMYLPKYTNQVRTIDDNDATIDAVS LIHTSSLSSSSTMLFGIPSIIILYSFFSLISQIRVSLASSNCAPYPISARLGNATLEN TTARGVSLSIGSPPQSFAFLPQWPLNDTFVYGLDGFCGNGWSEAACRTFRGGAYNTSN SSSYRDATNAPHLTESSPYPSMNWAADNLTLSSNTTLPNFTMGIARADWGEQGYHPQV AFGLGQNSTLLNALYEIGHIASRSWAMYWGQAGATSSAQQDGSFVFGGFDQAKTSGPN YTAKLDFSRSSCSTGMLVTITDMVLNFANGTDASLFGGVESAAMTACIVPDYPVLLTL PYSPYFSTFQTFTGTAITARSFGIYYYGMLYSNASIAYTGDLTLKFSSGLSVRIPNEQ LVVPNLTIDKSTGSIIANSSAPELVLNSIQSINADDLPQLGRQFLTSAYLMLNQDANT YTLWEANPVTNEDLVAVDQSGTPVSMFCTTTSTTVESSMSQTGTANPGPNKNNSSHKK DVSGGAISGIVVGCIAGVALTAVMVLYTIGKKRREENKTNNGNNASNHPEVVYRRDES LYELHSHSFDPTAPQEIYGKPQNQPPAPAYELA TSTA_042180 MPPIRKKDPLKSAQIEGKIQLAISDLKNGRISNIREATRIYDIP RTTLRDRLKGIEYKGEKRANHHKLTQSEEDSLVKWVLDLDRRGLPPRHSLVREMVNYL LQQHGKSQVGKTGKYNYERAKCEDPKIIQEHFDRVRAAISEYGILPEDIYNFDETGFA MGLCASAKVITGSDRYAQPKLLQPGNREWVTAIEATNSTGWALPSYIIFKAKKNVRLG WFEDLPDDWRINISDNGWTTDQIGLEWLKTHFIPNINDRTMGKYRMLILDGHGSHLTP EFDRTCTENNIIPICMPPHSSHLLQPLDVGCFAVLKRYYGQVVEQRMRLGFNHIDKMD FLTAFPQARTVAYKAQSIQNSFAATGLVPFNPDRVLQYLNIQLKTPTPPPSRSSNTAS SCLQTPQNIRQFVRQSTTINKHINERTGNQNQEINQAVIRLSKAYEILANDTLLVRKE NHDLRAANEKEKQKRQKSKKQISIEHGITSEEAQALVQGQVEPELPASQAVVRRQFRC SGCGVEGHKINRCPNRTTN TSTA_042190 MAYRAETRANGHKLTQSEEESLVRWILDLDKRGLPPRHSLVRDM ANCLLSQRGNQHVGENWVYNLVKRRPEIESKFSRKYNYERAKCEDPKIIQEYFDRVRE VILEYGILPEDIYNFDETGFAMGLCATTKVITGSDRYARPKLLQPGDREWVTAIEAVN SIGWALPSYIIFKAKKYTRLGWFEDLPDDWKINISDNGWTTDNIGLEWLKTHFIPLID GRTLGKYRMLILDGHGSHLTAEFDRTCTENNIIPPLDVGCFAVLKRHYGQLVEQRMRL GFNHIDKLDFLTAFPKARTMAYKAQTVRNSFTATGLVPFNPDRVYQQLTVRLKTPTPP PSRSSDTQSSCLQTPQNACQFKRQMTTTKKRISRHTRSSSEAIGEVFTRASKAYEMSI NKLTIAQKELHDLRAAHEKEKQKRQKSKKQISHDHGITREEAQALVQGQIEASQAVST APAEPELPVSHPPVRRHFRCSGCGIEGHKITGCPNRTSS TSTA_042200 MVNEADILKAISDLESQKEPQYAKTARKYNLEPSTLRRRYKGQT ASNQEATSMHRKLLTDAQEEVLLNHISKLSSRGLPPTPQILRNLVVELIQHDVGDPSN IYNFDEKGFNIGLCRTEKRIISKSQLRSKKLLGAIQDGSTEFITLIACICANRATTNS RIRGRYRLLILDGHGSHLTPQFDRICAENDIIPVCMPAHSSHLLQPLDVGCFAVLKRA YGRVVSDLARNSYNHIDKLDFLADYPRARIKAFQPSIIQNSFAATGLVPINPERVLLK LNISLRTPTPPSSRPSSRSSQFTPKTPKTAVQLQKQASMLKELLKQRSNSPPSPSKII LDQIIKGHYQALHHTALLAQENANLRIANEKKCRKRNRSTRQIVHEGGLSVEEGLQLA QQENQQVEGSGLVSHEQGALPTQQDQPRRRALPKCSGCGDIGHKINQCKNR TSTA_042210 MFNRFFLSQRRLILGVLTLFVLLGTLQFLHSRKVIQPRLLSGSG AVLEPERLGLAYLENLRKSRAQYCSPASPSQLMCFHSQTAPEGRIDSFCVGQNAVFNP SLRKFQLSCERIDPRGVESNQSAPALDDFPMYWYHTGPRQVFNDFVDLDAQVEHNKEI ERITILVKREGAGNIWHSLMEIMSASMTLDVLQMTRSVGSLHPIISAEDSLKSQVVIV DSHTDGPYFDLWRILAKMPVVRLPDLSTDFNSSLVVVPLPGGSNPIWQADWEPTLCQH SDLLQTFAWRVRQQLNISDPVKLPDQVVVTIIERQGTRKLIDQHARIEALKKQYQASE VLIQLIDFAVLPLQEQVQIIRGTDVLVGVHGAGLTHGLWLPQRSAMVEILPEGFQHKG FRNLAGALGHDYFSTHASTLQTSSRGANDNSWQVSDVALDEERWLQLMNVAIKSMYNK GRHNFDTVK TSTA_042220 MHRIDEGDLGSVRGMLFNFVKLQENGRERKLVTQTEFEVCELLN WMWQATNADPILTRHISTDVLSKAIERADFLGICRNRLWNQAVASGDEKHLAILMQLT GNGDIEADVATNQRFKHLRHESCSAESCLFSNVDSTNVKQLHKCPREDCELLEFPLDD LLLETTTEPYTWWIEDDKPYLTTEIEYVAISHVWSDGTGAGVQKDGYVNSCLFNYFKE VAMEVGCKAIWWDTISLPRKGEFRKRAIGRINQDFLSAKCTVIHDQYLVNFPWVDDGT PCLTLTLSSWFTRAWIALELLYSTDVRVIFRHPTDPTRKVIKKLDTEVILEGSLCSRK HFIASRLIDDLRHQQLNTVSSILEVLRTRSTSKPHDMIIIAGLLVGQKPDTSRIDMAA ELTRSILRALQTVESSFLFHSHATIADRGGFSWCPFNLLQGPDPSTLYNRDPSALVSN NGAISTAFLYRILRQEDQVQKTSDFSRALLVKPVDVGTLDLPRFSLHLIDCHYVGAVN VELKPSDARIILVRIGHVECPPVSTASRIVNKYWGQPHFANVIVTNTRELIHHEEQKI LAALLTVDVDYAYTELQAFYRRYSSPWRFSLSRLKHAGRTIIAFLLINYRSYDQSISA YEKSINDIEHIQRKLKEIDRYIDDRHEKWNNMRRVLGDIRALKSKYEAEKERIQTVKT KWQDLLRRFKQQIIAIEEKIELYESSQNLLLRTKLRLEHQNESSQKHMSSHQHTQPDN CASDHHEEEVKQASAVPEYGSSLHEQVDIDVLIEIKSPTDDVESQINPGKELQSLQLK QSNSGCIDGHESGLDFLSRFRRELETLREQKNEVESGLEELAEGEAYYQGMIETQQNM LLRSEQILLRDEAGLAEIQRLRNGFDDISRDQSDLGEIHVRIQKNKETNKERLATLES LMSESALSDIDKQDSGLKKDDGSLLSPETVLNAMGHIVSSVASGLLSFFGNSFGDDDS DNLVY TSTA_042230 MQNELGSDISWTLRSRLNSAFQIILKSGNQHRTINSSQPFHLNF HLMLALNPFLDNARTKIKFYSVRTLPPDERCTRCTKAGKFCSVDPSFKRTKKRQYYRY YHPICPILPPSSSFIAHSDESPLLFWTVILTAIRDKTELRALFSTLAEEVSSMAYDCI QPKNANLHAVQALLLLCYWSLPFEKLPTDPSLSFVNMATQICYRMGLHRPGFVVEFDR VTAVHNHPNIPRQLAWVFCFASNVSVNGQFGLPPTARLDRGLLDAFATKPAWLPDTLY CQLQISHHLLKIITMLGSYEFSATGLLPNAQHVIPAFETELRVLEANLSPYWTRVDYI NFCTCKLLLYIIAISSLDSNGIELEVTGSEKSSLWILQAYLCVIATIQAASTIEEQLV KVPTRIFKSLASCVSFLILLKCSKHHYLVDDGVLSTAIRQGWGLMRRFEIVPNDFVSR TCTLIERMSSYSETLKPEDKTEEILTAKSRMGFNVARSASLLVQRKLLNNGQAKTTTT DNGTEQTNYFNMDMAELDFFLDFDWNESLLSLPL TSTA_042240 MSAMPNLSNMWPRLNLFFFQSLQLPFALPAILAYVLLRYIYRKY FYPKFFTPLKPIPLATPTPPKNGKEEATSTRKGVAALRHAAATIPNNGLLRFYRENDH SEQVLVTGIKALNEILVLNASSFGKPESVRRRLYSFAGNGLLLAEGETHKVQRKGLMP AFSFRHIKDLYPIFWSKSRDLVKVLEKEISGDASSKSDVIVMRTWATRTTLDILGLAG MDHDFRFLDDPNNLLIQQYEKTQIPYSRTEILIGFVLSLFTSNYEAILPLIPIGRVRL LQEGAKYIRQVCRELIQEKWNKMSNAESQSGVDIISAALKSDVFTTENLVDHMMTFLA AGHATTSHALQWSVYALCKHQDMQQRLREEVRNHLPSISDPESTVTANDVDSLSYLHA FCNEVLRFYPSIPATVREAMCDTTIAGYRIPKGTGFLIPIGLVNHDPELWGPTASTFD PDRWLGEGRGNSGGVKNHLGFLTFLHGPRSCIGSGFAKAELACLVAAIVGRFQMELED PTRKFELSSVGIGTSPKDGVRTRLKILNGW TSTA_042250 MTMIQIKPCMGSINPSNNPLGPVIPLLLDGKEINTDVTYDVVSP TTGKTIYKSSSASVEDAKRAVESAQTAFETWSQVKPNDRRDILLRVGDILIRDKEILR KIVNQETGEVDSMFDFEFGLAIQACKSVAGLISAVRGSVPTVCDEGKSAMVLREPFGV VLSIAPWNAPYILGFRSCLGPLAMGNTVILKGSEFSPGVYYKIASILHEAGIPRGCLN TIIHRPQDAAAVTSALIESPGIRKINFTGSAHVGGIIASQAARLLKPTLMELGGKTPT IVCEDANLQEAAMGATRGSFINSGQVCMCTERIIVHAAVADQFKEIFKQTIDQVYGNL NGLQLVNGLPVTKNKKLLSDAISKGAQVVHGDPNHNAAVNTAMRPVVLEGVKEGMEIY YTESFGPTVSLFTVENDEEAIKLANDTEYGLTASVYTEDLRRALRIAKKIQAGAVHIN DMSVHDESSLPHGGFKNSGYGRFNSVEGLEEWVQTKTITWKD TSTA_042260 MENITFCEETKFGPQVSRCRGNFDFTLFFEEFFLSILLSVLLLL ALPVRYRHLYKKRTKEGRPKSCLVGQTTICPSICVITALFSGCLVLTSDSAKTNITCS SGVDARSIYRVATAISFRESLCDLTSSIELLLILVIAI TSTA_042270 MWGVLGVHSKLRSKLSRGYGVSHKKLSRRWNGPPSRSTRSPTNR LLSLDQEKAHILWIEYLDNIGAPPTNEQIEESANYLLAKDFNDPGEPPRAGKMWIYNF LNRLPENLSSRESITVVEAINAEGKIIPPLLIPKGENHMEEWYRHIQDDEWLIAPAKN GFITDEIAFEWLQHFQHYSKPDWSSEWRLLLMDSHATHLTIQFVQYCEIYHIRPFRFP HSMHFLQPLDGMPFQQYKHVHGRVVNKVARLGGFDFNKNDFFEELRDIRIKTFTTRTI RHGWKERGIWPYDPKFILDKMPQPDEAFEKLAADGDTLKIYSEPDNTIPSSPTTKSIS PPSSVAKLRRYINKIEKSVDGIKDILDSASPGLSRRIKVINQGSLTLAELGELHRESS MKVRDTAKRKQQQTTKRQVKAMGALYVKDSQ TSTA_042280 MIYHYPTQKAPLKILQLNVGHAPDAHEIALTLVYTSDIDIILIQ EPYTFKDLSQQITKKYLLYECFSPTDSWVISGRPRVLTYVQKKKGIQTSQLRPFTTDT KEASDLLFLQIFSPIGKSALIVNIYNAPAGCSRAGEAAKALTTLPEAYFPQTTILAGD LNLLHNRWQPSLQLLISDIDYPTHERGNMLDISFASSPLALAGAKASIASHLDATSDY QPLITTVPWDQRYKETAQKLRFDIVDHTSFLSLLASNLAGTESSATIEEDLDALTEKL TSAIQGAYRGSAKSTITQDIGQLWWNEDCKKALHNYRLGLCLKIDFRRITR TSTA_042290 MGRTKEQAAADFMAFQHTIPSLDIFLRSSLSYGHRKEVFDTEAE AALAGAQAAIAYPTAQFATNLWIYLDNLEVATRLLSPSTGSSQEVFESFRTLAAAWPL RERLPHTKSGSIQIRWVPRHTKIPKNEAADLAAKEGAVSIPPSPYKSSYALLKRYAKT QSLSATQTRWQTVAPQTY TSTA_042300 MRFTSQALTLLLTAATLVSALPVDKRNDIQEREELYPAPDLRRI KVEDKREELYPAPDLRRIKVEDKREELYPAPDLRRIKVEDKREELYPAPDLRRIKVED KREELYPAPDLRRIKVEDKREELYPAPDLRRIKVEDE TSTA_042310 MKFWPQEKHRSGDYKRAERDSNDSRFDEGPPLTDTHGQNSLDSE TLLDHDDEKQIDQDQLKQHLSWSVRDKMFKQVDGELFPAKDVKDQLLGRLEPGPVLDD LWQDYEMQRNFMLTREQVIAMGKDPEMAVKLPDEIFGLGQDAYLGGLDAFHILHCFNA IRTEAFKDYYFDGERYHMEGYGPDSIPKRNHSEMFWIHLRHCSDIIVQFLMCNADTTM TTYSWIETQERPFPDFSVNKKCIDFDTLVRWRDDNALDFEDAGMIRRPPGAYEVAVSE IYWQIMGNETHPGDKRHHPLWD TSTA_042320 MSIADAQGWSLQLTFQRAAWIRLRYANAVFREEILDLAMLSPSQ PTVQQLNDIARCCTEDWESHPTYLHFTPDICNSDDNSMISFMLIVSHLAYLNYHFLIQ MLLVRQHHDPNTSSISVRSQILSTVLKLGHIRDTYVDLTAAARSEDGDIIRNLCVFIS HMDAVERPRNGTPLTNHNLASDLGLSSPGAGRGAVSTTVRQPFLIVVYYLSPNNETSI EYRSYSSFPKSMVGVGGRSKACGQCRRRRVKCDEQKPHCLRCIKAKLECSGYPDVTII QFDGRRRRRTSTPTSRNTLNSDRELSTEIVPRAVSSTPQIASTAGPSIEPRISLNYND VFMEYTRSKLFRGLDSEDLVLPPNLDQGLVSKAFLALCTTFFGVEHKEQQLISRGFHQ YGHALERVHGALGDPSRRASFDLLKSIAVMSLFEFLISDRQDGWLSHTVGLEKLYALR GPYSFKTLSELQLFENSRPSIIFSSLFLRRHTILSKPEWKVMPWITYPDKKTAMQRLV DLLADCPALFMEQDRIASESPGTPDQTGDLIELLRKVIRLLDDLLQWNEQWELENPDY CYDVPAPASTPLIASMYDGDSYVPAWSTVLEYKSMYHANTVIVYNGTLVLLLNLAQSV MQMKSIPTMHQTPHIIDMKKIYNAGITICRSVEYHLQSMRQGAGSFFLLFPLRMAYVS VGRTEPTIGTWIQDVLKQIQDGKSGRWATAKYLLDLQPLSRNSASRIVIT TSTA_042330 MGPYYAKTTIPERHPPSHDTLYGPSEGSPERAESISSEEVHKAE EFVDTQTLGWIPSRKPSSNQYMARLEIPVVIPRIGVAGRFKPPLPFLRAYSPTLVMHD INEEEFLAFIDNLGVAQAGSPVFSAVNAAGQVMGMVPNHWVALASAGMQVVAGVASAA VSKVRTQRFLAKANEQYFNPRRLKVSLKMDEDLVNCLFANPSSEQAREYKRRLLASND LQSGYQDLRQRKMAVLQPYVVPLTERVLPPVKQDNILDKLAARGLEKQVKKQEKRFAK QQQKAEKKQMKLERLRTRGTSDGDSYNFRNRSHDGRDTSSDSFGSDSSNSDKGDRSKH QKKYERKLAKANRKVDRANEKDEKTAKRMECIVVENL TSTA_042340 SEREYQTLREQGWPTNLVLWIASFATGRSVQIRLDGETGPSIDI TCGLPQGSPVSGILFMLYTSPLFRLGNPRNRFGYADNAANLAISSSLATNCQALSNSL QEALDWGAAEGITFAPDKYELLHFSQHTVDQDPTCTPS TSTA_042350 MLSNALKSRTQIEKFIHYETDFSPFSQKDWTRLSQIHIILSKFN EFTLFVSERKPQISLTVLIYYELYNLLHDAAERKEDFADIDEDIASAVRESINKYMKY YTFMDISNTYYTALILDPRVKADLLLYELDDEDTGRKILKVLRDNLHEKYPETTDQRT MAEPPEHTHKKQKAGSWMLRRLQPQDKPPSSDINRYFDDIRVNAIDMEDLNWLFE TSTA_042360 MSTASHAGLQNTPYQPFQCDVCQRRFTRNENLKRHAALHTRLHA RKHPQQEDARIAKRSCQNRRTPAKPTSTAGRNSHVVENDTDSSVSPAGRGNSWSSQQS GDDVELQADYEVWSPGLRYVDDAQHEKDIDLIIRSANMNLESRNFHADASPITQQSRI HEPPYITHFTENAYDLGQNQLSGSFATKHADFLGNQVRILNGSRSTPSMDRGNFSQDI PDSLLANDLSSIAHEDWFPSVSQIARGVDLYFTHVLHFVPFIHRPTFDASQAPCHLIL SMLSLAYRYGEDPDNEDQAGSGTSLSTRCFYRARTLLASMEQDNDDESNATRGISMIQ AYLLLQICATMYLCGKSSEYGPQMHSKLIILARSTGLMQPMQIESSATADLDSLWREF IKAESHKRTLFTIHQIDSLWYQFFSVPRLLSHLEIKHDLPCPEDSWTASSSAQWAHGQ LLLRQSGPGSSMQYADAVRYFLSSNRNFSSLPPFDPYGAINIAQFLISSAREITGWST MTGRLSVERLEPITSSLVALEPFIRPQAHRHNTKNMYAALCEATWEMAMIEIQIWSPS HTGGIVGGSVDEALKIATDLAPLSYEILVKSNGVIQPHVDWFLRYLDSSAVTPISEPP WITLYAYKTFLIAWQMVRGGLAGAMQAVGVRDGDLKGAMAWARKVFERRQGWQLGKII MKCLDVLENELIR TSTA_042370 MAPTALFNPPSANLPGKPFVPEWVPPPVTKETHNFAKLKSIDLS LLDSKDPAVVDELIQKVKVAIRDDGFLFLENYGISLEQLHRQFSLAQYLYNNISEEDK ERLLFDPDTGMWSGYKHPYGFKRHRGIPDGIEQFNWYKREWQDINRVPKCLHPFMDEI EAFCNYLTKSVNRRLLTLFSRVLELPDDYLWDNVQSHGGPTGEGYFRHALFRPVQKQT AESSKGLRMHGHTDFGLTTLLFSVPISCLQIWGRDEEWHYVPYKPGALVVNIGDTLEI VSGGHFKATRHRVFKPPADQLHEERLSLVLFNSSVGDLRMAPAKESPLIQREGCVEDQ GVYKEFKNRTAQGKLVPTNREWREIQIATATDPTDTVRNRIGADQVLIDGKLMQQREY MGVKVVLPV TSTA_042380 MSRQLISSAKFPPKPHNCPAVKIPGLVFCAGQTATGEIKQATRK VLENLKEVLELSGSSLEKVVKYNVYLANMKDFAAMNEVYIDFLPQPMPSRSCLQAVPP GDGTVIEIECIAQA TSTA_042390 MATQKTTEYPTAHTTTISNPRLRILNKLKNGLDGVIIDCEHGHI GDDSMHNSVAAISALGVSPIIRIRGPAHDIIKRALDTGAHGIMVPQINNAEEARQIIQ SSKFPPQGVRGQGSAFPAIGHGLTTPEYMVSANETLLTMIQIETHAGVENVDAIAAMP GVDVLFIGPNDLAQSLLGYVPARGDEPEFVAAIDKIVDAARRHGKWVARLVNNGSLAK EAKKRFDTVAITGDTKAIQNWYMEEIDIARS TSTA_042400 MEPKLDKVYSPGQEDDNISSAEGKLEALSDLGIRRRLMPESLQD LPSDELEALNKNLVRKLDFFILPVIGILYILNYIDRQNLSAAKLQGITEDLNMTTQQF ATAVSILFVGYLPFQIPSNLIITKISRPGLYICFAVSIWGAISAATAAVKTYGQLLAV RAILGIAEAVFFPGAIYYLSAWYTKGELGKRIAGLYIAQQVGNAFGGLFAAGIMQLDG THGIRGWQWLFIIEGSATVGIGVICACIMPEFPHNSRMLSPIQRDLAVWRIESEAGTA EAGEWKNTFSIVFQALSDPKLLLMIFCNMLSQAQGSIANYFPTLIHSLNYSSTVSLLL TAPPYILAGIVYYGIMFWSDRRNTCYNLIVSCISIAIAMYIIPMATTNVGARYFSMMI LPFASVGPQLLLYKTINVHLARPISKRAVASALVNAIGGTSNIWASYLYYRAPHFYAA FGTLMGCAFLFAATITFYRWLVLRENRRLDSGDQDQIAKVMKGGVTEEMVQLNWRYEI AGSSILGVSYTELSMDCEITMKIRDEALRKEIHKMQPADIVKRAERARAQAAKGTLSL PLAGHENGEMETSGEGVTRPKLSQIGLKILSGRSWSTLSTLDLTTYTTSPIPALRIFF RDLRYLTAARDVMKQLYEPPGNVKTTVDECVAACSDRSANNAQDRQKTRHGLWEL TSTA_042410 MEHPSYPIPGGLHKIPDDLLDLRPDEEVDQDLLNPKPVTDVKNI WFFWHTGYKNMHPYTQRNPSSPLNVANFLDIADPGTFPRAFVDGTIGGDYAPQHTSDL VRWPLLLKYGGVYADVGLMQIGDLDRLWNETVGNPNSRFEVLSYNAGGVDGRSLTNYF LASNCNNSFFARCHRLFLELWAVDGGKTSTDGMHCSPLLKGVPLMGGDFTIQEDDKVL GKEDVSRLLTDYIIQGQVLTMVMGLVDEEDGWNGPQYVADHIYGIEFMEGCQLINEFT KWDGQKAFDLMSLPLPRHGELESPEQRQAREIVVSCLRRSFGFKLAHGLILRVFKVTL GSLWRDNPGSDNVPNTYAHWLRHATTYWNQDHIPSTLEFRVIPPFKKGPLLRPL TSTA_042420 MALSTPRFCWLCHGILAGTDATLMERFYINYKNRAVSLENPVHP FRLCMYIVKYLGIILLYANMLLNPLSILSIYVVATAVAAASSTASLPSLIVPECPHYG VATINQSDPERTLFPLTTASLCYNETSLQIKFEAYDETNYYYNASQTTNDDIWEYEVM EAFIYHGTNNPTTYLEFEINPNNVTYQAIVFNPSEVRATGAPFDHFFVTDPAGDGFSA STTLDRKARTWTSTVQIPLALFDVGVLKGSLWRMNFFRTITSPSTYPDQTLGAWSPTN QASFHMTPFFGNVIFV TSTA_042430 MTCANALTMTAADLNYFRFFPSSSVVFYYMKPWEWSSLGFLYKG PAATNKIIMRMMLAIAANDMYRQGYFSTSAEKDAARRRGQYHYELAVKEFRQYLEEHG TATNNEVQKVERVLESTSETILCIMFLMITYEWYFGHSIKHFQLHLEGVRCLLKAHPE IFITRDVTDMILTSGIKLNKGLSFIPSQLLLWILYMEISGHSRGLMGSLYDTLLESEH SALHPDYLHQCARIWGRCLWAEEYPDAQILDDMENHRALELLHHSVIIWNKIWQLASG NNRDLSMTPETLYSEIMKFRGLYSDMFITAKYATSLSARRALYTIYFAVCSFETQILY HRRIFFPTSRTLNDIHRQAIANILEMLYKQYSVDPKLIQRMPWSIFMVMIETEDPIHR DWAVERLRELRHLHEGHGYINLLVDEVLEGQRMYPGKMVDLFEILQRQYKRADSMAGG FPSNLSST TSTA_042440 MGVPDSEKVTRPTEPDALVLEAWGQGLLVGALLVMFAITIANMR RRVLLHKLILAELFLAMFHGTWIFTHEPAYGWYLSVTAIGLNVSWSLHNVIAWMKNRP FLSCRVSAFYITTVILVQPYWVLEIYANFTYFNNINKIFIKTRPLEPLFRDPWWLFTT CSLFYVIKKQYDFSLFELIKVSPRFGVMLLSMCLSLAFTVFDILSVTNVFSSALPTGV EPFWKLSFIFKCLCDTVILDDFKTALDRMHNYWLRRMDPNLEIGPDEYTFSSMNPQSY RDGRRKSSLRDIEEETATLGLSVMNPAAKYATQPNSKTSVDTAEKQILQQQRTGSFAH LNYVNTPQSTKRVPVPVQVKTKESRSWYDV TSTA_042440 MGVPDSEKVTRPTEPDALVLEAWGQGLLVGALLVMFAITIANMR RRVLLHKLILAELFLAMFHGTWIFTHEPAYGWYLSVTAIGLNVSWSLHNVIAWMKNRP FLSCRVSAFYITTVILVQPYWVLEIYANFTYFNNINKIFIKTRPLEPLFRDPWWLFTT CSLFYVIKKQYDFSLFELIKVSPRFGVMLLSMCLSLAFTVFDILSVTNVFSSALPTGV EPFWKLSFIFKCLCDTVILDDFKTALDRMHNYWLRRMDPNLEIGPDEYTFSSMNPQSY RDGRRKSSLRDIEEETATLGLSVMNPAANNEQGHLRI TSTA_042450 MPSATTTKIDISQVKDVIDAAIEKSQIQLRELNRQIWSNPELAY KEHHAHDTICNFLESQGFQVTRHAFGLETAFEARSSSGDGSEAGPLINFNAEYDALPD IGHACGHNLIATSSITAFLALSAALKKFGVPGRTQLLGTPAEENGGGKAVLIENGAYK GVDISLMGHGGPSLMGDGIAGTLFNARKQIHVEFTGKNAHAGGNPWDGINALDALVAA YNNVAVLRQQILPEERIHCAFLDTPKVANVIPSFTKGYWQVRSPTLKGLNSLAARVRN CIEAAALATGCQVKLKEDSLYKDIKLNDTLCQHYQKNMGLYDRTIMKKLDKVMTASSD IGNVSYVVPTLHSAFTIRAPEGSSPHHPSFAAAAGTDAAHEEALIVGKGLALTGWDIV ADVGLLKQAREQWKHEIESDN TSTA_042460 MKKTHVEPSTTAEISNLKDMVASDMADGKKDIDLGQILEVQTSP QLERRVLLKIDYIMMPLMGFCYMLQYMDKLALSQATLFNVRKDLHLHGMEYSWTSAIF YFGYLVWSWPTSYLIVRLPLGRYLACSVFVWGGVLMCHAACKNFGGLMAARFFLGVGE AAIAPGFALLTGMFYKREEQPLRQAAWFIGNCIANSIGGLVAYGIGHIHSSSLVSWQF IFLILGAVTSGYAIILYLLLPDSPANAIFLKKHERAIAIQRTLENKTGILDVGKFKMN QVLFALKDPQAWLLVSYTFCVNLSNGGITTFSAIIAQGFGFSTFKVLLISMPSGAAQL IFLLLTSTIATFVRSTRIIMMIANCLVALIGFLLVYRLDPDARVAKMTGLCLGAVFAA NIPLSLSLITSNVAGMTKKSTVSAMLFIAYCVGNIVGPQFYLASEEPTYDTGMRSAIA GICLGILSLVGLYVYYSWENRRRDRVYGSPETLTEHLQSELLNVTVTDQENESFRYVL TSTA_042470 MRAKLKPTFWSQDVLGVPPEARVRTYVHCLIGFISQPTIAIICA LPLEVDVVDAHFDECYDNLEETEEDELPNCNISTIGRIERHFVALVQLPGIGKSSAAG VTSTLLLNFTGIRLVLVVGICGGVPFPSKRTELSRDPAGFERKGGEKCNNDRNLKSFI TNLKTKKLRNRLQEDISSHLSYLHGMESDWAYPDCEQLGCDGELVQRDRLKERGTAPF IHFGKIASADTVMKSGNDRDKLAHDEGIIGSECISLFLSLPLIRRPGVFRSFMSKRTF HKVNDWLPSYLSRAPSQHHPVFSLIWTINKWNEQEHDSDIWSQRATTIIRVLKASQEK LNPPPLIMLILVQVLVNFFRFKSSCGRQTIAEISSSDTLEIESSLVNITLSGVDEETR ECTVQPIHSFRYILDFETNDPVFKDAVILYAEMRCVSYLSITEFWEQAHGPLINDPQS MSLTHLSQKDRSLLISVSCMLQWEKPTLRNFEDVRRVYEFGISQAINHATLIPKGLRL QAKQVWVPIRSYISNSWGRNGSEFMASAPKSTEMDDIISPYLERTVLGVIESFSDPQS Y TSTA_042480 MDTIVAQIKEVVGNADVNVRAEMLDILEDLVVELRDPMDILYGA YGSAVKMPMTKVCADIGVFKKLAELEPGASKTVDQLAGETGADAELLERVLRYLSSIK YIQEIGPNQYTANKITHILANPVLEASLTHGVSSMNPAINATPAFLKETGYKNVNDSS NTPFQKAFNTKLKAFDYMSQVPEMFNSLQIVMSALESSNWTKNFDIFQQELNALMTSA FPDSSKRQFLVDVGGGHGHQCVQLLQKYPILHGKLTLEDLPEAVEKASRKDGVSYIAQ DFFKPQAIQGAKFYYMRRIMHDWPEDECVQILRQLVDVLAEDSRILLDEVLLPDTGAH WQATMADLSMMIVFAGAERTSRAWHRLVAKAGLRIAHLHTYDTKRGYSVIVLEKAS TSTA_042490 MDRKRPGFAGNGPNAELAARLLRLEEIVLKNTESRISIHAPPVH RPWQTSALTTFVPPTNEGLPSKIEADILKSVSFNEDWFTSISPLNICFRICSMKHIPQ SPTYLWPSPRRSASFQEPVRCIWLPLREEARRLFDKYVRDVTFIHHVIHTPTVRFLID DIYDKLAQDQPVSPGQVALLMSIFGSASYAWTFHDMNKSMYDTVEGAHSQSTMWVRAC LDLLDHCRRSSVRSFEALQGIVIAFFMLVNLEGMTVRYTSMVGQAICMAREIGLHRLD HPNYATSDHAPRAGTVTEEIGRRIWWYLCATDWMLSRYAGPQEGSYSIHLCHMATRKP WNVNDEDLYDGMKNIDRPLSEPTEMSYFLQRIRLGEMCRELVDRMPLGITASDRSGYA DVIAVDAQFLKFLGEIPEFFTLEAAEQPAELQKPLATGIIVQRYIIHSLVHSHRCKLH LPYLAKVSSNTQYSYSREACLEAARQTVRTEKLLERESVQFVHARFRISGVLQAIYIA SIAFLLDMCFHNVRGQCDPERKVELLEACYILEEAVSHSPFTANLLESLDSIVQKYRL SLPRLSNMPRASPPQNPQNQVAFDTTGRSQWSAGLDSENLANKSVEPAAQGDNPVMDP SSWDTFNAMNVDNLDWDSLLSELDSQFLVTAFPSI TSTA_042500 MVGLDLKLLRKHIVTPPTKYEQEYLIGFRGLLVIQAFLWMFLQT FAPSTVYASYDVGGPHAQVIVRKVFSVLFWNEYFLYGAFIFLSARSIAIPYFRDPTPA VIARSLLTRSLQLCIPVAICLAIVKGSITANALNTIKHFKYSTNNLSLPIPYQFPNAL SYWNSVFNLFWTTHGFRSQSGSYAFPTQTLWMINAVYIQSYTVYMIMVIVPYTRPQWR VQFGILFVIAAWWCNSWAWYTVSGVLVCDMVMHMDLKQNALMGIPVQYRSLVWRSNDG KPRRIPTWFVGGLLLVGGLLMQYLWAAYRPDLFFSEWRIHSNPYTTGGLDERYLLDHI SARDDVYITILGIFILLETYDVLQRVLQNKFLLFLGRRALSYFLLQSIFAYIVGIRVF EGLRSRHVPHDGAVMVALITCIAVTVPAAELFHRLIVVPSRYLSHNFYEFITS TSTA_042510 MEKQVDVEVNALQTPVQTNRSSERRSNVKSGLKKVYQDVFWAFT TVSDGVQDWTPFFLVLSYFVFSTTIYMNATSGINEVFWFIYLMTNTYIATATVIESIT ALGNFQDSKRALDKVAKKNWVFPTADNNLPRLDIIVVAYLPNEQDIVMDRLKYLLEEI VYPRDRYRVNLLYNTPYAIEPLESDLFALADEYAKNMRVVKVPGSKSKADNINYYCNV IQTDAQISAIFDCDHYPHPYAPKWAMEQFCKDKEIDIVQGRCVVLNANDNFLTSMIGV EFDKIYAVSHPGRSAMFHFGLFCGSNGYWRTSLLRELKMDDSMLTEDIDSALRAFGHG AKAVHDINVTSFELAPVTMSAFWKQRLRWSQGWIQASMRHVHLVWSRSREGKHRTMRQ RFGIFSLLFVREISYYLITQYLCLVLSVVITEFPKNGHDLYKLVFFQYPIAWWLFIFS LLAFVGTLWVTFHFRSEFCRWYTIPIFAATYLPQLVLTAVIGLFGHARQVSKYIKWNP TPRN TSTA_042520 MFSAPWQGLLFTLLVVSKATHAAHNITTMDVGNCACGFYDEDAD LYFTESTIAYFNESGSIPTDFIAEEFEHRYDRGWNNMYRMGAAVDNVQIAQDVTARNR TSLELSCHPSDKDHLVVGSSIRTSRQDIFFGSFRASLRPARPWMQGSVISMNLEHNQT ESWQVDVMNTDNSSAAWVDMLMRGQFADIWYGINFTNLTNEGLSPWYYTEYRVDWTRD SLNYYIGDVLRTSYNTSVNSSIPATPAPLKFQHWSLGNKYTSQGPPSFTNLANVAYAR LFFNSSTWNDTTRAAYDERCSIQQACRMDDLSLRGASPSDPKSLEPWKQYQPPYKIRW APLIIDIVMAAIFVVLTGKTLWRRFTWHKFMVFLGVHKRDPPQSRSFDTPPRSTSLID RDPQTNAESDEGRISPASPTSDRANLSRNESFATLPPYRGSQTPLPQYQSPAVSRRPS LSNMASHSFAYPASLSGTIQGESSRAASRTPSLHAVPMMDHSATPGQEVYSSPMQAED SSDKNKAAVKTEAAEVAKDAQTKPKETGKDSKPAAGAAAVSAKPPRVDYLAGFISISA VLVTANHFGLTYFSAVIIPGDSAHYQSETIARKTFATYFLDPLWIGPFLMISTRFLTS NYIRTGKLDNMAQKIVARPFRLLTPVASIAFLEYFLMDAGALNWLEYLPSVTWSDWPF TSIPKNPGTFISEIIQLAFLIPNAAPMITINYCTGVLWTIPVQLQGAWQTLLGLIMIR QIKTPWKRFSFYLFCTIMHWYALSWGSYYYVGILLADLDITYKYKQKWLNPRPWVFWP LLILMICTAIASFSIDLVTQHNGVNYAQIEYGWHPDPHTGLSLVQASAAAYPDYFIPR LNAFLATITMQGVVEISPTLQKLLSAKFLQWLFPHIFSIYLIHGFIMWSVGSWTMISM FSHGYPYWLCTLITAIVCYGTLFAVLPILTPPIEALGKGFTQRLWQFASLEPVERKPT TYPFGEEFLTTRGQLHTSKPSSLDGVLPSSASSASGGVTVLNEKDMTGKGKEAELTVR ELKNGRIMENIKEF TSTA_042530 MSSSSRFIAKQATIPNLVIGIATAGGLYTAYSYIRSATSSEPRK TFGNSIAGSLTLQQTEEINHNTKRLRFSFPNARDETGLTVVSSVLTFSWPKGSLAPAI RPYTPVSNLSKPGFIDLMVKKYPGGKVSTHLHSLQPGDSLFFAFGIKAYSWIPNKHDH ITLIAGGAGITPIYQLIQGILDNPEDRTKMTLVFGVNTERDILLRKEFENYGSKFPDR FKVVYTVSEPEEGSPFRKGHVTKELLKEVLPARTDKVFVCGPPGLETALTGKGRGDSG ILGELGYKKDQIFKF TSTA_042540 MTTVIEQSVAYYNGKVYTLNGSGQLAEAFIVTLQGTFAMVGTSD EVLQFAKAHGIITYNLHGRFVMPGIHDAHVHLLSAGVSYLSGVNLACDTTIENIGMRI QEGQCACAYQHAFQDWVVGNISTIPDFDRASLDKYFPDTPIMIQGGAGHSAFLNTAGL RKAGYDVDNEPDVNGAKFGRHPDGSLTEELGELAMNKAMLAKGNSNVAHTKRAITEAI QRLQRVGVTSCQEAATNTVILHALRELDRDNALKLDIAAHSVYAPEFLANERQSSLKA LIDQAPKLATKHVRTSFVKILLDGLPPLFSSAGIDSDGNIELDKILVGDVVEVVKQSD QRGMTVKLHATGRGSTRLAFEAIAAARKANTKNGTIRHEIAHCNGIHPDDLQRFKKHG VTAELSPAMFFDHPLTQANKETMNWDITDNIITRESTIAVEKCTSPDANADFDVICCG KIKTHSQPVATEDEPDCEV TSTA_042550 MPARDKYTEPQLRDQIKEEIHASDKGGRPGQWSARKAQMMASEY KKRGGSYTTDKETGQDESQKHLSNWTEEKWQTKEGEANATKYDGTKKRYLPKEAWEKM SEEEKEETERKKEEGEKQGKQYVGNTERAREERRRTSKGGAGSKDKDEGKDEDGEIEA KDVSKNKRAGPRKNKDADAKNESEDGDNEDGAQHEEEGENEEDVQEDGDFVEDAEANQ DDEDDDIVDGDNEKEEPHGQKRVHTRQDDKTESKKQKTTSKNEEDGGEEE TSTA_042560 MDAEMDIPLFFRVSTMAALSTSTLLPLDNVMSMELGKTAPGLDP INVPDVVRLRSEQDCDEAFQLLMSILRQPELGLYIRHIDILRDTQFHGWYKPTPYQRF ITEEDGLLLRLAVRNAGWYGAEEELVLNMLLQRHFDLDFSHGFHSSRSRGGRHTRGSH MIQSLAALLMSVCPNLESLKIRPIGRFMKEGYPALPLEQFLLHSTSIFNSVFLQRLRR VDLLHTDDALDNDPLFFNRYDLLYHMSLFHQLPSIEHICVGGMEIDENGREDFAPLTS NLGRIELLHSVLPTMFLCSIIASSKTLRHFTHSVGGRATQDTGNWHMAFPAIFRALLI HRESLESLNLDTDADYSDELEQEEFEEYLGDLSHDVDVNETEEERKAFMNNKSVVELV FMQSGSLRNFTALRRLNIGVRCLFHMAHGTTGDFASSNLFLADVLPPHLESLSIRGYQ PGMNSLYDAHIQDLFQKFVAGQLGSLKEIYGISEYIPNSATVHLDDVDRYPELVWIDE RDAIV TSTA_042570 MCVNKKHFGVALLAPSLVTASSMKSLLSKRDASTYEQNAICTAA DHISPVRDQLLRSYSVNDTALFGKDLWWQSGNFISALATFYQLDPDYGSIHNDIFAKT LSEAPGYGNYVNFLNGWYDDEGWWGNAFLDVYDYTQDRAYLNQAITIYSDIVGGLGTP CGGIWWDKAKTYVSAISNGLYTELAAGLANRAGDSSYLENAEENWNWFFSSGIVGSDH IVRDGLQSSNGCSLNGNVFTYNQGVILGAAAELYKATGNRTYLTTAAALADASTASGS AVTSSSGILTEGCDKSSNCDTTAQMFKGAYIRGLRKLQLVDPETDWLNYITANAQSIW NNDLNVENVNGQSECIVGSAWAGPFNSDQATVVTQGAALDALNAALATTR TSTA_042590 MYEVIVHAGPKAELVQSPIPSPKADQVVIKVVVSGSNPKDWKTP NLWGWEGMNQGDDIAGIVHEVGSNVVEFKVGDRVAAFHEMRTPGGSYAEYAVAWAHTT FHLPKQTSFEEAATIPLAGLTAALGLFARLRLPTPFARATEPIPTIIYGGSTAVGAFA IKLAQRANIHPLFVVAGSGSSFVDKLIDRSKGDVIIDYRPGPAHLVEQLNTAIQNVGL TKIKYALDAVTTEPSFQVLAKVLDTNGGRYTGVLPYDESVFASGISHSTTTVGNSHAS DEKAPGDADLAFAFIRLFGKGLKDGWFTGHPFEVVPNGLAGVEEALRNLKDGKNSALK YVFRIADTPQLREDQPGI TSTA_042600 MLFSAVGQHQWRDSQHCMIVPSYSLLVFSMCVSRPLLTRHLKAF SIMRGLEDGRDALFHMSSDATDRLRHAWDGFVDFAMRDNVLEVAIGLMIGQAFTKVVT SFVSDVILPVISLLPFIHRNLDEKFSVLRKGPNYDLAGGYNTLAQARDDGALVMAYGA FLNNVMSFLGVGIVLYGLAHFYVLISHDGSMIKRTVKCKYCRKWINRKAVRCVNCSSW QDGREDVGLAQCEDDSN TSTA_042610 MAFNRTMATPPTYYRAILPHGASFAIKPEQFASENLERKYRVQK SIIRHSSLHCFCLYCYQGFARMEDLYRHASDSGEGHSQILRENRNREPRGFYNFFREA LNLTEAHIDDWTICHMRIPDLFDPAILMELLKTKKGYESAIALQEAIIMATSLRATCP ICYRSFSLHATLKTHFRDHGSEEHTKLLAEPDGRFDTGRVITEVVNSAWNPTGNVFLI EPQMRTSTTVYNVITGETRVRIVR TSTA_042620 MMVSTAVELAHDALSLKFLVGTFAFLILNFFFRAHQNPLSRYPG PWISKYTNLIAKYYFLSGTRPRYVHALHMKYGPVVRVSPNELDISDIDAVKEIHRVGG RYLKSEFYENIGHRSLKTLFSTTDTSHHAIRRRLLSAGMARNNLMRLEPVVMERVQLT IRRMAEESQKRGVIDVFKWWTFMATDVIGELSFGESFRTLDKGEKTQYIMDLENISSF MAIRTTFPTLLRYAQFIPIFPLLRRVSEAGQRMFQYAQQAIGRYNKMLENNPDPKQTL FTKMFKGGSEGLTQFEITLEAGGYIVAGSDTTAITLTYLVWAVCKKPSIRDRLVAEVK NLPENFKEDDLRDLPYLNQVIHEALRLHSAVPSALPRAVPSEGAILAGYRIPGGMTVS TQAYTLHRNPVIFPNPEIFDPSRWETPTKEMKNMFMPFGGGSRICIGIHLAWMELLLA TALFFRTFPNARVSQKEGMCDQDMEAKMFVLVSPKGRRCLIES TSTA_042630 MAFSEVNIEKSAASHVETVFGSGAIIEAKHASDEEHSQTLWQAL RSNRKAVAWSMLISLSIVMEGYDVVLISNFYAYPQFTKKYGVDYGGTTGTQIPAPWQS ALSMSSTVGTIFGGMLNGYMTSVVGYRWVMIAALALLNGLLFIVFFAPSNGVLVAGEL LCGLTWGVFAIVGPAYASEVCPTNLRGYLTVYVNLCWAIGQFIAAGVLQGLVDRNDEW SYRIPFALQWMWPLPLMIVCFFAPESPWYLVRHDRIEEAKHSLRRLGGDKTEEQINGQ LAMLVHTAKIESSMEVGTSYLDCFKGTDLRRTEICCLAFVGQILSGSTFAYSPSYFFT TAGMSTDHAYQLNLGGTAIAFLGTLCSWWLITHFGRRILYVTGQGILCATLFIIGIVN SASSSTSAIWIQAGFCIFWLLVYSLTVGPIAYAIVAETSSVRLRSLTVSLARVSYQIT NVVSQVLEPYFMNPTAWNASGKTGFFWGGTALLTFIWAYFRLPEAKGRTYEELDIIFS RKISARKFVSQEVDAYAAREVVSAEKD TSTA_042640 MEGTRISTASFKQIGKDIIIRLGVAADIPYLPAIEESASEIFKS IPDLEFIADDPPLSIDTLHSYLSSSHLWVATVEDESGSEIPVAFLAAKSINPARSDED NQEIANNIQSPRHIYIAECSVHLSFQRRGIAGRLLNTVAEYARKQGFGWLTLITFLDV PWNGKFYQKHGFEEIEAETMGDEYVEILKEELDQWKNWKSKRWRRGVMARKS TSTA_042650 MDNPVTFTPNALTPESIRRTTPPMSAPDPAPAGFNFITVDPSSR TESSSSRTLIRANAGRYIWKRRKAGSKESGRTKPYERPNPQSPPLSSSPESKEVVVKS EFDTQQSPSITDEGTSLADTELVPYKRESSCDTIDLKAPLSHGLKTPMLTVFGTEIPE DIVRRTMKYSASVVMTKMLPQDPSAEAPKVSDIWLSSALRSPALLSAFLYGTLSHEFV LERMQSGTPSRQRRQKMLQIMVAENESIRRINQALQDPAAQKTDELLMAVFFMGYSRY DEAVFSPGRDHHKSPLNDIQWANIYSYLDYDEVHVMGLIRLLEIRGGIDAIKMAGLAE MMSLATIMFSSKFLRKPLFPYTPIIKTAGRHQQPDWPQPIQFLLEMYKGGEFPKMVEL GLPSELVTLFKDMYSYNAVIELYTQGIFAGSFVGSDAPIMADRRNSIQHRALSLPSAD ELGMSEVCPTYEPLRLATLVYCLLTIFPLVPAMAPFPQLTAQLRQALFSQSLPKAWKT VPELFVWTLVVGGIASNKPETVTWFAAMLSQVAVATNLSTWADVKKILKSVLWVSPIC DDKGEELWRKADNLRTQVQRHQEQQQHRRNGVPMRLRAEPAS TSTA_042660 MRHVRKTTDTEGLSSPSSTLGLLSRVSTAQTHHPSHWPTLQKWC IAIIYCFLQVAIMLLTTTYISAQTPLRMKFGGSTQVIALGQSMFIVGNAAGPVFLGPL SDIGGRKWVYVGSIAIYGLCQIGCALAVNLPMMIIFMLLSGVACSTALSNVAGTIADL FGKADNTSQPLALYIMSSTTGSSLGGVVGEWIVSNPNMGLNWIFWINVILAGFFVLVM TFLPETLPRIVISRAMKRSQTQTQITHSENDERQKEIAETRIAILKEMRFVTTMCFRI LLTEPIVTCLGIFNGYIYGLLYLYLYGVFDVFVANNHLSVIAANLTYLNFVAGAVIMF LIFVPIQTALYKRDRLKNNGIARPEARFLLSLITVWGFPISLLWFAFTSSGSVSYWSP VIAGTVLGVTDPLLWLNILNYITDSYPNVAGSAIAAFLIPSQLLAAACVHIGILMFNN LSTTWAFAILGFVSLGVVASVYVLYFWGPALRRSSRGLVVARIILSRLGKPWGKACTL SELLSESNESLIPGFWQRQRTGNRRVK TSTA_042670 MESHVSNIEKGVAQEDKPESFHIAKAHDAAERGHAATDRYGQPL VDFDPIAEKRLLRKIDLFVIPTVAILYLFCFIDRANIGNARLAGFEKDLGLKGNDYNI VLTIFFVAYIVFEIPSNIACKWIGPGRFLPALTLGFGITSLGTAFVKNKHSASAVRFL LGMFEAGLFPGIAYYMSRWYRRSELAFRLSCYIVMAPLAGAFGGLLASAILKLESVGS LHTWRMIFAIEGIITCGLGIIGFFTMTDRPSTARWLTEDEKDLAIARVKSERVGTTEV LEQLDTRKVLRGIFSPVTLTTGLIFLLNNVTVQGLSVFAPTIIRTIYPHSSVISQQLH TVPPYVVGAFFTLFVPFISWKLDRRMICCICLAPLMIVGYAMFLRSENAHVRYGASFL VASGSFAFGALCNANASANVISDTARSGAIGTVVMMGNIGGLISTWSYLSFDAPNYHI GNGLNLACASVTFLLSIGLLVWMKWNNAKRERVDVDSALEGKSIKEVQVMDWKNPAWR WRY TSTA_042680 MLSILVALLALTRLSAGKAVFAHYMVGSMTSSEASQDISNAIAA GFDGFALNTHTISSSDTWNTDAINYLLNAAQGTNFKMFLSFDMSWNLDVASLGSFLLQ FSSHSQYYTTDDGRPWVSTYDGGSIDSATWDSSFIQPLVTGGVTPYFVPDFDDWSGFP QGFFDSFPVVDGAFSWESAWPSAGSTAANVSDSVDESLIQQAHAAGKVYMMPLSTFQF KYLGSGQDWYRIGETNLPERMAQILALQPDFVEVITWNDAGESHYVGSFWPEQIAGTN EDDYANGFDHTGWQKVIKPFITAFKNGATEVSSMVSESGNPEGAIWYRTLLTSASCSS TITNYQQARDAINFAVILPSSSSPYTIEVYSNNQLIGTFSGVEGLNYESVPGLQAGGG QYIQVLDSTGDIVASANGTKNVLSEGSDSSMCNWNYEVVGLP TSTA_042690 MKASSLLVLLAGTAFAGAVDKRHWKPETTPCDDDKPTSTPQPHS QWPGTGGWQPSSQPWGPPQSSGPSESNTVVPVTSLPWSPSSVSQPAGPTTVASSAPGV PSNPVTTKTSTYTTTTCPVGTTTFTSGASTITAVTTGTTTIVVTDTVTCTKDVCHPHT PTPVTVWTETFSTVTRTYTTTTCPVGTTTFTSGSATVTAVTTGTSTIVVTDTVTCTKD ICHPHTPTATPSVTLPSVAITSVTGTTVVTGGFSSSTPAIPGVSSTPGVPDVSSTPGF PGGSSTPAVPGVSSTPGFPGGSSTSGFPGVSSTATGVSPTTVPGGSSGSSSVPQRPST TPCTSGSVTVVPGGSSSVPGISSAPATTASEGITTKTITYTTTTCPVGSTTFTSGSST ITSVTTGTSTIVVTATVTCTKEVCQPHTPTPTSVGPTSVGPTTVPVVTGSVTPTGPSP SSVGPTTVAPSGPASETVTTKTITFVTTTCPVGTATITSGSSTITSVTTGTSSITVTQ TVTCTKDVCHPHTATSTIPGETSPVTVPGTTPTSVGPTSVAPSSAPGTGTVPAGTETS PASGSGSTTVSVPAGTQTSSAPGTGTVPAGTETSPASGSGSTTVSVPAGTQTSPAPGS GSTTVSVPGSVTVPTSVGPTTVAPSGPASESVTTKTITFLTTTCPVGTTTFTSGSSTI TSVTTGTSSITVTQTVTCTKEVCQPHTATTGVPTTIIPTSVAPTTVVPGTSVPGETTV PAGPTVPASTITVSPIPVPSSAGATGVTSVPESVVTKTLTYTTTTCPVHTTTFTSGSS TITQPVTETSSIVVTQTVTCTKDVCQPHTSVTPTTATPTPVLVTYTYTTTTCPVTYAA TTSGSSTFTIPVTGTSTITVTAVSTSTPPVVVPGTTIVPVVPVGGTTSVVPVPGGEST VVVPVPTSISPEAVSTVVPPYPVGASTSSAPAGPTAPASAAPTFTPSPFSKPGATTTK PSSPLYTGAASAVKVGKAGVLAGAIAILALI TSTA_042700 MELHTLPPDHEKGETKFLRFRSWSTPLTGVHPAVQPFAGRIGGN QALVLDRNDPKNTEYLKAVPDAAPFMRVSEALDLRGFLDWNLWKFAIVEGVASFLLIF ITGWIAIRPRPASSTSSSTEPTAAGVFGTSTFLGPLIGGITNWLFLTLFIYSFAPISG GHINPTITLATFFARLISLPRMTLYLMGQTGGGALAGLVLHNLFGSSNFVVGGCFIET NLVEVRQALLLEFMCTLTLVFIAFGVALNPRQERIFGPALAPWLVGLTLGLLSWSSSY EKPGYAGASMNPARCFGVYVGSGFPGYHWIHWVGVFCATLGHGLFYQLLPPWTTDKK TSTA_042710 MRKDDQQLSCYPLWNSAEASFSPCVLPYVASIPTLLIVLIAIKY LLSSKYLYRWRPKWTHPFVYEETDVTDETFLTACRQPLRKAWALFLLAVICFGAEIVQ IVMLPCFGYIMLTVAWGLVSTVLAIKRPRTAPTALLVFYALALLVQYPVFSAGNMAHV VGQIAHDAVIAAAAVSIIIILSMPARDPSLPKEGISKVGETPSDNLRSPEDDLCLWHF LTVSWMSPLISVGRKRRINEDDVWLLGFEFQHRRLHEKFRQLRGSVLRRLLRANGIDI VIICSISLVQMACNFSTPLLLQQLLKVLSDEISDKRVAMIYAAIMLIMRLLFAQTQVL NLWYGRRCYERSRGEMVMMVYEKALSRKNTFGQKVSTKEGPNGTMHNGHMNGSDEQSK KNRNLCGLIPWKSKDQKEEATKETASMGKIFNLLRGDVYEVAQRFWDISEILDKPVGL LIAVVLVWDLFGPSCFLGVLTVVFAQVINGFVTRYLLQWGRTRRAVTDARLQISSQFV EALRHLRWYGWQDHWLRQVMEARQKELNVQIITNLLEVAINVVQVFTSGVFPVVALYG YTILAGHPLSIDVIFPALQLFTMLEQRLREIPPLVTTFINASIAMGRIEDFMQEPNKE TRAGETSSNLSPIKLESCSFAWPGKHTPVLSDINLTIPQGLTVVCGVVGAGKSALLQA LLGELDELKGVSHLPNEMVGYCAQTPWLQSMSIRDNILFSSPYNEQRYKRVLEACALV PDLANFAHGDLSFVGENGIGLSGGQKARVALARGVYSTARILFLDDPLSALDHNTAEF IVRKCLLGPLMQDRTVVLVTHRVSLVQSQADQILEVAGGKVRCVGKQDISSVRDAYED DTQHVESEQTEDDISAAVPDKFIEEEHRADWGVQTRVYWAYIKAGKLKWWALLVIVIA LYRTVAILQSWFLKEWGEAYSQFLVVLGYTELRTRASEQWVISHAPTITSHDNASMRR WPLDRNHIPSPADDVRPWLRIYLGFAAVQSLFMLIAQLLMLAIVFCAGRTMFKEVMQR VSHATFRFFDVTPVGRLMNRLTSDIGAVDRNISIQFQGIAFQVIVWISSIVVIASVTP TFLLFAVVLTASFVVIFLWFLPLSQSLRRLEMVSLSPLLSNFGELLHGLTTVRAFHAE VRFQDRVIQVVDKFQGMDHFYWSLQSWLMYRFEALSSISTFVLTALALYTNVSAGLVA FVLVAANNFVTSTHGLCRQYGQLQMDFVSVERVDELRHVDVEPEGDIDPPAYWPRFGS DIIFENVTIRYAPHLDPSLLNISTVIPGGSTAAVIGRTGSGKSTLALSLLGVLQPDQG QILIDNIDISKVNKQALRTRITFVAQDPILFPGSIRKNLDPTDDFSDQECADALERIC ARHGWTLDFQIEAGGRNLSQGQRQLIGLTRAALRRSPIVILDEATASIDHETSLEIQK IIREEMKESTVITIAHRLEAINDADYYVVLDKGRIEREGRVDSRDC TSTA_042720 MASMELALAALRSADPGEKPNISLVARTYGVSQSGLYKRFHGVT GSKEEQYDKQRILTTTQSRALIKWINQLTERGLPPTNSMLANFAREISGKEPGKNWAS RWLKAHSDKQYNLGPEQIYNMDEKGFMLGVSTKRKRIFTRRKYEQGGYKQHLQDGNRE WITTIGCICANGTALAPSLIYMAKSGFIQDSWLQDYDPQTQRCFFAASESGWTNNDIG YRWLVDVFDKETKSQASRGWRLLILDGHGSHVTMKFIEYCDSNRILLAIFPAHATHTL QPLDVALFSPLSNAYTKQLDDFIRDSQGFTRLTKRDFFRLFWASWNEVFISKNINSAF RTTGLYPFDPEIVINKFNKKITSRPSSSESGASIIPPEDWRRLEKLVKTVVNNIYDEK AVQLRETVSHLSTQLILLQNENQGLKRALINAKKPKNKKQPLLLGLPSEQDGGALFMS PTKVQQARDIISQKNDEAAQKQVHKDDKKLQQQLKKQAREAEKVKRAQIRQEKREQRE QEAAEKQRLKDEQELAKLADLQL TSTA_042730 MLFFSVLSLLAATTLVSAILTPDPGNDYSCTSSHNPVITLHGLG ATYYEDINFLGDWLKSQGFCVYRATYGAYPAFPLVGGFLPINESAAEIADFIKDVATN TGKSKIDLVGHSEGAFQSLYVPKFEAGISALVQRIVSIAPPTRGTNFANLITLAQDLG IDNLVQDVLHTFGCDACNDLVDGGAAIEQLNDGTPIVQPGNQLTVIISRNDELVTPTS TSPVNEAGVRNEYVQDYCPLDPVGHIGEAYDLNVWNMVKNALTDGTAKNFICVFGSPG KNLRIWMDA TSTA_042740 MADLGRGEGQKSKNKKPATRQSRSCRVCRLRKVKCDRVKPCNAC CAHGHPSKCVYDIGPDDDETQPIAQAEEIQKLRNEIKDLKLRISQQGGQAAQSRKLAE LEKLFQAIRSEPIDVVDDLVGSIRSGRQNRRAQAIGQQRGWHLVRARQSNAGFSDSHS SSSGSSSTESITVDEDWGGPLSRFAPQKPMLDLFIQRFVDAFSPEVDASSGHAGALRA GADIRMFSPLISSAYDAVSLTFFGRSVKDTRIEAAGIKLYPKVLRSLQEALLDPERSR SEATLVTVTLLLAFESIERTSDAGVLAHVRGAAQLIQHRGPENHVQGVEHLLFTELRP YWVGVSLVDRKPSFMDTDAWKNIPWSLGTTTKDLLHYLIDLVVEIPALLGEYDDLVAG QESQLLGKGEYRAKQARLWNAVGDLTQRFERWKRKHVDNYHRGRVKEMTISQNPADPF PVFRCRDLRTMKIIEPPSLVYPDLRLLQTMCFYYATRLILSTIDDRPEGAVSMPEKYQ FACNIARSLEDYLRRAPGNMINRLAFATRVAWEAFPPDGPEREFMAQVFNLVEKRHSL RLWGSFMPELSARAGSPP TSTA_042740 MLTPSSFDDKEDEESTLEGWHLVRARQSNAGFSDSHSSSSGSSS TESITVDEDWGGPLSRFAPQKPMLDLFIQRFVDAFSPEVDASSGHAGALRAGADIRMF SPLISSAYDAVSLTFFGRSVKDTRIEAAGIKLYPKVLRSLQEALLDPERSRSEATLVT VTLLLAFESIERTSDAGVLAHVRGAAQLIQHRGPENHVQGVEHLLFTELRPYWVGVSL VDRKPSFMDTDAWKNIPWSLGTTTKDLLHYLIDLVVEIPALLGEYDDLVAGQESQLLG KGEYRAKQARLWNAVGDLTQRFERWKRKHVDNYHRGRVKEMTISQNPADPFPVFRCRD LRTMKIIEPPSLVYPDLRLLQTMCFYYATRLILSTIDDRPEGAVSMPEKYQFACNIAR SLEDYLRRAPGNMINRLAFATRVAWEAFPPDGPEREFMAQVFNLVEKRHSLRLWGSFM PELSARAGSPP TSTA_042750 MAADGIIRDHEAMGEKAELAHEEIVHLTELTPQEKILEKKLLRR IDSIILPFVIIVYLMNYIDRNNYASAKLQGLMASLHMNDSQYQTGLSILFVGYILMQV PSNLALNYIGRPSVYIGFFVAAWGLVSALTSQVKSYGAIIACRFILGIVEAPFFAGIL FYLSSWYTKKELALRMSIFYSGSLLSGAFGNLIAAGILSGLEDARGMAAWQWLYIIEG SITIFVGILIMLFLPDFPENWRLLSPEMKAVAMRRLAIDAAEADSDESGGMSQWRGAK LAFTDKRTYLFALAYMCVVAATGFQYFFPTLTKTLGYSNIISLLLVAPPYVFMVFYSI LHNHISDKFQKRFIFYVIPIPISIVGFIIFMTTSSFGPRYLSLFLMNFAFCMIATIFG WIGSTIARPPAKRAAAYAFINSIGNSASIWTAYTYRAQDAPHYRPALGICIAMLGVAF LTGLYLYVDLRSENKRLERLENEDSILSERDLRRLQKTAEVEGIDVAAARRLQKGFRY VL TSTA_042760 MSQQPAQPNSPKKEDGLKIILTGGAGCIGFAILKSLLTHHPTAI IHILDITSPSFADYPFNLFEQVYKNGQLHFHNADITSPPALGRIFKSVRPTVVIHSAS IIPSAAKKKRLSDEELWKVNVDGTRNVIDIAEKTEEVEVLVYTSSCDAVKPDSWMDLV NASEIQTEHLREGEKWDSEYARTKAKAESLILSPTLRIRTCAIRTHGVVGTLDANLFP LIATSPRRISLGSGKNLYDFSSADNVGLAHVLAMNNLLDSPDHKKESANRRAFFVTDG SPKPFRELQEMIWRIVDDEPDKSYGRYTVIPVWLFTAILKIVSLFSKTTAISPSEVGD AVAMRYFDIGEARRVLGYEPEGNKRLKESFREAWEWWRRVQS TSTA_042770 MFKSGLARTFGRAAFARPTPVARRAFEPLRSNALPALSARFAST DANLVGKIHQVIGAVVDVKFDSESLPPILNALETDNNGQKLVLEVAQHLGESVVRTIA MDGTEGLTRGAPAKDTGSPISIPVGPGTLGRIMNVTGDPIDERGPIKATKYAPIHTEP PSFAEQSTSAEVLVTGIKVVDLLAPYARGGKIGLFGGAGVGKTVFIQELINNIAKAHG GYSVFTGVGERTREGNDLYHEMQETGVIQLEGESKVALVFGQMNEPPGARARVALTGL TIAEYFRDEEGQDVLLFIDNIFRFTQAGSEVSALLGRIPSAVGYQPTLAVDMGVMQER ITTTTKGSITSVQAVYVPADDLTDPAPATTFAHLDATTVLSRGISELGIYPAVDPLDS KSRMLDPRVVGEDHYQTASRVQQMLQEYKSLQDIIAILGMDELSEADKLTVERARKLQ RFLSQPFTVAQVFTGIEGKLVDLKDTIRSFKAIINGEGDDLPEAAFYMVGDFESARAK GEKILAELEGSS TSTA_042780 MFVRAASQAARSPAVRATATPMARVAAQQTRSASEHAIANPTLA GIEKRWEGMPPQEQADLFMQLRDRMKVDWHEMTLQEKKAAYWIAFGSHGPRKETPKGE GWKVLAKVSQLFAVSIALFYTTRLFAKEPPRTLTKEWQEATNEYAKKEKIEPISGISN PNYEGPGYIQSAPAKSS TSTA_042790 MSLASGVTVDDECINKFNEFRLSRGKTKFVIYKITDDKKRVVVD DVSDDADWEVFRTKLADAKDAAGNPAPRYATYDVQYEIPGEGQRSKIIFISWVPQDTP TRLSMLYASTREVLKNAVNVVQSIHADDKSDIEWKSVLAEVSKGKGQ TSTA_042790 MSLASGVTVDDECINKFNEFRLSRGKTKFVIYKITDDKKRVVVD DVSDDADWEVFRTKLADAKDAAGNPAPRYATYDVQYEIPGEGQRSKIIFISWVPQDTP TRLSMLYASTREVLKNAVNVVQSIHADDKSDIEWKSVLAEVSKGKGQ TSTA_042800 MFVDFSTATAGKMSSPKIILYTSRICPWAHRAHITLKELGLAFE EVTIDLSTPREPWYLEINPRGQVPALSYEGNIITESAIVARFLADAHPSHLLPPSTGV ENALYRARLDWFVDAFITKVNPQIFASAGAATEEDRDKAAEALVAAVAKDIEPRLVEG KGPFYGGSEKLTLAEALTGSFLLRIHGFSKPEYGLISTKLPKLLEEKVPKFKRWLEAT VQHESVNYIWDEAKVAAITKQRFAKK TSTA_042810 MGTRSLICIWYKGRFMVAQYCQWDGYLEGQGLDILNFLLVPGNI DRLKQGLEYITIVDDKTIEDLVSGHTYSTHGENGKVCECGATDPWVGPSQWIPRTLSR DAGAKIFDIIAAAKVDDFVPIQLALEFAQDGLFCEYAYCVDLDAGVFEVFGGHVMNPK KESIGQMGEYRFAEACKDAKIMPKLLMSFLLTELPKNLKEFLGPIVKNNEEFQSDDDD DEGEDEEEEEDDHVDFDETDKSEGEDNAKAADDKAVDVKSYKTGGVKAVSAPEDKKLP DSREDKDKKEISHDIHDIERERELQKKIEEMTMDEVAREIERETERETERMMMEEIGK ELENEKKRTARQGSAGSGDKKNPLNMSVQTGRLGRYRSGLVDYTNKPASN TSTA_042820 MSDSVDRVFVHALNTVKKIPRTGTARPPAAERLKLYGLYKQSME GDVEGIMDRPVGDAPDVQAEREKWDAWYSQRGISRTEAKRRYITTLINTMHLYASQTA EARELVAELEFVWDQIKYNASSSTTSSSSPIQPVKTLPVVVHPAAPRRYASIDDRMTR SMPVEMEDQDEDLAIYRRRSGDSRLRVLSPVSQSDEADVVRSHRQDEESIDDDDNDDD EEEEEEEEEEEDFQEARDGTSFSSEPTPHDTPIIEENNNRTRKRRKRTQSSPQPNWRN RMEQALTKMTAEIAALREQLDSTHHHHHHNNFNYRKPSTFFRWLLSWGKWLLYQSLRQ LLWHTFILSVLLLWLRVKGDRRLERKVGDVLFKIRGRVVSLLRLVPRRWPRLVHLPLL TSTA_042830 MDMNHLIGQRFNLISKSDIRYVGTLHEINPEASTIALENVVSHG TEGRRGNQGEEIPASTTIYEYIVFRGSDVKDISVAEEKKEPEIPPSQVPDDPAILGSM SRPGPQAPQPQQQPPPGPPSARPGPPPGYPQQPPFQGYGYPPYGQQRFGPPGYGPPGP GFPPYGAPPGWFPQPGQGFPPGAPGQFAPHQGLIGTPGQQRPGGPPGPPINPPKPTSE LPVGEKTAPQPTKTATPTPGAAPEGPTPPVESKPTVAEVARGAAPAAPQGPSATVPVT QTPSKLPPNGPKNDRFIPAIPIANPAVKPTVPLSAAQQGAVAASQSTAQAAITEATRA ATAAVAAAMAKLPQPGAQKKPNDTGVDDVTKKFNDMKPYDHNRTSRGTHHGPRGGRPH GQRGGPHVAGRKLELPDTDFDFESANAKFNKQDLVKEAIATGSPIVEEEKEINKTEEV ATSPSAAPSAYNKSSSFFDNISSEIRDREENTGRPRERRGEEEKKNIETFGQGSVDGY RGYRGRGRGRGYGRGGYNRGYGNRGRGNYRGRGASQSTGVPAQT TSTA_042840 MPQSSHRDDFFQTDASIEDSDRKAAKSKNENGSPIRLQSKILAI VADPFSTKNVYVAESAGTIRKVGLETGERLALYKGPTAPVTSVAFSPDGKLIFAGCWD KTIWSWDVRSRQSQRRYEGHSDFVKTATCARIGGQDVLISGGADSKIIIFDIASGERL QVLKDHLRGVQDLCIDPTTLDQNQQTIKLFSAGSEREIREYTIGLTTSNVEDPLIVHE TSVYKLYFDEDGDLWTASADKTVKCLTRDSGWKPNLILEHPDFVRDVVVYEQGGWAIT ACRDEDVRVWNKATGDLYHTFTGHFEEVTGLLLIGSLLVSVSIDATIRQWSLKPADLQ KAIEEAKKKPVEEEQPKQESMLTEEEERELAELMGDE TSTA_042850 MTDKANARSEEHHATTGAPRLKLVNRLNESRSPYVRGHMNNPVA WQLWDSKAIELAKKHNRLIFVSIGYSACHWCHVMEKESFMSTEVATILNESFIPIKVD REERPDIDDVYMNYVQATTGSGGWPLNVFLTPDLEPVFGGTYWPGPHSSSQSQWGVEG PIGFVDILEKLRDVWQTQQARCLDSAKEITKQLREFAEEGTHVQQGAKSGGEDLEIEL IEEAFQHFASRYDPVYGGFGRAPKFPTPANLGFLIRLGMYPTAVSDIVGQDECVRATA MATKTLLNIARGGIRDHIGHGVARYSVTTDWLLPHFEKMLYDQAQLLDVYVDAFRATH EPELLGAVYDLVSYLTSEPIQASTGGYYSSEDADSLPSPNDTEKREGAFYVWTLKELK QVLGQRDAGVCARHWGVLADGNIAPENDPHDEFMDQNVLSIKVTPSKLAKEFGLSEEE VIKIIKSGKQKLREYREKARVRPDLDDKIIAAWNGLAIGALAKASILLEEIDTIKAQQ CRDSAQRAVEFIKTTLFEPSTGQLWRIYRDGSRGNTPGFADDYAFLISGLITMYEATF DDSYLQFAEQLQEHLNKYFIAPGDEPDTYAGYYTTSSEPIPDEPGPLLRLKSGTDSAT PSINGIIARNLVRLGSLLEDDTYRQLARQTCSTFSVELMQHPFLYVNLLDAMVGLELG VRNITGVLGTAIVPTTIQKDETPAAAEVIRDRVRAEAGYATSTSVTTVSVIDIRCSSS SSSSSSSTTKSTIKSTWLQTRNPLFKDVKPGTPPRNYLLVCEMGSCRVVDI TSTA_042860 MKGSLIIGALCALGAVASPLNMRVLVTDIEVTVVTITVTETPGY TPAAATTTVPASTTSVAPTTVPVAGAANLNNEYPRPPLTSTSTSTTLVVPSSSSTTFV APEPTTTVAAAPAPTPVEPSTTSTSTSASTSPSVAPSSAGSSYQSLILNSHNIHRSNH SAPALTWNETLAESALKLANTCDYHHDTSLGPAANYGQNIAFGIDSDKVDEIITNMMY NDEMMFYQDLYGQANPDMSKFEKWGHFSQIVWLDTTSVGCATVTCQPLAESHSSLALP FTVCNYYPAGNYGGEYADNVLQPKGNAMVVAS TSTA_042860 MKGSLIIGALCALGAVASPLNMRVLVTDIEVTVVTITVTETPGY TPAAATTTVPASTTSVAPTTVPVAGAANLNNEYPRPPLTSTSTSTTLVVPSSSSTTFV APEPTTTVAAAPAPTPVEPSTTSTSTSASTSPSVAPSSAGSSYQSLILNSHNIHRSNH SAPALTWNETLAESALKLANTCDYHHDTSLGPAANYGQNIAFGIDSDKVDEIITNMMY NDEMMFYQDLYGQANPDMSKFEKWGHFSQIVWLDTTSVGCATVTCQPLAESHSSLALP FTVCNYYPAGNYGGEYADNVLQPKGNAMVVAS TSTA_042870 MSKQRIQQLPLDSHRGHDAIFNASYLGDIPSALQEWNSKRVLLV VSKALDTKTSIVSDLEARLTDSVKLEHLQKKTGVGSHSPYADIIDIANRVQTNNIDAV ISLGSGSYSDACKIAVMLSATLQPGFTETDMENLIDQKHGLAGYEKLNVPTSLSAGEW NSYASGTNSRGKKQHFGHASGSPTLILCDPRVAATTPAHLWLASGVRAVDHCVEGLGN VKCHEEAFRHFENGLKCFGDRKELLEGISECQAGSRKALLPFIKWHVSFGASHAIGHQ LGSVAGVQHGSHDQVLSIFNKTLNWHEQSASDAVAKFVKLLGLPTRLSEVGVTNDEQI RKIAEMALTDVLARDNGLPAYEGIVEILDSAR TSTA_042880 MESGVSAALALGAEAVVKLNKLLKDTQTAKSWYGDFYDMFTSNQ LCVWNGRQINRVFVVKKEEWVPVIRKCLDDCHRWLPHSNGMLLASYLSGVLALANGAN VDYEDSGKGGFVASLDARDFVITIQRDSAATQMTGHLEPRDHPETSCRVISEPKWTNL LWYGHTFEEDDPIMSWPRIDEQGGPPEDLVEEKYHFALCNIVMDDARRKLLAKKLRES IHQCHQAWEQVENENKLRGVEADEVKKIKENLRKKKVILCDERGTDYVLEQTPEDTLD YAKSQRQQADSFGERYKQRDLVGHHKRIYALTRLLTLPKILNKNLSTRSRGINVALA TSTA_042890 MSLKIWRQNLFNEKVLREIGGFVIRHRGSPAEELFNPQKVSFNI MSRMKFLDGGSAMIRFPIPAVSMFPEEKAQQEMSVMRFIERHTSICVPYVLYYGMADR SPVGPGPFIIMEFIENDSDLVDALNTPGLQVDERCILDPNVPEDRLADCDRHIVIWQI SYSSWPENPFNEIGSIKNQEDDDFDDEWVAAYRPLTLNMNELVQLGGLPLELLPQTFR TASAYLLALAEMRMPTYLYSAMMQSNHRKTADANISLDVCSGNWPEKIAYVLTIRVPS SFSVTIFDPQIPPSWLLLERPEYWKGVLGEWTGLYGRRLPFDRRFFGDGDLEDRLELL TSTERNTLDVFVKRKLAEKNARTL TSTA_042900 MAQHIQQIPSSVKALNSTVQAPLFQGLYSYKYNNNRDLDYDKHP EYLHQRGLNAMSQKIQQLHYTYYIRAYYQYFHDAHPLLLPLTALEGPLGTQHIPRKLT TVMQYIGSHFLTINTSPREDQSSTRGDHRRAISDGSIQSNNYEEKANRALEEEAFSCL SHAEEEQDGYQVQCMLLLSITAHAHGNFLEAIRLIKSTASLALNLGMHTQSFAVAHGH GSPMLEEMWRRCYWELFVVESLLCALVEESATLYEVGSDIPLPCDEGMMDVHISTSST YKYTIQDLLDHCNNRAQLVDPLPSFAYRITAAHFLGSVLSLRPSCKDATTANELEKFY PKLMEHVDHKASIGGIGAQPDHIAQMLSQTKVIACSAMIYFYRQTSKLTPIKLQRNLS PDPRSPSYPSTGPSTPVFPSSFILHSKLSTTSTISNSLHSKLITSDPSSMHLISTAKQ LATQLNNPRLVRGNSPLIIDAIALAMLVEMAALLLPTLDGTLRERLSNEFGNSLGVLK KFAARWPLAKTVRDLLIDEYENLFRPERAR TSTA_042910 MIPNDPARVTPRVVPTQHMVARDITREFVNAASKLKQGELVKDA EFTLFEAVGALEIMDSKMDSGYLGPGESHAEALEYDYDVMRELQPEEVLGLVDQLLCH EMAWHMGHPLSQTLFTSIYLDKLLWPVPKSFDEYTFHRGTTGVQLENEKNIPLVHLVL RAYCLGLVKACDLVHRRITQEYYYEEEDFVPQLYNRSLLSEFDVEPVQKVLENAVEYL QKLGNDGISIDVRNALIDRLRLRSHFLAALSKDMGAPFSNDRGPFTACLALIPSLNKS EALGKPVPDSFTLKIQRKLASTIPPRPMVTIEIKTAIDHLKRLFQDAIDMHEILAYSS PSDLRVCVWTIASRKPQPGIYIRCLMQAFIVNEMKILGSKPAKQLFYDDLRDLVLPLS SLLDEHNAEIEVPSDPRFQIHQSMESFVARVAHPFVDTFRTSCLNRSRVRRALCHSIL EWDNLQIEAEELDTHLQPLTGEVPLTLENGQSTYAYPLGSWVYHEKLKQMQLIFQMGF ELSIYAPEELAGMYWYLSHLCSSHQLHIERIQAFVHAEGRRSSRFKGNEESFERTLTT LGRHSLWLIATESFSLGLQALYVFLERHKVLPHAASQSAYSSARLRYELRMKPFLSIS LPELVSYDQYEENATLKGQTDIELLKRASRVIADARKAWEAVLAQGAFVPTSKKQQKQ QNSGESVRRPAIEADWQRSTKDSLRACIGASIAIQTATKIFNKMKLSSVPNTGKKSET VSLLIKVDIPEVGSTGRYHDWWAVPRIAEVESG TSTA_042920 MVAAWKAAGLTYNKYLAVAARAVRRSLKDSQRLNAERRGQSDLK FAKWENGKQGEVKQLVDANAESQATQAEK TSTA_042930 MVHEKKALNRPPTRKLVRWNDDLDKLLLLTIQSVCNREGVKIPW AEVAKSMGNNVTEGAIIQHLAKLRVRRVEKNKQVPPPLRRGGGPSGASRSPDAPVTPV SPQYAEAVTERRVSQQNQPEIKKEKRSFSNVRDEVSDSDEDWTSDSPSKNKKAKKKRP QKKRKTTPMELEQSFEEINLEDDATEGVAGAFQRSDELVAVGANYLEFLGDSSKAQDD DHAAALSEDEDQLETKESLVITLKPGTNNMRRLKYKGTGVFQDRNPEQRWELPPPGPN GQSWGFSRDYYGPIPQSAQHSNNPGLLRGEWPIEVAMRQYEAPQAVTWSNETYHPDPR LVHPAMVLEDPNCKPQLKFHRKLRAAEEANAEARARDQAQPAYQVYVNKHRELPSYGE SSWTALVTNPSQTLSNSFHTSLPQTEGRLDTRQFLDPQNSGTNDNLMDHYNEVPPGKI FAIEMLGDNIVEEAKELDWW TSTA_042940 MQRALSSRTSALTRAPFSKLRTSGVSLQQQRFAHKELKFGVEAR AQLLKGVDTLAKAVTSTLGPKGRNVLIDQSYGSPKITKDGVTVAKAVTLQDKFENLGA RLLQDVASKTNEIAGDGTTTATALARAIFSETVKNVAAGCNPMDLRRGTQAAVEAVVE YLTNNKRDITTTEEIAQVATISANGDTHVGKLISNAMEKVGKEGVITVKEGKTIEDEL EVTEGMRFDRGYTSAYFMTDAKAQKVEFEKPLILLSEKKISAVQDIIPALEISTQLRR PLVIIAEDIDGEALAVCILNKLRGQLQVAAVKAPGFGDNRKNILGDLGVLTNATVFTD ELDIKLDKLTPDQLGSTGSITITKEDTIILNGEGSKDAIAQRCEQIRGVMADPSTSEY EKEKLQERLAKLSGGVAVIKVGGASEVEVGEKKDRVVDALNATRAAVEDGILPGGGTA LLKASANGLNNVKGANFDQQLGIDIIRKAITRPARTIVENAGLEGSVIVGKLTDEYAN DFNKGFDSSKGEYVDMIEAGILDPLKVVRTALVDASGVASLLGTTEVAIVDAPEEKAP PAGGMGGMGGMGGMGGMGF TSTA_042970 MAAVIEEKSTTHTNNDISPVSDIEQSGTVVDNDEADIAPSEKSH LQRKLDWIILPPITLLFFLSFLDRSNIGNAKLDNLTKDLHMTGEQYLVTLSVFFIGYS AFEIPSNIALKLTSPRIWLPTLMLIWGVVATLMALSTSFGGLLAARFFLGATEAGVFP GAIFYLSMWYGRTALVRRVTLFYTSTSLAGAFGGILAYGIGHMSGIAGKHGWFWIFTI EGIATVVIAGICYFLIQDFPAQAKFLSQRERKVLNALLFEDNDALRDEPLSWAEVRRT FRDVKVWLYTIAWMGQALPLYTVTLFLPTIIANLGYSAANAQLLTVPPYAVATVISIG IALLSERYHTRGPFIVGSCILSIIGYTLLLSNHKVGVQYLGTFFVCAGIFPSAALIFT WIASNVSGQVKRCVAAAIQISIGDIGAVVGCQLYRPDESPRYPLGHGMALGFVVGTLV TSLLLWNLLVKENRRRDQITGGPPKDTSFLCSEDFEGDGDVRWRFIA TSTA_042980 MTESMAAKDGRQSLTKQDVTNAVESFIELYKQLVLEQNFKGYDT KLLTQDLRFIDAEPSGSSSWEMAADETWCNMNGVLHGGAYGVIFDMCTAITMQTISRP GYWEFLAGVTRTLNISYLKAIPLGTTIRINCQVEQHGKTMALISGYIESLDGKVKYAT AEHHKVHVPADPGLASRLEALKLARRRERLKL TSTA_042990 MAARQPQFSQHILVDTTPMPSDIPKVQEIGTTSAPLMSASFFIG DRCRAYNDDYMKCKAEANGRGEFECLKEGRKVTRCAASVIKDINTHCLKQFNAHWQCL ENNNHHMFECRKPEVELNSCIFDKLGLKKTIPGTPENVTPVHLRPKQIYAQYPGPQY TSTA_043000 MSLFGAQPQQQQSGGLFGNTANKTPSLFGNTQQQPATGGSLFGN AQNKPATGTGLFGSTTTTTQQPASGGGLFGASTNQAQPQQQTSSLFGASQTQNKPAGG LFGGQQNTQAQTQPSGIFGSTTTTQQKPAGSLFGSTTQQTQQSGTGLFGATNNAQQQQ SLFGGGSLFGNQQQQQQPQQQQQQQTPQLGQTLNQSQLGSSLWSPGRAITGVHRTVPA QMEILKDKWDPTNLTSPFRGYTYNHPGEDHAPFFQPTAEDDPTKWEEALKSRPGPGYV PLLLKGFMELGQRAVRQKDFLSALQTRLHQINNILTDLLSRHDLKISVRIADCRRKHL VLSQRCLSLAAKTQILRNRGYAMDDAEEELKKKLIQLERAIFDPSITGRAEEIWARML AVREHSKRLAAEIEKTGSAGSNNEGEAALDENAMKTAKKILEDYASQIQHLQKELTAI GKELDEAQKLAGTNSP TSTA_043010 MFGGGNDNLPGYVNFLSFITNSSFLTEQQLSKEEIKAGEIEAQQ TVQFAIVGGILLYLSPFAIDLAKKFL TSTA_043020 MSASPEPQADSPAADPDRAELQAELDDLNDNNGGATEENPEISD DESVLSEVDEAQFEDFNPDDVAIEDRPALDVDAENLKLIGRHKRKRRDDEEGEPKRKR EGRREKRKRAQRDSDVESGDAKAGRRKAAKSRREATPEDEETLSPEERRRRALDRAMD AAMKQKTRRRRKADGIDLEQMADAEIEDMRRRMTDAARLDAEARRQGQPAMHKLKMLP EVLNLLNRNQYTASLVDPEINLLEAVKFFLEPLDDGELPAYNIQRDLMDVLGKLPINK DSLVASGIGKVIVFYTKSKRPELKIKRQAERLLADWTRPILQRSDDYSKRVYEEVEFD PTRLVNRANIAQQTAEEARARELLPPRLANRARREMGHTSYSIVPRSTVIQDNKFARP VGASGEDRFRRMKARQLNAGKPSRR TSTA_043030 MKILTKEEEAAHYRAVLQGGTFGTVVGLVGGWAGVMAASRRFHT IRNLTLPMKAFLVTSSGTFVGIVAADHSSRSFEAERNVGLKYLGEREERLRREELSQM SFGNRIGAWAREEKYKIIGATWIASIVGSFVLVGRNPYLSGQQKIVQARVYAQGLTLA VMCASAAFEIHDQRKGQGLLDTAKKGREALKESKNQPAAEQVHHQRHDENTDLWQDMV AAEEQKLKQRHQPLYSHEKQEKEGAVTEAVKAKKETEEKKDDEKEEEEEEEKKEESK TSTA_043040 MSEIQYTMAFLSTLSDLPVLSSLLSWKTLLIAFAVLNRKSLPFA WTYNVFYQILVNLRRSPEKLNWTPQNPPLDLKGRPIHPVFAAASIYSYTSILETDYNI HKSNSTYFADMDHSRSACVTPLYTPGAGIVSKELDEELAAAAVAAGKPAPKKKLPMYI ALGATYCSFKREIKPLERYELRSQVVAWDEKWMYIITCFLKKGDKKNGNGEKVLAAIG LSKYCIKKGRLTVPIERVFRASGLLPPRPDGSSSKEPVATTSSSSAIDTPRTLDGLTD TTLDEVKLVQEVSKLGDVAPDVLHKLQQENAASWSREEWTWERIEEQRKKGIEIVNGY IALEDSLHGNWNN TSTA_043050 MLKIEVSGPKQPFLSVVDVPGLFHTDTIHQTRKDLGIVRNLIKA HMNESTSIMLWLTYRWSHHRMRCSSTQLGDEKEVLNIAQNKVEKLKHGWFLAKNRSIQ DLQEGVAVEQRDVKEKVISANLLLDHIRKEIPALLAGLEGLLAPAKEELKGYDIHDIV KSYYKLAMKRFLDNVVIQVVERHVLGPNTPLRLFSPDFVDDMDEKDVTSIAAESSSTT QARSDLSPKLERLQNVLHLVPGDLHSLLGPNYILYTEYGVHDRFLWKLHEEFEVDDWA DLQQLLREFIQKQDGLKFVRRQIAYRTDLESRDSLFTSSNIA TSTA_043070 MSAPLPATYEDLPNPRQYWPAAPGSYEEGLGMLRLLTPEIVASA ARNEIQTGERVCLNWELENLNPPGFGRRPFNHLIKYVDSSNGAALDDEYHFNPQQSSQ WDGLRHHSAPDKENPGKKLWYGGTTVEEIMNTDSPRIGIGHWAKKGIAGRGVLIDYAS WAERTKGLKPDYALTRHKISLDEVLTIAQESNIKFQKGDILFLRVGLPQTWSAMSAAE RKTYSEQAVPQHAGIEQSERVLKFLWNNHFSAVASDAVSFEVYPAIEEEFDLHHHILA GWGMPIGEMFDLNGLAELCKQHNRWTFFISSSPLNCARGLSGVRPSSVLHSLLGFS TSTA_043070 MSAPLPATYEDLPNPRQYWPAAPGSYEEGLGMLRLLTPEIVASA ARNEIQTGERVCLNWELENLNPPGFGRRPFNHLIKYVDSSNGAALDDEYHFNPQQSSQ WDGLRHHSAPDKENPGKKLWYGGTTVEEIMNTDSPRIGIGHWAKKGIAGRGVLIDYAS WAERTKGLKPDYALTRHKISLDEVLTIAQESNIKFQKGDILFLRVGLPQTWSAMSAAE RKTYSEQAVPQHAGIEQSERVLKFLWNNHFSAVASDAVSFEVYPAIEEEFDLHHHILA GWGMPIGEMFDLNGLAELCKQHNRWTFFISSSPLNCARGLSGVRPSSVLHSLLGFS TSTA_043090 MIQDSNKTSKNSGRRVKHSRGGCSTCKQRKIRCPENKPKCDRCI ADNRVCSYGFQLQWEDDSRKRGIKHGRTVRADRVGLSASKSATWLDIPRGHGGKYFLN TFVSDVDGDVVVPAKQGLDFEKDTVWKREATFATAPAGMPTLNFATLSKEYHDMDPIL FDYYDRSVSHSIPLLDSSTNLFRNLILPLATTNETVMHMVLALSALSLSSTGQTRYYP LALRHKQRSMRLIREQIAFDAMSAANDANVIVILMLSVFEISDNCQISWATHLCAALD LMRLARSERSAPMRISSQVIEFVSRFFLVKDALGRSACRKVAKVMHEVPLVDSNEIDP SIGCSYELVNIISRITDLARDMRDPATDREFWRNETLEVEHQLETLIQLVPPTYLQDI DPLGPETLPTPPTTSDEPITILLNTSLLMQTAARLFFQATLRSLNPQTSHARALITEI IGYTQQLSPNHLRSAHLWPLFVGAVYSTGNDEERVWFLDQFDIMEKKSQALVARGVLT RVKDIVENVWKRRDLDCDGVVGADREGIGDWEKYVQPLSDGLCLG TSTA_043100 MDIQKTSQPVIATPTTIEATGYLHKLDPKGNPLSPTPTNDPKDP LNWSLLRKSTCLFIVVYSYFLLTYFTTAPIPSFGFLEEQLNINYSQVSWTFALPCLGL AIGPLLVGSLADTYGRRPVLIACTALAVVASGCTSIKTINYGGYMATRFFQGLGAGPS ANIGLVIIHDISFEHERGLRIGMWTIAANAGTVLGGVFGGLLATSGEWVAYHVTILFA VLLAVQYLFLPETLYPRAAVLHQENQMMDADKTAIAITEPISKKSRLGCFVIRKAPNV PHPKPWTTTIQFFNLFRYPTIVISVLGYCFLQYWWICGISTLIPDAYINDSPRTQGLL LIGLLVGLLVAEVVCSGNLSDKLMSYLAKRNGGIRVPEMRLWLGYPAAVVSSVGLVLW GVSVDEKWHWMVGQVAFFLYTLGLQTGNTVLSAYIVDNYPDHANEVITFYTVIINLSA FINPWFIFYWVEASEIWCTAEEYAADVHQAYRWDAGVNWVTINCPSGVE TSTA_043110 MLSIAGPAISIWVIFVLVKAICGWQAKIPGPWYTNITSFVLKYH EFTKNRRLWIHELHQIYGPVVRVAPNEVSFSNLEGMKEIYQSGGSGYDKTEFYDLFKQ YGYRTLFTTPNKVDHATRRRILADRYSMTNVLRAPMLEGFEQRAASVMKQCEASRSGY LDIYVTLHCYALDCASHFLFNPGGTDTLNDEEDFKLMKELSYHDSIKQRYVQHYWPVL NKIFSPFLSPKRVSLSRRYVLDQSHQKSPHESSLMHKLQSKSSELTTIQMAAECMDHM AAGIDTTGDSLCFLMHELSLPRSECIQDHLRQEILRNPTAKLDELPYLDAVIKEGLRL FAPIPMSLPRYVPEGGRTICGYECPEGAIVSCQAYSLHLLNPDVFPDSESFMPERWLQ KDGEAERNRLLFAFSAGGRGCIGKHLAMVEMKTLLRRIYGQYRTTIAPEMNGDMSIHD QIIASRPKDQTCLLVFDRV TSTA_043120 MTDQRPRAESRSLTAPSLIGTNGHFASVGDAAHDPKAYEHGVQV IDEEKQFNPNLSKYLSLENVANAGFNYHLISVFGSQSTGKSTLLNHLFGTQFSVMSDR ERRQTTKGIWMSKNKTKHEDPNARMADNILVMDVEGTDGRERGEDQDFERKSALFALA TSEVLIVNIWEHQVGLYQGANMGLLKTVFEVNLQLFLKDKNTTHRSLLFFVIRDFMGT TPLKNLEITLLEDLSRIWASLSKPQGLERSTIHDYFDFAFYGLPHKGYKPEEFAAEAK KLGSRFREGRRDRKEQLIGASIESGVFLPEYHRRIPADGFAHYAEGIWDQIVNNKDLD LPTQQELLAQFRCDEILREVLVAFDEAIVPFEEKQAAGVRAGEPTILGGLGPAMRGAR TKAVKNFETEASRYHKGVYQRKRTELEGKIDTRLKALFQGQLNAAHKSGVKDFSDAVS NAVKAGQKKGASYDFAEIVKQETQAALERFEKEARATVVEGTAWSNYKQELKLYQKDL GEVSGQLRRDEMRRLATRVERWVKSRLSHSVSLEFNSLGSGRGGSGAPETGDKPAENK IWDRIWNLFVQTVLDAERRFTDRATSLDASVEEVDVGLWRLRRKSWSVLRLKIEEEMM EGNLLLKLRENFEDKFRYDEAGVPRIWRPTDDIEGVYTRARESTLTLIPLLSKFILAE NNSPPPLDRWIGHTPSSATAADEEDLTPIGGVDAEDGRSLEEEMTILNDAKRQDLTVR FKKAADGVYVEAKRSAIGGITQVPLYFYGLLLALGWNEIWAVLRNPAYFFLLFVCAVG AYVTYQLNLWGPMLKMADAASKQALEELKKRLREFLEASDTGRQAMAMSANATGRDAG EEFEMSSLNRGGKKAEDEDENDDI TSTA_043130 MPLRSHHGCARCKQRRQKCDEKRPSCTRCTEAAVTCEYVITLKW DGRVPRKQEQASSRQRRARKSASTTWRICSGEGRSPPNARLLMNTSEKGLDLIKKVDA FNGLCFRDKLLLNHFITTVSMLMSHTSLRDQACQILPVALETPSLLYATLAFSALHLS TLVNDDYSGNGSLMCGTPAEDILASSITHLRQELNDKNTTTNTTGLLHTVKTLCLFEI YSGKYDSSWRAHLKGARALLQTRGLVPTNQLGGWLISRWYISIEALSAVTKDENRDEE QKKKFIYPIIDAVATHGSVLDIYAGYSSDLHLAFRDIGHLMQQYASVRTPKYYCGDDI LTEFLIHCDECIEIEYRVEKMIRRDRNGKLQIPPEISLSPDELRMFAACNTAYQYSAL LHIRRRLHNMDSDSEQVQQCVRGVLDTVCEVRPVSVLSPWILLTTPIFTAGCDAIDQD RDTVKTLLQELYTVLRIRNVLRAIKILEEHWKWPYDCTDIANFLPF TSTA_043140 MNIRASTLISVQWPPEPVSEGPDTLVLSVGTYYVDLRVNRHDGS IYWTLAGRRIVVSEDPRKVKFTHEIDSHKPHRNASSDETDDEDEEEEEVADEGEFTKL PNGDDLEIGEMPAPHLGGKVAAYREIWRELKIGFDDNDDDDDDDDDGGDDAGKGACWV LESLNNNESEVPNKGKKKRTFYCKVGKFFLALRRTETDYSETNEIGKKNIEFSVIRQE LINKTEEDWVTKYSIGTEVNNMFHLSKTSVFALQNANGKSTWSDGDRILVSNKRDASV REVCIVRAVN TSTA_043150 MSAARFLDSGGPPRKKMRKGTKSCTECRRRKIRCTYDSDRPNIC NECHSRGFECIDQEHGTLDPKVTGLLSGEQSYSLRERVTQLENVVRDILQQMEHTTPS SASASPAQYDYLKSTNQKSKSYAYEGDSVSKSAENASLVSGQEMTVTVACETVKDAPI TESHQIENAPVLQILNNNVVSRTEGTATRNQNVAATLAISPKAIAARNELVKLIPPRG DLRRIRDLAANWWSVWHYMFPEVPEPDRSTLMGERDYFEIPKSPGEVAKFLLCHLMCI DQLPADFDYNSLERPIVPNEYGDDCVNAIDRLIINDEDLCGTLPSLEAILLLSKWYTS LGRPRKAWLMTRRGIELAQLAGLHISTARDPHPEDTLYNRRLKLWTMLGLNDRFLCLI LGLPYGIQENIYRPQVERRLRTESPNMESYCLQLSLIMGPIIDRNQQDPANMSIAETL KVEQEMETQARSMPDHFWQEQPPRHKMSTDEAVERVMLPFMFHYMRATLHLPFMLQSH GNRSYRFSQQAALESSRNAMRAYNRLRSEGMMSPYVCRLIDFQAFSVAMLLIINLIGY SEDSPNHSPEQDEKDWALVDETTEVIRHAAAEPAGTVARQSLLILEGISSNVDKECPS SASCKISVPYFGSVTVTPGKKACKTRPERPSTTQQQQPTPTNSSVYSQNCHYSSSVKG STSEQPSPFQLYTPPHTNIDFSNVSVSICSDQQQQHHTQQSQSQQQSLETTPSYWDDS SRVQLESLLTLPNAGMMPNANIMMNEPNTAYMNGFMSGLENENVALWPNMNLDLDLDQ GWNFDWSNADIIQ TSTA_043160 MASSLDHPVTRASSRSSQTYHDSTQPAPTAAKDTEIAYSETNNG TPSSIYDENPPDDKDEPSTGKQEQQQEDETEYPNAAKLVFIVISLVLSMFLIALDMTI VATAIPQITDEFNSLDQVAWYGSAFFLTLAAFQSTSGKAYKYFPLKTSFLIFIFVFEI GSLLCAVAPNSTAFIIGRAIAGIGGAGISSGVYIIIAFSAPPSRRPALTGVLGATFSV ASVVGPLLGGVFTSDVSWRWCFYINLPIGGVSAAIILFFFKTPPTAKPVDAPLKEKLL QMDPLGTTLILGATICYLLAMQWAGVTKAWSDSEVVGLLVGFGLMVIAFILAQRYSGE RALVPKRLIKDRTIAIGCAYVLFVIGPMFIMIYYLPIYFQSIKDVSASQSGVRNVPFI LASSIGAIMSGVLITAFGQYGYLMILAVVIETIGAGLIYTFNIDTGHSKWIGYQALCG FGAGLGSQIAIIVNQAIVDSSDVANVTAVTLFFQTIGGALWISGAQAGFTNTLMKKLP VYAPDVNPALVVATGATDLRGVFTAAQLPGILHAYMDGLKVAFIFAIALGGVCCIISV FPKWKSLKGKVQPGMGAA TSTA_043170 MAENAPPTSSSTLPPPPQPSAGAPGQPQFDSAQGNGQGTGAHMQ PPSLPPVVIPQKTNPIPTAITSPMSGQMMSPTSAGGFVRRAAPEPNKRALYIGGLDAR VTEDILKQIFETTGHVQSVKIIPDKNFQSKGMNYGFVEYDDPGAAERAMQTLNGRRIH QSEIRVNWAYQSNNSHKEDTSNHFHIFVGDLSNEVNDEVLLQAFSAFGSVSEARVMWD MKTGRSRGYGFVAFREFEDAEKALKSMDREWLGSRAIRCNWANQKGQPSISQQQAMAA MGMTPSAPFGHHHFPTHGANSYDMVVAQTPQWQTTCYVGNLTPYTTQNDLVPLFQNFG YVVETRLQADRGFAFLKMDTHENAAMAICQLNGYQVNGRPLKCSWGKDRPPTGQFDGY QAQQGGPGFNASPYFPQYGVPGGPMSPQGPAPAGRGWDQQGNNFSGGAGYQAPGSAGG YGRGQNNAGNNWQQPGGNNQNNNNFNQGGYQS TSTA_043180 MATPRSAATAESLATLADAVNQTLVDTGRFFKSSGSLQSRAQLK ASIPASYQRFQTALDTLTEQIFIAKAFLEKDYENATAKRLAPKPEAKQEPARISAPAP PPSEDILMSEAPLPTVGEQVKETVEKLEHTEKPIKTEPATLPDSGLFTEQQEHNAEPV TTTEPTIAPKVEEVTEKGNQPPVSMGDEMNFDQMLATTGQPPNDFDLNFNFANDAIGD QNFLAGADFGNANTTMSGETNNDNGANAISSLLPGLESYDTDNNAGDNFNFDLPKLGD NQGNGVQDDLMAPGESSFDDLFMEKDNLEGDENLLAGNLMDLGELDDSWLN TSTA_043190 MPRNSIRYRPMTTYAVGRQRANDGPSKQKSIPSYYSDHIISRSW YKARLPDFSLPSPGTDLVELCEKLLERPRESAKRFFRLQTLTRIVEEEEETMSLSVQR PDRSRPHSEIFVGADEDRVAQYMAAQILDRNNSSNGSLSGGVSRPISRDRSNSDDSNI MSTGAMPTLRNERVVASGNGISVSIALAEPLVFLPSYDHSDPSTKRSAILRGHLHIKT TKSVKVKKVSICFRGQAQTDWPDGIPPKKINFHDKKDIMTSGMIYFNHGETAIVQNPY GAHYYKVANTAPLSTSKEPKIYSVTTTTRELLKNGSTTTVNKDTARDLKRLSLQSNHS RSFNKNDAPNHNQPQAVRNYRLFPPGDYLYAFEFPIDGSMPETIKSDLGFVRYDLEAI VERSGAFRPNLLGSTEIEVVRTPAEGSLEQVEPIAISRNWEDQLHYDIVISGKSFPMG SQVPIAFKLTPLAKVECHRIKVYVTENIQHWTQDKFVHRLQPPKKVLLFEKRADQPSV STYPGSSMRVTAGGGVPWDQREAAARGEEQVNRGVSSLLGNLSNDVGVGPTEMEFSVQ LPSCHAMKGKDEAHKLHFDTTYENIQINHWIKIVMRLSKTDEKDPTKRRHFEISIDSP FHILSCKATQGNIFLPAYSDPSSDPAVLQDEYTCGCPGAPMTRRSTPTSRINSSSSLT QINNNNTNNTNNDTNGSVHGTRGLPRSFTSGSGGLSRPEQAHIAHEPNERDARPMHLL RTPSFAPPAFEELEPPPPLITPPPEYASIVGNIDRETVLTDYFNRLSYFEEQDDEERG LGRVDVPLTPGGRVHRSMDVPREWVRLGDATVQ TSTA_043200 MSIQILPLQQEDIPGVVECIQEGFADDPYFKWVYDGTRFNKERN SSSLTARCLWGINNALFYVAKEVDNNNQPQQGGRNGRILGVSCWLAPQPASQAQSWYS WSQLWLLSFRQLLTNIRFFGRGGLIVKRYWIWKEQQTAAQKEIWTDKERGYYFCNIVT VRPDAQGKGIGRKLFEVVTQRADREGVKCYLESSKSEPNVKIYEKMGFELVKVIDCDD GGDVCRLFCMVREPKVDKS TSTA_043210 MERSRFVQFYPVESPRRNYHLVEVKRGIPIYPFCLASVYLVYEA NPLILVTNRFLDSCDGLSTIISVFIPKRNLIYLPDLPESWYTYLDAADPSKLDAIATK ANDLARVPSLVEEIVFYAEFPEHEYHRRHLLRMARNLVQALETPQETPTLYGAILTAI DCGIFSYMSESPSDPVHADRLAQIPNTDPALIRQSTCLEPSCLHVTCGIMKHLAAMGV FHESGRCLHYYELGPDPCRHKGESSDEVDLQHSCLFSIPPVTTTQMMPLTGYFNSHSG LPNTGSHGHRTALSAYHQGRPSWMDYNFYPVQESLRGMQPDQDTVLLVDIGGGIPSRS TRIPKQDKADVVEQVSGDLEKIEVMAHDFFIEQRIKGSILSIYYNSYRYRMRICHWIY EVRPRLIMKTLLPSIAEYLIRKQRVGYQPACYGDLCRLAYFLHKSYDLLILTPRRGGN KGEKEDSCCYAGTAGYPLLKVNPDIRYYGLEYLE TSTA_043220 MKSKAKWPVVVLEVGISETTEKLYDDVEQWLKGSSGQTKSVILV DVQKKGRQDTSTDKWELSKVDFLKSSHDSLSNHTFQWYRSRKIRDEDKQCILNEVAFL PGKLIDLTNIQDAPLRLDYLMPDGSDFDTIVSLKSSGAHATRWP TSTA_043230 MAGLQTSTIRDHAIQLEAVIRALKEYQVKPVGKNCTPNWNMIEP LLESFIIYLQKTQDLPAISELTAAVHAMARAQQILSKDVTEIKKILTAPIRKSSTPSY GQVLKDPYIVKSTAQTCLSMGHQKILVEPYPTDIETQAQRATAEEIKQKINNALTNH TSTA_043240 MKKTLLLVFIHGFRGGNDTFLKFPDQLAALVRHALPNITVQFIT YPKFETRGDLKECVARFREWLQNKVIDIEVANSTPSPTIDPSVHVILIGHSMGGIVGA ETLSLLASEQPIPSLSASQIRNRHEAPFFMFPHIQGLMAFDTPFLGIAPGVVSHGAQE HYKTASTAYNTFNEVAGIFGYGGNKATTTTTTTTTTTTSAAGTAGKSGILPLPPATTD AAADAAATPSWSRWGKMAMFAGAAGAVAAGGAAALYSQRERFTEGWRWVTSHLEFVGC LARPGDLRKRVASLADIQQERGIRAMNFYTCLGQGAASRPVESTTSNNNRSQSSSLFL RIPRARDRTFCHLPEDFDANDHSLSGDGTPDHPGLRWVLALNDRAADETTAHISMFGP RENPKYYMLAHEAADVIVRWIDQGWYASASTDRSDKDDEGDTEMTREAERRGKGREDK DDFIVV TSTA_043250 MTGITSLDSFCRTAPVPLCALIGPKSSIQGSNGILPTCYARNID VGNTIIFQGASDFAHIAALMMTVIMILHVRSKFTAVGRKEITDFFYLFMLLTVCSLVV DAGVVPPHSGPFPWFVAAQNGLVSATCTCLLINGFVGFQLYEDGTNLSVWLLRASSAG MFVISFIVSLFTFKGWGGLDPENPIGLFVVIYILNAICLAIYVVMQLLLVYNTLDDRW PLGHIALGVFFFVVGQVMVYALSTTICNGVQHYLDGLFFGTVCNLFAVMMIYKYWDSI TKEDLEFSVGVKSNNWDIKDIQEEDRRLTIYPDGGSEYAPSTHYRSSTFGGNHSGY TSTA_043260 MSLCFCGSSTKNLVPDWSKGAAAAPTHHFLGRPAVVLELVASNR EKSNTLLNPGEKPNIALVARTYGVNASQLSKRFRGVSGTKQAQYNNQRLLNVEQSRML LQYVNQLTENGLPSTPTMLANFARDITGKEPGKNWVTRWVKAHGKEVISRHSSGLDSD RKKADSAWRYTLYFELIGRKIE TSTA_043270 MRKTRYSYAQRVELNKWFARSHDVLDASHESSYEHDEDHHTTGS TGSKTGLIVGIVVGLSLGVLILVLLYIRRRSIPYVRNWRFPWLSQPDDKDILTRRNTR NANQKFLWKHDDLESRSSTSMEYQTVLTRPIASPRVTENPWPRLKRLSRRRSRGVQEI IGTSKRPFATQPEQSPSSVPSFPLKTETSQSIITNDNEISTDYTNNQTELPRKKQFPE SSWLILSPSKSDDQTNIETISRPETARTSRVTIPSYYYQYYRKYFDRDNYRVQSQQYP ESRFSTSRDSDMISFGRPSMVLSSYQKRGTFSTIRPASSVTSKTLSQNYKDPLTPMSA DPNFAYFEFDVHVLQKPKQVVVPARRNKSMDTNDESIIQRDKKNGHAPAASIATVSTA PIFRQHPGDEVDLESAARVGRVRSSLLNGLIVHRN TSTA_043280 MLYELIAIVRPGSLKEVKEIAKNAGVQVLRSGGVVRGYTNWGTF TLPKPTTKHQARYSEGWHFIMRFDASGPVQAAVRRTLSLDPRMIRFSVVKLGDKLEEI NDVPGNVNWNSTKMLLSDSLNLRGSFRSRDLNESTILGSPIRRTPS TSTA_043290 MSLAALTRPLASRMLCQRLPVTVAARRSIPAFPRGTTIRSFSRS SQFEVKKYTESHEWVELDGNVATIGITEYAAKSLGDVVYVELPTADLEVAAGEPVGAV ESVKSASDVLSPVSGKVIDGNAVLGDKPKTINESPEGEGWIAKIEVNDPSEVEGLMDQ KAYLESVEDH TSTA_043300 MDNRGGFFFFVVAVYILLSSSNRAPLIDQTGEREARLAHEANAT RWLNDSVYDDLDPQADKWLPIAGLRQNDSYSWDLLPQAQQIARRNIRSALKSGGFQSP PELELPDQAPSVNLSSLSLPVYRNITGKLRGDWTKHAVPHGPLLNTTALMQENDFITQ EFGLNITGETGRVYVDFVSGDEQKVEQYGTSVRQIRADLAFESDAAWGSTWYMSTFGL HFPDQGAIILTTTSEKYDGLLALPHLTLSHDTFNISREAMVQILSDNISRKRRYESTF FPWSSLPRGVHPMAFATPKCEYILYIQQRPVNIGGKAPNRTLLDKIEQELRFPAGIPI PPPPMIEMSFVVFSPDCGILLESKGAPDFPPSEGLYVVGPKQEEYRKFASRTIYSLGA IMTGQLYLLMRQIKEASTPSTRSRISFYSIALMSLGDAMVMTMTLLALFEDTSFIEIS ATAFLVFLSVCYIGMRFMMEVWAVQVPERRNQDRHTETNNNQDSLPPPVTAAAAVSSG ATPVVLPPDQTRPNAATPAPTTTPGATQPNNTMPSQSEIGADVGTMYARFYFVLGCVS IVTLWSFLFPSKIGAIYAKIISFVYLSFWIPQIYRNIMRNCRKALTWEFVIGESILRL VPFVYFLTARGNVLFVRPDTTTALAMAGWVWVQAWILASQDILGPRFFVPNGWAPPAY DYHPVIHDTSRSGTGDDLESGTTLPIGYLRAEERDTTTPSTTAGSSRGQADKPPRPKD KKKKIFDCAICMQDIEVPVIVSPNGIGASSMTDGASSILSRRAYMHMFGKLDEVKVAV SDLP TSTA_043310 MASSSLPIPQPPRTPSPSPDSQDQRSLAPEPQYDPKALSPMHGI MQSERLNVNAPNMAPTSPTSPSFGAFPTHGSNTNAGSGTVDPNPFNFQPMALAKSPVM KSNIGQRRGHKYKHSSISHQIFLEPPPRAPLALPASLPIPTLKECRSSMSKDQKTRFW WSVCHMLIAGYTLWSAHGSLAMMALSHLILFDSLGALLCVIVDVLGNFEVWKRSSVRH PFGLERAEVLAGFASSVLLVFMGMDLISHNLQHWLESSPGHQPHHSHEHDRVSAGSVD LTAILAIGSTLVSAIGLKNHARIGKSMRFAYIESLPSVLSNPSHFLTLSCSALLLILP LLSVSLYSWLDKLLSLSMAISMCILGSRIVKTLGSMLLMSFSGNGVNDVMRDIQADPA VTAIDDARFWQVHYGLCMANLKLRVTGTEETLIKLREKITSLIRNRLGGGYGSGGQKW EVTLQFTAERL TSTA_043320 MVHKVLFWSGFGIAVRLWQLGIEMRPLLGKESLWVYPLFAGVGG SFGYWLQGVESRQQKILAERREAILEKRRRRDEREGLISRAEEAGVLAATS TSTA_043330 MVDAGMVNGETTPSSDKQQSQESAKAAANVDLLKPKKYKTSDLP LTQDQQNAIQSLLVAFKKKGGFDNYRKKIWADFDNSEFKHKFTNALQELAEKEIEREP AHLSRDRGKAATLIEGAVDRSDIYKNTEKDLESFLAQHLETMLTSIREIRRKDVGEEI ALKEEIAGNKTEEDYDRWREERRAAREKIHQAELAERARIEAEREKARQEEARKRREI ERKREEEQREREEKRRAEQRALDEQREKERQERYERRRREDSRERYRSYNLPRGYDRY EGTSMSSSRYRDHDRRTGSRTGTPKAATPPPAPPVDDKTLEEAALQLLLKEGEELAAK AKQKPEFDFEEAEAIESGRKPVARPKSTAEPRFAASGTRDSSRDRRRRSRTRSRTRRS SRYENEGRNRSREGSTRLRDREDDRYSVRSHRDERRDRTARQSRSRSKARNDRERDRD ERGHRSSRRSRTRSRTRDRDRDRVDSRERRERDRDQDRDRDRANRDRERDRDRDRDRD RGRDNFLDRGYDRYTGRDMDRSREREQARKRSTERERDRSRDKDKGRDRPRSRSRCRR SPSRADRSLTRHRRDRSGSRTSTRRRSTSRRKSRSPSQLDIDRYVPVTSNRSRSPRRR VRSPERDRDRPRDQDWNREPRGDRYIPGITTDRESEPEKSGNRDRDLNKDVDKTRDKD RDRDRYTDKERARDGERERDRHRARDIDDRDDRLRDRQRDRAKNVTEIATEIGSGIGI EKGKGKGTERETERGIGTEIGTGTGTGTETGTGTGTETGTGTGTGTVTGTGTGTGTGT ETETETETETETETETENEIGAGTEETVIEGTAMTGEAAIEEAAVDNGDFLQGPGDKS LLSISTYSLLLYQADYGRMYNNV TSTA_043340 MSKPMIQVNNGQNELQISEESTSNTRRTLHQNIFGKLRPVPLQY HWTVWFDKHSNPESSTSVALTNSSSIQTQQQYTSRLTVLYEDISDIAVFYRVYNNFPW DKIRLRDTVHIFRKGVKPVWEDSENLSGGCWTFRVPKAKGQEFFHEIAILVMGNELQA AVESEHDHILGVSISIRFNTHLISVWNKLGSNERSIKILEQTIIDRLSPELRPADKTV VSSSSYFYKRHADHEGFRGAIERARSSEEE TSTA_043350 MPSPSKQCHSCRRSRLRCDGGQPTCLNCDSRGVECLGYGSQPFL WVRPRSSVSASAKSNYESSIISPAIKKKGRPRLVLMQRSEGIERDRGSNCQLDLMDKQ TESSSVEVVPALSSLLPAGYADALSTLEVLVYFRSQPYYVLAACVSAVSSSTDDAIDF TARCLNKFTHPLVKTIYKHHHQVIKGLGMLVDDEDLRFSDITFGLIADLMLFGCRYIK LLTTTRSALGSRVVSQLHYIEYLPQIHRNGLDAGFPSQMHFLKPSYAPTFLDLTLNAF QTKFGLTLVLDRDSSSPWVKDFQWTFSSCNPRQVIHSWYTYANCSLLMYFSVSRRFGN SYPGHFSSPEWSAPTRIRILWMMGESFAESLCRVSYIQGDISMLDVVSSLQCIWKMNS GMIVNIIDYVSNNKLMCYNRRADGGTFSRCRDVMGRESSNARIACAVHI TSTA_043350 MPSPSKQCHSCRRSRLRCDGGQPTCLNCDSRGVECLGYGSQPFL WVRPRSSVSASAKSNYESSIISPAIKKKGRPRLVLMQRSEGIERDRGSNCQLDLMDKQ TESSSVEVVPALSSLLPAGYADALSTLEVLVYFRSQPYYVLAACVSAVSSSTDDAIDF TARCLNKFTHPLVKTIYKHHHQVIKGLGMLVDDEDLRFSDITFGLIADLMLFGCRYIK LLTTTRSALGSRVVSQLHYIEYLPQIHRNGLDAGFPSQMHFLKPSYAPTFLDLTLNAF QTKFGLTLVLDRDSSSPWVKDFQWTFSSCNPRQVIHSWYTYANCSLLMYFSVSRRFGN SYPGHFSSPEWSAPTRIRILWMMGESFAESLCRVSYIQGDISMLDVVSSLQCIWKMNS GMIVNIIDYVSNNKLMCYNRRADGGTFSRCRDVMGRESSNARIACAVHI TSTA_043360 MPKPFLISRSCPPRAASLLPRRPLPPQRHRLRQANQTVLYTVHR ASSKPHLTIFRAPTPHAGGFIIGKVSPHTFSSAIDLDIPGPPLVMQKPSKLTSNYEVY GNNINWRWERDGALTSNIRLVDRMGGGVLARFENATFSVTKQGTLTLSGTPMWDMLDA IIITGLAKIEHQKESSAQSSVAAASPGH TSTA_043380 MSHKRSHAEEVEDEVLLLAKRSRASTSPVLSGIEKKCVPRSCSK KDSHDNGHDNENDKEQEDEDYTSSSGTSSSSSEEDESEDEEEKNHNDTNKENISYIPG RPKPQIMRPPSLLQNSDLLSRISSFLPQLQAANADIEQRLASGETLDDMILDNVKDDE DGGGREYIEMNLGLGVLKEKRKKRRVSIDLGGESTSDSSAGEEEESSKEDGEGDGEGH VLNRLMGLKSRSRQNPKRKAGIQEVDEG TSTA_043390 MSTSIDNQQNHNSSVFSSHSRKRSSPVSVPPTGDSSGKASPMCS EKPIMTQRSRLVKASLIIAGAFLFLLYLVPSRHSVPNIPDAVSDTTGSTTKCTKPYDP SKPLRQYVLMIDAGSTGSRIHVYRFNNCGPTPELEDEVFKMTEPREGGSGLSSYKEDA EGAAKSLDVLMDVAMESVPDEYKPCTPVSVKATAGLRLLGDELSDEILRAVRHRLETV YPFPVVSDEKGGVQILDGSKEGVYAWITTNYLLGKIGGPDHTPTAAIFDLGGGSTQIV FQPTFDSPAGGMPEKLAPGDHKYELQFGGRDFELYQHSHLGYGLMEARAAVHKSIVEA KLAASPTDKSWLSKPIPNPCIGPGMTRQVNLTFPKEHDLAPEISVTMVGPKELSAPAQ CRGLTEKILHKEADCKLAPCSFNGVHQPSLEKTFAKEDVYIFSYFYDRTKPLGMPDSF TLHELQRLTEIVCSGKDNWGIFEGIDGALEELEGRPESCLDLNFMLGLLHTGYEMPLS REVKIAKKIKGNELGWCLGASLPLLSQDSGWTCRIKEVS TSTA_043400 MSSLRGIASTSLLLRQAFAAPRISQIRTVYRPAGATPSPIEAKQ AAKAPQRYSRPINDEIEADIIQIVNQDGQLDVPTRKSNVIFSMRRNEQVLVQLDPGGP DRPAVCKIMPLADFRDEERAKEKAARLAKHSAKTSTKQIELNWSIDPHDLSHRLKKLS GFIEKGRTVEIILTKKRGKRMATADEIKLLMDKLRTAIEEANAHQIKPMDGEIGKTLT ITVEKKK TSTA_043410 MAGISRSINLLLRSSRSSLLRPRAANPVHHVLSAERYAARSFAT AFERTKPHVNVGTIGHVDHGKTTLTAAITKRQAEKGLANFLEYGAIDKAPEERKRGIT ISTAHIEYSTDNRHYAHVDCPGHADYIKNMITGAANMDGAVVVVAASDGQMPQTREHL LLARQVGVQKIVVFVNKVDAVEDPEMLELVELEMRELLNTYGFEGEETPIIFGSALCA LEGRRPEIGESKIDELMNAIDTWIPTPQRDLDKPFLMSVEEVFSISGRGTVASGRVER GVLRKDSEVEIIGYQKDPIKTKVTDIETFKKSCDESRAGDNSGLLLRGIKREDIRRGM VIAAPGSTKAHDNFLVSMYVLTEAEGGRRTGFGANYRPQAFIRTADEAASLSFPGEDQ SKQVMPGDNVEMVLKTHRPVAAEAGQRFNIREGGRTVATGLITRVLEQKA TSTA_043420 MSSAISTTCYYRNGTVATNDIPCPGSKMCCPSSDSCMDNGLCRD KINHSNGSTTTFPDGHTYNYTGLYYTPSCQDVTYNGCLIDCTTYSSNRGEYIWACNDA LTSYCCHLDSDSLGQGDCCAHGTFSLSSPQVLGQTASTTANPTSTISTRTAGTTTSQL ASPTVTNSITNTLSPRAKAGIGVGVAAGMIIVSVLAALWYRAYRHARSQGASQVGERG TSELYVKPELDSMQILPKNRESPMMELEAPTQRRSDPAELPVESYKMTDNIPKPAVTG TCHCGKIKYKNSKPSYRMTYCYCSTCRPLHGAPFAAFTNVNREDLEWFKRLPSSEGGE YTELDHTKGVIKELRLSKAATRTFCADCRSPLTMVYHAVPDEIGLVAATIDEGLSSAP VPKVEQHIFVGQKPSWYGIQDDGKVRYDGPTELLREWMDYRKD TSTA_043430 MKSASILPFLCVLAAAAPAFSIPGREVDVHRRQSTDDDGLGDLL GGVRARQSSDDDDDDDGLGGLLGGLRARQSSDDDDAGLDDVLGGLKRRQSSNTPNDFG NVLSDLPTRQNSDSDNNSNDDSLGNILDDSSLSVDGNGQNVDIADLGSLLRRQLLPAP STSSTSGNNDNSDQLANVLDGSAADINRNAQNVSVARRQFPSKSENGNGNGDDNESLL NVLDGDTGDVNRNIQNITVLRRTSSKQSVGKRGWLSALLGRDTPSTTNNGNGNGDNDE SLANVLDGSAAAVDDNVQGVSVLRRQASSSSATPSPTPSASAAPIVQDPTLNAANDND NNNASNNDALLNAADDSSADVSKNLQNITILKHRRQNAGDKNGDVDDDDTLGNIVDGS AVKADGNAEGVDVGDIL TSTA_043440 MMLVRQRIAAVSSTAQFRALSRATTPLSSRPLTLTKPSQLSKQF SARRAYASEAAAQPPKKKRFRVLRWTWRLTWLAGLGMTGYLGYRIYLLRHPAEQIEPD PSKKTLVILGTGWGSVSLLKKLDTENYNVVVISPRNFFLFTPLLPSCTTGLIEHRSIM EPIRNILRHKKATVKYYEAEATKIDYENRLVYISDDSEIKGDVSHTVVPFDMLVVGVG AENATFGIPGVREHSCFLKEVGDAQKIRKRIMDCVETASFKDQTEEEVKRLLHMVVVG GGPTGVEFAGELQDFFEEDLRKWIPGIQDNFHVTLVEALPNILPMFSKQLIEYTESSF KEEKITIRTKTMVKKVTDKYIEAEITKPDGSKELEKIPYGLLVWATGNAVRPVVKDLM GQISAQQNSRRGLAVNEYLVVNGTENIWAVGDCAITNYAPTAQVASQEGAFLARLFNT MAKTESLEEELKRLSVAQQEAKDEESRNKIFDEIKERQRQLRRTKQIGPFQYSHQGSL AYIGKERAVADISWVSGNIASGGTMTYLFWRSAYLSMVFSARNRILVATDWIKAKVFG RDVSRE TSTA_043450 MSPALTSYPSFALLPFPPNRSHSYHPYSPLSSLSKLTLNPISSG LPNWSGPLRDGLPTPPADMNGVAYNAHPSYAPKQYSSHQYAAKTSSNRVPLANTVSNA AANYLPPLNKQAEESADTKSQKRSEKESLPSYSQIPSSIRKTGGNLAEFAAQIACLFW FEKTSKLKSIEDGTPQKYALVPEAFPTVGFQKWVSSVLSTTQVSQNVILLALLFIYRL KNFNPGVRGKKGSEFRLMTIALMMGNKFLDDNTYTNKTWAEVSGITVQEIHIMEVEFL SNVRYNLFVSKEEWTQWHSRLGRFADFFERASCMPPENEFAPTTPVQISPTADARRYN LPVSPASKLPSPPSTTNPLQPQTQLQSMPRWNPASAPAASAPYRLNSSPHAIPNFEFP PYSRKRSWEDDVEENPSKRMAVSNYSAPMPMPVSTATTVPSVPVLPPVLNSMPMSVPS LAMPVPRLSQPTTYQPVSNGLVPPTLPASTLPQQLPLPHVRAMTTVYPPSSWSQPIPS TVTPVPAVQNQNPMALYNPSTVSLPDPSRRQSPYSATVSSDAVSPSSAFHVHTPQTHL SPSFFLVNRNSPYRPVRAVNTLLIPPPSASLHQPRHLSMDQMHYQPLGKSFTERRTGV LPYLHPDGLPQGVIPQQPNFLPGQY TSTA_043460 MAAEFKGKGAQVALGPVAGPLGRSALGGRNWEGYSPDPYLTGVA FAETIKGMQSQKVQTCGKHYIGNEQETQRNPTTYPNGTTKQESVSSNIDDRTIHELYL WPFYEGVKAGMASVMCSYNRINGTYACQNSKTLNGILKEELGFQGYVVSDWGGTHSGL DSALAGLDMDMPGAIEWGSDSGNNSYFGNNITMMIQNGSLAESRLDDMVKRILTPYYF FDQENYPTIDLDTAQLSKELYGSDIPSFSHPFNLGNSTDINRDVRGNHKDLIREIGSA SAVLLKNTNNTLPLPKDIKRISVFGNDAADVSGGPYDPSNTNGVLSMGGGSGAGRLTH LVAPLEAIKQNNPQALIQYVTDNALLTQQPSETLYTVYPAADACLVFLKSFATEGADR LSFLADDNSTAVVDLVTGSGTCPNTVVILHSAGANLMPWADNENVTAIIAAHLPGEQA GNAIVDVLFGQVNPSGKLPYTIAYNESDYNAPVVNFTNVDNNDPNLWQSNFTEGLLID YRHFDYNNITPRYEFGFGLSYTNFSISDLQIRSASNGNVSPTPQALNGTIPPPGGNPD LYTVLASVQVTVSNTGDMPGRAVPQIYLGFPDSTNTSSPTPVKVLRGFDRTKILQTSQ SVTMSFDLRRMDVSSWDTGRQEWVIPAGEFMVLAGWSSRDLPLRGELQLV TSTA_043460 MQSQKVQTCGKHYIGNEQETQRNPTTYPNGTTKQESVSSNIDDR TIHELYLWPFYEGVKAGMASVMCSYNRINGTYACQNSKTLNGILKEELGFQGYVVSDW GGTHSGLDSALAGLDMDMPGAIEWGSDSGNNSYFGNNITMMIQNGSLAESRLDDMVKR ILTPYYFFDQENYPTIDLDTAQLSKELYGSDIPSFSHPFNLGNSTDINRDVRGNHKDL IREIGSASAVLLKNTNNTLPLPKDIKRISVFGNDAADVSGGPYDPSNTNGVLSMGGGS GAGRLTHLVAPLEAIKQNNPQALIQYVTDNALLTQQPSETLYTVYPAADACLVFLKSF ATEGADRLSFLADDNSTAVVDLVTGSGTCPNTVVILHSAGANLMPWADNENVTAIIAA HLPGEQAGNAIVDVLFGQVNPSGKLPYTIAYNESDYNAPVVNFTNVDNNDPNLWQSNF TEGLLIDYRHFDYNNITPRYEFGFGLSYTNFSISDLQIRSASNGNVSPTPQALNGTIP PPGGNPDLYTVLASVQVTVSNTGDMPGRAVPQIYLGFPDSTNTSSPTPVKVLRGFDRT KILQTSQSVTMSFDLRRMDVSSWDTGRQEWVIPAGEFMVLAGWSSRDLPLRGELQLV TSTA_043460 MQACGKHYIGNEQETQRNPTTYPNGTTKQESVSSNIDDRTIHEL YLWPFYEGVKAGMASVMCSYNRINGTYACQNSKTLNGILKEELGFQGYVVSDWGGTHS GLDSALAGLDMDMPGAIEWGSDSGNNSYFGNNITMMIQNGSLAESRLDDMVKRILTPY YFFDQENYPTIDLDTAQLSKELYGSDIPSFSHPFNLGNSTDINRDVRGNHKDLIREIG SASAVLLKNTNNTLPLPKDIKRISVFGNDAADVSGGPYDPSNTNGVLSMGGGSGAGRL THLVAPLEAIKQNNPQALIQYVTDNALLTQQPSETLYTVYPAADACLVFLKSFATEGA DRLSFLADDNSTAVVDLVTGSGTCPNTVVILHSAGANLMPWADNENVTAIIAAHLPGE QAGNAIVDVLFGQVNPSGKLPYTIAYNESDYNAPVVNFTNVDNNDPNLWQSNFTEGLL IDYRHFDYNNITPRYEFGFGLSYTNFSISDLQIRSASNGNVSPTPQALNGTIPPPGGN PDLYTVLASVQVTVSNTGDMPGRAVPQIYLGFPDSTNTSSPTPVKVLRGFDRTKILQT SQSVTMSFDLRRMDVSSWDTGRQEWVIPAGEFMVLAGWSSRDLPLRGELQLV TSTA_043460 MQACGKHYIGNEQETQRNPTTYPNGTTKQESVSSNIDDRTIHEL YLWPFYEGVKAGMASVMCSYNRINGTYACQNSKTLNGILKEELGFQGYVVSDWGGTHS GLDSALAGLDMDMPGAIEWGSDSGNNSYFGNNITMMIQNGSLAESRLDDMVKRILTPY YFFDQENYPTIDLDTAQLSKELYGSDIPSFSHPFNLGNSTDINRDVRGNHKDLIREIG SASAVLLKNTNNTLPLPKDIKRISVFGNDAADVSGGPYDPSNTNGVLSMGGGSGAGRL THLVAPLEAIKQNNPQALIQYVTDNALLTQQPSETLYTVYPAADACLVFLKSFATEGA DRLSFLADDNSTAVVDLVTGSGTCPNTVVILHSAGANLMPWADNENVTAIIAAHLPGE QAGNAIVDVLFGQVNPSGKLPYTIAYNESDYNAPVVNFTNVDNNDPNLWQSNFTEGLL IDYRHFDYNNITPRYEFGFGLSYTNFSISDLQIRSASNGNVSPTPQALNGTIPPPGGN PDLYTVLASVQVTVSNTGDMPGRAVPQIYLGFPDSTNTSSPTPVKVLRGFDRTKILQT SQSVTMSFDLRRMDVSSWDTGRQEWVIPAGEFMVLAGWSSRDLPLRGELQLV TSTA_043470 MSGRIISQRLAPQLRRGLLLTRHVNLVRSRTGGLLRVDNVAVRG RAWPVGASSIHNNVPAVRNISFARILPRLALKLARIPAMFGGAMIAGVAYLQYQATQA GNYAIDLFNQGWETAGGAASNLFQGLQDVASQTGRGWQRVKEETELPEWLQKILRLDE ESQGDGHGGGRSPSDNQAAAAAAGAAAGSAYAYENVDDGDYQTRREADNDQMMVLTRK MIEIRNILTQVGQSNTLTLPSIVVIGSQSSGKSSVLEAIVGHEFLPKGSNMVTRRPIE LTLVNTPNAQAEYGEFPALGLGKITDFSQIQRTLTDLNLAVSDKDCVSDDPIQLHIYS PHVPDLSMIDLPGYIQVAGSDQPPELKQKISDLCDKYIQAPNIILAISAADVDLANST ALRASRRVDPRGERTIGVITKMDLVDAERGASILTDKKYPLRLGYVGVVSRVPQTTTL FSRGSGNITNAIVKNERAYFSAHPSHFGPNSETSVGVPTLREKLMNVLEQTMARSLAG TRDAISQELEEATYEFKVQYNDRPLSAESYLAESLDSFKHSFKAFAESFGRPQVRELL KHELDQRVMDILAQRYWNKPIEDLSEATLDVDPLKDLPKADPENLYWHRKLDASTSNL TKLGIGRVATTVVASALQQHVERLVAQSTFASHPYAQNAIMEACNGILNDRFFSTSDQ VENCIKPYKFEIEVEEPEWAKGRESVSKVLKEELTACEGALRRVEDQIGKRKLKDVMS FVDKVRKGEAVLEGNGAGGAGGFSAALLEKGREGIFLRDRADIIKMRLLAVRSKQCST LKNKYYCPEVFLDVVADKLTSTAVLFLNVELLSEFYYNFPRELDQRLGRHLSDSEVER FAREDPRVRRHLDVIKKKETLELALQKIESLRQLEGRSRNAGRGEALSKESNGKERKG WLF TSTA_043480 MCRFLVYKGRSEILLSKLVTEPSHSILTQSYDSRLRLDTRRPVN GDGFGVGFYPDPKLGPEPCIFTSTLPAWNCENLERLASKTCSNLIFAHVRASTEGALA DNNCHPFQHNSLMWMHNGNLGGWNYIKRPLSQSLNDKWYLGVKGGTDSEWAFALFLDL MEKEGADPSSDPGPDGFGQAFLRQVMIKTIAKINEFIRLIPEKHKVTDLETRSLLNFA VTDGHTVVCTRYVSSKTDEPASLYFSSGTKWKEGKKEGHFKMERHDKGADIVLVASEP ITFERHNWVTVPTNSILTIHKQTVLLHPIIDEFYNDDPNHDRSSVYALSKGFAPPAVV TPTKSTAAAAKASMAQTNGSTKLDALAPKVTQLELAS TSTA_043480 MCRFLVYKGRSEILLSKLVTEPSHSILTQSYDSRLRLDTRRPVN GDGFGVGFYPDPKLGPEPCIFTSTLPAWNCENLERLASKTCSNLIFAHVRASTEGALA DNNCHPFQHNSLMWMHNGNLGGWNYIKRPLSQSLNDKWYLGVKGGTDSEWAFALFLDL MEKEGADPSSDPGPDGFGQAFLRQVMIKTIAKINEFIRLIPEKHKVTDLETRSLLNFA VTDGHTVVCTRYVSSKTDEPASLYFSSGTKWKEGKKEGHFKMERHDKGADIVLVASEP ITFERHNWVTVPTNSILTIHKQTVLLHPIIDEFYNDDPNHDRSSVYALSKGFAPPAVV TPTKSTAAAAKASMAQTNGSTKLDALAPKVTQLELAS TSTA_043490 MENGEELSPQTAINTTQNDDRRTTERAHSNSASSSHRRSVSGSL LSKLSFLRMSQSSSSPDSNEVSSTSREIAGNLNDGDPATTTNDGYVGGSRTGGGRAMG TAIQHQRKMRRRRGSLRKTALLERRNSNSASTARDQINYGSIENSRGVSSPLSTSLSA TSMTSPSTTLTDEELTPRGSMDHDGGHASVYSGYWTRSPTMDRDVVSTDIVKSPTSTG LIGDTTDEEEESLSSFNHNNNPTTNTGTNTGRSTGTSTHLRPSSVSSSGSINPPPIAT PSSSSESYFLSSHHHHHRHHHHHQTTSANETTDATTRPLGRSPSTHRARSPLIAPDLP NSVADSANTAAGPGAGWDYSETEWWGWIILIVTWLVFVIGIGSSFGVWSWAWDVGETP YAPPELEDDPTLPIVGYYPALMVLTAVMAWVWVVVAWVGMKYFKHANIAADDG TSTA_043500 MAGRLPSLTTRVSQLSIAPNNLISRSSLLPLLAPQFQQQTRNAS ILSTLSDTPGAYNKRIRRGRGPASGKGKTSGRGHKGQKQHGKVPYGFNGGQTPESIVH GERGFTNYWSTDLITVNLDRIQEWIDQGRIDPKYPITVRELFHSNCVHQFRDGIKLLG RGKENGLKQPIHIVVSRASADAIAAIEAAGGSVTTRFYTNASIKRILMGQTHPFFSLA WSQKSGSEFLMKALGAEQESDLKESKIMERGNFMYRLPDPTHRKDIEYYRDPAHRGYL SHLVKPSEGPSLFFLSPAERKSSAGVKKEKTLAPNRIW TSTA_043510 MAYAGRESFSGKRYEEEQFSGREASVRGGGEDEESRVINELHTA TPPMLVPRSDENSIHDFDSDVSYSHRPEEFRRSFEAFLRSDQSRIQGESKSQQQQDTL HYSVGEGSLPEFKSRDYAGSRSIQKPRPSALRRVQMQHNDVTHNEELNIDDADESRQD TSPDSGICFTSRRNDDSTSAVDMNQPTSLTSVSTMSPLEEVRTPYEYPREGPKDMLLS PLSSSPLVQRATSFDDPNTWSTSVPGSYSSKPRSYTIDRHNSWRQVMRQQRSRRSTGS SGKSPASAFLSMWSSPEEVVAPQPDDEGQMVGTDYVLGKQIGFGGFSTVKEAYKVGAD GSTRRLAVKIVKKHVSGKSEKENDQVQAEFDHEVRIWRQLNYHHILSLEAVYETDYAT FCFTKLHIGGTLFDLIKANRGGLNMNLARKYSYQLASALRYLHEDMRVVHRDIKLENC LLDPERLEDGTESAKLVLCDFGMAEWMTNDTSGEPLDTYDNPADRPPPQNIGPSGSST SVAGSLEYASPELLLSSTGLIDPVVDIWAFGVVVYATLVGSRPFQHGFGPRLQSSIIK GEWNQEAVLAGQDDDRSRKEALDLIRHCLDKDTSSRWTIRQTLGCDWFSSVTEPSDEH LDERSWRL TSTA_043520 MTRPQIIRADTIDLQDPSSPSAQDHSSVSSRVAEGRTGPHQNQS LRHAEQEARDDVITSPRVSLHHLDNGDQNHHIDIVYDEPDDSMADLKGEDGDIGDEES DDMMDDDMMDKISSSPSIDDEDIDFEFVYALHTFVATVEGQANAAKGDTMVLLDDSNS YWWLVRVVKDGSIGYLPAEHIETPTERLARLNKHRNVDLSATMLGDNAEKSKNPLKKA MRRRNAKTVTFASPTFFEASDIDYSTEEEEEDAEQLEEEEIRVEPQDAQYDVQDEDMV VEPLRPKPHKEKVSRVVEPETEETQTEPSSPEKPRPSDESFERPARSRNGTLRNTDSF FNDDTAETKKISITPSLLRDDNGVSKSEAQEGRASMESIEKTLAAGDKGKRKDKKPGM LSGLFKRKDKKGRTSEDDGEDAEKTSEEISRSSPQPKVSSESLREPTNTKASGVQRQS SKLQKQQPAEVALVNPSQRAMQDTSISTSEASSPVKEDYSSSIRRVLSPSAGATVAPL QVRTSFENNAAAPEQRTPSDYTSSPVKTSPVATSNITSSMGMNGKMHDGPIAEEPIQT MSREDPSSNPVSPSRQLRGNVHSDDSLSDSVVNVSPTQAPMSSRPPGLVVDTSSQEGR SVSPLSSKSSSPEFIERPEPKGDETTPVSTVSSVATATWSDASLRSYLDDENDIRDLL IIVHDKSNVQPAGPDHPITGSLFKEESRRLKEMSGRLDEMLAGWISRKSDRVSKAY TSTA_043530 MSGPYYNPEYQQNQPGGQPGYGQRYPDQQQYLDPSAQHNYPHYQ GSTPPPPRSASGSPYPQPLHESGYGYGQQQRPSYGPSEYEHSNPPKTGTAHEYYNAHN SNQSIPRIEQHNAPDNSQLNDETETGERGLGGGLLGGAAGLFAGHKANHGLLGTIGGA IVGSLVENQIKKRTGHGHGSSSSEHGGGSSEYGYGSSDGGSHHSHGHRHHHHKHQENR HHRHSSRSSHHSHSRNRSSSSVGSRSGSDEHDRESGRHRRRHEDYY TSTA_043540 MLDEIRRYFRAVRESDNGHQGVRSRWCVVIDEGALQSIIRHPEP QSGRKGDWVTVVDPNYRGGSSYNTRYYPGYFRLYLDDLWSLTRIGRALGLDDVCGRMK GPNDVPWFDSDMLTSYRPANRGKCKFFLLSEDWIGPGEPLKASDMWEVDHTAAEHYGE VERWFINLKIVLRDLKIRPRNLWNFDETGFVVEQGKNEAVVTAYPKTSERVFSLSSRE SITVVECINAEGEKHTEEWYQHIKDEEWLVAPAKNGFITDEIVFEWLQHFNIIRILEI QAGGYYSWIIIQPISLSNSLITANKGTFNYSLFLHIQRIFYNRLMVSFFSNINTFMEE W TSTA_043550 MRSFIGLAGLACLSSVVLAVPAPAPTAPAKLEDRAASCTFSGSN GAAAASKSKTSCSTIVLSSVAVPSGTTLDLTNLNDGTKVIFEGTTTFGYAEWSGPLVS VSGNDITVEGASGAVLDGDGSRWWDGKGSNGGKTKPKFFYAHNLKSSSISNIKILNSP VQVFSIDGAQTLTLDHITIDNSAGDSQGGHNTDAFDVGDSNGVTISNAIVQNQDDCLA VNSGTNIIFTGGTCSGGHGLSIGSVGGRSDNTVQTVHIENSTIKNSQNGVRIKTVYGA TGSVSGVTYKDITLSGITKYGIVIEQDYENGSPTGTPTNGVPITGLTIDGVTGSVTSS ATEVYILCGSGSCSNWTWQGVNITGGKKSSSCKNVPSGASC TSTA_043560 MYKMLRRTTAPAVRCARQQPRSQARFVNRRFQSTTSQATSSASN PALIGGIAGYAWYHFSGAKTLVKTSKETHAYIKQAKQKIVEKTPEPDEAFRWLRDTVK SYAVFIPGGRGYVDTAFDDLEKIRNNHKEEFDRIVKDAYNELGCIFKKDGFSTTAASN SLPVLQQYAQRLFDLAGDAAEDVLSNHPQLKEKVGGSYDQLKQMGEACGPRAKEEVDQ TWQQISSIIKRGVSVQSAEEIKSLIEDKKNKLQKLGDEAWKKGLDESQERLFPGIMGP SEGEGSSGKSEDVEKYIKDKVEQAKNSDMSGLDQWLNKVPGGSDLLSQLQSLQTIAQK KGSETEKIMKETLEELQAVLKKGKEQVEKLTQE TSTA_043570 MSALNSFKMYKNALILGSLLATAHAQQIGNLTAETQPSLSWSTC TSGGSCTSKSASITLDANWRWVHSVNGSTNCYTGNTWDTSICDTDTSCAQDCAVDGAD YSGTYGITTSGNSLRLNFVTGSNVGSRTYLMADKTHYQLFNLLNQEFTFTVDASTLPC GLNGALYFVSMDADGGVSKQPNNKAGAQYGVGYCDSQCPRDLKFIGGQANVEGWQPSS NNSNTGLGNHGSCCAELDIWEANSISEALTPHPCDTSSQTVCTGDACGGTYSNDRYGG TCDPDGCDFNPYRVGVTDFYGPGMTIDTTKPVTVVTQFVTNDGTSSGTLSEIRRYYVQ NGKVFAQPSSKIDGISGNAINSDYCSAEISTFGGNPSFTKHGGLAGVSTALKNGMVLV MSLWDDYSVNMLWLDSTYPTNATGTPGAARGTCSTSSGSPKTVEANSPNAHVIFSDIR VGPLNSTFSGSGTSTPGGGSSTTTSPGSTTTTPGSGSGSGVASHYGQCGGQGWTGPTT CASGFTCTVINPYYSQCL TSTA_043580 MRLRHKAELRGIDLKTFSSLDKSISLDIRHPLLQPRSRDEFEIA IICALPVERDAVEALLEVEYETDGLSYRKAEGDMNTYTTGRLGNHHVVLAYMPGMGMV SAAAVASNLRISFRNIKLGIVTGICGGATETTAGAEILLGDVIVSTSVTQIDFGRQYP HRFIRKKEVEDTVGRGSHEIRAFVEKMSEYLVSTRLRAKTNSYSSEICSRREFLKSAY PGPEKDQLYPAGMPHRHWEQGVCPICDSCNTSGDDVCEKALRTSCKELGCDRTKLVQR YRLREAVGTRFSENQLLTAEMQDARKASIHFGRIACGNQIIKSGQDRIRIATEEGVIG FEMESAGTWDYIPTIVIKSVCDYADSHKDKHWQAYAATTAAACTKAVLEEWRGVDKPA QNLINQGLQVCGGWYLHYSADYLQTRI TSTA_043590 MLLSLFLSSVLLTWDTATAFTLPFPWPCCTSTTTFTLSGGYTVT RTETVTTISIDTVSTTSYVPTAINCLPTATTIKPYPFGIPLEERDAASAAGIGCSPIT IIPPSITGFPCTEVVGSFDIVTDTETITTSGIATQTITPTIVETVTETWILPTPSIYR GVNYYQYLNDYFYPDGDKGCRTCGYGGGGYETSDWNGNYSYYTNGTTQQINFESENYP SYSTILCQLPSQAAATDCSQWTVVFQGYLHATQTGNYTVAPYLGEDNALFFWGGEKAY RSYANNNTDGGVSYTQPAGLPHTFSYEMVAGEFLPITFIYANGYGPALNRLTITSPNG TTYPQDLGFFVPPCPDSPFVP TSTA_043600 MCGKWISPDAPSAIFQVPTPVPKIASPAFDDGDYDVFEDYYQHK YIEYSVDDRNDDDDDNCSWRSYINFGYGSIYNYHGCCDYFANFGNDINYVNVNHRPQF DTIIYRDDLNRFIHEHCLDDHDDEFDEYFGDLDFERDMVVIMQLMIPKDDIFREYISY RA TSTA_043610 MSSPVLSPLHSLTGYSLPQHCEPSSNFLALLSSTLHICIPNELG LISSSLGCLSIVSWLFAQLPQIYKNYKLQSTAGLSAFFLVEWCLGDTANLVGALFTRQ ATWQVTIASYYVFVDVVLVIQYYWYTYVKKKGKGKDSVDGSFDEDNAPIYDGIRVRDE NQPVDDVYSTKIMRPSEPKDVELFKSSANSPPRFYSPSYSEKFGNRRVRNTGYPSGTN ASPTPSMATSPTHSPLPSNFDAITDSHDMDIETIGRILSWMSTILYLGSRLPQLYKNY VRKSTSGLSPLLFMAAFCGNFFYSASLLTNPNAWYDYPAYGGGGWADKDGNNRAEWVA LATPFFLGAAGVLSLDAFMGVQFLIYGDQGGEPLVTVDDPTDPGHKRWRRVSGWMRGW IPSGELNKYLRSNSGSQLAETRSLLGDSNTSGHNYGGV TSTA_043620 MTPRMTLMTSRFASSSRVTGPLLEASRAVSIPGDIFTYEDVKTY SGAPIFLEIQVGDTTYRRPATLGGLLDVGGSIYGLMVAHAASKDYLHDLGSSGKPMSY VHYDDKYEIPEDREDLDLKQPLSDAATGSDTASTRGRLHDVQEWLSSSRMVWPRLNPS FDVSRDGTGHSPRRLGSIRHISSNFDRDLFDWAIVELDTSSFAARQVNRLIYSATGWL EIPISSKLALQPGPRSAIVAATGSSGYVKGYLFSTPVLFQMEPGRSPLQAREVVFEKE LKLGFWDVAMRVIGRLMSFDMFMAIAGIFLTAT TSTA_043630 MFERHAGDRYRLRLHRARSAVLTSDEMVEVRAAQRTFEGAYVRT ALSAFSFALIVLKVFTAEFYGIGALFAVYGTGVLIISAFRRQQGNRQFFNELGEDGLH RRKFRTSGNAVVFLTALSVAAYASLIGLTLKL TSTA_043640 MDVASSSPPSTSSHPLIQTTPPSPSQSQSQTASQPSSSSSSPTR SNLNLNLNLNINHQKRIPNGVSTGIPRSTYNPPKTVIGRALGTELHTEAHKPVQLTSQ RRLSKDGVGMALSDTPISTAPSSPQIRAQLHSAISTPSSSAAHTPKPRATTLDIPGLT RSKVSPDGRIPERDLGSKLVIVMVGLPARGKSYITKKLARYLNWLQHDTRIFNVGERR RIAAGTGSPDPIMRHRTNSSIDQALFESVRRMSVNAASFGALPPSPPEKADPLPPPAM SVSPPADIQVNGQHVDAPGPMPLSPRASLAVDTSPEPKRESIDQSAAFFDPKNREAVQ LREQVALATLDELLDYVLDQGGSIGILDATNSTLERRKTIMDHIRQRAGPELGVLFLE SRCVDPVLLESNMRLKLSGPDYRTQDPIKALEDFKKRVALYEKSYVPLGDYEEQNDMA YVQMIDVGRKVVAHQTNGFLSSQVVYYLLNFNLSPRQIWITRHGESIDNQLGRIGGDS ELSENGHKYGRALARFIDDQRQKWEAYQAEKAMTSHFPPHPGDSTPPNPSYGANSNKP RNFCVWSSMMKRAIQTTSYFNDDDYDIKQMRMLDELYAGKMEGLTYDEIKTKYPEEYE SRRRQKLEYRYPGPGGEGYLDVINRLRTVIVEVERTIDHVLLVTHRSVARVLLAYFLG LDRDHVAGLDVPLGVLYMLEPKPYGVDFKAYKYNPETEWFDYMPKFELHQSKTG TSTA_043650 MADEASVGATLGLIWAFVAVSSLFMAARLYTRLKIVNTSGLDDY LMAFSLVCCYLFAILVTISIHWGMGRHDNTLTTEQERNALMYSTASFTPGILSFTVPK LGVTALLIRILNPTPRFTFFLWVFVGTIDLIIFGCVIILFAQCSPTRAVWTPEIHDLP GVRCWHPDVLANYSIGAGALSAFLDLFLALYPATVLYRLQMNRKKKIGLMVILGMGIF ACAVSVYKATRLTGLEVLTDYTYPSASSYGQAACIPTITPLLESIFGNNVFRGRSAEQ SSYDRKKAARNRHQQNNNNNGRSQSVPSAGAGTQRGRQGSSSHQNWMSSTLISSQSRD SMHNNIVAKQLSPTSPSSQSCHIKSVTVTSKTDVESQASILDYDDDRHIDDDDLEGEE QIPMSHISRHDSFTIQYETTDPSIRNEHNVIEGGTIGRNGRPLSIWRRSFSKYGYPGL SGYNHNNHHNHRPGS TSTA_043660 MRFGKTLKASIYPPWEGKYIDYAKLKGMLRERELDGDDSDSEPQ PWTENDEESFVQELVNVQLDKVNAFQSEMSQQLRDRTNACEAKLMPLARKSSGDDGEI DEKKRKEIAEEAVQELDQITKEVSELEKYSRINFSGFLKAAKKHDRKRGARYRIRPLL QVRLSQLSFNSEDYSPLLHRLSAMYTFTRQILGLELPEGQEASGDGRLGHDSYVSYKF WVHTDNIVEVKTHILRRLPVLLYNPTTSKDLDVSQKDPTITSLYFDNSSFDLYNQKVT RAEGAGSLRLRWSGDLNEAPAIFLEKKVVVSEDRSREVRVQLKGKHVQEFLKGEYKFE KKVHRLEDRAQGESQEAQALKKEVEELQSFIKEHDLQPMLRANYTRTAFQIPGDDRIR ISLDTNLALIREDALDPQRPCRDPDDWHRRDIDDLGMQYPFSSINKGEIARFPHALLE IKLRNGARHVEWLHDLMVSHLVKEAPRFSKFVHGVASLFEDHINSLPFWLGELENDIR QDPQTAFQQEQEREAQRAEEDFVVGSFLGAKGSPLQTMMGSPISRMGAGRGSSPGRST MLSGTPSRVERPSITAKDIPRTTEISKPSPTTEATPSQPAQSQEGAASTSYLGSLFPS FSMSRYAQAHRQRGSKLPPGVSAPEVWIKDAGPVRVESKVWLANQRTFIKWQHVSVLL ASLSLALYNAAGINNIVAQALAIVYTFFAVFASVWGWYMYEKRARLIRQRSGKDLDNM FGPLVVCIGLAGALLLNFGFKYKATLDAARGYAYPSSVEMLTNATTDSLFTVQSNV TSTA_043670 MASTTESPRLIESFHNALLNTHQNPCAEVQNPPNCEKRASVAVI LRVRPQYKHLPQSPVTVTDKTASTPQQLSKFFAQDWVQHGDPELLFIKRASRVGDRWT GHVALPGGKRDLEDEDDKATAVREAQEEIGLDLNKEDLIFIGNLPERVVTTSFGSVPL MVLCPFIFLLTSNISPNLTLQPTEVASTHWVSLRALLSPSLRSVEHVNVSARYAKQNR LIRWGSSRWMTGMMEFSALRLLPTESLYCSSTPGFLPDESHQTRPFILQRFNPWAARP AAYGDKNRELLLWGLTLGIMADFLDLLPPHNAIDLWKHPTFTAPDLRLIVSLLTYSIR KRNAAKVKAARRPSQTAADDSTIALSYEEDGQSDNANNEKDSSVSGHEHATAILLQGY YDRLRLSLGVFAVWRLALGSVGAYYIWKQLRPR TSTA_043680 MAVTQKLYPRGTVKRIVKARAGRNISKNADVLITCYSCKSMRPI PNHCTPFEKIALPPFDYILTYPNHRLMREASIRSRKSGEKGISARNIRRVTEVSSYTN SFSIKLSTLERSRVADSFRNLIRLRYEK TSTA_043690 MSAAINNNNLPTQTSKKKRAKNEVSANVSVSTPTPSNPDIDAKA DSIVNGATEDETGIIKELQRNLRNATKKLNATAKVDSIIAENSGKSLDELVAEKKINA DQKAQALKKPALQAQVAQIEEQITQYKQFAAHYEERLISQKTSLETAHKQELDAAREK ALAEAKESQETSVRGQLLTLSQFLRSAASFRRAGDAESAESQAFEGVLLQVYGGNQDA VESMLKLISGADDKVVGVDGQVLDVTYAKVKDLSVEQVAAAPAAVEETSATETNTVTD PTIANAGLTELQDTSVSAAVDITQAAQATEPAIAPTQTSAGDGANPVAESSWEPQASG TLAADEWVEVPRPAEGEAESPSTTAAAQGSTSWAEDIPSGAAPAEGDGFEQVVHHQRQ NSVRGRGGRGRGRGDNFRGRGGRGDFRGRGRGGRGGERGEFKSGRGRGGLGQQGNRQA VATN TSTA_043700 MASSQTTTSASPRDQTQRKKVLRVIFISLLLDLISFTFILPLFP SLLNFYLKQDPSPDSLLNRIFHYLNAYKNSFSKPIDSRYDIVLLGGALGSLFSFLQAI AAPVIGYLSDRHGRRTALLWSMLGNLISVALWVVATDFKTFLASRVVGGLSEGNVQMA NAIATDISDETQRGSTMAMVGACFSIAFTFGPALGAALARSSIIKANPFATAAGVSLG LILVETGYLYACLPETHPKFVAQKGDEKNGSATDSGIKAEKTDQKGKRSYTNNPALLN LVHLLFLLPFSGMEFSLPFLTTTLLTESNSTINPSAVNGRILGLVGLIASLLQGSLVR RLPPLLTVRIGTISCAIAFFSLASISSIRGLHLSACFLAITSATVVTGLNSLGSLEAG PGERGIVLGRLRSWGQFGRALGPVLFCSFFWWVGREVAYTVGGVVMVGVCGIVVLVLR EPVTTYRT TSTA_043710 MPKPFLSPSSTSTAPLSRRTGIRFRYHSSPSPKILNSRRRDIIA TRQCRYTPTPHRRGFSQLTPKPLARLHDRRDIDAFFFSGRSSNTTHSNRNRRDDGDRT KNTRQADQTVKYDIPGSEGDHKPPDERVVRLGKTLRKLSPLLPNILIHPLPTEILSPQ ITLHLFPSTHPHLPNVKGRVLYRAALWTVPVAWSSLPLLGNVKLQILSERMVRADSVL GCENYATTDCGEERFVVRWKTSHDPSTTTTSSSSSSSSLSSTSTSTSTSNTRTSDTGI NKSLSTLLGGDAPIFLPGKEGRFEGLFIFAFDEKGRIASHTIEHADRADGWDRTAKFV TLTDWLLGKARGSLMEGGGGTVAGPALIVPVDSDRARQYQSDRSFGWGAADGLKGKVE SSVIMVEFDETLRCSK TSTA_043720 MSSVTMRPRGRPAHTPGTTVLTYTPNGKHIITAGSNSAIRIYTV GDEGEPRTIDEGVEAHFGITATDNCFIIGAEDGTVWRYDLESGKMDKLLVRCALPVRD LAISSDGQWIAVASDELTVKVVNVDDMTNVKYLREQTKGSKHISFDPSGRFIAVSCTD GVLYIYSIASDEPELVRKIDGAIRRLEPEDEATSRVVWHPDGTAFATAQASREVAIFS TSDWSPQKSFSSVHNGDITALGWSPNGALLATAAADGKILLWETSTQNILKRYDFANV INLSWHPSINLLCFTTSDGELYIYENFVSTEYQPILEKRLESFSVRSGPLGETSGNAR KQIPSRFKPGGADRPRRGGSPDSLDDILGSMDDDDNFVEDDDGAGYAEEINGFGKRTN GHLDDPESRDRKRLASYWEPTVHESFQPGSTPWKGNRRYLCLNLTGVVWTVDQESHNT VTVEFYDSEMHRNFHFTDPYRYDKACLNENGALFTSSSPPDDGPAMIYYRPHETWTTR GDWRTQLPPGETVKAIALSESYIVVLTSVNYVRIYTLYGTPFRVYRQKSPAITCAAWR DYVMTVGNGPVGHNGFASLTYSIENVKRDEICQNEDIVAITEGEQLKNVFFSDNGDPC IYDSEGVLLILQHWRTPGQARWVPLLDTKLLDRLSKGRKEETYWPVAVAQNKFHCIIL KGGETHPYFPRPLLSEFDFKIPVSTKPSKTNEDDDSETVRNDAARFEEGFVRETLFYS LFQDLISTTNATRNQRAELARREVEIDKILLQMIAVECREGEDRGMKALELVGMLQDR SGKMIEAAVKIAQRYNRSILEDKIRNLGERRLMGMEEEDDELA TSTA_043730 MSVQSNSRNHPTPQDEDYDLTTQINTNTGLRQGLTSYGDAHFSL FLRKVFIKALGYTDDALSRPLIGIINTYSSYNPCHANVPQLIEAAKRGVQLHGGLAVD FPTITLHESFAYPTSMFLRNLMSMDTEEMIRAQPMDGCIMIGGCDKTVPAQLMGGISA NKPVLPLITGPMMPGNHRGERLGACTDCRNNWAAYRAGQIDVEEVSAINEELAPTSGT CGVMGTASTMACITAALGMMPLKGATAPAVSSTRLRIAEETGTNAVAVAKASRTPQEI LSKESFFNAITVLQAIGGSTNAVVHLLAVANRHPKIQGRITLQTFDDIGRKTPLLVDL KPSGSNYMTDFHNAGGMLALMHTLRPLLYLNAMTISGKTLGQVLDDSPFRPFAYSREV IRSLDNPLYPCSSLVVLRGNIAPNGAVMKASASKDRALLKHSGLAVVFENTADMANRI DDPDLPVTKDSVLVLKSIGPVGNPGMPEAGLIPLPRKLASQGVTDMLRLSDGRMSGTA GGTIILHISPESALPESPFGIVRTGDVITCDVEQRRLHLEASDNEIRRRIAERRVEVA QSMGEKKSQRGYRGLYVKSVNQAEEGADFDFLTASGAGVSI TSTA_043740 MGEKKSAVNRPMNPQQRDADISTKLQLYGIYSAFANGKLPSNKQ CDVALNSAIKSKWLSSPSKELSEDGRTLVKDLRDVIDKTKLLFLTKNEGELLQEFIWD AQQITGEEFRGASGPVNKESASQDADRAAEGFKTLGTLLITNGEFRKLLSDAVVLLRD IAGDAASKAATKLRPDENALSQIDQPAEENVWYEKPDINKDALKAQFKEQTDRLKPAA NAATSSATGGREDAPVSEIDARAGASAVKETLQQSAERNVPPEDREQAQRIRDQAQAV SSEYSNRTKEFLASKMPPERRDQVVWRLKKMIVEIQGHSNYQQAIETLLSLAETYAGH GKDLTSQGSTAAKGARENIIIRKAETNLRILIERFANSTSTDDFFDSLNTVYRDADQD PRLKDWFKNVDTYIRKCLREQGFIMQDAATEQWNKLYDEGRFLLRDRYRSHTDRIADE AKFLATQFDEDPQNRAFRQSLEKLFKDLGQDQYGKPVFKPQLIKDITNVIIPEIFENA SYIPIPRIEVSDRAVDMVIENLIIESDNLMPNVVEFGADNYWRWGRKMISNIDDHKIM ISASGIQADLRDVNYYLKRKQGFPLLTDTGVMDILLGGTGFGFKIAASKAQKNDRNAI FKLDSVKINIKNLSLNLKKSNHKILFTIFRPMLLNVVRPAIEKVLESQIREAFQKADA FAYQVQKEAQRAQDAIREDPENAKNIFARYADAARQVITEKKKQAETIAQRGPKVNLA MTHQDAMLKDIKLLGGVTTKATEYKELSAKGDRWQSPVFNWGSSSPTNNLPKPAEVTR KPHTTAESRLQERPTADGAGTDGSGVNGFHHTGATNGANGHALSPADGVSAHGTNGTF KKEVDRAFDANAAPAPTLDGI TSTA_043750 MALDRYQTDKILTLECEGEKFSILKSIVRKHSPVMSKAPTTEVI PVIEFNASTLRCMLDFMYEGKYDVKESGSTEGPRSRNIMLILNEAEVRSVDALREAEL DNKLAADYYDVPELKQQSNDNILNILENSWSADDIAAAAELAVASTSDTALYAIITTA VADHITELIDREDFIQHTLLAGISIGIIQDLPKKLAASDAKAKTYQR TSTA_043760 MDRLPMEIYDRIIYYVECLGWSTRASWPDYLRKDWSYPPSLRIS DHEGEKTLTILRQVSKTFCRSASRALFRRVFLVIGNKRSTRKVKAISLSNYALYVREI AFDLSWFVHDESDWDYGERDDGYIAFSRYVANTLPPLVARFSNLQSIDIWGCISESVG ESVGTATTATLESIANLQSHNVTQLRLPSEAANYLACHIESSEKTPITNLLRQTRHLV FAPWNVEEEDLDAMDGLSMLLGGTTNLLSLTAHCFCTLDSPSEYFGVNQTLRLKSLEL GAVFVTSHDLLELLERCKETIRYVELDSVTLTFGSWLHVLVQISKNLKLFMFSLFSWE IKLDEEFEFDDMWIEYGRFRKQYGLICHALGDLQRQVNANRLDAGLGPWSRSMFKALD LPPLKSVMKKVAYEKLNEQPWGSKKEDVHHHSLCESEDEENDEDWFDNEHDEGDEDWF DDDDAEEEEEEEEEEEEEEEEEED TSTA_043770 MAGAKEKKKQSRLAFTPIEDLPPSPRATESSKSAFTPSRLRYSN PFTGKATVKGQLQLEDYVRDLRSPAAGDKQGALRDNGQGSSDVDVKPRDTASNSERIQ NYTASIALDDGSSDDEVIRPSKRRRITGDNANDNEPNQGSRQSSLSPAEELRLREDDD TYADSKMGRRPTKKTQQRRSQRLIASSPMEIKSHRNALKIDLSNLGEPEESDAGELAS PGTHRKGRGLCRKRKSPSVVELEDPDDAITSPTPKRQKTNNQVNQKAGDEEEASDEDV VAFTPSRRSSMKSSQKSGDKDDGQKTPKTPKRNSEQDEQDLEEDLEDLRDTVVRERRT RGSAVNSARSNLQKHLETLRRRRAGEKIEEDTSEQELEEEEQEESGPQQQRYDTARLD WGLYFGVPDIVDSDDGSIIEPNDDLDADDSSFVEDDGELGVPVNVPFEFSRHRTKSTR DCFRDVIEWMVHSKLNPAFHRDDDVYQFAFKKVSDEVVGRAGSQLMSSVWNVNFVNTL RARPHLEVTGHPTDVGRSCDACNRSGHPASSDLKFSGKPYSEETLEPLYESDSESNTD DDSDTSDENSNKPDRDREGRILPSEEKHFYLGRTCKSNAVLTHTLIHWRFHLYEWVID YLNVKEELLSNPQKSLDREKLSAKKRTKYANKVVDRMDEEGEVQRLWTDFHQTLRTVR EIKDVRYH TSTA_043770 MAGAKEKKKQSRLAFTPIEDLPPSPRATESSKSAFTPSRLRYSN PFTGKATVKGQLQLEDYVRDLRSPAAGDKQGALRDNGQGSSDVDVKPRDTASNSERIQ NYTASIALDDGSSDDEVIRPSKRRRITGDNANDNEPNQGSRQSSLSPAEELRLREDDD TYADSKMGRRPTKKTQQRRSQRLIASSPMEIKSHRNALKIDLSNLGEPEESDAGELAS PGTHRKGRGLCRKRKSPSVVELEDPDDAITSPTPKRQKTNNQVNQKAGDEEEASDEDV VAFTPSRRSSMKSSQKSGDKDDGQKTPKTPKRNSEQDEQDLEEDLEDLRDTVVRERRT RGSAVNSARSNLQKHLETLRRRRAGEKIEEDTSEQELEEEEQEESGPQQQRYDTARLD WGLYFGVPDIVDSDDGSIIEPNDDLDADDSSFVEDDGELGVPVNVPFEFSRHRTKSTR DCFRDVIEWMVHSKLNPAFHRDDDVYQFAFKKVSDEVVGRAGSQLMSSVWNVNFVNTL RARPHLEVTGHPTDVGRSCDACNRSGHPASSDLKFSGKPYSEETLEPLYESDSESNTD DDSDTSDENSNKPDRDREGRILPSEEKHFYLGRTCKSNAVLTHTLIHWRFHLYEWVID YLNVKEELLSNPQKSLDREKLSAKKRTKYANKVVDRMDEEGEVQRLWTDFHQTLRTVR EIKVCFLFHLCRMSC TSTA_043780 MVKLTEVEDEHFTTEKPIPTSKDTLLMSDNEEDDDFTDTESEIS VDSTYDLTNETLYDRLIALKDIIPPQSRRRIISTVNSITDATKSTFSFSGKALWVIST SAFLLGVPWALAFAEEEQYVQMEREQGMMRGANEMLTPGTNAAAAALGAAGTEQSKPA L TSTA_043790 MRRAALRTAQSIGPILRSQPVNTRGLASASTTIAQDPADFDQIT TLKNGIRVASESLPGPFSGVGVYVDAGSRYEDDSIRGVSHIMDRLAFKSTKSRSADEM LEALESLGGNIQCASSRESLMYQSASFNSTVPTTLGLLAETIRDPLITEEEVEQQLLT AEYEINEIWNKPELILPELVHMAGYKNNTLGNPLLCPQERLMEINKAVVEKYRATFFR PERIVVAFAGVAHEEAVRLTEQYFGDMKSQLEGPALHGKGVESTLSGDPRAEKEGELP TIHLSTPTANVSTNAQAGTSHPNILSKLPFFKNLSSSAPDKETVKALDPSILEPSTVN LTRAAHYTGGYLTLPPIPPPANPNHPRLSHIHLAFEALPISSPDIYALATLQTLLGGG GSFSAGGPGKGMYSRLYTNVLNQHGWVESCVAFNHSYTDSGLFGISASCSPTRTPQML EVMCRELQALTLDKGFSALQLPEVNRAKNQLRSSLLMNLESRMVELEDLGRQVQVHGR KIGVKEMCDRIEALTINDLRRVAKHVFGGQVYNPGKGTGKPTVVVQEGELEGYTLRAF PWDEIQDRIAKWKLGRL TSTA_043800 MPSISEDVQAVELWRPSAPEKTEMFKFKNYIAQKYNLKLENYDD LWQWSISHPADFWEEVWHHTGVIAHTPYSKVLESDSLLFPRPHFFEGSRLNFAENLLF PQNCPGDEAIAIIGATEADREFVSWKELRERVRVCSNAMRQRGITVGDRIGGFVGNHV DAVVIMLATASIGALWTSISPDTGVHAVVERLRQVQPRILFADNASLYNGKVHGTESK VAEIVRELPCLEHVVILEAIASAELNLDNVKPQSGIVAWFNDFVHEARNSTTPLKFES LDADHPVFILYSSGTTGAPKPIVHGAIGTLLQHKKEHVLHGDIKPSDRLFYYTTTTWM MWHWLVSALASGATIVVYDGSPFRPFDIEGGKGEMAMARLIEELEITHFGTSAKYLSM IEQASLNPRKHPNRPVQLEKLRAIFSTAAPLAPSTFEYIYSSFHPNVMLGSITGGTDI ISLFGASCPILPVYRGEIQSRGLGMAVAAYDYAGNDITQSNEPGDLVCTVPFPAQPVM FWPPGPEGEAKYRKSYFDVFGPSVWHHGDFIRINPDTGGLTMLGRSDGVLKPAGVRFG SAEIYNVLLKHFSQEIEDSLCIGRRREGIDTDETVVLFVKLVPEAVQGGIPPMSQDLT ARIQAAIRKELSARHVPGIIDVCPGNEIPLTSNGKKVENAVKQILCGLNIKTSASVAN AGCLDGYRKWAAEN TSTA_043810 MTRRLSNTFSNNSSPSTPSSSSTAAEKGRLSQLFSTSPKHKAEP STAHATLLAASKSNTSLSTGVPISSASLSLPTISLSTATADSRNMDEPPTTLFQPPSQ EETRRLAKQHAQFAPISHPSHRYTSRHPGGPFPEPVMDEPPYYYLLTTYISYLILIAF GHVRDFFGKRFKEENYRHLKPRNGYGALNSDFDNFYVRRLKLRINDCFERPVTGVPGR YITLIDRGTDDYNRHFYFTGTTTDTLNMSSYNYLGFAQSEGPCADAVEENTRKYGIST VSTRAEAGTTDLHVEVEDLVAKFVGKEASMVFSMGFGTNANVFPALVSKGCLIISDEL NHASIRFGARLSGASITMFKHNDMKSLEEKLREAISQGQPRTHRPWKKILVVVEGLYS MEGSMCNLPGLIELKHKYKFHLFIDEAHSVGAVGPRGRGVCDYFGIDTKDVDILMGTL TKSFGANGGYIAADKAMIDALRASNAGVIFGEAPTPPILTQIMTSLRLINGDICPGQG EERLQRLAFNSRYLRLGLKRLGFIVYGHDDSPIIPVLLFNPAKMPAFSHEMLKRKISV VIVGYPATPLVSSRARFCVSAAHNKEDLDRLLTACDEIGNVLQLKFSTGIAGGALPPP DGLSPEMEKEYYQRQQQRLNNPNATIVPPRWRIEDVVRRGAQDTKRPLV TSTA_043820 MARVLDAAEVAKHNTPESCWVILYGKVYDVTEFISSHPGGVKVI LRLAGTDATEEYDPIHPPGTLEENLKPEALLGTVDPETLPKPDKQQSSPEEEEQGPPP METLFNLDEIEQVATKQVSRKAWGYYYSAADDLISKNFNREIYRSILLRPRVFIDVGK CDLSTTILGHRVGLPIYISPAAMARLAHPAGEAGIAAACRGFGAMQMISNNASMSPEQ IVENAAPDQVFGWQLYVQMERKKSEAMLARVEKLKAIKCVILTLDAPVPGKREDDMRT DNIGKKLPVSSAKVAEKEVETLPDGTPVPTDGGGGVGKQLFAGTAYDLTWKETLTWLT KVTKLPIILKGLQTHEDAYIASLYAPQVKGIILSNHGGRALDTAPPAVHTLLEIRKYC PEVFDKIEVLVDGGIRRGTDVVKALCLGARAVGIGRPALWGLGAGGIAGVERTLEILA DETKTCMQLLGVEKISDLGPEYINSRIVEQQIYDGPSGLEKARAKL TSTA_043830 MPRLPDHEDDVVTRVQDRVKNAWDGFWDFALRDNVLEVAIGLII AAAFTKVVNSFVSDLFLPIISLLPFLNRNLEDKFAVLRKGKHYSDWGPGGYNTLEQAR DDGALVMAYGAFLDKFINFVGIGLTLYTVGQVYTWFSDDVVIKRTVKCRYCRKWISSK LAGWERGSHTQLGNFGAEVEFGIYKEQLLGKAAHAVVTTSMRGIKEGFRCPQALAQTN NAKNLYNSPLRRSKRRRVVQDRKTGPGLISAECGMLSTSNVDVRAKGSFRLEETSFLF NLLAERNFPIKI TSTA_043840 MSAGDIKEATGPGELKKPKFVSYLWDTFDKPPTERRLLTKLDTA LISFGAVGYFVKSVDDYNINNAFVSGMQEDLRLFHNQLNYMQTAWALGYMLGQIPSNI ILSRTRPRYWIPSLEVCWAVLTLSSSRCNQAYQFYIIRLLIGLCESGYYPGIQHSLGS WYRRDELGKRACIFQICSALGQMASGYIMSGVYRLGGVGGFKGWQWAFIINGSISLPV AVAGYFILPDVPEITKSWYLSEEEIKLAQLRMHMEGRKPRAKYTKEKLKRIFTSWHIY LMSLLYLAFNNGIANMQPIFQQYLRHSENPHYSIPQINNYPTTTSGVQILMTIIYAWL SDTVLRGRRWPPLVFGGVVNIICYVSLAIWDIPTWWKWTSLISAGASFGLSGLCMAWA NEVCGNDSEERAITLAAMNEVAYIIQIWLPLLVWQQVDAPRYCKGYITVSVMSAIFIM MCFLVRNLQNKESFAARISQRHATESCIQLQQQQTMNGEKDASSSSIQLTRLKSVDDT SGHYESGAIPPSSSSNIVSPCYEEDKARASKGSGLRSHTKPGDIKEMEVQPSRLL TSTA_043850 MLLLALIAVLGTIFLDLYYQMKNKSNLHPGPKGLPIVGNIMDLP PKGLPEYQHWLKFKDTYGPISSVTDVESRRFLLRILEDPAYLIAHIKTETGAIILQIV YGYSIEPQTADPLVLLTECMMHNLSVALLPMYWAVDIFPILKYIPEGLPGAVFERVAR KWNEINRMVIDIPYTFVQQQMANGSQRPSYVASSIEQHGRMNEDEEEAIKETAALMYP GGVDTTVSSVSSFILAMMLFPSVQRKAQAEIDSIIGGGRLPQFEDREKLPYVNALIKE TVRWLPVVSLGVTHITDEEINYKGVRIPKGAYLLPMVWWFLHDPQVYPNHSSFDPERF LKPRNEPDPATEAFGYGRSICPGRFLADENLFLTISRLLTVFDITKAADENGKEIEPE IDITPGIISHPLRFPYCIKASSTQSVDIIRSVEKDHPWKKGDAHLLNGSLNGSLFSRL WSRE TSTA_043860 MRLSALLRPQCYRHRQYGCYLRSASYKYAEVRWYAPGALIRYNS TAATTTSKTSTTDSIVETLEQGHISVGPDEELLFINNILPLRLQWLYRLLWIGDSHAV DQVLKHVDKPSYAAADHWAIVRRALPPDLHIDVKQVIPRLSEGGLFIKYSLADGEKRT KGEIATAVDKYLKEHPIRPWFNPFDRVGVGRVLGKPWIEDMYHFPSPKLKVEFLPSSS NATPAELTPEALYSLFRRYGKIKDIERQPPDSKVLPKYAIVEFTRAKYAILARSCTHG VTIPETVSGDGVGGSVLRITYERKIKAHWIRDWLFNHPRIVIPAVAALIAGITVIIFD PIRTFFIKMKVKYLLNAEDQPLWSWIRKEVRRANILSFKRGNEKNVLRALSDDRKEEI NQLQSWLGENGNTFIVVQGPHGSGKREIVMDQALKDRKHKLVIDCKQIQDARGDAATI SVAAQQVGYRPVFSWMNSFSSFIDLASQGIIGTKAGFSETLDSQLSKIWTNTATALRQ IALETKKKENSTMSDDDYLEAHPEKRPVVVIDNFLHGGRESNIIDDKLAEWAAALVTG NIAHVVFLTPDASYSKTLSKALPTQVFRSISLGDCSLEIGRNFIIRYLQNHDEEDSDG DESPSPKKSTPPQGLEDLDRCIEIVGGRLTDLEFMAHRIKSGESPKSAVKHIIEQAAT EIVKAYVLEDNTTHDNDSPTWTREQAWYLIKSIAEDRNGTVPYSTIIVSDLFSGTDGE KTLAALEQRELISVVNVHGRPASIKPGRPIFHAAFKYLTQDDILRHRLDLGIVRELIN RENEKIAKYETELKLFNDWKKYEKYPHGLRSRMEWLADSVLDSHWKVRDLQRQSRDLI MFLKTAE TSTA_043870 MSDHLCDTVFGQIVRLLSGRKFLKFPDEQDLNLWDTFVRKPNPP ATRDEEKDSDNSGTPTGDGIRICQEEEYAVNTLDSAQVLYSEGPHSWRQDILNRHERN AEVILVDWYDAKDQERGRSYPWVVSLRTVCIYQSEVKGAHVLIVPITSGYGLGPMLFS PMSEIPTIGRSRIYFWTLFAFVLLQLPTGYAINMAMLLVFRFLTGFFGGPVLAIGGAT IIDMYPPIEVPYWIGIYGASGVLGPVLGPLVGGFAAQAKGWRWPIWELTWLCAVVLVV LFFFMPETSAANILYRRAKRLRKQTGKATLKSQSEIDAAEVTLKDHLVVLGRAFTLTF SEPVVFFVDLYCALLYGVLYIWFESFPLVFGEIYGFNIGEQGLTFLGIFVGGVVTVPC YLYWVRNHLMVRLAHGHFQPEVILPPTFFGAFALPVCLFWYGWTSRESTHWMVPLVGS GCFTISIITLFMPVLSYLGMAYPQYAASVLAGNTLFRASCGVVFPLFVRRLYHIDFLK TSTA_043880 MNRKESSHHDSESLFNSGFLAEIHKPNGFLHLGHVKAIVINFGF AEYHGGICYLSYDDTSHAREEGKYFIAVADIIQWLSFSPAKVTYSSDYFDTLYELAED LIRRDGAYVCYCSNSKTGIHYRTGGKWRIYPTHDLTHCLMDSFEGITHSLCTTEFQTS RTSYNWLCDKLGVHRPMHREFGRLNLSRTVLSKRKTLELISHGPVRDWDDPRLHTLGV SKAITTIDVKQFEESVRQYVELGVPRSIVVLDPVTAIIDNLPEDCLEIVELPFSKDPE FGTSSKDLFRLTPGTSVGPLKVPSQIAATSFEKDPTSGSVTIIHASYDKPEEGAKFKK PIAYICWISDCPSLKSPIKASVRSYNPLFTCNKPDTHPSSFLSVVNPHSEETFPNAMV DVCLNEIIHGAPWPKTESTETREDLEVTPEVV TSTA_043890 MGWWWSSSTSSDNKNAQPQQPDNIPPPQQQSEPKPNRQLTREEQ ADAELRDFLKSLESSITPPTSQPSVSTSTTTASPTDQRFDTPTSESSISPDSLYPDTM SCRSAFDYAFFCQSFGGQWVNVYRYGELRSCSEHWENFWLCMKARGISDDNTRKEMIR NHYRKKAVKYKTGPSSEDVWEVRMEPLKGAFQGDWEKLEREMNLAEKQQQEKNQGIPE KEIGYTHFNSYTW TSTA_043900 MGLLEEVYGNEIIDEQAPQEYTEYKAENGFGWADALPAKQGLYN PELEKDACGVGFAAHIKGKPSHKIVIDARSLLCNMTHRGAVGSDVRDGDGAGVMTSIP HKFFVKNFARDAGVELPPLGQYAAGNLFFKPDNETLKDSIASFEEIATSLGLRVLGWR EVPRDSTLLGPAALSREPMIMQPFVVLRSAYGEGNKPEITDPEQFDERLFERQLYVLR KRATHVLGLANWFYLCSLSNRNIVYKGQLAPVQVYEYYHDLVNVDYEGHFALVHSRFS TNTFPSWDRAQPLRWAAHNGEINTLRGNKNWMRAREGVLKSDIFGEELDLLYPIVEDG GSDSAAFDNVLELLMINGVLSLPEAVMLMVPEAWQNNAAMDPAKAAFYEWAACQMEPW DGPALFTFSDGRYCGANLDRNGLRPCRFYVTDDDRIICASEVGTISLDPETIVQKGRL QPGKMLLVDTVAGRIIDDAELKQTVAHRQDFRAWLDKELLTLPHVEKGLREQSHDFRV KLDESTLQTDVRLKAFGYSFEQVSLLLAPMAADSKEALGSMGNDGPLAVLAQQPRLLY EYFRQLFAQVTNPPIDPIREAIVMSLECYVGPQGNLLEIDSSQCHRLMLPSPILTLGE YEAIKNINKVHPDWTVRIIDITFEKSKGTQGYLDALDRICDAATEAITAGDKVLILSD RATSADRVPVSALLATGLVHHHMVRNKWRSMAALVVDTGEAREVHHMCVLLGYGADAI CPYLAMECILKMNREKLIRKPLSDEQVLQNYKASCDGGILKVMSKMGISTLQSYKGAQ IFEALGIDDAVIDRCFAGTASRIRGVTFELIAQDAFAFHERGYPSRPIAEIAGLPESG EYHWRDGGDPHVNDPTSIANIQDAVRMKNDKSYEAYARSEREAIKNCTLRGMLDFDYE QRQPIPIDQVEPWTEIVRRFVTGAMSYGSISMESHSTLAIAMNRLGGKSNTGEGGEDP ERSKVMENGDTMRSAIKQIASGRFGVTSNYLADADELQIKMAQGAKPGEGGELPGHKV SGPIARTRHSTPGVGLISPPPHHDIYSIEDLKQLIYDLKCSNPRARVSVKLVSEVGVG IVASGVAKAKADHILISGHDGGTGASRWTGIKAAGLPWELGLAETHQTLVLNDLRGRV IVQTDGQLKTGRDVAIACLLGAEEWGFATTPLIAMGCIFMRKCHLNTCPVGIATQDPE LRKKFKGTPEHVINFFYYVANELRAIMAKLGIRTINEMVGRAELLKVRDDIRSAKQEN IDLSLILTPAHSLRPGVATYNVRKQDHRLHVRLDNKLIAESELALEKGLPCRVECDVV NTDRALGATLSYQISRRYGEAGLPQDTVHVNIKGSAGQSFGAYLAPGATLELEGDAND YVGKGLSGGRLIIYPPRNAVFKAEENIIIGNVCLYGATSGTCYFRGVAAERFAVRNSG VTAVVEGVGDHGCEYMTGGRILVLGSTGRNFAAGMSGGIAYVLDVNRDFHSKVNMEMV EVSGLEDPAEIAFVRGLIEDHHHYTGSELAARILLDFNRALPRFVKVLPTDYKRVMLE EAAKAEAAKKAEFTLPKLPSTPAPEEEKKDHKHEDAKKADLLDIEDSVSDSKVEKKRT ALILDKTRGFMKYHRRSEKYRNPTTRTRDWAELSTRLTEDELKYQSARCMDCGVPFCQ SDTGCPISNIIPKWNELVFQNQWQDALNRLLMTNNFPEFTGRVCPAPCEGACVLGINE DPVGIKSIECAIIDRGFEMGWMVPRPPPSRTGKKVAIIGSGPAGLAAADQLNRAGHSV TVYERADRVGGLLMYGIPNMKLDKKVVQRRVDLMAEEGVKFVTSTPVGPDEEVSMASL RQSNDAVIIATGATVARDLKIPGRELEGIHFAMQFLHKNTKSLLDSEHADGAYISAKD KHVVVIGGGDTGNDCIGTSVRHGAKSVVNFELLPQPPPERARDNPWPQWPRIYRVDYG HTEVKTHMGKDPREYCIMSKEFVDDGNGHVKGINTVRVEWTKSASGGWDMKTIEGSEQ FFPADLVMLSMGFLGPEDRLLGDEIERDARKNVKTPPGQYVTNIPGVFAAGDCRRGQS LIVWGINEGRQAAREVDTYLMGTGSLLPVTGGIVRRSAADAVPQLAAAVSA TSTA_043910 MSTPSDLQALFASMKQPRPAQSQEAQAAAYPPPGYRAGNPQSDG QRFGMQSQGYPFPHGYHHPSVSSPVYTPGSVSTPPHHASDILSPNVPTPRPDQQPQQQ RTASADQAMSLLNLLKFSQNKPSSPQPSAAVGSSPSLSATHHEGGASETQPSHSRTIS ASDLVASFMAKPGPPSTHPPAPGPSAAPPASTAAETQDMLMRLLNRSQAKVEEGSRAS QEYETTTTFGNASQATGQQSMHVFGSADKETSSFEAPKKASIFTYVNPFEQLAAASPR RTPQPKTRSDTPATEASAHRQTDTSAVPEEHVKRASLGTARKTSTTADEKPSDEKETV SKAVDQLAGQLDREVEDALSRVNFGEAVPRPKAEEAVEEFVNAVVEELPNTAAEVKKE FEKQEKTGTIDETIPAEYVSTVKEVVDEAAEGKLLKNLAADEKLADSWESAEDGADKT DQRIVRVFNFPLRPFISISLKPHTGKLPAVRDDGIMDVARLKKEFDQLDRCLTSATAQ YIVYALAKNGGLRIIRQDDGRDKQVFRFARDRLFNVALSNSAANSTSATKDQAIIAIG VSGTVYWAPVFQGDNDLFEMDALESECLVFPPYPASDENTSGGQLKTRAKPSSRHPEF FGIGRGKNIYIVSPQYAAHPSYGVSGSQRTVDTEKFFKERAMKISTGKAGKDFTFSED DTVVASLDKTGRLRFWDIQEVGQTMDGSQPPEVRVPLTTFVTGSPNEKSWPTSVQFID KLRPFVKAVALRYVLVGLKQNHTLQLWDIGLGKAVQEVNFPHENESDAICSVAYHPSS GIIVVGHPTRNSIYFLHLSAPKYNLHPMSQADYIKRVTEKDTSLPKPESTACLSGIRE ISFACKGQLRSLDILPLSKSMGAQRASEEEAGLFEIYAMYSRGVTCLNIRKEDLGWTP DNKIIEPIDALSEGYIEVKDLLSFPTYIIDEPSVNGDSVTSSAQKPSAKDGARKASDL AVDAISHAAASRTTSPVKPLGKLSADEQSEVTSGTDKKKKRKDKAKDVSKPSGAHDLS KYFTPARSTQQEEETPIGGQTLVTDTKAQTEPTTGLAIPSELIKDVQRGVSAEVVKEF NREFDGLQKRLDEERRAWDAASASKTDQILRLVSSTLADNVEKSLSRIITQSIETQVL PAIVNSASLAVGKQLEGVISTHFSQNFPRELSQRLPSSLTRAVKQPEVLHSISEAIAN SSTSRMEGEVAKLVQNVIAPSIQAAITRQSETVAREFEQQFKAQSKHYETHRKHDSDK IDQLISAVQSLKETIDVMATSQAALQGEVAALQKQLGSRRETVSPQPVPIPQPPAPSQ PTAEEMELTDITRLVSEGNLEEASVRWLQSSQQADLFDNFFVRLNPAYLATVSPIVSL SVGVAVTTALSTNVQERLAWLEYSLRTVDLGDSDIREVAPQIMNIFIQRLDGLYRAVV DANPHDPLLRKIPPLVRRARELRGV TSTA_043920 MLAIKNLLPILLSCAPAAIAEVIRRNTACNNSPSLCSKSYGEIT HLGAHDSPFLRDASTGYSVSGNQFYNTTVQLSAGVRLVTGQVHKNNNDWHLCHSDCKL LDAGTLESWLSEIKTWLDGNPNDVVTVLLVNSDDATDSELATAFEASEITQYAYTPSS TSAPTSWPTLQELISKGTRLLTFVASLSSNSNAKYLMDEFAYIWENPFEVTSASNFSC LPERPSTVSKDIPSALSSNRLPFMNHFLDKDIGLGIEEPDVDAVATTNGQNGTGNLLT AAQTCKSAYSGRQPSFILVDFFDKGPAIDVVDELNNVTDATGRVPVPNTNADDNSNST SSGTYATGFGPAETGSVSSGAASPSSTGTSDASKSYVTSGLCIALALASLI TSTA_043920 MLAIKNLLPILLSCAPAAIAEVIRRNTACNNSPSLCSKSYGEIT HLGAHDSPFLRDASTGYSVSGNQFYNTTVQLSAGVRLVTGQVHKNNNDWHLCHSDCKL LDAGTLESWLSEIKTWLDGNPNDVVTVLLVNSDDATDSELATAFEASEITQYAYTPSS TSAPTSWPTLQELISKGTRLLTFVASLSSNSNAKYLMDEFAYIWENPFEVTSASNFSC LPERPSTVSKDIPSALSSNRLPFMNHFLDKDIGLGIEEPDVDAVATTNGQNGTGNLLT AAQTCKSAYSGRQPSFILVDFFDKGPAIDVVDELNNVTDATGRVPVPNTNADDNSNST SSGTYVGLLELVDEVKNGANPSVGNWIWAGGDWLSVLGGGVSI TSTA_043930 MSSSSIESIPTPDHCTADFCLIPIGTGSASVSAQIADVQRLIEK SGLKYVMHSAGTTLEGPWDKVHHVIGQAHTILHQQGVVRIQSDIRSGSRVDKVQSFED KVAAVNKLLGKE TSTA_043940 MASDTTLIPELSPDVRLKYLAEGGANIVYRIILSVAEDKVLQQE IQPSEIPHYGRATPSPTEIEDEDINIDSNVDTDINITSGKLLRLRKNVRYGLPYRETA HDFHTKIRPLFKTEELVDQIIVRIPPSIIHRCNASLVESEQLGQRPIIRHGVYLAEDE PLGMLVTDMTNHSTTNDSGIIVELKPKWLVQSPSAPVNARRCRTCALRDMKCADEPLD LTSSTTPRDVPPGKARFCPLDLTSDKQEDMQRTVRQIFSRSLLPAKIDIVAQALYQHP IILKLLELQRIHNKVGLHGPPFASRETSLSMTLRDCSIFVKIPSSAGQKMDTDIAHNI DIRVGDLDLKSAANGKAEYWLNIERRLIDERWYMGQRESQSVTEKECALSR TSTA_043940 MASDTTLIPELSPDVRLKYLAEGGANIVYRIILSVAEDKVLQQE IQPSEIPHYGRATPSPTEIEDEDINIDSNVDTDINITSGKLLRLRKNVRYGLPYRETA HDFHTKIRPLFKTEELVDQIIVRIPPSIIHRCNASLVESEQLGQRPIIRHGVYLAEDE PLGMLVTDMTNHSTTNDSGIIVELKPKWLVQSPSAPVNARRCRTCALRDMKCADEPLD LTSSTTPRDVPPGKARFCPLDLTSDKQEDMQRTVRQIFSRSLLPAKIDIVAQALYQHP IILKLLELQRIHNKVGLHGPPFASRETSLSMTLRDCSIFVKIPSSAGQKMDTDIAHNI DIRVGDLDLKSAANGKAEYWLNIERRLIDERWYMGQRESQSVTEKECALSR TSTA_043950 MAGVFQNVFGGGASSGAVESDDDFADFAGVPNPSPASSTAGQSA PAAAVTGASTVPYTAWYRVWERVTPQDFLQEAFILPFLLILLGFHFWGTRKNKSKAKA WAIAHAPVVESEFAVVGFGSVGRGSSVKGVQSELVDPEKMLKEKSKQEYQSYATGRQN VAFLDVSIKLVKRYNPIVFIMDNALGLFFESFPQPVERVESTLYTFDGKEKEIVPTPG GGDASSLKVSNSTYDGFIWAVVHKNVMRQLRQDRYDASMTFTKDNAKLPNWVTVMTES AEITEFLLTPQLINAIEEAGDAFEYLIITDQPIDKPLKIEEATPKKRIQLSLSIPSNG YASTLSLYSYFLRLPDTLVSGAHFRPEVTRKLRNAREEEIRKLRRADEEEKAEERKYA AEKLKKEERERLLRGMTAEEQRKFLEREKEKEQRKMMKKSTRRG TSTA_043960 MLNIPSPPIPLDEFRVSYETGFLPVHSTANNKKLPLHRLSNPYY TPWEDVMTNLPRLIQSGEIRKKILDLPVLSVNGLDANEEAEWQRAYLVLAFLTHAYIW GGKKAEDKLPPSISRPFVEISAHLELPPCATYAALNLWNFTTKPSEDNEDIDLTNPDN LYTINSFTGTDDERWFLVVSVAMEACGAKMIRLVLDSIVTINMTTNNTSEDDGNTDIS SNRNVILTNLLNEMGKCIDELGHILERMYERNDPMTFYHQIRPLLAGSKNMAAAGLPN GMFYDTGDNNGRWHQYSGGSNAQSSLVQLIDIALGIQHIASGEVSDKTGKDQRTAFMK VTPLWSPFWSFEN TSTA_043970 MAPSLIAPVSPSSEETIHRMEATKFIPTSYPSNAQTREYAESLD QADTLRSFRDKFIIPSKANLKATKLAKPGLSADESIYFCGNSLGLQPRATSAYIQAQL DTWSSIGVNGHFRDLEDSPLKSWQLLAEQAAGDMALIVGASPEEIAAMGTLTANLHLL LASFYKPTESKHKILLDWKAFPSDHYAIESQIAWHGRKPSESMVLIGPDEGEYIISTE KILSIIDEHASKTALLLLPGIQYYSGQLFDIPTITKHAQARGIIVGWDLAHVYANVDV KLHEWNVDFAAWCTYKYGNAGPGAIGGAFVHERHGTVDYSQGEDKPVFRHRLTGWYGG DRSVRFKMDNKFKPIPGAGGFQVSNPSAIDLAALCSSLSVFNETSIAQLRAKSLRLTH YLEYLLLAGTTDETRKFRIITPSDPNARGAQLSLLLNPGLLDKVAQRLEDAGIICDKR QPDVVRVAPAPLYNTFTEVWTFVNELKTAIA TSTA_043970 MAPSLIAPVSPSSEETIHRMEATKFIPTSYPSNAQTREYAESLD QADTLRSFRDKFIIPSKANLKATKLAKPGLSADESIYFCGNSLGLQPRATSAYIQAQL DTWSSIGVNGHFRDLEDSPLKSWQLLAEQAAGDMALIVGASPEEIAAMGTLTANLHLL LASFYKPTESKHKILLDWKAFPSDHYAIESQIAWHGRKPSESMVLIGPDEGEYIISTE KILSIIDEHASKTALLLLPGIQYYSGQLFDIPTITKHAQARGIIVGWDLAHVYANVDV KLHEWNVDFAAWCTYKYGNAGPGAIGGAFVHERHGTVDYSQGEDKPVFRHRLTGWYGG DRSVRFKMDNSQFPSSNWYDCSVLT TSTA_043980 MSENIRMQGTHRQEYPHTPRRKRVGLAYAKRQNVQVNILSARIV HGFIRLVHIFRVVASLGDRLRPQPSMLALRARLMDLLGWYELLSCKQIDIPFYQDHAI PSLPNWENNAEVALPPWEKVLEIAQVFLVYCDCQPLPLFHRQTLLATLGHRDPEVVYA ILALAIRFCSSDVLTNADGNTSSLGALVPSYLEAARERVIKRALGGPVEISTLQTLCL LSMVEYTNDNTHQAIILSSLAMNLAKCANLAFESASIHNHAIMEERRRCFWSICLLRR FQGEDVHVLDFASPETLAKYPKSTGIAPDITKTDTPSLEVRIARGMKDEGIVAYYLQL SEIFSRTAGYVKHRGRSNGFLPWSPESEYSKIIALQMESETRVPYVHRFKFSGIPDRT VDDLQSNRDYWGPWFFNQFMYHTILCLLNHPLLLSLSLRNHRSTIPEIFIQHSSDMIS THTSWIVYFVRYFEEKRFRISDPFLGYCAAVVATIELQLSFTEDESIRKDKQERFESC LNFVQDLGKEWPHMAKMAEKLQALNGAVSASYVPYSRTQDKSLVIDLSRFWEILDYSS GFSDERSSLFGSTLFSESRNKAHEVSSTTPLPEPTRIRVSRRTSQQATGNSGTIPEES GYDFDTGLLPSEINIHGDQYSMLAENFFSQGQDFLRNTEDWFTAGNL TSTA_043990 MALASSSTTPLRLLSTSHLRRWQSGGTILKVATISTPRTPPLSS VSLLHQLKHKIPSRQFSTSSSKSFNQSTNKMAPSTQALIEVAKNRRTYYHLGRNSPVP DSEIIELVNQAILHIPSSFNTQSTRIVVALHGDHEKVWNIAIDAFKPLVSTGAVPQEV FDNQTKPKLEAFKAAYGTILFYEDPTHIKPYAEKFPAFGSKFPEWADHTNAMHQWFLW AGLESLGFGANLQHYNPLIDADLAKTFNIPTDWVSKAQLVFGSIESPAGEKQFKPVEE RVKVFGAQ TSTA_044000 MTGTHCKMGLFGSSLSRFLSRACSSQKIGIGLPTPSSLQGWPWG QTLLLPGFSG TSTA_044010 MSVVAVKEDRRGQAGRRHGGRQQGGKCGSGSAGTTKVNTQRHEN KDNETSRNGDDETNENQIRRSKRRGHGQPAPWLGDENE TSTA_044020 MASNQLLAFLLQGYESSRDDAEPQNNLHNQIPLPSRLEEEVFDS PDAIIEFINNFAQNHGYAFFKRWSKRAKSGHIKTVFVKCSLGGEYHNCMIELSTYLAY HRRQILEHATQIKAQLENGIDTCHIMASLVKEHGDNIGIKEQDIYNFRRNMRNRFLNG REPIHVLLSSFLETGDWVVNYQTHEDILTVVFLTIRAV TSTA_044030 MLSGSSTLLQLLKRPSAISLLHDLVSTSSAAGIERLNVRTERCI NCQTRRSHAKPLPCDSSSIVSGAEKNVKLQVYDIGRAKSSSRLNRRDIGRGPTEGDLP LALDVDQLEYESSFHTKKVNGRKRLVDDPERKHDFGLWKELLQYRKRRYGHRGVVEIW NGFIDRSDGIQLPVQGEPADYIWETFAEAGLVDEQLLYEFTTYAEKLWLSSRKRWSRL YQTVVGGLFTKGLPEEAISLHRRLQSIHLDGPTDIVLVMAQAVSCTPQKHPDWSLYSR ETITLGNGRGVRAFGEICMATEGHQIYETVIPFLLRRGLMLDAAYMHDFLIKGGDMPR DLNTVQQVLDFANSEGHTFSQKVRQRLRKLKSSTLNTSVLESLAPSEQVGAPNNNLQE KPDRPKDQEDWIKEKTFRDEFGARLFATKALTVDMITNGLQMFGVQAIGPLSLREIAL RADGPKDILEKMRALRKANITIRDCAFTRLVEKLALEHKDIVLEDLLHSDQHPDVLED VAVQENLLCSYLLARDWRLYNLTKAVLNEVAKDDMDNIQFRVSLSAKEWSAASDVADR MYLDAKSLTQQSIDHMFYTVLTPRLPGRLQPYPEDPKFDEVSYAARILKLAFRSGTEI DPQYWVELLKRMGMHRHDQWQQVNELCLWLAHNYVVQDDSPFLHSLSRSTGTEPDAIL AKNRTLIRHIFNPRMQMALIAWGFKSSLWYKNQVREIADPIGGGMVIRWTRGLLLLRD LERLGVTIYPSFVRQACRERLQMLFGELYSVRRWNRTLRQKNPFRFSRILNDMLTIYP TLFTQEDRVDLHKLIDRPLHGMASRRMREQYEWLGQWPASRHR TSTA_044040 MFNRTLPRFAGFVYRENRVPYYQRLFQSHDGKRQWWKTGRSGAI LYPYYISLYGTSAGMFHSVDRLANPYSTANLYDLSVTLYAMIRITLGHKTFFGKD TSTA_044050 MAFNFNWSPLMADAGFYTRAQDLLTAALNKSPKPPIIVDDIKVT ELNLGSIPPDLEILEVGDLAEDRFRGIFKMSYNGDAFLTLKTRVQANPLNTFLVTRPS FASPKPLAAAAGLTIPLQITLSEFRLSGFVVLVFSKQKGITVSSTFDSISFVRDYLQK AIEGQLRALFMDELPAIIHRLSLRLWVPEYRDRESESVNTLDQSSGPGQDPLASPPQD PVDASGNALNPSEVASLSLDSGVEIHSLFSQKNLLRLAALTDSQRTLSLFTPSIKDVV YRAWTASTELGDSNTLTSPASPVLSRAQSHIGSLHSFVDNASTISMQSGGSSNFSGHG LNLRSGRHPRPHGKKRKKRVVDLRRPKTTDDMESVSGESVFSSENATSAPTIFSSPAQ FSEEKNDDPVTPPLAPQNDLHLPTIHERRRISQGEHTLTRRSVPSMSEVAQPSSSRNS AAMIADTWPDPDATPRNSIRLPSSDRANNPLTTAHLPSSAIQYPPPIPDNNDPRQQAW LSKMAAELARRIQEEKVGPSGSRPFPDFWDDHSREEIPPPAYGH TSTA_044060 MRTVRQRRVYGKRKTNAPRAVLENGSPIKSTSSESLSLDQMVKQ EISEDIVKEIGIQVANITLDDDPPTKVDANDSQTLEESPAEKKYQTSVGTPASSKRAN DTHEPLPTPSNYAQSQSPLKVYSSQKRLSFTPRQPRAKPVRKTKPIARFSSGCVENEK ANDYVRKILDEATSQLPGRGVQKFSSWANRSESAFDPVKIAEGSYGEVYKLRLKENIF KRNMSKSRLAKLREYGEGVFKVVPLRAQKGLGSKKFTTIDEIVAEVKLLKLLDPIPGF ARFREVHVVQGRFPPSFQKAWDAYKAAGEDCENPNPANKRAYSDQQLWAILEMDDAGV ELEKFNWSSVFQIYDIFWGVAMGLARAEEYALFEHRDLHLGNVCLRSTRPDGDMQLLA PVDATQLANSSGFGVSSLETTIIDYSLSRAELRLTDDLEGKIDIASTDLDSKGLFDAV GRDEAEIFQRNTYRYMRAQLYTGVPLAEENPPNIPGIWAEYAPKTNLIWLLFILKMLV KHMKHKDYTLREDLLENQTRKPLQSCPDRANIQQQDHSIKQPKTRTPESAINTFDNDN LGKALTKLQITLTKRLNMVLEILDLEHGRDDMCCAADLVAYAIDQHWLDETDFFLD TSTA_044070 MIQGMKWVLHMLIDELPGTPLLLRMPPALSEQLQKQLAELRGWN AFETDLDDGSFFLKHMDDKCDYILVDNNYNITGFINCAFVKVVPAYKAFGHSLFTLGL NDLLNGKSGLSSQDKVMADVLRDSTNPGHMMSGLNLVRRFSFGLSMGVNLSWDEANAL FKGIVSTATVWYQNRTHEWADNSRLKTLLIRQGNNMCNRTNLLDLFYNQLYKIGSSWT KLCKVQEASMCTVPVEEESFFITYTEWNQLDDDAWEKEINKEVSELISQVNSHELDRL ATQLNNNIPCKFQPGKHISVGATMGCANYHGWLIFDNDERWIVRVPRRGFTNVPSEMV KYLVESEYATLKFLEPVNVSISKVYGYRLVSDPSNRVGVCYIMMQALPGQPYYAHEAS PDPKRRVIEQGADYMNEVCKHPLPLAGSFIMKDNQPTISAVASNRFVALGIYGPFTST SDYIMSMSIIDQYMDLFADGQLRHKYVLEAFLFYYSLRNNKDCLTTPDIPGQLFLKDV DDKGHHILADDEYNVIGIIDWQFAPIVPAADAFGPSYVTADLAFLYSSSNSISANDIL LADALRS TSTA_044080 MSRSLLSRVFPSTISPEYEPVENDELLGNAGDGTRHTPNATVSG EEEEVHALKKPFSWHDYTVFLLLGVAMLWAWNMFLAAAPYFHRRFQQSPWAVEHYESS IVSVSTVTNLLCVLVLAKLQRNASYPIRIAVSLVILTAVFALQATSTAFFRTISIGMY FIFVMTMVLGASFAVGMNQNGVFAYVSGFGRPEYTQAIMAGQGIAGVLPCIVQIITNA AESRRDDENDDDDYYKPALTYFLFAVVVTLVAFFAFLGLMNRTAGSRWFARELRAIKN APAVSNSTESQTSAPAHKTVGLWRLFLRLKWLALAVFLCFTVTMVYPVFTVKIQSVHD PATRSRIFEPELFVPLAFLFWNLGDLIGRMSPIIPALARSANYPRALFAFSVLRLVFI PMYLACNIQSSTNSTNSSAIISSDFFYLFVVQLGFGLTNGFLGSVCMMGTSQYVTADE REAAGGFMSMMLVAGLAAGSLTSFLFTGA TSTA_044090 MRSSKLLAAFGSKAETGPVCSIVAGSYRTIQTTPSQAFTKIRNV HQLRSFASKSVSDASLFRHSSRASTAPIYQPRTRSSILFQVRCLSVSQRLNNAPPPAT QTDQKETRNVAPVNQNKDEGFELSEKAAQAAQINLTAKLGSHGKDKGKKPAFNEIWRL LKIARPEAKSLGLAFFFLLISSGITMSIPFSIGKIMDLATKGDEGEGLFGMSMPMFYA ALAGIICLGAAANYGRIIILRIVGERVVARQRSKLFRRTFVQDAEFFDANRVGDLISR LSSDTLIVGKSITQNLSDGLRSIVSCTAGFGAMAYVSLKLSSVLALLLPPIGVAAFFY GRTIRNLSRKIQKNLGTLTKIAEERLGNVKTSQAFAAEISEVGRYNHQVKKIFELGRK ESLISATFFSSTGLMGNMTILILLYVGGGLVQSGGITLGELTSFLMYTVYAGSSLFGL SNFYSEIMKGVGAASRLFELQDREPKISPTKGDPVQSARGPIRFENVTFSYPTRPAVT IFKDLDFEIPQGSNVAIVGPSGGGKSTIASLLLRFYNPVSGRILINGKDITTMNAKAL RRKIGLVSQEPVLFSGTIAENIAYGVPGATKAEIVAAARQANCQFISDFPAGLETNVG PRGAQLSGGQKQRIAIARALIKNPDILILDEATSALDAESETLVNSALAALLRGNNTT ISIAHRLSTIKRSDTIIVLGNDGRVAEIGSYKDLSSRPGGAFTKLMEWQMTGGDVAPP PNSPKNISFEEQMEELEREEQEDEGDVDNDDVENDEDEKRPPR TSTA_044100 MVLDYSKWDALELSDDSDIEVHPNVDKRSFIRAKQNQIHQQRMQ RRHEIETLKYERIINDGLLERIDKLLGALREHEDSSRNPEELVFQSLIESAGDPAKDQ PPAPPAGVYTHEKEQPKYSQMMSSLVDQVKKEVTESKAENTFRAYITGVQGHKDKVLG LQQELLKKLAELEKEEKSKITSDSIHTGFDSSYIAKSDSKAKGKTPATETKVELLNSP SIGKVDGTLSSGAESDAEDDDDEEADIKVSDLAKKFAKIPMTDYKAMLQFISANPEIV AERETDGLLVEAFNNQMEGKSDYARICVHHGLLLQYCRSLGRDGISLFFKRITTKDHQ AATLFRNDVNETYNKIKTRAAELAKNKSPENDPAGVEQIQLHAVDPNTQINISIPPAD SSNPEEIEARKIFESFPPNLQRALESASLDEVNRVLGKMSVEEAEEIVEKLGHGGMLS LEEGIVDATTEEGRKKLEEIEAEGKKERKQEVEEMGEPVEDQLD TSTA_044110 MADRFPSLEDFNEGQTEVVSNDVADTDDFLARERAALGDDAAQF ATPNDQVATVDDDLLGGDEVVQQQGDSAEISGFESSFPAIDTQNEAVAPGGTITGTGS PFPSTGYSNYAQPEEEPEAVREWRARRDADLARRAETSAEKKAATIKKAQEDIDDFYV SYGNRADKARAQTRKEAEEFLANREDTSAGGTSWERIAKLVDISGKGVKGGASGSGKE RFRELLLDLRKDANAPGASGV TSTA_044120 MAYNDDSVKAKLSALNETQEGIVTVAQWVIRHADRTVQLWLQKL RDSPANKKLNLIYLANEVAQQSKARRKDDFIIAFSPVIAEATAIAYKGASNDIQQKLR RVVEVWRQRGVFELPIQEAVEARVNELDKNRSSGKKPLLGGSLFSSGSVPPELQPLVQ LQVNVSKGSVASGTAVNTANAEFDKMNDPSAPTPPAPVHAARLSQLLKSLANAESSVS EIIKSRQALMEGLEKMLESNRTALSRETALLTQMGERKAETEMRKREVEEAIMRGLSA EESSTPADNAGGPEHEPARPTVEALTPPPVESLTPTGSPTRQGNENNGDTQQPQPHPF TLSDDHQMDWSVDMHGSSLSLDEANDQSRNGLN TSTA_044130 MWRDRTNLYISYRQSFAHHPTKKPRYFGPSQGFSDTTLLSEERR GLIAGAADGLEDDGDAVIEMDLLPPRWVDVQEEVTETLADIAQKSARLDKLHQKHILP SFGDEGARKEEETIIEQYTQEITRGFHSCQKAIQRIDALVREQKQLGSVTKGDETMAK NIQISLAARVQEASARFRKKQSTYLRKLRELEGMVSPFERVSTPIQSQYSDPSLMESD ADKSFSQSTLQQTSQKQQQQLTVSGANDVAIAQREREINDIAKGIIELSDIFRELQTM IIDQGTMLDRIDYNVERMATDVKQADTELKVASNYQRKTTKRKIILLLILIMIGMIVL LALKPKRHNNNSNIPDTTTPPQEDVQNDQQIPPRLSRRIARSLEDGADDDIFGARHLY WQRDNRNNYHEFDSLFSSGLLI TSTA_044140 MVCRSPRQTIYPLNQLYRRSRLVYPPHCGAAQDVKIADSLTEEQ VSEYKEAFSLFDKDGDGQITTKELGTVMRSLGQNPSESELQDMINEVDADNNGTIDFP EFLTMMARKMKDTDSEEEIREAFKVFDRDNNGFISAAELRHVMTSIGEKLTDDEVDEM IREADQDGDGRIDYNEFVQLMMQK TSTA_044150 MPHTGVFFIPSSPNAPNIVGLLTERLRSVYSDEAIPIGRWVLEH KLMRDTPSCLPASAHAPNPAPKPRYVQFLSMTNYPKVGFIYASENVDGENPKTKTTTE SGMIMSTVPNASSTEIFRHFVRCCEPIWCHRHSVSVTGAVYEVGDFRVRLGEVRQMQP HARPRGTIMEIEWKGPSMIAAALSTSFLDHDGSQIGNEMDIDGGIDSDMETPYIPTEA QIQLEYEQVSHLIKEFWTCIYNSNDSNNNKQLSAAAVQEAILIPDVGREVKQKIAQRR QPGWQEREKRRRKKRREAIALDRSWGGFSEKQQQQQDEEEEDIEGDVDLARQYMELFR FNR TSTA_044160 MPPIRNKNRKNLDEQEGRILLAISDLQNGRIQRVALNGIQQRSL VRANSHKLTQYEEESLVKWVLDLDRRGLPPRHSLVREMANYILSQHGKPQVGKNWITK LIKRRPEIDSKFARKYNYERAKCEDPKIIQEHFDRVQAAISEYGILPEDIFNFDETGF AMGLCATAKVITGSDRYAQPKLLQPGNREWVTAIEATNSTGWAVPSYVIFKAKKNVRE GWFDDLPDDWRINISDNGWTTDQIGLEWLKTHFIPYINGRTVGKYRMLILDGHGSHLT PEFDHICTENNIIPVCMPPHSSHLLQPLDVGCFAVLKRHYGQLVEQRMRLGFNHIDKM DFLTAFPQARTVAYKAQTIRNSFAATGLVPFNPDRVIQQLNIRLKTPTPPPSRSSNTA SSCLQTPQNIRQFIRQSTTINKRINERTESNQNQEINQAVVRLSKAYEMIANDVLLVR KENYDLRAAHEKEKQKRQKSKKQISIEQGVTKEEVQALVQGQVEASHAVTTTPAEPEL PASQAVVRRQYRCSGCNVMGHRINQCPSRISS TSTA_044170 MPKGRIPPVSNFPDKIQLDRLTHVSFAHPDLEAFDGFAHAFGFE LAHRTSTGIYYRGWGRDAYAYVARKQDEKKFLGATFVASSESDFQKCTELPTASAVWD NVGAPGGGKMVSLSSPSGGVMHVLWGQEDRPIPEKAVSATEVHKGGYNTSLKKERKGE YQRFKLGPAMVHKLGHYGYLTTMFDEDIEFYTKNFNFVPSDILYEESGSEGQDSQIDT LTFMHLDKGKEYVDHHTLFLARVQDPNPELKETRHRLHHCSFEVEDFDTQLLGHEYLL QKGYTPLWGVGRHILGSQIFDYWKDPSGFTIEHYADGDVVNVDNGTGREKSEGAASMY IWGPVRPEEGVAS TSTA_044180 MEETSVVIVGAGPSGLVLGALLGRMNVKVVILEKELEVCEDPRG IVVNGDAVRISYQVGIGDELTKKIGSDLGSLNFHRGNFRTKPFMAFNILPDWAGQSVS QNIAQFQPNYEREIRNLLRTIPSCSLRIGCEVLNRVEKDNVTEIEYLTPEGDRKWIRT SWLVGADGKRGVVRKKFLEPEGIKQVDAVWPYVGTWVAINLEVDLPTPETHPDFPLWD LGYTPEEVHAAFWPKGFHFCNDPVRPAVSGRFGPKHTRFWRHEYSIGMEEEPKDCVSD FWDHFLPWMVLPGSQFSNKFRGTTISYPRDCIKVLRCRPFRFATKVVNRWFHNTTMLI GDAAHVFPPFGGQGIATGIRDAQALSWRLAILGRLQNTPISVRERILTGWSQERRHAW EIATLATKMNGSIVNQSTFWSGLFFRFCMRVLWAIPGVAKWRTQMTFRDKLAYNGQSC PDGFFLEENGGGRKIGQAWLANTTDAAVPPQLSDEVIFRDLSRLSILVLVRTSVDIPS KSVLGDVLRISGIPVEVLGEENITYLDISKHGVPVSGKNDQIWRPCSTNELEAKGIQP ILGYNKSAIHNNLPFSARFVILRPDFFIHSIAGDLDSLRRNLGRVKQYFMSS TSTA_044190 MDITTPLEPSDSFPINSPPESHSFLCENELLSLQSTELNTSSLI NMPHGQFSITDGLHPSALLPETQQLLISLYFDHIQPSFPLFRKPVFYADLIANNIPDM LLAAMFAVSSRFLPSRQVCKLFGDNSQPWDDFSRIAQQAYQDEILKNDPVPLTVVKVA CLLALYESTKAPSRQGWLLVNNAVRLALMAQLHQIDTRDFPVTVSAAEKEEWRFVWWT VWRLDCTLNVTACAPFGIDEQMIGTALVSTTVEDFTCNIVQTTTFPSIPEMDPVKFWT SPGGPQVCDAGDGFNIHLFATSLLRAVSECQQRLNIKPTVEDIKRVAALVDILASLHL ILPDWFFEPSRRDTESVYCHRIRLEIIIMLNTARLIVHEPLRQLIQAMDLSPNQNSHT VSLESWCDSISFAEAIARVLDSWPSAYFAISDPIITCAIWHAYCAMTLYSMSGLESST SDARTQTSLESLRISLERFTTYWPIARVLQGSLQEFRAWSWATLDVRRIVLLIHHIRT ALSPFASDPGKVDVKLICDSVL TSTA_044200 MKVVWERLIRFVATDGRTLYGEPILLSPDFDLGTTTAEIKLQAK VIVGSDIYDETGATRITDEVVTVQKLLGPLTQEDVPILRCVGLNYKTHIQETGRSPPP TPSIFFKPNTTITDHDCNVVIPKIAQDEQADYEGELCLVIGKDAKNVTKEVALDYVAA LTVGNDISSRKLQIDRTIAGPVPQWGFSKGFDTFAPLGPCLVRRDVVGDETQLRLQTI IDGEVRQDTLISDLLFGCAELVSYLSTGTTLQKGSVIMTGTPGGVGNGLKPPKFLRPG NRMEVRVSKIGTLRNGVEFE TSTA_044210 MTAIEHVTTEGMVNAGIKTALSTTDSDHIEDAQSPEKREIFDNG DSEDPLDPRNWPQWKKDVNLALISFHALMTNFIGAGIIPVYLTFAQMFNLSVQSISYL TSIHILFTGLVPFVLVPWSNRFGRRPVWLASTLLTAVCNIGCAKSSNYAEMMVCRILG SVFLSSPIALGPPVVVEMYHEHERGIKISIWTAIVTLGPPLGPFVMGFVAQRAGWEWI YWISAITSGVEFVLYAIFSPETRYIRQPGSSFGSDKKPTTKQEYFSFHRIDPTPMTVA EFIHPFKMVKYMTILIPIYAHTSIFNLSAAMLTVEIPQLFAIRFGFNAQQIGLQFIGI IVGTMVGEVFNAVVLSWLHRRVQQRQLQQGDSRKKAANPTEYLFSSYLGFCCMIAGLV IFCILLGNTKPMHYNVGPIIGIGIAAFGNQIVTSFLINYIIQMHTEHAASISIILGFI RQTWCFIGPFWFPPMFENLGFEGSAGLLVGLVVASVLPLIWMHWVYGRR TSTA_044220 MPVVRKRLVIPAFILTVFIYLFFDSIEYEDYPSPPSPPPDLRTD APTEEPVHWHKIPEHYPVKEFIELPGSDTSHKPIPKIQYDFPKEKSSDRRKRLERQAS VKEAFLHAWTGYKTHAWLKDEVSPITGGYVNSFSGWAATLVDTLDTLLIMGLDHEFKL ALEAIEQIDFSTTTDKSINVFETTIRYMGGFLAAYDLSEGKYPILLQKAKEVGDFVYG AFDTPNRMQVSRWDWKVSLAGTPMRASSQTLLAEVGSLSLEFTRLTQLTGDPKYYDAI QRVSNNLEKSQSKTTIPGLWPMVVDLENLRFQGSQYTLGGMADSTYEYLPKEHLMLGG STDQYRRMYEKSIEPIKKNLLFRPMTKDEPDILFSGTRNGGTLEYEAQHLTCFIGGMF GIGAKIFDRPEDLKTAEKLVDGCIWAYDIMATGLMPEIFNMIPCEDLGKCPWDERKWM AAVAKMSHVNAHDEETIRQYIKNAGLAPGMTRVSDSRYILRPEAIESVYILYRITGDK KYQEAAWRMFNSIEKMTRTDFAHASIRDVRFTDSKQVDKMESFWLAETLKYFYLIFSE PGLISLDDYVLNTEAHPLRRPRT TSTA_044230 MPAAIAQHSLATKAGIPTTTTSHPENPIPEEPQNIPRISDSQIG SNIVQDSHSTASNQSVTGLSETDSDTAETPTTEHSDNGNGSRFDDAALKKSQGTTRAR RASTALISESSEDVQRILGNIGAGGTQLVEKVCCGGGCCLSQPLPRSEEVVLNPIRTP DNHAYRSLNLKIRTLALDSVLTNIVPLPEKTVSFGRVSSGLVDVKLGPTDHPPKFVQP HPPYEVFRAPLHHARELTKPGAEKRTYHFDIDVTDYPVEGGDVDFVVGGAIGVCPMNS DEAVDSVFNCLGIPKPIRDKKLMVRTAGGRWPTIWGEEQPRELVTTRRELLKWCSDIQ SYPPTKQLLRVMAEYASDPHEKKILMFLCSAQGQSVFCDLRTGVHVSLEQLLQAFPSS QPPLDYLLSVLGTLMPRFYSLSQDPTISCTTQGEKCRRLIEIAVTVHESNDWKRGTRT GVSSGFLQRLADQVIAAEQQGIDPKTLGIHVPMFRGLMANPLAREFVLDGPMLLIGAG VGIAPFRGFVQRRLKSANCANKVWVLQGIRDSLLDELYSGEWGVHEEQVKKVVQSRRG ESRYVQEEVRHQADLVWFVINALDGRVFVCGSSKGMGEGVEEALIDVAMAKGNLNREQ AKEFWGRKKEAGQYIAETW TSTA_044230 MPAAIAQHSLATKAGIPTTTTSHPENPIPEEPQNIPRISDSQIG SNIVQDSHSTASNQSVTGLSETDSDTAETPTTEHSDNGNGSRFDDAALKKSQGTTRAR RASTALISESSEDVQRILGNIGAGGTQLVEKVCCGGGCCLSQPLPRSEEVVLNPIRTP DNHAYRSLNLKIRTLALDSVLTNIVPLPEKTVSFGRVSSGLVDVKLGPTDHPPKFVQP HPPYEVFRAPLHHARELTKPGAEKRTYHFDIDVTDYPVEGGDVDFVVGGAIGVCPMNS DEAVDSVFNCLGIPKPIRDKKLMVRTAGGRWPTIWGEEQPRELVTTRRELLKWCSDIQ SYPPTKQLLRVMAEYASDPHEKKILMFLCSAQGQSVFCDLRTGVHVSLEQLLQAFPSS QPPLDYLLSVLGTLMPRFYSLSQDPTISCTTQGEKCRRLIEIAVTVHESNDWKRGTRT GVSSGFLQRLADQVIAAEQQGIDPKTLGIHVPMFRGLMANPLAREFVLDGPMLLIGAG VGIAPFRGFVQRRLKSANCANKVWVLQGIRDSLLDELYSGEWGVHEEQVKKVVQSRRG ESRYVQEEVRHQADLVWFVINALDGRVFVCGSSKGMGEGVEEALIDVAMAKGNLNREQ AKEFWGRKKEAGQYIAVSFPHLDFRIKSDS TSTA_044240 MKTSKQAITKTNVFVVGWYGDNGPDNPYNWSFGKKLWVAMLLFV YTFSVYITSSLYTSSTPDIMEIFGLGNIVASLGLTMYVLGYGLGPMLFSPLSEIPAVG RTFPYVTTYFVFVILYIPMALVNNIAGILVLRFLLGFFGSPCLATAGASYGDFYGATQ MPYVIVLWGGGATLGPAHLSLNSRLKKMGWCWSSWEALWLSAPTMVVMFLSMPETSAD NILYRRARRLRARTGRTDLKSESEIRQSFPLVYGEMYGFNLGEQGLAFLAVLCGLVAA VVLLCCYFYFIAPRQLGKYDPVPPEARIWPGLFATWLIPIGQYIFAWTADPGIHWIVC LFGIAISMCGVFIITQCMFIYLPFTYPRYSGSLFAANGFARSLLAGASILFTRPMFDG IKVSGGATLLASFSVLCSLGFYVLYYYGARLREQSRFTGM TSTA_044250 MGYSEAKLVCEYMLDEALHRFPSLFRAMAVRIGQISGSNTSGYW CPVEHLAVMLKSSQTLHVLPQLEGGKISSLLSFLDAAGMAGCECGSVIAITTYAQCPA SLQKLGNTICNGHKYHEQDNIEL TSTA_044260 MVNYGVSRACETCRKRRKKYDEGRPTCSRCAKAGRVCLGYRSED QLHFRYHAAPVNAPVQTPLPPISEIYTTDHAVRFFLDQYVVRTTDTRISRGFLHGLPS LLANAEPYTDLVQAVEIVAWASLGNQFSWPDLLVKARKQYITLLQSFQVLLLSCQLHS PTVEALVIVILLGMYEIVSSADLLPEQQQHVAHVRGVYALLLSDSSPFDLRSSTQLFQ VASPLLARGALQNDHTAGVLCAPASNNTVRNLGQILIECHSLFERVNTQLQNPQTPPT DLQETFAKALRKEYAFSQWDTNLDTSWQANTIGYVTEAEAQASSCPFCWHGAVHSYFD VYVAAVMNTYRKTYLMLLEALIRTASHINPVNHADTITNWTHEARLLADDIVASVPYH LTSNLRDYLRTITSQKGTPRIGRSVGGLLLLHPLYVLSTSSILHPPMQSYVKSCLAWI GQYMEIGQGTLMSKVNGSQGYAILPFQEMAEEHVLIWAGMLLQPIEKRECGAASSKQN QNDCG TSTA_044270 MSQPPGRGSDRNHRKENNDMALTYTGGYPAPGSQTLPSFRELLP EHLHKEIDQAAYYSSQSQSTDRHRTPDPSSYNKSISPLPYPHSSSTTGSSGRGRPNQL LPPLRNLQSSSRHHRGRPMSSYDDASSRSGSSSGRGYISSRGSTEDDRRYRSASGTAM YDNQNAYDPARYAAQQPYMGSSYPSSNHSGQSADYDYPSPMSGHSHTLPASSTSFGAM GGGGGGANDLLDSRGKRRRGNLPKNVTDVLRAWFHEHLDHPYPTEEDKQRFMNETNLT MSQISNWFINARRRQLPALRNQLRNSDGEHLSRGHSPMSDVEASMSPPHSRH TSTA_044280 MKVSFITCATVASLLAVVKAATPDEWRSRSVYFLLTDRFARSDN STTAECDAQLGHYCGGSWQGIINQLDYIQNMGFTAIWITPVTEQLNQSTADGESYHGY WQQDINSINSNYGTAADLKALASALHDRDMYLMVDVVANHMGYAGAGTDVDYSVFNPF NSQDYFHPYCEITDYNNLTMVEQCWEGDNTVSLPDLDTESTDVQNMWYTWIPELVANY SIDGLRLDSALEVQKDFWPAWNNASGVYCVGEVFNGDAAIACPYQNYLDGVLNYPMYF PLLRAFESSSGSISDLYDMINTVKSDCADSNLLGTFVENHDNPRFANYTSDFALAKNA LAFTILSDGIPIIYSGQEQHYSGGSVPLNREATWLSGFDTTAELYQFIAKLNKIRNYA IQLDKGYTTYKANPIYQDTNNLAIRKGTNGSQVITVLSNSGADASSYSLAISGTNYTA GTVVTELISCTNITVSDSGDVSVPMASGLPSVLYPASKLNKDGHPC TSTA_044290 MAVTAVLAALNLLISVQGAVVESVPRASGSLDSWLAAETPIALQ GVLNNIGSGGVFTEGAVEGIVIASPSKADPNYYYTWTRDSALTLKTLVDNFIAGASDL ESTIKDYINIESVLQGVSNPSGSITDGSGLGEPKFNVDGTAFTGAWGRPQRDGPALRA TAMIAYANYLIDNGQTSTAKEIIWPIVQNDLAYVAQYWNQTTFDLWEETQGSSFFTTT AQHRALVEGNTLATALGESCANCVSQAPQILCFLQSYWTGSYILANFANNGRTGLDAN SILGVIETFDPEASSCDDVTFQPCSERALASHKAVTDSFRSIYSLNSGIAAGSAVAVG RYPEDVYQAGNPWYLATTAAAEQLYDAIYQWNKIGFINITSISLSFFQAIYPSAATGT YAAGSSTFNALISAVKTYADGYMSVVERYTPADGTLSEQFQRDNGVPLSASALTWSYA ALLTAAARRAGTVPASWGSSKANTVPTTCSASSAKGSYTSATNTAWPTAPTSSTRPSC TPPSDVSVTFQEIVTTSPGQDIYLTGNISALGSWSTTAGKAIALSAQDYRSADNLWYV ALTLPSDTAFEYKFFKNESGMIIWEDDPNRVYVVPSDCGVSTACINDSWQ TSTA_044300 MSSAVGLRSSVNDLLAWSQALLRALVDQREPGRASTPDLPLKHL KNIMSGHYPLISFYPAVLETLLETSNPADYESLSRQTAAAASINKFTTLNEKLKSKWI LGTHPKLLHTYIGKYYNSIKNFFLSHGRSSIITTTLFLGSCPVTNTSSVLIFLLLPRI FTQVRASGG TSTA_044310 MEPIFETKGGAASPPPATPTPQKTSKQACDNCRRRKIKCNRAQP CDKCQRLLLSCSYCDVLQRKGPKFRTLYPLAPLQSLVTQYPTPPQSIADQTSQSELMV PLPWNINIQQTYEAYSSPESVDSQYELPNSSSGYHQTALLPQGPSQNALQPHQPQTQP RSQSMSHSRRLSAAIILAHLNIYLKSLYPIIPILNPEQIVNDSQHPEQLSTQRYAFIA ALCAATHIQLQLEAMVDPSSQSYPDSALALSGIEILTEATNARNECNNICEQVNLESL LTSLFLFAAYGNLNHHDQAWFYLSQATSMALTLGLHREATYSAFGEEEGEERRRVFWL LFVAERAYALQQAKPIMLRNSIRKPSIIPSEDHMVQYSFHNLINIFEKITAELYDWIS IECDENFIASMITGRANVRDMNHQFSKPIPIGSVAEIQNLDSVLTQQWLQVMAWKLSM SNLSRFRTTDALLPFHFPVLVAKAVMDVFQGSMVFQTISTQERRLSMMNTNPGMQDHS YQPHSQPPMAHFAVDNFSPNTRNINSEEFLLDIINILSRIRPSYNPSHQPQPHLCYKF WKLYINLKCLFKEEACTYMCCCVERKPWKLPNCFVPSSGGCGNHLIHVGDNLSCVEET IQSSPDNKDLEELKFVLHHGEVLFRNNFGSRDIEAGLKPDSDTIYGIASRTKAFTSSL FGIMAEKAKIT TSTA_044320 MATTTTTTAIELPNNVARTTAAAANNHSTSHHEDDDVMQASLVA DSEVPDGGYGWVLIFACAVICFWFVGTTYCWGVFQAALVEQGVSSASTLAFVGSLTTA CVSFMAVVNARVIRKLGPRLSGLLGVSLFGLGEVMAGFVTGDVVGLFMTIGLVMGLGT SLMFMVVSVTPAQYFKTKRGIANGIVYAGGGLGGAIISFVTNGLLQSLGIAWTFRVLG FITLATGLPAAYLIKERVPIRPTSFIEWRLFRDYRFILIFLGGALATFPLFVPPFFLP LYTNSLHMTSSAGAGLVAAFNFSSAIGRLLCGFSSDRIGPLNTVFSSLLLSALSALVL WPVSSSLGPLIVFVIINGMANGGFFATMPTVVSNVFGSARVSVAMGMVVTGWGGGYLL GAPIAGYLLNASGGEKRGIDAFHPAIYYAGSMALGSASLMLMARLGIDRRLTQRI TSTA_044330 MSIAKDAFFVGPATRQKKRIRLILIATIAALFFFFSYNFSRRRV QFIPSVSGYDHDEHTGSVAKPPSLTAGAGTCNIDTGVVERYGLTGDVKYSRREIYVTL TDKEEIPITTKMDVNLFDERPTSLSSNVQTTVNCSEPITVRVPPSLPFRDASHIDFAI STNMERLMDSMDAFSVWAGYTNTRFLVFIEPGADQRKAQRKAKSLGLNIELYESDVDY ENRYSLLVKLLADHARPETRWFCIMDDDTFFLSMPRLLHMLGKYDDTKPHYIGTVTES DSQLSMFGIFAYGGAGMFFSRPLMDELGRIWDECDAGTDHGDGKIAHCVYQYTRTKLE METGLNQLDLMNDASGWFEAARSIPVSLHHWKSWFSADVVKMTAVRDVCGTNCVLRQW RFADGWVLTNGYSVIHHGASISDDYGAFAMEKTWDDLNGSTDESFVRTLGPLRSKEAD KISLRLEDAIVEGGQFDNSTFAALREATKYWNLFGG TSTA_044340 MRFISSSSVATALLFSSLGVEATPDTSFRSKRGINATTWKPTPF ASSSTLSKSSNYVELKKVTSGSAKHSAAYCKGLPKGSTGLTSLEEGQEFAVPITIGTQ NFEVILDTGSSDTWVVEKGFECVDVSTKKQEAESYCGFGTPYTLEKTFKTVKNEQFSI GYGDGEFLQGPVGTETVTLAGIAVKGQIIALGNYTGWFGDGTTSGLTGFAYPALTSAY TNGTQKVYNPLFTTMYERGLVDSYFSLAILRDVSGPAGYLTLGGLPPIDFDETFTSTP ILITSIQGYPKAYDFYTVEVDSINLNGKALSGGSGAMYIVDSGTTLNYYPTSMANEIN AAFSPPATYSADEGAYVVSCNATAPKHSITIGGTEFIINPLDMILNVGNNTCISGIID GGSNASEDLYILGDVFQKNVVSVFDVGAVEMRFAPHVNYTSNDTN TSTA_044350 MSTAESCCTRTPIGTNQKVPVVKIANLDTYVTGNTSSKSGVVVI YDIFGFYPQTLQGADLLAAQTGAVTFVPDVLENWYALHDWIPPDNEEKRIAFQTFFAE NAAPPLVLPKVNAWLAEAKGKYPSVEKWGILGLCWGGKIAVLESTEGTSYAVSGQVHP GLYDANDAKNAVIPHVVLASKDESTDVTAEYKAIFEKSSLDSYVETYTTMHHGWMGAR ADLDDAENKKEYERGYKEIGAFFSKYL TSTA_044360 MLDSGCRTWGVVIQWARTACETEQGIPFDRAQWNPWYRLCIMVD EETLQSVLDIPPEDVDSYNSTGFVILIDGTWQPYLLSEEDLEGYISPPPENDFEPVQG CTLEDVGWMKVHCHRAQIVASAHICDGGDWEREYSRPPEIGFF TSTA_044370 MTATVQSLRALLQRSTIEDHDEILKASNEVLKKSKTDIQAQHSK VVALIKLDRYDDTLRVFEDAGDALKGKAAVEYAYALYKCGRLREAAEVAAKSAGGRGA KHVEAQASYRAENFANAATIYDGLLGDEEELGNEYNDLRINTWATDAQRLWQREGDLS RARKPAREDLESFETSYNAACASLARGDLKQGEILLKRAKELCKTSEDLSPEEKESEL LPIAIQQLYSLVIQGKLEEAEEIVEEISLDNIVEKSTARIAQNNILITQHQNINPYIL HKKFHEAPEPEGSDTFFKFQSDTLEANSHALDLQVQKYDGVIRSTAKALARRPYPSTN PHDNLLSIYNAAARVRGETNQKAIQELRSLLDRRPKDIGLVLTIVQLYAGEGNTTSAV NVLESSLRSLEESISESDQAVRYNPGLVSVLIALYQREGRKSHIKTELAKAASFWRKQ SSEQQPSSLLRAAAASLLHSSDPADLAISQELFSTLRKKNPADKFALAGYVASYATSD LQKVQKELNILPRSQDLVSDIDITALESAGVPQSQASLAANAAVIAGARKRAAKEQQN ARAKKRIRKSRLPKDYDPSKKPDPERWLPLRDRSSYRPKGRKGKQRAADRTQGGIVNE KVEAEKQKAATGGGSTLAKKKKGKR TSTA_044380 MGFASKIAASQPPGGGGVYSGAPPAGYTGGPPPAQGGSQYPGQQ QYQAYQPPQPQGGQQQPPASGGTVGP TSTA_044390 MSRPYGQYPGQQPPYPATSSPQPPYPAYSPGPPPQQPPPPSITP GYPPQQSQYNQQLQQGGYSRPPAPLPQYGGGTTPQPQQSYGQPPPGPGQQLPYPPSYN NPGGSYGAYPPQGPPAGSGNYGAPCSGGYSGPARTATPQELSTYRNLLIATIQERQLQ PFYPPDKLEHVVRGLESAPDRIQQLMREWNVGQEVAMDVVKLALFDTVIYVDDSGSIQ FEEDGSRLTQLRQILGLIATAASKFDSDGVTIRFMNSDERGDHIRSREDAEALVSRVR FSGLTPMGTSLKNKVLDPLVTGPARAGRLEKPVLVITITDGQPAGEPHGAVKDAIRSA TDELSRSRYGRGGVNFQFAQVGTDLHARQFLAKLDEDPSLGGLVDCTSSFEVEQDEMA RANPPVNLTRELWVAKVMLGAIDSSYDTKDEKAQATPAPVGGQYGGGGYGQAPPYGQP QGGYPPQQGGYGHPPQQGGYGQPPQQGYGQPPQQGGYGQLPPPQQGYGQPTQQQYGGY GSPPPGQGGPYGQPGYPPQQGYGAPPPRRY TSTA_044400 MSGREGDHPQLPEQAAAQGDEGTTQVTADTSGNRSEVSPQPPQS HIGRAATLPTRRSLDTHSKHVPGKVRFSSEIERLPPANYDGSTRHSADAQRPPTAKGL RINTDVESFPSVVSPNSRVVHSGSAITNTPLSPPSPQSRTRDRGYSLRRTLFARNIQS VTETTGPSIELQTKRPSTAKGSDTQEKVSVAEEEIRPVQTLETDTQDDDAISSTYSES TPLKYTLSLTAGQNWLKAAACESKAYEHFSQWNETIKEKVFRIKPLPPTKDGRHIELN VSAVDALVDERSGSPYVNNSIRSSRYSLWSFFPKQLVAQFSKIANFYFLVVSIMQMIP GLSTTGTFTTIIPLLIFVGISMGKEGFDDLRRYRLDKEENNRYVHVLQPDGRRKREME KPTKDTTPALENDGDWVAVKWQDVRVGDVIQLRRNQAVPADVVLLHSDGQNGVAHIET MALDGETNLKTKQPCQAVAKACKTPEDIIARRRYIRFTVEDPNIDLYKFDGNVTVDDE KLPITNTEILYRGSILRNTERIYAMVIYTGEECKIRMNANKNPRIKKPTLQTVVNYVV VLIVAMVLLIAMICTIAYSVWDENYQEHAWYLNHATVAIGPVFTSYLIMFNTMIPISL YVSMEIVKVGQMLLLNDIDMYDEESDTPLEARTSTINEELGQVSYIFSDKTGTLTNNT MKFRMMSVAGTAWLHDSDLREEAARDADKHWLIHKKRSVKGKKAMSRKSGVESIHRAS SFRMSTASVHGELPSRSRSVMHSKQFRGGNTTQMLEYIQRKPHTLFARKAKFFILSLA LCHTCIPEQDEAGNITYQAASPDEMALVTAAQQLGYLVMDRQQKTLTVRTYPSGLDDD PWDETYEVLDVIEFTSARKRMSVVVRMPDQRICLFCKGADSTITKLLRQASLAQQKAV QIERRASQRKAEEAIEAMRRNSEHHSPINVRKPSLSRRVSSGLSGKRDSLRRSVDIWL KDRETDGGMLNKDNGSEYYSPRPSAQFNRNSMASSDGRPSFQEEDDGYLVEESLVVDD HAVFERCFQHLNDFATEGLRTLMYASRFLDESTYTEWKQAYHEASTSLVDRQEKIEKV GEQIETQLELVGATAIEDKLQKGVPEAIDKLRRANIKLWMLTGDKRETAINIGHSCRL VKEYSEVIILDHEACDVEKTIVSTINSIRKGRMAHSVLVIDGQTLSMIEGDEILLPRF FKLAIMVDSVICCRASPKQKAFLVKSVRKHVLNAITLAIGDGANDIAMIQEAHVGIGI TGKEGLQAARISDYSIAQFRFLLKLLLVHGRWNYNRICKYTLGTFWKEMLFYLSQALF QHYNGYTGTSLYENWSLSMFNTLFTSLAVIFLGILEKDLSASTLLAVPELYTKGQRNQ GFNIRIYLGWALMAVIEAVIVFYTMYGLFGQSLIGVDNTIFPMGLLTFTACVIIINFK LQFLEIHYKTIASAIVLFVSIGGWFLWNIILSTRYNDAKSGKSIYDVHGNFLTRIGRS LNFWAVLFLIVASVLLFETTVTLFRQYFFPTDVDIFQHLERDPAIKRRFEEAAASELQ QGWDRASDKVKSSLEIARNEEEQLAREREVNEYLNRPRTTRHSSSSPVRGEIDEEAAV VGRSGSVASANPRRKSHDIHDLFSKGFGTIKRGQL TSTA_044410 MRSTTFAVTALGMAALATAQDGLISNSKASTPSPTTFASGTTTI KIFEAGETGLDPSGYAGSIININAAATTVLLNCVDPNDENCQSGITMTAGASTWGYQW TTEETFYGYPVTVVAKMGCNVISSTQEATCVVTAEATASAEGQKTTSISSTTTVFPSK EITYEALLITAGVEKLASPQATATPKGAGAVVAPPVPTGSFKLGGMAAAAKIKSVTDF VHIHVSFTISDTIPEDAG TSTA_044420 MANRLNINFSVPELPPPPENWNVVQYGHGLYYTLEVALAAAAER RYSGALAHLHFFQGYDQGVIGGVNASSKYVTEVGIGKPDGTVTDTTHQGGVVLSAFTT WVLFSATLLGDAQNSNFMIYARVVTGIGTGALTGITPVLVSETSSADHRSGFLGCVVI ANCKRALNPSSFWYSASLSRDISRILDLIRLAFLDSGRSDCFPALIPACCIKMLPDSS RYLASVDREEEARELLGRIRKHSASPEEIGREYLDIITLAEESQRSSPVLFARMALGK GGKQHPNSGRRAWLCVWLQIMASWTGITVGASTAFAACSPVLLPQVGYSAIKQNGLTG GINTIVDRLGRRVCLMAGSAILVNVNLVAGAVYEGSLHNPEKASQYASGAITMLLSSN IGYAATCGTVAFLLPTEIFLSHLQTQTVTVERHKASHTYFFFAGLNLLWIPIILSLSP ETRNRSLESIEALFSTLNPFYWKMEQAYKLHGDVLAEHGRHRIE TSTA_044430 MDSTVVNGAPSHALDLTVLGLNSGTSMASALARLSQEHETHKTP ESPMHFELLKYGEIPLEPVIKKRVMNMILHNQTSPSELSEVNVILGETFASAVHQFCK DYHVDIESIDVLGSHGQTIWLLSMPQQGETRSALTMAEGSFLASRTGITTVTDFRVSD QAAGRQGAPLIAFFDSLLLHHPTKLRACQNIGGIANVCFIPPDTHGGADECYDFDTGP GNVFIDAAVRYYTDGEREYDQDGEMGVRGKVDQELVDDFLRHPYFVLDPPKTTGREVF RDTLAHVFITKAEAKGLSPDDVVATITRITAQAIVDHYRRYAPKDLEIDELFMCGGGA YNPNITSFIQEHYPNTRIRMLDDAGIPAGAKESITFAWQGMEAVVGRSIPVPTCVENR QEYVLGKVAPGKNYRKVMRHGILFGRGRDHLPPVEELVNYVDGKVFNNKW TSTA_044440 MDKYEVALEALRSLDPGGKPNISLFARTYSVDASNLRRRFLRLT GPKQVQYDNQRVLNHGQSQAFIKYINQLTEKGLPPTNRMLANFAEDICGKKPGKNWAT RCLKSHSDQLISRYSTGLDMDRKNADKAWKYVLYFELLGRKIMQYNLASEQIYNMDEK GFMLGMMIKEKRIFSRYKYELGGFKQFLQDGNREWITTIACICADGKAISPSLIYSAK SGNIQDSWLQDFDPKAQRCFFAASESGWTNNDIGYHWLVDVFDKETKSQASRGWRLLI LDAHATHTLQPLDVASFSPLSQAYSNELNSFLAECQGSRLTKRDVFRLFLGSLGSHLL QSEISDLPSKILVYILSIQHTGDATTPSNGAFIWRKSWGGTEMRCCGRTTQRVLKCAR RAFESNQLPFDMCRARASLAQTLINPSSITLMELTTFSNLSHKWHISYNVLKLVYTGP LVPENDYGANNAMLVVNRKHEASGPSSYTQSPPGVGSTPGAGIDQMPHFQLPPELLID WPWPLLL TSTA_044450 MTSSNFVTKEELKWLFAEVLGIQNAQTTLAGKEPISKKKIEDKT TIEREFVPRRWNTRRSMKYEIPQDVNTRLSTLPEFGTWMN TSTA_044460 MMKLHVDGRIMVDAAIFRRSNLNYPRLEIKKLDIADFLFQQVKQ GEPEGHVRSKDIDFREIKEGDLAMCSPIVLGLSLNQKVWGEFDVESIKEKPPLMTL TSTA_044470 MHRTAKNVSMTGKGYMIWNCNRPLPGETIKGIQDTVERDKLYGP LLDETRATYNCAEDWKNHREEWKKIIMDGSRFEIIVTASLPSDRAEPYFWQGPLVGRF VKNRSLSRLRKAQPCPASIAFIKPLDEALTPANGMFNIYPGSENLQTEEELMASGIPA ETVIIGRNQLLVIGSVWVETRDEGGAVFLWAGYSSNLIGIDDNKALEFIQAAYKGDAV D TSTA_044480 MDPIGNDDSVLAALQILENAEDREILRYPERSLNVGNRLLSIAK QASSAPDSRPRKAADLFQIIIREQPGLHAYLSDDPTSAIRTIRTYDNLQITSFAADDQ ILLSHILDLRNWIWDYRTQYLPEVLSKSMQDIPLKIPPQQGKLKRFIHSRDLPNNVYS RLKTGHRCWYIEAKVYQKTENPGSARPM TSTA_044490 MSAEPTSAYMLRIAIIGGGPAGLSAAIALSALPDVRITLYEKAR ELREVGAGISIGYNCWKVLELLGAAEEVRGHLQQNVLHRNGLSGEIKRVKPAPSSVPL KYRSKRVRRTRLQAALISKVPPGIIQLNKKLVSIHDAGTQGVHLTFEDGTETNADLLI GGDGIRSVVRTSLFPEHTTKFTGTTIWRTLIPAKSLYHIHDLFPDTSWWHGPSGHCYF SLVDDPSEFSDSSTSSSEQLMEISCRYLIDPALDTERRFSWGVPATNERVNVHFTQYD PRVRDALSRVPEGGWKEFSAFSGPRLERLVGWKKVVLLGDASHPLSGAFGSGAAFALE DGWILARAIELTISRAQLTPSDNDSDRQIIKARNIADALEIFDHIRSPYYRRMYELLD SRKGNVLQAQTKAKGQSSEPLHIFESVLPSRLEAFPLDDELSWIYKNDIEKIWQNYLQ QERGEGEIPLGSNL TSTA_044500 MSTFGDYFRVTTYGESHCRSVGCIVDGCPPGMALSEGDIQPQMT RRRPGQSALTTPRDEKDRVEIQSGTECGFTLGTPIALIVHNEDQRPKDYGGSTMDLYP RPSHADFTYLEKYGVKASSGGGRSSARETIGRVAAGAIAEKYLKLAHNIEIVAFVSSV GKEELFPPSPEYPSYSTNSNFLRLIGTITREKVDEFAPVRCPDTEASKRMTKAIEAFR DKKDSIGGTVMCVIRNVPAGLGEPCFDKLEAKLGHAMMSIPATKGFEIGSGFGGCEVP GSVHNDPFIVTPEVGGISDKKRLTAKTNNSGGIQGGISNGADIYFRIAFKPPATIGQA QTTTKYDFEEGVLEAKGRHDPCVVPRAVPIVEAMSALVIMDALLAQKSREASRSLLPP LPKSLPIRPETTN TSTA_044510 MYRPFTSSSDDINNTVLLYYKRRNILDPFSLIINQKSPPDRYEG GRGESGGIFNCLVPPSASTSTIIPSTNRVFKFLEQKTYSSRDEQSTPHRWIRLPCRYT SLKICASHILDMLVHRGYEVVTTVRSEDKASKIREAYPNAKLSVAIVPDIAQPDAFDE VVKISGLDIVLHTASPFHFNWSDAKSELLDPAITGTTSILKAIKKYAPSVKRVVVTSS FVSMLSVEGLLDPNKVYSESDWNPITYEDGLSGSKVDAYRASKTVAERSAWKFVEEEK PNFDLVTICPPLVFGPSVSLSSLSAINTSNERFVELIQGKWKNEILPSLGVNLWVDVR DVAFAHIAAFEKPEAGGKRFFCVSGKCSNREIAAAARRNFPQLKDKFPSEETKGGDYP PVVPGYDNSRATKILGIDWIDLEKSTIDNIKSLLAAGA TSTA_044520 MSPFPPSNFIIQLLAGALPLFGGLTNQQTNGNLNASSWQNLPEF LPGSTLKHGYPWGNLKYKPDIVNEPLPETNVTRYYHFDIAPGTLAPDGYQKQMLLING QYPGPLIEANWGDWIEVTVSNSLQDLDEGTSIHWHGLRQYGTQYADGVPGLTQCPIAP GSNFTYRFRADHVGSSWYHSHYSAQLTSGLVGPMVFYGPKSAPYDIDLGPVLLSDMYH PYYEKLVERVNNNGSEVHFAFSDNSVINGKMVFDCSSVTDGTPCVSNSGVSKFQFQPG KSHLLRLVNVGSSGLQFFTIDEHDLTVISNDYIPVKPYTTNSVTLGVGQRADVIVHGK TGADAERNYWMRANLSVLCTLPEQPYGLAAIYYDEKDFEDSKTPTSAPQPLIDADMPC SNPPLNTTSPVTRIPAPPADQTITIHINNTKNETGHPVYLLNNQTFRVNYNEPILDLA DEGIFYYPSDPEWNVYSTGNSSVVRIVWENQKVDPSDPNFYNLTFTHPMHLHGHDYQV LSYGFGEWDGTIINPENPIRRDTTLLPASGHLVVQFTTDNPGVWPFHCHVAWHVSTGF LINILERPDDVKGQPRIQKTIDQTCAAWDAWSNRNIVDQIDSGL TSTA_044530 MPSTISEPVKSFKVPLPTPSRVKSALPLDESTKRHVETSRNAIR NLMSHQATDDRLMVVVGPCSIHDTNAALEYASLLAQAAERHRRELVVVMRVYIEKPRS TVGWKGLIHDPDYAQDLENPNNSTTPDLSKGVSTARKVMLEVAKMGLPVATELLNPLL VYFLDDVVSLGVIGARTTESQTHREMASDMPMPMGIKNGTDGGLKVAIDAMKAASRPH TVVGVDEDACLAYHMSRGNPDTFVILRGGSQGPNFSPEHIQAAEAELIRAGKKPAIVV DCSHGNSSKDYRNQGSVAACLADQIAVGAPIMGVMIESNINEGRQDVPDKGSKGCLKY GVSITDGCVGWNETESILESLAAAVRQRQEASTASSPVEESLPTIMVGQSLGDEKHTM QEHAVEVFV TSTA_044540 MSPSATFPSESTAAPTASFPEVHIPSDKKAGLRTISLYQLQSGS ASERNLLLETCMNDGFFYLDLSHPNFASLLAFVDATFNCSKELFNYPPEIKSLFDVDK ISDLKVNGYKPKGRNVVGNGKDGKNDGFESWVLPRNGVLQLSNEPFPHPPVVANHLPD ISGLFDGLSSAAHVILSSLSDSLSLPAGQRLEDFQGLNRPSPDILRVLKYHANSNTDI IPQTPHTDLGSLTFVFSTTPGLQVLPPGVQQKPGAYSESDWLYVEPRPGHAIVNIGDC ITLMTNGILRSALHRVGPVTGCAMPERYSMAYLMRPEDQTVLRALDSPLIPKSTIGED VVTSGAWIRKKFKALRGQKDAGNFDQILTGGRGILV TSTA_044550 MSETSKLWTLDQLLRQRAIDEDQTPLIAFPKTRQGYTDYEPITG ATLNRFVDGTAKCLIEKGFQPVKEEVVIGICSPTDLDYIVNIFGLIRLGYTVFQLSPR LPPSAIRELLAKIQNGRRALLYAPAHTSFKLDALSDLELHPLVQRDEYDNSRHSETPE FLLEGVDYEKEHRRRCLILHSSGSTGLPKPIDYNHQKLLAAGVYAQDATAFISMPFSH ALGMMSYMQAIHKRRTIYAMSGYVPQTHDTVTAAVKAANPDIMWTVPYVLKLLAEKPD GIDAIKNCRFVSSGGSKLPDELGDMLTEAGVHIGMQFGSTETGLILSSAYRPREDKAW NYLRPPAHVVPYIMFKPVDHDKYECVVLDGHKGKTMSNSDDPPNSWRTSDLFVPHPIL PNAWKFVGRLDDRITLINGEKVLPLSIEARIKQSPLVREAVVFGIGREVPGLLLFREL GTSQLDDKEFLDQVWPTIEYANSHAESFSQINREMVAILPMDVECPLTDKNSIKRGLI YEQFADLIDSIYAAAESSNKVQSLQLTIPELENWILENVRAQGYEIEDVTTDFFTAGM DSLQAIHLRGVIIKNLDLGGRESECTSMIVFDCGNTQRLARRLHAIRTGDNFENEKDM TMDTMKRFIDKYGAFAKYEKNVNGTLSTSLNDDHVVILTGVTGFLGAHILAALVASSS VSKVYAFMRPNSEEKQTPLVRLESSLQTKGFSIPLDKVIPLYADITEENFGLKTTEMY KTMKSLVTHIIHCAWAVNFAIQLTAFEPQLLGLHNLLAFGLESDRNAHLLFCSSIGTA QATPGPATIASAMIPSFDNCSSMGYSQSKLVGEHIVESASKNGANATVLRIGQIIPGR RRGTKLWNPSEAMPLMIRSASKDSTGALPILDTGRDTCDWIEADTLADTILQLAGIDH AVDSTELVYNLVNPRVFSWKDDLLPSLHRAGLKFDTIPWQEWLDRLEASIEDANTNPS RKLLGFWRRQTHRDGTLTFDTAPAEAASPALRESLRVVDDNFIGQIIESWKNPEIAAK AQ TSTA_044560 MKWVSSENLHPEGDGTPGNGGILSSGTSASTLHLPEAPVSDEKI NSIQDVQASSSTTHSTTDLPEVLSTNEKIDSVPDGGLPAWLVVLGAWCGLFCSLGWLN SIGIFQSYYETTLLHQYSASAISWIPSFEIFFTFVMSPIIGQLYDRFGPRFVILGGSF LHVFGLMMASLSTSYYQLLLSQGVCSALGICAIFQPCMSCIPSWFQRKRGIAYGIISS GTSLGGVIFPIMVSRLIKVVGYAWSMRISAFLILFLLVIVNLTVKTRLPPSSQTHKMS KKTLLQPLKEITMILVVLGFFFLTFGVFVPINYLVVQATKVGMSSNLAQYLAPILNAA SLFGRLLAGVLSDRVGTYNVLMIACYLAGIFCLALWIPAANTAAVMAFTILFGMTSGA YIALAAALVVRISPIREIGYRTGLLFFIGAFSGLTASPIAGAILQREDGSYTGMQVFS GATLLVGSSFILVVRIYKTGPVLRARF TSTA_044570 MASNPRRIMPGAPCLECRRRKIGCNRSRPCSYCVKTKIQCIYPA PTVAPSTDGTDKTEYVQRRVDGVEERLSVLERHMNEIKQLVMDRNSPSRGLQDANRPV SEAVAVATHETALSSQATQSIITIEPAQESNEIFSPMTLVAMWQTYLERVDPLLKLIH VPSTQKIVMQACKDIKIANPNTVCLTYAIAYAAIVSMSPMECISDLQHNPQGPNVHGL IGMAIGIAIKMHLNRDGDKSDISTFEAEMRRRLWWHILTLDVLAAQDKSTDPCVLGSS FNTRTPSNVSDSQLDPDMSRPPADRPENTEMTFTLCNFTVTFYSRQLMFSSDFCHENP YPILSVQEKCDAIRILEKQIEVQYLQYFDESVPLQRITMLATRLNLLKMKLSVNGQSS KESGSRYDQQFIQDCALYTDYVSSMKNCQKSSYFMWFFDNLLNWSV TSTA_044580 MASLKNIAIIGASGSIGKIILDALIKAPQFNVTVLSRASSETTF PTGVSVRKSDFSDSDLVSALKGQDAVISVVGPTGFAEQKKFIDAAISAGVKRFLPSEY SANTLSPAVLQLLPLFNQKKETLEYLKTKESSGFSWTAIYTALLFDWGLGNGFLGFDV SAHTATIWDDGSKVFTLTNADQLGRAVVSVLEHPEKTANKNLYVASAETSQKEILAAL EKATGSKFAVTNTTTEKELSEAGEKLSKGDFSGALILVRATSFGSTPGIRANYAKEEE LANDLLGLKLESVDETVARVVKGSS TSTA_044590 MQILPMHWPDFWQKQSAAVEVQQGKAIADAAASTTTLQHFIWSA LPDPVAVSGGQFLNVHHWKGKSLITEYIHTKKPDLWAKTTTILFPNYFENTLTNPHRH LSIKDSKGTYTLKFPHSPQTVMPHVAIGDTGKLVHLVLEAGPTYFTKTIAFWAQAPSE ADKLAEIGSCMIAPPDKACNKASKMKLFPHCETHRIKLPRDILSVVKPRRLYPLLRLG AIAAQSSL TSTA_044600 MSMRPAFRRIAKSSSSSQTFQLPDGRVLGFAEYGMPNGKPLLFF HGYPSSRLEAEPADDIARRCGIRLLSLDRPGFGLSTAQPGRRIVDWPNDVHAFAKGMG LDRFVIMGGSGGGPFALACAHALPRHMVAGVGLFASAGPWEAGAHHMSLVRRMISTLA VYWPSGLGVLLSTSVRGLRAIATSGPIVRRIDAWLEAQDKKEKENEDAAASEEKSSAT KLTKTKTLEERRNYLLRLLIDEPFAQSVDATVLEARLLSSQDWGFKFEDVDFDPVRIW HGAKDGNSPIAVIRYLAQRLPHGVLREYENDTHYTMFPHLEEALMELAGDHDTHPSKA MPSSST TSTA_044610 MTRLSSVLCALAALGQTALAAPGLSPRASTSLDAWLATETTVSL SGILANIGADGAYSKSAKPGVVIASPSTDNPNYYYTWTRDSALTLKVLIDLFRNGNLG LQTVIEEYVNAQAYLQTVSNPSGDLSSGAGLAEPKFNVDMSAFTGSWGRPQRDGPALR AIALIDFGNWLIENGYTSLAANNIWPIVRNDLSYVAQYWSQSGFDLWEEVNSMSFFTV ANQHRSLVEGSTFAAKVGASCSWCDSQAPQILCYMQTFWTGSYMNANTGGGRSGKDAN TVLTSIATFDPEATCDDVTFQPCSPRALANHKVYTDSFRSVYGLNSGIAEGVAVAVGR YPEDSYYNGNPWFLSNLAAAEQLYDAIYQWNKIGSITITSTSLAFFKDVYSSAAVGTY ASGSSAFTSIINAVKTYADGYISVVQSHAMNNGSLSEQFDKNTGAELSARDLTWSYAA LLTANMRRNGVVPPSWGAASATSIPSSCTTGSAIGTYSTPTATSWPSTLTSGTGSPGS TTSATGSVSTSVSATTTSAGSCTTPTSVAVTFDEIATTSYGENVYIVGSISQLGSWNT ANAIALSASKYTTSNNLWYVTINLPAGTTFQYKYIRKESDGTVKWESDPNRSYTVPSA CGVSTATENDTWR TSTA_044620 MTSNLEKTVQAAIETGILSGAVVHAATKVGLIYSKSFGYRSLEQ GAPAVQVDDIMALASGTKLITSVAAMQIVERGLVGVDDDLGGVLPELGALKVLRKVDA GKEEEWVLEERREKITLRQLLSHSSGLAYAFLSPTIQAYNQSKGIPEFQSWETVTKSF SDPLIAQPGTIWCYSSGHEWTGYLISRLTGQSLEEYVQRNIASPLGIRDMTFFLSKNP NINPAKMVSIVRRDPSIPDGKGKVIPNTRPHFLALAKEEMGGAGLYTSMASYIKILHS LLVNDERLLKRETVDTLVFEPQLSPFAQKDLQKLFSHIAPGEEKATPPYIGTFTQVRY DHSLAGMLNLEDVDSGGLKWRRKGFLFWSGMPNIFWFIDRQAGLCGVFGTQLLPNADE ETRKLIHVFEKTMYREFASQ TSTA_044630 MTSDSSPTVSTNKRKKRVGTACDFCRQRKLGCDNAKPKCENCRC SGKDCTYAERVKKIRPTNAQIRHLEDENAQLKSSLSKLRSRLNQVEQSNDAGDDSHHV NHRDPMRPTVSTRLTQQTIQSSRPNQPYYSTADREVQFHGPSSALFDESHQQPPASNR NVCVNPAKKYEILGSCIKQRQMEPIDLAAGRLDFDGVDPEVGMHLLSIFWNRQHASGL LVYRPAFMRDMACDGPYFSKLLLNAIFFSASKNSPRTEFRCSGAVTDEPTAISPFRVR AEELLSMSTSGLPTRTKSDITTIQALLIMSDALFAWCDERSLSWLYSGLAINMLVDLG IHTDRRAPAMNKTITTEYLEIRRRLFWAAFVQDKVQSIFQGRPARLREADVNVPISFM DDYEELEQFTSLSYAQTELNLSFPTRSVSVFQQLCKLSIIMDRIISGIYAENCSLKST SKLLDTACSLHQDLKDWRKSLPEHLNMDFDNTKTPPLTPHALSLMSMYYSLIILLHRP FVSDGHINASSASVIWDAFTTCAAAASGIDAVLRVFLQHFCITTVPYFMSYATYVSGT IHVRIAAQSGRNSEAYKSLQSCLDILSQQQSICRAPRRARRILLGLAKRLNVDIDESP TSVEEARPAMLRENAPVVPSINADFNTSTLQNQQSDFDLLMSGLDIDAIIQSFDFDHS NMMNSAISNGHLDILPVTERETGMNTDLVSSQNTDDFSEWMFQDPLFGYDSLFPFDDS ANY TSTA_044640 MTMHLSAHTWMRPEPLEKTLKRLSSLAYTSIELEGEPSLYPIEE TRNLLAKYKIKCWGTVTIMQGNRDLTAADPQQRRDTVQYIKDVIALSSALGGEIVTIV PALVGKIVPSASPEQEWTWVVEGLREIASFASTNHPHIRLGLEPLNRFETYFLNRVDQ TLALIEAIGYDGVYGIAFDPFHLALEEKDLLSAIRKCASRITDFHVADHNRLAAGDGN FDWDAIIVALKESRYDGALAVECMPPIDRSPVGGYGLKQMEELDTTAVKEGKDAEVAP ERVQFIIDHGSALLSDEVGVSK TSTA_044650 MSSTDNQPAAAGSTTATPTNSIPSPSTASPPASAPSGSASRRPP RKSTLTQQQKNQKRQRATQEQLVTLELEFNKNPTPTAAVRERIAQEINMTERSVQIWF QNRRAKIKMIAKKSIETGEDCDSIPDSMRQYLAMHFDPHKPGARELFGRANGLGGLSN GYGAETAPGKIVIQHFTCRTLSIGSWRRIGQNAMDLVIFYSPDKATMTYYINNDSAGY KIEYPFSYIKNVMLDNGDPTPNANGMPTRPGGLVIELNRPPIFWMDCSNSGGFFQCGD FTEDQQASRVMTHHLGGHPKVLSVQLAKLVSLETFQNRLDFNFSVSAPITPPQDIHRP ASQPNRFTLAQMGMYPESHLSVNHMPRGHKRQRSRSVPAAIDLSYLHTPIAPFHIQQP PVSHMTPNPNMYAPIPQNPNVVQALGNELRIDTAATFGVDPRSYPMSATTMSDFTGAS PQFYSAAPSTESLAIASNPGDQFNMSYVSPSPMLNQSKIMGQPPTSMANFNHADPLIA NHSPPLSNLPNTLSNDMYNFGADQQQGLSEDGFVLSEMYAKHHINQFPDNSGFDFSMN GISDTQSPMAGDMHGFEMIQTTSG TSTA_044660 MAPLLNTNLQAFNLTVSTLLKQPSHLLPNLTISTFLDLPEQIGA HLPSRSPNEGEKPNIKALILDKDNTLCPPNTTSIPTPYLQKLEQLRTSPTSPFNLKTN PDGVLIVSNTAGSRPGSRRYENEARTLEEKLRYLRIPVFRVRSSSEGGDGGVITRPDE VVVVGDRLGTDTLMAAQMGSWSVWCKDGVTHSVSNEPGMDYRGFLAKVEIVLERYLRE TKGVKVRVPKGWE TSTA_044670 MGKLTSTIGIPIKLLNEAQGHVVTLEITSGQVYRGKLLEAEDNM NVQLKDITVTARDGRVSHLDQVYIRGSHVRFFIVPDMLRNAPMFRTRGQRGRGVGLAR GKATVNRARGQRRG TSTA_044680 MPSPATTLAGQEKPPSPKRINNPRRLLILTTSHQSNRTIPMFLH SLTGVKVTPPISSSSTSTAPSTDNQSFAGYTTHAPFQITNKYYSAEVPIWVDEIPISF SPEAPGALAEERIETRAQWKTSFLSDEAREVRDAIGAIVLCVRNPSLMIGKAVPREAY DLASAENGNANGGEDARLQAVIEEDRKDAADREDVHIIKDLAGIVSELKRKIERERNG EDEEGLGGEEMQGTSEVPGLLVLIDENPSAVKKKQISESEDDDTLGPREPFTSPWWED ILYEQGTFGMEVIQWTPSSPTTNTDVPEMRNIYGELEGLPRIKEVLSTHEWTASASDG FSDDADSDDDIMSFLNSGTRGFRDEVDQLEREMMGLRFAINESHDNDEEKEEEENEDT ELEVENLEALMMRMRAIKDMSSDLPESKRKEFAAKAVKDILREL TSTA_044690 MPPKKSTAGKKPVSGLTRTYLFLYNLLNFTLWATCTVRTALRLF EKQQQNDLQNVSSIPTEIFPLLVVTQSLAALEILHSLLGIVRAPLITTAMQVASRFVV VWGIMYTFREGSELYDSVLSKYFSDIPFVQQAVSDGLMGVSGKLQYGDLAFFGCMFAW GITECIRYGFFVLQLGGLPVPGWWQWLRYNTFFVLYPIGIASECVFMYISLGHAERYV HKYYKWFLVIVMGIYVPGSYILYTHMMAQRRRVMRGKTRVD TSTA_044700 MDPQFHQAAPPHNLIQTAKENAEQYSLFVLVAILIFSVLSTRTI TGLQSQSHHDSVDGEPRRVRKLPYWVPGLGHVFSYSWRHRDFLLDAQKAMNDPVVALQ MGLQTQYLVYSPNMIQKLINNKDARSGVYFTRVMENFVGDNGAFGRLSAQTRESVKTT LASLTQEKYLSKFSLALARLLERETADFVSFNRSLVDQMVWERNTGIVVEENNGTNSQ SCQVDLFALTRSFASYHITYVLMGQALLDFHPGIVDDLSSWDQEYVRLIAGAPRWIPS PGISAAYAARDRVQKTISVLQASFSALEDGRNAPLEFRDLDDVSEIVQERMRFWRISG ISSSLSARLDAWLLWKTTTDASKLIFWNILHIFSDSDLLATVRKEIAPYVRVTRSDPK VTGLPIAEPPRLSIDTEKLFTGCPLLKATYAETIRLDSNPISYRQLNADLTLTESEED AAIFARENEKHHRSYKIFKDGILGLASGAHHIDSRYYREPKSFLPHRFLTTSASFPPS SSSKAKKNDEDQPSHSFNWQTVLPFAGDGTFNDLLEREVMLITASIVSLWDIESVESD QKGWGKSKIPAHRLSANAFLPSSDVKVRLKRHV TSTA_044710 MPTLEITNFNIVCSVLGGFITLFGLVSYLCKERFYLSEALISTL AGVVFSPHAANFIKPMDYAMDSQRNLDAITLYFTRLVLGVQLVIAGVQLPGRYLQTEW KSLALLLGPGMAVMWLSSGLLIWGLVPNISFVEALAVGACVTPTDPVLSNSIVKGKFA DKNIPRPLQRIIIAESGANDGLGYPFLFLALYLLKYTQGPGFSGGGGKAIALWVYETL VYEILLSVVYGAVVGWLSMKLLHWAEEKRYVDRESFLVFAISLGLFIMGTCGLIGTDD LLACFIAGNAFTHDDWFRLETMDDSLQPTIDMLLNLAIFVWFGAVCPWVLFAHNDIIP IYRLIVLGILILLFRRLPVVFAMHKYIHQIEQISQALFVGFFGPIGVGAIFYLSVCRN FLLEDMMVDGVVRSDAARTAEVTYIVVWFLVICSIFVHGLSIPLGKAGYHIPRTISTA LSSNSGEQEPIRLPSNLNTHSTATPTSHRGLRFYRNRSSAPSTREPPRVVFQISAPQQ RREEQQEEEQGQQQREDSAVASAANASADETLSPQKSMPAVPAPLTVHERHDEPRRPI KLMGDDGRVTQTLNDSE TSTA_044720 MNNHTTITKTTPSPSPGPGLTSGASPAQTTTTAGATSGTKRKRT PAVKYYAVKEGFRPGIYYNWSDCLAQVTGFKGAVFQSFPSLEEATSFLTGQKVASHNG TASTNNENGTRFYGVQRGRVPGVYSNWAEAQEQIKGYTRPRYKKFDTRQEAEEFVKMG QENEVSKSSQIPGGLTNANPTDAVNGDAEIPAAFAPLPPGAEDGFDPNVLLEPSTGKI VYKAPEQKLATKAKPTGPPGMLRIYTDGSSLGNGKKIAQAGVGVYFGPNDTRNVSEPL KGHRQTNQRAELTAIIRALEIAPRHRPVTIVTDSRYAIDCVTNWFRKWVRNNWQTSDG KPVENKDLIQSILVKISERDSLKVTTTFEWVKGHNRDAGNEAADRLAVDGARRGVGGQ LSSAPVGLKAAVDDDLPDELADEFDDF TSTA_044730 MPHGQPPIPPHSRRQHELHYSPGPSNMRSPPAYMNYHPPPVNGH IPPVYAPHYPPPWYNGYPQMHPPPPGVPMPPGPPPPRPYQQPPYVHGPLIVSSYPHSQ PVPAPMHVPSNVFPRPPSAVSTPIPPTMSPPPISEQQMLIDGHERPPSSVQSVLSTPS AVTSSPAPVAELPKGGLLPRVPFRAPLPWLSVPEQPFPRRAPHKRRTGRSLQASTISV ELPIKETYKKDSAHVDEKQTSTQGDSVSEPQTPTTSQAPSESDSTHPTTPSSTAPGHL ASRSRDQTQTPKQTIVPVVPILPQAPVTPKQTVPTEDHQQKDSSEVTGKAGNPESSEQ PTITAPAAPKSWADLVRSKTSRAAVTTPAAISENGSLQAPRSESLGDVLTSLGPNVHK FGEKIAFLEPRGLVNTGNMCYMNSVLQILAFCVPFYQFLDHIGHRATHSFKSDVPLID ATIMFMREFRVIDAAKSEDQLRMRLKHKELEEYGDAFIPEYVYDVIRQLPRFRDMRRG HQQDAQEFLGFFLEELHEECAHALQSGAPQSSAAPSENSSEADGWLEVGHKQKSAITR SSGDVEFESPITKIFGGKIRSEFKVPGNKNSVTLEPYQPLQLDIGSPEVSNIIDALKG LTKPETIHGDFSSPRGPKVAATKQVFIETLPPVLILHLKRFQYDSVTHGTQKIWKKVG YPLELEIPREVFPPHRRNAMAVHGGLPHYRLIGVIYHHGKNASGGHYTVDVRRQDGRE WIRMDDTVIRRVRSEDVAEAGSEEDPKVLASALEQHKRDNNPNLYEQIDQSNQVNDES GWSQVNGPGPNGHTSKKSASGVVNGISSPAASNSPGTRTPIGRYGTRDNKVAYLLFYQ RISLP TSTA_044740 MSSSAGQTIRNFSKSTEYSSSISTSSASFQGTASSVESGPQRRS GGNNSFGAGSITRNTSAARNNQPRKGQHRKHHRPNLVNEDAYAESAIMRSTTSRKGQT SITHLMNFSLPPRPQYHPSSHRPRRNPTWGMGSGYHAIDKARYVHANYRFIVNPRRNY HAQAANADVHLDWDSVLQVLASEQTQAASCPICLGMPVAPRMAKCGHIFCYPCLIRYM HSTDDDASLPEKKARWKKCPICWDTIYVSDTRPVRWFVEQQTNTPVEGGDVVLRLVKR NPGRTLALPRDGAEELNPQDDIPWYHAAEVADYARIMKGGEDYMVSQYDDEIELLIQQ RQEDELLFGDDSTWVQKAINSINEAREKVRGLGNPPPIARAEKKRAYDLPLEDLVQQP NPDNSNSTVSNVTTSATSDIVDEVAAGVDVVNLNGNDHPESRKKDKKSVTQAKAPANR DNTGTNTEQPYYFYQCLPHFYLSALDIRILKAAFGDYSSFPATILPRVEHISTGHIVD DELRKRAKYLGHLPYGCEVSFLECDWTDVVVPEVLERFRLEINKRRQRNRDKEAREEK DRIRAEKEEEEKRWEAARRKRPSFGFSASERPFSDQDFLPLNSESAATLDSTEFAGAN SPPNNSHMITSRFEALASPSTSPPVARTVWGTAAVQSDPDEQQQPLHRTGPINDGWLQ GWEEDLLGQQEAELLAQTAAESSSTSANPGGGGGRKKKNKKITLMSTNIQRGA TSTA_044750 MAETTQRVTRSQTGKTPKKVDRPDFVETPGRRTTRNRASETPDP VEVMSSTSANPQSTKGRRRKTPLASVDEDSQEAPVSSNGHANGHANGHANGHANGTAN GTANGKATNGRATKDQPKIIDGWIEGLDPKIDYSGEFEFGGSLGTLSAMIGFPLLMYY MWIGATYYDGKFPLPKDQTIPEFFAHLGHLVYEGAFPSLKAWTMYWAFFVFEGICYIT LPGITLTGRPLPHEGGKQLQYYCSAVWSFYVTLAVALGLHFTGVFKLYTIIDEFGPLL SVAILSGFLVSFIAYFSALYRGAQHRMTGYPIYDFFMGAELNPRLFGILDFKMFFEVR LPWYILLLVTMGAAAHQYELYGYVSGEVCFLLMAHFLYANACSKGEECIISTWDMYYE KWGFMLIFWNLAGVPLSYCHCTIYLANHHPDTYHWDRYVLTALFAGYLFVYWVWDTAN SQKNRYRQMERGTTVFRNAFPQLPWQTLHNPEVIKTPQGGTILVSGWYGLARKVHYTC DLYFALNWGLITGFKSPFPWFYPVFFACMISHRAWRDIQRCRAKYGEAWVEYEKRVPY LFIPYVI TSTA_044760 MRNDFDYYWVLQMSSHLRLGRGTYNSNFGGLEIKRCGLALSCSQ AFLSLGARPAYCISWTSQVNVSICNWSQLRANIIRNAIYLDGGDLWWQFGLSDGTYGS PFNDDGSEDIMYNLSLTQAFNQQSSISSLLRSMTKASGVLATNLNPNFQDGTMLANDN ELILYGGLPRLTSNANPPPAQVILGYEAYDDSASIQNWRPGFQQKSTNNGVTRYITNG AGLSAPSESLGFYFSGMLGSPGGMIEGDDGSANTIATSLITVNMTTMREEDWHNSSLP SFVSGRANAEAVWMPVSESGILVVIGGVINPEILAPGRNMTYTYIQASNQTNPTFMKE LPIYDIASQTWYLQATTGDIPPQLTMFCSVMASASDRSSFNIYIYGGYDGINAGSIPS DDVYILSVPSFTWIKAYTGQHSHGRSGHKCIKVYPDKMFVLGGIYKNNPSLCLDGGFL QVFNLNTLQFQSTYDPGNWSNYSVPSIITDRIGGNMNGGATITSPSSWNNDSLRSMFG RTYTKPIATYYPYTTSKGLPAQPTSTASNTTGAHSSIMESWAKITLAVSISLGSACIL LTVWLICWRIARHRLSKAVSQDDIARRVSTHSPEAKRLDSDYTTFSASGSYNTNENFS RSEIRLPGKTIMEALPEQLQSPVEEDAIFELDGE TSTA_044770 MLIKESHVDVPTKAGGQDGSMRIYIFHPTVPGYPNARFPGVVVF SEIYQVTGPVARFARQIAGQGYICAAPSSYHEFTGPEPLKYDAEDTDKGNAWKIAKKV HAYDEDASLSVDYLLSLPTCNGRIGATGMCLGGHLAYRCALDQRVKAAVCYFATDIHS ATLGEGKSDDSLKRAGDIKGELIMIFGKNDNHVPPEGRDLIRKTLHEKGVLFSFYEVA WAQHAFIRDELSKGRYDPAISKSCFEMLLELFNRTLKLDLGDHDGKKLEIEDVC TSTA_044780 MGSTQFGNFHDFCRDTTLPVCNLFVPSNQPPNVAFGGCALTGIH LTNGRYLGNLGSILAAFLAILACIFLLLLSERKRAAVGRREIQLFLLGYIVISICEIF TVGAFPLSDDVRKGFSAVHVAAITATTWILFLNALVGFQLLDDGTPLSVGLFAGSAIV LFIGTGYIALDTGFSWTGHFDSSLSGNNRNIGLYVLYLLFPLVCLFFFYILEVILVLR VLGERRPLIYLTLAALLFAIGQIFDFVISPHICNPVHGKINGAFFETLFSLFSVVTVW LFWSSITEDDWPAASSGYT TSTA_044790 MFCLRSWLPLLFIPTNASPLFILSFIILTYILHRPCIYCSALLL ILFTSSCQWSDRCFFDVRGDWFAPRFSSTTYTNGSSIGGNATMSAFESVSGFGGNESF TSFIRESINSTATALAGAAVEEIKRRTTTTASIVGRSQSGTTEEWTGIGLEWLRSLLG RTEWTIPCIDVKVQL TSTA_044800 MPAATGTKRVRGVSVYRPFVFGSEAQPFDPKSRPPNAPPDHTHQ WRVFVKGVNGEDITYWLRKVQFKLHETYAQSVRTIEQPPFEVTETGWGEFEIQIKLYF VPESTEKPQTLWHSLKLHPYGDDIEGKRERREKVVSQNYEEVIFNEPVEQFYDVLTGG GLANQQQSRGKSGKGKQALLQNGGGRTAEIPDNDSPGNPYSRATEQAELEKLANAMKT VDQMIKEEKDRLVEREKRLAELKDTEGVLVVTKKK TSTA_044810 MAAKRLVVAGGSGFLGSRICKHASVQGWEVISLSRSGEPKWDTV TASKERPGWASEVEWAKADILKPNTYKPFLKGATAVVHSMGILLEADYKGVVQGKESI ITGLQRAFSSTKRGTQDPLNRAAGEELRPQERDGQLTYEVMNRDTAIALAQESSFEHV PTFVYISAAAGAPILPGRYISTKREAEAIISSSLPDLRSIFIRPGFLYDSSRKITLPI ALNGIIGSQVDALLGGRLKTLAGAMVEKPLKADVVAQAVVESIADESVKGVVGTNKIE TLATKNWRKSML TSTA_044810 MGILLEADYKGVVQGKESIITGLQRAFSSTKRGTQDPLNRAAGE ELRPQERDGQLTYEVMNRDTAIALAQESSFEHVPTFVYISAAAGAPILPGRYISTKRE AEAIISSSLPDLRSIFIRPGFLYDSSRKITLPIALNGIIGSQVDALLGGRLKTLAGAM VEKPLKADVVAQAVVESIADESVKGVVGTNKIETLATKNWRKSML TSTA_044820 MSSSKADPVNATENVKEGEKDSKPSTSSEELENKASNSNSGASN TTRERLERFKALKARAKSATDSNLKETIAESQRLATDPALLSNLSRKHAFASHNLLKA DTEEAGEDFERKRAWDWTVEESERWDRRMEKKQRHRDDVAFQDYRQDARKVYKRQLRE MQPNLDAYEKEKIAAVERAAASGGLEIVETNDGELVAVDRNGTFYSTADSTDFTENKP DRAAVDRLVADLRKAEEVRLKKRRDRRGEEDPDVTYINEKNKQFNQKLARFYDKYTKE IRDSFERGTAL TSTA_044820 MSSSKADPVNATENVKEGEKDSKPSTSSEELENKASNSNSGASN TTRERLERFKALKARAKSATDSNLKETIAESQRLATDPALLSNLSRKHAFASHNLLKA DTEEAGEDFERKRAWDWTVEESERWDRRMEKKQRHRDDVAFQDYRQDARKVYKRQLRE MQPNLDAYEKEKIAAVERAAASGGLEIVETNDGELVAVDRNGTFYSTADSTDFTENKP DRAAVDRLVADLRKAEEVRLKKRRDRRGEEDPDVTYINEKNKQFNQKLARFYDKVRAL LFYSSCLF TSTA_044830 MSKCRGLASHLALKRQPCYRRRHSNSAHGDSSASAASDSTAAPS QLLRYALSGDTDIHPTPARRYTTPIQLDKDLELILSKRTFGAQHQRGKVVSLPGRRVP TDLQEVSDLQQLNLWFEQYVAAGCQLPILDDLLSDALVRLRDEGAEIPPAIRLSGLYY ACQSLSVPAIRYHLKHLLHIPSEYGKRAEDLAQCLLRTVRTVRFSNPAYDTRPILELV AEPIDNPKQKTVFSRLPFSEGMSTLIELLCELGATNAHKDVWDALMRRIEGCQRSRTL SWSMVDDAYKSVLVFFKFGMTEYGVSCMNQISKTVKKMSPSLQIRSELAALLNKKGID VPPIIEVRIQATLRRKLKQQGTKRTDDVKVGDDMMDFSMVLSLLEHINNYGTSVSASE IANVIDSLNECAGLTIPLFKDSSPNRSVEYAWSPQWVPGVSSSAIISFSQNSQTLGLL RAQIASRGIIFSPERSRNLVQLGHLVRRELISGEQGETTPEDGPDTWTKTGYLVVFDR VSAEYLLIYLGEDIKIIDPEYRPHSGDALSGDFEKHTLIGSLAHISMPKSVQHLNRGI GKVITPVKNSANRYVLDLDSGANLRP TSTA_044840 MAHQEDSSSGLSHTARRRSGIPRGFDNLLHPTGQTVHSAGRTSA TTSPTASRQPSSRLRLHIRQQPVATRACSAGEKDRRTIDPPPILQLLLADFNPGSEAD LAILQNSRFTVGCLLYLVKKSSSGGEDELIHHSRIPESPTGHRRDERSKARSTYQFDS RTTTTRSHVNEKSNPSDERYVQILSGKTYVSPFHVPYDPDPETAPNHPSSHSHQAQTY HHHHRRHNVIESPNPPATFFVFADLSIRTSGTYRLKFRLMDWGLAAETGVPQPILAEC LSDAFEVFSSKEFPGLMASSALTWNLRKMGMMELKPRDGKGKGVAKKGKRRVDGGDQ TSTA_044850 MSLHRSSQILRPLCQSCARRPSLLPSSTIPTTTNIIRTPATTRN YTATSNYQAKRPLRSPAAKSLNRTYGKEFSVVEPEEWWAEHDGVKKRFEKNYATIYAD NRRAKVFREIGRRLIHAAYNSPATAESLKPIWAGDPARVLDVALAIFSSAPWETHVVR WSVAATSEAGVVWSMIQFVHSQIKKHGTNLPNSREIERIKKIAYEKDDPVALMVWGDV AWRWGRKDEALEIFQYLNKIAYPSFSPAERNNDITYEGHYQAPWKYLVEIHNEAGRYD EADELMKLGALTYRDPAALVSYAYIRKEKGDWESYEQCLVVAAMTGHGEACFRLGNYY YRIYKGEVPSREDIAAKKHPIRTWFVDLFGWFTLTKKDWRRLAINWYEMASALGYMPG TRNLVVLLREDGHESATEILDRIKLDYVMWNSKNIVKLRKSWDDPNFKPDLPAAWLEL TSTA_044860 MQVASSFRRPAFPAIQRATSTSHCYPYKTRPWGSLRMSSWTTVP SNPPVCTLSSLDHLVLTVQSIPATINFYTQILGMAHQSFTSPSDATSTPRHALLFGSQ KINLHQTGKEFEPKAARALPGTADLCFLTEEDVGVVLQRLTEKGIEVLESGQVVKRTG ARSALRSVYVRDPDGNLIDKEFVEKGRSFKLRTSKSQIDCSKTYRQK TSTA_044870 MSISSQTAARCCRQVVRSSTSSSAPFLRISTSSCSTYQQRRRTT VPGAHRWQSTDAVAAAPANPKIVQIVDQISQLTLLETADLVASLKSRLNIPDLPVGGF AVAAGPGGAAGGAAAAEEEEAAPAAAEKTLFNLKLESFDAASKPKVIKEIKSMLGLSL VDSKKFVESVPKVLKENVAKDECEKIIETLKGLGAKVVME TSTA_044880 MSLSIPGPSQAGLFKPGYQSHDAEDGAVIRNIEACRAISQTVQS SLGPYGRNKIVINHLQKMFLTSDAATILRELDVVHPAAKLLVMASQQQDSEMGDATNL VIVFAGELLKKAEELLRMGLKTSDIVNGYEKAQGFALKTLEDLEVDRLKEMRSAAELS KALRTVIASKQSGSEEVLSALVSEAVLAVLPKNPTNFNVDNVRVVKIMGGSLEQSRVV KGMVFGREPDGQIKKARKAKVGVFSCPIDTSQTETKGTVLLKNAQEMLDFSKGEEDRL EATIKELYDSGVRVVVAGSTVGELALHYLNRFNILVIKIMSKFELRRLCRVVGATPLA RLGAPMPDEMGNIDVVETTEIGGDRVTVFRQEDANTVTRTATIVLRGATQNHLDDVER AIDDGVNVVKAITKDPRLVPGAGATELQLAERISAFADKTPGLAQYAIKKYAEAFEVV PRTLAESAGLDATEVLSRLYTAHQRNKKSDSEEEDEDEDDSENSSEESEEDSDSDDEE PYWTTGVDIFGNSSTGTVDALEEGILDLMASKSWAIRLATESARTVLSVDQIIVARQA GGPKPPGQNPNWDED TSTA_044890 MEHCHCTTIAVPHGDKYLAIARGAALCRLEGIRPKTRRSRRHYG FKQWIPFRKGINPEVDA TSTA_044900 MMDIDRARTRRERTFVGSVCAVCDEPLEHTLRGERILQFSCTHV SHEACFYEYIKEFESQYCPTCNAPLGLDTSRGGNVLDIEKLSNIVRSASISDAGTTRS GLATPTPWDHRSHQQSQQENHRSYQMQHQQQQHDHNFSRRDSRDTGSHTGSHAGSHAG SHAGSHAGSHAGSQRERVERLISNSSRRQTSHSRQDSGNTGVASSGEYTDGQQQSTGR RHDYDVQAMESDLSPRSGVIKNPIPAPIVTVRSEFPTLSRSRQQQPLTCLVTVEVPDG HWRPDMEDLRFTPLHAQLPPEDVYSPIRSPTVASSRSIPAEARENLDEIAEDLHLRVE NWHGLEFNRFGKLRLHGLLNVGKDRESWQELECFLFDEMLICVREKKLASDAAAYGSK RKTTRCTLKGSILIKKHLREVEVVTEEPILTLNLSVSDLPCFHLRFQNRGQLDVWRRA FTGLHNPEHLRDYDADSGIPEEDDYRTTKTNKRQSSATSSYGANKSNNTALTEYTNSM RDPGPASFHVPLDLVVVIPVSSSMQGLKITLLRDALKFLVQNLGPRDRMGLVTFGSSG GGVPLVGMTTKAWNGWGKILNSLRPVGQKSLRADVVEGANVAMDLLMQRKSNNPISTI LLISDSSTSDPESVDFVVSRAEAAKVGIHSFGLGLTHKPDTLIELSTRTKACYTYVKD WMMLRECVAGCLGSLQSTSHQNVKLKLRLPEGSPAKFVKISGALHTTKRATGKDAEAA LGDLRFGDKRDILVQLVIQPDNATQEHVPQDPWQSLVSGLEALGGGSDGDEQRVVSVE EVPLIQADLTYGDILREGHLTHSPRPSLLAITMLPANPRSKHGGRPATPPIPPHPSIV QRRMELLASDMLTRALTLVSRGQHDRAQHLLNETRSILKGLGKGGLPPLPPGATKPGN SHGLLTDSNSPSGTPTSTSPRSSHFQETNSSSAASETATITPVAAVDQHVMAALDADL EAALEWINHPAVFGRDSRKAVLQAIGVVSSQRAFTYRTPSEALWSDRVAGVKRLTDKS KEWREMGDDALTEE TSTA_044910 MLSRSLWARQVAPLRRQVVAAPFGIRRSVTTDAASAHAENIPEE DDKPFTVRLSDESFETYELDPPPYTLETTKKELKQMYYDMVAIRRMEMASDRLYKEKK IRGFCHLSTGQEAVAVGIEHAITPEDKLITAYRCHGFALMRGGTVKSVIGELLGRREG IAYGKGGSMHMFAPNFFGGNGIVGAQVPVGAGLAFAQQYNGEKTCSIALYGDGASNQG QVFEAFNMAKLWNLPVLFGCENNKYGMGTSAARSSALTDYYKRGQYIPGIKVNGMDVL AIKAAVQYGREYAIAGKGPLVYEYVTYRYGGHSMSDPGTTYRSREEIQRMRSTHDAIA GLKQKLLDWSVVTEEELKAIDKEARSFVDEEVAEAEKMAPPEPTPRILYEDIFVRGSE PLWMRGRTVDETFYY TSTA_044920 MSPAMATVGAGSAAKDIKRESGTARLLGSGSAGIAELLVFHPVD TIAKRLMSNQGRANTAAALNQVIFKDYATASVGRKFTSLFPGLGYAAGYKVLQRIYKY GGQPFVRDYLAQHHGSSFDSTFGKGTGKAIMHATAGSIVGIGEIILLPLDVLKIKRQT NPEAFRGRGLFKIISDEGMGLYRGAGWTAARNAPGSFALFGGSAFAKEYIFSLQDYNS ATWSQNFVASIFGASASLIVSAPLDVIKTRIQNRNFENPESGARIVASMMKNEGVGAF FKGLVPKLLMTGPKLVFSFWLAQTLIPAFSKVI TSTA_044930 MRSKFKDEHPFEKRKAEAERIRQKYADRIPVICEKVEKSDIATI DKKKYLVPADLTVGQFVYVIRKRIKLSPEKAIFIFVDEVLPPTAALMSSIYEEHKDED GFLYITYSGENTFGEC TSTA_044940 MMQSDIKVSLGKAQVIDNVPKVIKELKFGALSDNDVISQAVVEV SDRRFYDLERDRVVQENGPLDRRLGISGKMETCLTCGLGHKDCNGHFGHVKLVLPAFH IGYFKRVIGILQVICKECSAILLPEAERRAFLKEMRRPRMDNLRRLQIAKRVVERCKK TRECPRCGAVNGVVKKAGTAALKIVHEKFRYYNQSTAMKKVAPISKIVFDNSLTEARA SNPDVDRFYKKAQDDLHALRVMNLFKKITNADCELLGLDPTEVRPETFLWQYIPAPPN CIRPSVSQDGASNEDDLTAKLGDIVQSNITLRNNLRKGAPVPTLMECWDYMTLQIAIY INGDVPGLKGEAGKPTRSLVSRLKGKQGRFRGNLSGKRVDFSGRTVISPDPNLRIDEV AVPELVAKNMTYPEIVTRYNLEYLRKLVRNGINKWPGAKKVIKKDSGLTISLKHSARS LDLIAAQLQIGDLVERHLVDGDIALYNRQPSLHKLSILSHFVKVRPYRTFRMNECVCN PYNADFDGDEMNLHIPQTEEARSEAMELMGVKNNLVTPKNGEPIIGAIQDFISAAYML SSKDNFFDRRSFTQICLYMLGPETRFDLPPPAILKPQMLWTGKQVFNILMRPNKDDPV KVNIDAACREFKAPKDGQPKDLDPNDGWLVIRNSEIMCGVMDKSTIGSGKKDNVFYIM LRDFGPPAAAEGMNRLSKLCARWFTNVGFSIGITDVYPSEKLVQTKNELVERAYAACD EVIAKYKAGKLEKLAGCDELQTMESQVSGILSKVRQQAGEECIAQLSKYNAPLIMATS GSKGSSINVSQMVAVVGQQIISSERVQDGFQDRTLPHFPKNARHPPSKGFVRNSFFSS LSPTEFFFHAMSGREGLIDTAVKTAETGYMSRRLMKSLEDLSAKYDGTIRTSSASIVQ FSYGGDDLDPVDMEGKAKPVHFDRTYNHAMAITHNNNERGLEPSEIRTITEELLAKER QRYVRKGLFGEDLPYKDDSDYGTDQFESARAFLDSIQEHIEKKAVEMEKAINSALSGE EARMALDHVGKLSERSLRYFIKSCLSKYEKAKIEPGHAVGAVGAQSIGEPGTQMTLKT FHFAGVGNLALTQGVPRIKEIINASKEISTPVITCHLAVPDKVHAARIAKGRIEKTFL RDIIHYIRESWSDKEGYITVKLNWDTIENLQLELSLPQIKEAIINHRRFKGKDLSIDV LRSHIKIRVDMDPSSKAGLSKTDIAATSADPYLRLKHLKRMLPDIQVLGHPQARRAII HSERGLNKLLVEGYGLRECMNTDGIEGVRTKTNNVMEMRAVLGIEAARGTIINEMSEV MKDMDIDPRHMHLLADIMTTTGEVLGITRFGMAKMRDSVLQLASFEKTADHLFDAGGT GRTDRVEGVSECIIMGKTVSLGTGAMEVVRKLNFYEGHTGPKKTVFEDAWSEVHRETG RRKKR TSTA_044950 MPAMIISSPSSASFQAGACSPTHNSLFPSTAASTSSNYYNNSPS PFAAPAAVANSASSPAFRPHRHSLLSHSVEELRAALKNHTVAAPAASAASQDPASVPS GDHSRGCMSAGPDTLGGDSCQSLTNNVSSNATNVTTQPQTQQPPKAVPVPTVPSTANA TSAQTNVTAISPSDVKGKRQLAETTEQPFASTANETDNSQHAGESEQSRLKRLRPPVP AVKLLPRQYELVHPNDLVILISSMIMELIQYNDTIPLQGGRLTRFHSRTPPKISVRDY LQRLTTHATLSPPILLSMVYYIDRLCALYPAFTVSSLTVHRFLITSATVASKGLSDSF WTNRTYARVGGITITELAMLELDFLWRVEWKIVPQPEVLVDYYLSLVERCEGYALEPE ESSSSMEGLDIMKSSNHEDGPKITPLKGNIVSIPTVQETNPTFEFGDAYAVEIIQKCA SQVTKVLDKAFPKDLSLPSTHLRRFVKWEFLPDAVKARIEGEKERSLVSVSTGHKEDG VEVSEQIVPPVTMFVLIPPPLPDVDQLRTLLEPFVASHIEQPPSANQPNGEAQEISSF PIHRITIPLQPPFNTHQAQTWSRTYWPTNFNAAAPRGMIAPPPKILGATKTSIAPRAG YYLSLAGEVAREAKRAGRGRGVGVVIVDPAIVASSHDNPERGGEEQGDNGVVAVAGDA RYCAPGIVAKENLGDGYEADCEGGPEFHAIMRAVEMVATRRRDDKSITTTDSSAMIRK TSLTSLESYYLCRPASSTSFPLSSTSTETVTTAHTGQNANRILPRAAGGYLCTDLDIY ITHEPCLACSMGMLLSRFRAITFPRRGRLVAGGLASMEIPQVTTENQDHSADVLATQK QNEAGNNVEGKPTQKRETYYGLHWRKELNWRAMCFEFVDDESDDLDYGKDGIEGIGNY HA TSTA_044960 MEKDPITHGILSIDTAECLLGTFKKEMIPHFPFVVIPPETSAEY LRREKGFLFLAILASSSFADMPLQRRLGREFKKAVASRMIVNGEVSFELLQGLMVYLA WRVSYKLLFVGSHYHTRPNRYTQFLQLAISIIIDLRLDRPPLTKTWKTPLLFRSKYED TGEEMFTRPSWGLDEQRAVAGCYYLSSTVAILVQKQFNFRYTPYIEDCCNSLSGTAET AYDKYIPYMIQLQRIVDKIDQLSARHASELVSPGSGAELYVTSLIADLEAFQTRLPFA LSEYTLLNMQFHSAELCLCQLSVSSLKASAHTSTPNLRDELLGKALMASNSLLNVYHA LPPGTETAFNNTQWVQLGFAVLIASKLLVSVSSNSDRRAAEMAQRRVSWSNILKQCRL RVENLSTSQVDSNGDRDVFHNFRQRVVRIQNWIDEVSERVASQDQACMEGLPENTTSG NYLSFSPGDLFNSMDDPMWSEWINDTELN TSTA_044970 MTTTTQFHVQHSVWQCTWLGAEHISDLRILISLRISYSAYPPFK LENNSTALQSALTKLRSQLDQLIQTGNGQYGPVTPNTTSFSIALFSTNPGTAADEPFF FDYHYTAPSLKDSTTTGVRSVDADSIYRIGGLTEVFTIWCLLIEAGDEVWNNPVTKYA PELEGAARARGSGDIDGVDWESITVGQLASHMSGISRDYGLGDLSQQIQNAVAYGFPG LPTDTIPACYLQNQCNRTGQTPKSQHANSRLTCSSVVMPGTTPVYSNDAFQILGYVVE NITGESFENVLSSRILAPLGMNQTTLYTPKSPTLGVIPVNEATTQYQCSHLPAVFPSP EKQSYHPPSYPPSQTNRWLKPVTHTSNPKGSIGLPWIIYSAGDYPNTSMIDIYTAYDN LVPDYGFGFAILAADTESNPDLNAHADYAGNLTIDLIEIAMLNANATFTGSYTASSSE LSGLNSSISISVDSLPGLIVDSFISNGTDFRKQLAMLYNAADYEALSVRLYPTHLSST TTQPGGSRQVFRAVYQDKKEFADAGTPTCVSWRDVDKFRYGGAAVDLFVFDLDAEGKI VGVEIPALRVKLEKD TSTA_044980 MAREEGPLLNRLLNANSILEQTAALRTLKHEIIGHDQRKERWVN SGIVSILSRILECSSRDEYDSSKEVMSDGQRDGESSRGQNNNCRSTRSMTEEQELCLQ AVIIIGSLAQGGPAFLSPIMSSEAIPSMLAIISSPNCPQSLTVAILKTLSNIADRYPL QNINWPQDNRLAEILFTPDTISCFARIIAQSSSFSATQTCATLAANIIARLCTEETHK AALAEFGILDALALKIASFVVAQGFVLPGAENHLGEDGALPTLPQPAPPAAKLGPILR ATSVIVENSKWRAEHFLSSPGIVTVFPGRLPEFSPADIKKNPWGMPYLSGSAVPRQNH TNPMDSLLPVVPLAQSSASTNFPPLVPIGSFHKRSLSFLEAPSYDEDESALVPWLFHM VRTENGMSRVMAARLVTGFFRLGLARKHRIPMFNYLVTPLLLRMLDKDYDFRDDSDPD YDGMIPTALALKEEVPSIIAILVMDTQELQKHAVEANAIKILSQLLKETYNPRPDNGK PMWYAESKDKDTVSAVPATLKLGPVGYSPMTCHIMRYREGILRALAALAPFRDEYRKA ICENGVVPYIIDSLKPRPPLDAQDTRGTKNTAADGNSIPTLLAACGAARALTRSVSVL RTSLIDAGVATPLFELIKHPDIEVQIAATSVICNIALDFSPMKEAIISAGILPILCDH AHSNNTKLRLESLWALKHIAYNSTNDIKNKIVVGLGASWLAELMSIDPTSRRNLAADF KPGTLMGMGTSNSAGEKVDLLNPMEDVTRGEEARDSPLASDSTSFDALLTDPNRRKKL AMSGEIDQTRQARQDDIAVQEQALDLVRNIMCGNGAAEVVDFLLDEIGQDEFLNILAE KMRPRPNPTRRDTAANPPPPPMPAEILVAVTYVLVNLTAGPPKHRDLLFTHRELLKNL MWLFEHPNRAVRVNCVWVVINLTFEDDQSGRQASYERAVKLKSLGVIDRLRSVEEDSD LDVRERTKTALHQIRQYMNA TSTA_044990 MAPVPQSILRALGFCSIDPSKATLSTSGLGSGFSNTGRIRISIP REKGEREEERQYFLKTSPDGKEMFQGEFASLNAIADIVPGFCPRALGWGALDEKKGWF LVTEFLDFSGRSSSTTESKGTTSLAQRLGKLHSTPAPPPPSSEDDQSKYGFPVPTYCG NTRQPNNFHNSWAEFYANERLLMILKESERKNGPDAGLRDLVTKTAERVVPRLLGDNH LGYDRNGKGDGIVPVVVHGDLWSGNASRGQIAGSDNSNDGIADVVYDPSACYAHNEYE LGIMQMFGGFGRALFDEYHSIVPKTEPVEEYEDRVKLYELYHHLNHHAIFGGGYRSGA VSIMNKLISEYGRG TSTA_045000 MAGNHNRPRRGGGNHPSGRNNPHNRSHHRHGSPPARAAEDQGPK MSPQQQRQQQQQQAPPTGPRAMQIPTPHPSFIQVAKPYVFEHTIQECLAATRVDPQRE DDIRISGVTWIDNVRKALHLPVRTYNTACVYYHKFRLVHPDSQYSYMDAAAAALFTAC KIEDTLKKSRDIVCAAYNLKLPPSEQVSPDDAIFDQHSRGIIILERLMLEASGFDFRN RHPQKLLVKLLKHYGLKKDDEVGIVAYCISLDLYRTFAPLKQTTGTMAFACLELASRL LDAGLEDVEAGRGYHDWKVGRAEVMETLLDLLDLYIHHRSSTVVGPEYPLDAFLAIRI PLNKESEDEGLPRFTHWRDHISTNKTTNGTGPKHGKHNKNKGKGKDQRDRDLEIVAVA AGPPPNPLTPVSANGEKPVLSDRGRDGTVRFMLDMERAKAEKKVVSSYFRDTIEEVEE TSTA_045010 MMGRRNVRFPHRSNGDQAQRSSFSEVSDGEITKSDGNQQDTSDA PALSDYEKKKQTFITRTIWTFVMIAGFFSAMFSGHIYLVAIVTAVQIISFKEVIAIAN VPSKDRNLRFTKSLNWYFLATTMYFLYGESVIYYFKHILLVDRILLPFATHHRFLSFM LYVFGFVFFVATLQKGHYRFQFTQFAWTHMALYLIVVQAHFIMNNIFEGMIWFFLPAS LVITNDIFAYVCGITFGRTQLIKLSPKKTVEGFIGAWICTIIFGYFMTNILMQYKYFI CPVTDLGSNVVSGLECTPNPVFIPNTYTLPDWPFLPKTITVAPMQFHILVFATFASLI APFGGFFASGLKRTFKIKDFGESIPGHGGITDRMDCQFIMGFFAYMYYHSFIALHKAS VGDVIETAINGLTVEEQLEVVRGLGKYLYNQGVVTETALECITGELFRR TSTA_045020 MRLDLSWHDSNVGGMDSDDDFLDDGLDFDSIPAGTLLQLEQSAW QATQVPPPQSAERSHSTGLQTNRNQLNQRGYNLPPSQRHNSSFYGDVEVIDLDADILE DNDERLDDVVNSNPPVTQNVLHSRTSDQKQYTPVQTQHAQPAQGSNINYPDHEIGARI EEVSRQYDAINEQLAAAREDATKKAGEVAILRANLTKQAQEFERTITSLRAQLADLTT KNKGAQEAAIDERQKLETENLFLREELRDETLRVNNLRAKKRTEAPQTPRKQRTLPFR DGFDDDEIGFASPTKSSGGRSKRGTPTVPGKRKRKVSDAPIPMPPLQLSQGNQASVET DILGLDSMDTGTSERECISGQVIEEGFYMTQILNHRTHLNRESDLEVMAGLAFPSEPD RKISSIVLETMSGVTSNYAVKYAHCLIQLWDRALVEKFYKVVPVFMASIKFILLLDLY SISPQLITELAGILQASIYVNAATRFEHSAVSSKVKGESRRTPRTVLHTDVDSTTALE IMYLLVLACRQDDEAHEHLWRNIHFDILLMTLNDHQPLQDIVLSLKILSTSLRRNSFG TIQPSEAEQRQVEYHFIERVTCLLFQRIEPDEGEEDYLPLQICQMRLEALTFLEMITF YSFDMMADASKTAIISYPLVLPRLFRTLYDEMDRLYTLSPEHDIRTALVNRLMHLIFK LIRSGGGKNLNEGLSRLAGAKQKHRLVLSRLAFSEGQFLESGITEETMEMARQLLEEE DMSPEEAEALFVAFPTGGRLSGKEGTQVE TSTA_045030 MRNRATTPLSKAKIRQSWSKYNLYNLHRFRAPPTVNKTFFQQKW AAKSTTRSYHGEQIRESQWTRMFSRRLRSVVPMNPVQLARDDGSIISAGRGSGLETAS GTNTPKRTPYTNMTFAPLERRLDVAIFRAMFASSTRQARQFVVHGAVTVNGKKMQFPG YLLNPGDLFQVEPERVMYATGAPKNKIERRETRLAKKEAWKKDETENENAEESNTETK TEEPKKVDDPKETLKRLLAQAKTIMSREKDVLPVKKKQELRGFQKVVRSVLSKSATST ILADNLESQFSELLGLLKAKKAESPRENRNKKDTAAIREEAESTAVEDSSSGSISQEL KDAFKQATEDPSNLDVSELTEDEFETLKQAIAQMHDNPIDTSKPYATPWRPRDFMNAF AFIPRYLEVNHKICAAVYLRHPVARPGFSEVPSPFGENIQTPAFAWYLRRR TSTA_045040 MFPWHGEGKGSSFVPATTQRQQPQPQAQEQTQQSSSRQDGYGRW RLSSVLQGQGQDVNDKSGRSSPKEEPIPSSGGDDDEGEEDGEAEEQGNSDEEDIDFRG PTNGSNATGSGSNSTNGTEQPRQGQGQAQRLGERMPPLGYPPQPSFRNNHARRLNTEE EIKLFELCIKHSGTFGERSKLCEWWKNIANEFIEKYGGPYSWHSVRRKVDLVTRQRIK YLADLREGKIESDQATEPWKKVLDEWIPTWEKFEVAEKRRIEVRDARAASRKRKEPAG ASSSPSSPAPSSATKRASTAAPPPPAGSWQATPRRWTPGPSPYAYPPPPGPGYMGPPP STPGMKLPDGYDTMFRTPAPHPSTHPLYPHPPPQAYYPPPNAIPVDNSLTSAILETLT KLNKHLEDADSTSSIVNALTGNDKNKTTTALNGTTSPPSSSPEEPRTQEAEPTEPTDE PTSSTYKKIRAELRAEFQTEMAKMRQAFTAKLDALEQTQEMIMDMLRQEPGREGST TSTA_045050 MAPAIGIDLGTTYSCVGVFRDDRIEIIANDQGNRTTPSFVAFTD TERLIGDAAKNQVAMNPHNTVFDAKRLIGRKFSDPEVQADAKHFPFKIVEKATKPVIE VEFKGETKQFTPEEISSMVLIKMRETAESYLGGTVNNAVITVPAYFNDSQRQATKDAG LIAGLNVLRIINEPTAAAIAYGLDKKAEGERNVLIFDLGGGTFDVSLLTIEEGIFEVK ATAGDTHLGGEDFDSRLVNHFVQEFKRKHRKDLTTNARALRRLRTACERAKRTLSSSA QTSIEIDSLFEGIDFYTSITRARFEELCQDLFRSTMEPVERVLRDAKVDKSSVHEIVL VGGSTRIPRIQKLVTDFFNKEPNKSINPDEAVAYGAAVQAAILSGDTSSKSTSEILLL DVAPLSVGIETAGGVMTPLIKRNTTIPTKKSETFSTYSDNQPGVLIQVYEGERARTKD NNLLGKFELTGIPPAPRGVPQIEVTFDMDANGIMNVSAVEKGTGKSNKIVITNDKGRL SKEEIERMLAEAEKYKAEDEAEASRIQAKNGLESYAYSLKNTIGEGKLNIEAADKEKL EAEIEKTISWLDSNQTATKDEYEAQQKELESVANPIISAAYGGAGAAPGATGASATRE ADEVEERPEELD TSTA_045060 MGDHSVTDYNDPAANAPDAAAYEKGKGKAAEAYDDVNMGEEDDE ESSEEEEDEMGAEDDDEDEGDNLEPISTDNIIDSGRRTRGKRIDFAEAAEKAKAEGDD PMDDEDEDDEDFHGEDNDGDNMQE TSTA_045070 MASRYEPPHTSHTQTSTNETPAPHPPSSIPTAATDDPLDLLLVD HLPQTPRRLPIQAHIPMEEAEDCILVVVKVNMVTGLRRRIRGHYSDAVLSELESQNDH EVEGITAKVKALKELTVAIGDEIRSSSNLADSMNEAFDNTRLRLRGTMNRMLRMAERT GVGWKVWLGFFLAVVLLFAYVWLF TSTA_045080 MLSLPLVAPRDSHELWFGSSQPRYRGSINSPNTETPATRRQQLH NGAPNNGNLSNPQTSARALFSSTAPSNSLAMLQLEERALRLRKENIASFGAAWIKPAG IPKTMQGMREEEAEREEGLAAAQQELNVAAMVAAAAAGNVGDGVTNMDAFGAEDTALQ QQQQQMVEGDGTGAAEGDGIGPEELERDLDDDIPEAEDDGFGDSDEEEGLVEEGEEGY EEELLERNLDDDIPEGFPEDDEDDEDDYEDDDDELPEGNEIMVRDLDDDIPEANDPED EDMDEEAEAEEWQHTDSELEDDDDDSDLDGDNSNIHDPYAHLNRGGGADGIVSTNTQT PVSTDPARRRSQRSSARQPPPPPSAAEIRRTRETAAQRRFLQRWSGIGGETESDNEII PSVMMVDDDEDEDDLRASITSARNQAAREARTRLVQSRFGRFMRRGGPRDSLD TSTA_045090 MSTRLYTPLSRTTLRYSISRPPQIVSKLPRARTVRFYTSRKESK DQFIIWRPWLRIAIGIPFIGALIYSMATENAAETEAPSIAEIDKALKEKSPVTDDSPM RLRMEKLIKEHQKKITDELSRIDGKPFQADTWTRPNGGGGISCVLQDGNVFEKAGVNV SVVYGELPRPAIEKMRADHKSFVGANVDSLSFFAAGLSLVLHPHNPHAPTVHLNYRYF ETSDPKDPVNGDKNWWFGGGTDLTPSYLYPEDCKHFHQTIKDVCDRHDSTYYPRFKTW CDKYFYLPHRNEARGIGGIFFDDLDAHFLQSSSSSSQNPQETLFSFVSDALGSFLPSY VPILERRKDTPFTPEQKEWQQLRRGRYVEFNLVYDRGTSFGLRTPNARVESILMSLPR TASWVYMDSVSGTRTEGASTQSEDGVEETEEVKRQRELLDVLKHSRQWV TSTA_045100 MTSEHTVAKVLLEWINSFSLGKTIRDTEELSDGIILWEILQDID PQYFLDELPERNPSDHWVTKWQNLKHLHKLLTGYIRKQFDDEIPSGLDPSPDLKAISE SNSLKETNKLLKLLLIAAISSPNAETYVTTLQKLSTPTQEGLKNIIEEAHNSQHEDLS ADEEDGDGAPKRDLAVDPELQFEERVGKVLAENDRLATEKKEMEKALEDLHNRLARLQ ENNDTLQTRLASTEDRLVTLKSGKGDVGFSAKALESRSRQQEELIANQEAKLSAAQDE IDSLKMSLESMRVKTQRFQKLQDDYDEMKTERDQLIRKANAAEKYRQKLQASQDFEKE NQTLKNQVKDLQQQLKEADSNQKRSSERDVELEEYRRVLPRIEQDRHEIQSLKKQLEF NNHALTERLQGAEEQRDRDEALISELRERIRELEGSTDSPSYTPGNASPRLQSTLQKD LDDIGARETQLKSENEELRKEVNKLKMTNTLGSSLEGYSENLILTAQLAQAKSSQSDE YWKLYDQYAEIRQKLADAEDLIDATKRQLDDARAELQLVDKEKLDMITEVKDSNSGEI TKIREEWDQLTRKIHHLEAEIDVSQALVRDMCVERNELRACLEEKQAAMRAEDEDFIK EIETLLAEFAARAKTENPDAPEKSGIELLKHFADVTEKSAETLAKRAEHIDQQNDLIK SLQEQIRHIEEARGDQDDDSIPKQRELELQEIINRQSREMALICSAWYDLQGRLQHSN ITVARYRHTATGAGGGSADMQKGWLAKQRNLVSVASFGR TSTA_045110 MKLFHQYLIVLILGLFQIALAVQVQKSIVVSYPDNTPESVLKEA KKAITDAGGWITHEFNLFKGFAAKASSSAIETIQASSTNFLPVIEEDKVVTIDGDFGT Q TSTA_045120 MSSGLPSDEVAEDYKNSLEDLTTNDKFQISNLTVIAKENTEHAM AISRVLENHIRTTPPSQKLPALYVVDSVVKNVGTPYTLFLGRNLYQTFMNAYTLVDQQ TRRKLDEMLKTWKEPVPGSLDPRPVFPVEITRSIENALIKARTAALQQQQRNQPQDVL ARSRSSAAPPSWTHTPTPPQGVPYRYPSASHAVNGHPQGHGSESHTPQQGQNVDLPAL HRDIENLIGSARADFAASPFDVSIQQRLKALLDLQAILQRQQLPPDQLKLVRDQVSAL APAPKPAATQPFPQSNVPIPNISTPPSQPIPQATPQPNLAALLNPNTLASLLQATANR QQSTPPPAVGGIVPQTQPSGTPQPATAENPLIASLRARGLLPPVPSTATPPTGAPLSL PLLVPGQIGYTPPASVSTPQNMTDITINVHLSTASIKIPRPVLINSLYDAKSNRCGTC GRRFLTTEEGKQKKARHLDWHFRTNQRMTDSIKRGQNRSWYVDERDWIKSREFDDDSG LAGTGPGNGTTSTEEEAAKKQPQKQWIRAPNDVALRNAPCPICQEKFESTWSEDVQDW IWQDALKVGNRVYHASCYAEVTKDGSATAARAGTPLARTGTPDSVLGKRKAEHTESPG SKVRIKTEPV TSTA_045130 MVHNTRWGILATGGIAKAFVKDLLKNPQTRNASDITHTVTAVAS SSSSSRAQEFITDLSLPGMPKAYGSYEELVNDPNVDVIYIATPHSHHYQNARLALEAG KHVLCEKAFTVNAAQAKILVDIARKKNLFLMEAVWTRFFPLSVQVRDIIRKGGEIGEV VRVLADLSIANADGFDVSSRMVNLDLAGGALLDLGIYSLTWVFQTLYHTLPPSQRKPP STIQSSMTKYPATGADEDTTILLTFPTTTPADDRRASHGIATTAFAVHSHPRGTPSIR IQGTKGEIQIFGPAFRPVKYRVVPVDEKKEIREWECEFPDGGHGMYWEADEVARCLRD GKLESERMPWEESIVIMEVMDEVRRQNGLVYPEKIESVEYPVAL TSTA_045140 MAPITQDTVDGLKDVIHKLEARVADLESRLVHGGSPASSSKSIA EQFRIVLMGPPGAGKGTQAPRLKEKFCVCHLATGDMLRSQVAKKTPLGKEAKKIMDQG GLVSDEIMVDMIKNELETNSECKNGFILDGFPRTVAQAERLDDMLAARKEKLQHAVEL QIDDALLVARITGRLVHPASGRSYHKIFNPPKEEMKDDITGEPLIQRSDDNAETLKKR LATYHAQTAPVVDYYKKTGIWRGIDASQEPGQVWKSLLGVFQPNSSILSKVGLSK TSTA_045140 MLRSQVAKKTPLGKEAKKIMDQGGLVSDEIMVDMIKNELETNSE CKNGFILDGFPRTVAQAERLDDMLAARKEKLQHAVELQIDDALLVARITGRLVHPASG RSYHKIFNPPKEEMKDDITGEPLIQRSDDNAETLKKRLATYHAQTAPVVDYYKKTGIW RGIDASQEPGQVWKSLLGVFQPNSSILSKVGLSK TSTA_045150 MPATTAETLSLVTRTVSVAPLVLLSAADHYGRTAKGTRKRVVGV LLGQNEGTNVRVSNSFAVPFEEDEKDPRVWFLDHNFVESMNDMFKKINAREKLIGWYH SGPKLRAADLEINELFKRYTPNPLLVIIDVQPKEVGVPTDAYFAVEEIKDDGTTTSKT FVHTPSIIEAEEAEEIGVEHLLRDIRDVAVGTLSNRITGQLQSLQGLHLRLRDIGQYL QKVLDHELPINHAILGNLQDIFNLLPNLSTPKSANEGNGTESDIENSELVRAMSVKTN DQLMAIYLSSLIRAITAFHDLIENKIQNRQQQEELEGKKEEETATTAGKKQDGTSGTA NGEQSKDEKDTKEKNSKRS TSTA_045160 MVLDIVYQLSVCLVQIDDTILTTLLTTLSKISPPPSNPENNNIF TTLSATDTTQLKNLLLTLHCLFPNEFLLALDILDRGFVKRYITGNAVSSGAETVMFIV QSSSSATSDRDGGGGGEYQVHLRTWNCSCPAFVMACFAMSRHMEDVDYEIDYLLQHQD EAMDAKEESWFGGTIRNPEYYDDRSIPVCKHILACVLVDKCPNLFARKCLTFNVNDTE RVNDVAGYCAA TSTA_045170 MSNLDLDQQNDAEEYMISLVDQIRRLLSFREWAELVSLRQICIR ICRECHVRIKRRDPNWILKGELSRTGPKYQKLDEILHFQKPDQDRCDKCDKRTKQDAY YAFLNPPPILIVHVPALVQEDNVNLLEQKVAGLEQHLVFSCYPTDIVSEDGKDSVHWA SYQLYGIILLGGDDVTSGHYVCAFEQRQQGHWLWFNDTINAPAEELTRRELEARIKKA QEKEYRIFMMMYRKLFQMPMLQSPGAISSTALQSTRRPQQPRQQPQEQSREQPQEQPK GPVGQVSSDDDNMETLRGQPDDWDEWAKTSGSEQSQKITFSKPDLSERKSAPTENVTQ QSNPTHLSTPIDVIEDLAVSAHPETGDATTQPIPGQRGLVEAAPGPSISPPGVRQTRS KTRAARAASQKPEDVQTEPVAPTATQTRTKAKTTTQSEGKQTKSRAKKRAQEEALAEG EIQDSAPTTKGSRAKPGTKSKSRAKTACENEAVAEDEVEETTASVPKTKRSQARLMGE AGDTKIKGRAKRAREEEALADDEQEVDEAPVPKKARTRAKSEAAGGKKRSTRATKEIQ IKGEEQTTNSAPAEAEAESSTKPAGRPTRRRTQCKE TSTA_045180 MLLIHPPPAYPISSAKVDISLLLKPDDEEETLLHQQTHHQQPPP PPPQQQQNRPSSSRMLASGPAPRVVPPGSAPMTPTTPGPQPKSSAGGILGSTPTTTTT TAGTKRHSSSSLGNEPPAKKQSKWSPEEDALIIELRGRGMKWEDISKKLPGRSAISCR LHYQNYLERRSEWDEDRKNKLARLYERFKAEMWAKVAEEMAIPWRAAEAMHWQLGEQE MARRAGVVPFSLSSGSSIEPAPKLRRNTTTTVMPRLRRQSMSVAGVSQRSMPPPHPLA IPQGMPLQGLPPQLLPPAHHPPPPLPQPQPLPQGQSQGQPQLPSVEELTAGVPAYSSS HTQPIINPYHQGARPQQPPPMNLPHPQSDLPGMSPTRTRP TSTA_045190 MTESQDTPEQSNPDAEEYESKFVHEVYQQIASHFSETRYKPWPI VERFLLEQNPGSIGLDIGCGNGKYLKVNQNVFIIASDRSEALVRIATQHQPHSTVVAD ILNLPHPESYFDFAISIAVVHHLSTPERRRQAISAILQTLKPADTGGGKALIYVWALE QKSSRRGWDKGHQQDVMVPWVMRNKPAVTKHKYGQEESNQDKAEAQDKIFHRFYHLYE ENELERDIRAAGGVVIESGYEKDNWWAIASRASSPFHTTQAGS TSTA_045200 MSFQPTPADVSVIISTASTHSSNEPSLATERRITPSWSISQLKG KLETMTGVPPGSQRLLFKSPGRPDQWIEGEDRQICEWGLARGCEIEVHDTRPPAARPN FEDLSSVEKYELPPEKYESLSNSVLAWKKSQKLGRFDPNAQSPEDLLKEQVAKDVEAI GKRNIKLLSRVIILPSSPPHIRRGTIRYIGPVPEIPFAPLKDNKKATTEDGHPLEPLW VGIELDEPTGKNDGSIAGKRYFECSGNNRGVFVKPEKVEVGDFPPLDDDLELDDDMEE I TSTA_045210 MRITSTLLALATVTTASPITKRTTSNTATDIWPVSNFTVGCSPA ACVYKFAVTRVAGPNNPGFNTTCTGNDFTSDWHPCADSSVSAKIVPKTYPEWEVDVCH KYDTADKMGWIEAFANATVEDGVEGVE TSTA_045220 MALRGVAKARDYDYSNVGKQGRRTGITLKEGRRDEHGMEELDGV FSSPERSPVRMNGLNTGNDTVMGSEGMSIDEDGPGPTDFLSRSATRRSPFFPPPIARS PRKSGLSGSPRRTPALRSSPIVQIDNVSSSPTSARQRRGRVGEDETTSARQPLNDRRP NTAPISNGSRQKGVLNGYANDENLPINEDDDEEEEEEEEVNDISAYQNEPMEEDYGVH EISDDGYGDDSGEAPDTVNVENDDHSEEEPSPLPAASSRTKKRKSPATNDDVDVEAED RPQGLPKRRGRPQTAQVEKGAQAKTNDQRPAKKAKSSKAEPKSREPLDPQLEKVVENH VNRTGPLKGRSLYILKREVPTDQQAAHTRSGRVSVRPLAYWRNERCVYGDGEADVGQR YPLSTIKEIIRTEELEPEKKPGKKRKPKKSKSKKQEDDSDDDEDERDKWEAEDGVLHG YIKKWDSDKQAASNEEEISEIAFSPSGIETRDVKDSTFRVAKLLSQPFFGSGIVELPA KGVKKPKNSKRMHMVFYVCYGRVQVDINGVQFTAGKGCIFQVHRGNHYSFANTTPKEA RLFFTQAFMPNGSDNDNDHENTQSSKAPAAAEPEPEPEENSKAAAPAGKGRGRGRGRG KAAAR TSTA_045220 MALRGVAKARDYDYSNVGKQGRRTGITLKEGRRDEHGMEELDGV FSSPERSPVRMNGLNTGNDTVMGSEGMSIDEEDGPGPTDFLSRSATRRSPFFPPPIAR SPRKSGLSGSPRRTPALRSSPIVQIDNVSSSPTSARQRRGRVGEDETTSARQPLNDRR PNTAPISNGSRQKGVLNGYANDENLPINEDDDEEEEEEEEVNDISAYQNEPMEEDYGV HEISDDGYGDDSGEAPDTVNVENDDHSEEEPSPLPAASSRTKKRKSPATNDDVDVEAE DRPQGLPKRRGRPQTAQVEKGAQAKTNDQRPAKKAKSSKAEPKSREPLDPQLEKVVEN HVNRTGPLKGRSLYILKREVPTDQQAAHTRSGRVSVRPLAYWRNERCVYGDGEADVGQ RYPLSTIKEIIRTEELEPEKKPGKKRKPKKSKSKKQEDDSDDDEDERDKWEAEDGVLH GYIKKWDSDKQAASNEEEISEIAFSPSGIETRDVKDSTFRVAKLLSQPFFGSGIVELP AKGVKKPKNSKRMHMVFYVCYGRVQVDINGVQFTAGKGCIFQVHRGNHYSFANTTPKE ARLFFTQAFMPNGSDNDNDHENTQSSKAPAAAEPEPEPEENSKAAAPAGKGRGRGRGR GKAAAR TSTA_045230 MLKAQCITLSSIKESRRCAERANSSNNNNAFEDTTGALSFIPPT INPTPLLQGQSYTVYSPCPQAIQPINPTAITTDDTNKPTECVLGVDEAGRGPVLGPMV YSAFYLPKDLEQSLLTQEHSFDDSKVLTPVVRAQLMQSICTPGQSLHDSCGWAVKLLS ARDISAGMMRPGMGIYNLNAQAMDATVEIIRGVLNDKHINVTEIYIDTIGNPATYQAK LQRLFPTLSITVAKKADSLYPCVSAASVVAKVTRDVALESCYRAFYQEPSQKTVDGDT NMMESSETIPPSVSKVEELGWGSGYPSDSKCVNWLRQNMDSVFGWGNECRFSWGTAKE MLDGKDAVRVDWPADEDEGAGLADFLSGNHVSGTTGKADDVGNWYGTRVTAF TSTA_045240 MESHRETLRELKADVLRPSKWVSSYENFVTKNVNTVNQVESALR SLTYIIPGRFRESELPSECVHSGVQLLSLYHDSLVSRVISQLPSTIPRPIPSPHTRYT KYWTTKSPFYRKLALTLQIIQYTELLWEMTARRRGEKVRWRVIMIIEFAKAVCRLLLL RLTNSRPLVSPPLPERETDPRAADAEKEASVGDWNGMDTAPEEVASSSSSSADISWTM PRTGLTLPSLPDASDISNYLISKVLTADDVKTPPSLLHRVSGQGQFAEILYILRPVIY ALAMQRWSKNKRSWSPWLIGFALEYGSRQLAKRDLAERAAGGLRGLTGLERDELKKRG WAMGWWLMRGAFYENFTRPWISGFADRLKGKPLLDIVGTLLDDYEYLWDNYYFSTATL TSTA_045250 MVQGETGSVIEHLISVSKSIEAAKDEAAIQFMKYRDDTQKLESK IRALEGQRKADEQRIKKLQYEVAELRRYKKTTNPGAQDEEEDEEVENDGGIDDRIIEA KLQKFKETYDPEKATELSWPEEPVNALFLGSVNRVRDSYKKLYCETRSLMGIMGSLRT QVKHHKRRAEQWQRWCTELSKSNVVPAATNSDSDDTPITQRAPQHESTLSTSTPTPAR TQDQRQEIPNSSDTASTTSNSSPIASTSSGSKASGSRPEIEACNQQRTIRVKDEPLSF GSLQEPSFPQEIIPSGSLDLDEVGDAVTTPRSRRVAVFTDASSRPQNKGPVLTPNIQS GECRAFQPKDTNLPHQFRTPEESAVKRRRTGDSGASAIHTVAQDGEDRNYIRAAGKAS NIENETPSKPSVRNPDAHRRLDDLLAARPQRQSPALDRPGNPNLAQSANPVSGSDWKI FDILIPSVKTVIECQNAVDKRRARAPKRKLQPEPDPTPEPDWEAIIKSVPFRELPVDC LDLSHFKPNPARNQGLDFAFKDVVRRSDLRKCLPGCMERDCCGDKFRAMVLAQGIKYE PSKHKTLIENHLGEDHNHLMKKLTRSQEVMDGLLIEAKAKELSDRFGKHRHAYERGRT PPGFWRADMPSTQEQAKDRMEADQMEREKVLERMKEAMKPDGLWKFADEF TSTA_045260 MSKVGAALGLRFHALAGDSELWKRRYFAKWVLPRARSVPQSKHL KLASTGTVYSPRVSKWLGHSHLATEGGNSIHWKTQYRLRHNWSKGTCRLDELEVSRPP LPQILIKLYSGVVITADKEHGLRAWSTKSPKKQLTELPFPKDDDTPTALYLTKGSSST TSSSIEITVGFDDGHFSVYNLDVAQREFTIRFSQKSTTDGTITAIASAPPYLLTISDH KNFNLYKIPPHVAQHEEPSPPDQLRLLASLESNNTFAPMSLSLRCSASGVIASIAYSF FHLSNGWTIGIQELRFNRDGEHITSRLATTVAVQPKAGDTGLNGHPIDSSGKPNTNTR GFANLVSTDSIQHKEAPTSLSYSHPFLLTSHSDNTLTMYLVFSSADKLVIRDSRRLWG HTSSVSSVQVGDRGKAVSVSPRGNEIRIWELESAISSSSSFGSFSSPLSSRSRSMKGE SSVQIHYEQPSSTPSFSLNTTSGAKDWGRRVSYQRDLDEISPPSTRDVDGWVGFDEEQ VVVLRQRGLRAQFLECYDFT TSTA_045270 MENQTGSRGCFNCGEPSHQARDCPKKGTPTCYNCGGQGHVSREC TQAPKEKSCYRCGQTGHISRDCQQSGPANNGGNYRGGFSGGSGGQECYKCGQVGHIAR NCSQGGGYGGGHGGFGGRQQTCYSCGGYGHMARDCTQGQKCYNCGEVGHVSRDCTTEG NGERVCYKCKQPGHVQSACPN TSTA_045280 MSRLIPLRPFISLRMTNRRICQMASQLTPAPAASYNKLATIPKS NTFTSKLPPDPAFETPKASHDAPRETLGPRIVKGAMYTYVRPETAEDPELLGVSPRAM TDLGLQPGEEKTDEFRDLVAGNKIFWNEQEGGVYPWAQCYGGWQFGAWAGQLGDGRAI SLCELTNPSTNVRYELQLKGAGRTPYSRFADGKAVLRSSIREYVVSEALNALGIPTTR ALSLTLLPKSKVLRERMEPGAIVARFAQSWLRIGSFDILHSRNERDLIRNLATYIAED VFPGWESLPGVVTLPNGDGNTANVNVDEPPRGIPAAELQGKEGQEENRFTRLYREIVR RNAKTVAAWQAYGFMNGVLNTDNTSIFGLSLDFGPFAFMDNFDPSYTPNHDDHYLRYS YKNQPSVIWWNLVRLGEAFGELIGAAERVDDEEFITKGVTEEFGQILIKRAETIINRT GEEYKTVFKNEYVRLMSRRLGLLTSKESDFETLFSELLDTMEHLELDFNHFFRRLSDV GIEEIETDEQRLAIAKRFFHNEGISGVGNTEESACKRIAAWLSSWKDRINEDWKRDGR TDQERKERMKFVNPKVLPSLKLRENILIDK TSTA_045290 MASPASIPNVQVGGNPSVPVLAYGTGTAWFKGTGKSEINRELVE SIKTAIKLGYYHLDGAEVYGTEPELGVAIKESGVAREKLFVVTKVYPNIADIPNAIEQ SLKKLQLGYVDLYLIHCPFFAKSDKELQDAWAAMEKVKESGKAKEIGVSNYTKVHLEA TFKTAKIPPAINQIEFHPYLQHGDLLDYHKEKGIVTSAYGPLTPITRAAGGPLETVLT GLAKKYAVTPGDVAIRWAIERGAIAITTSSKESRLTEYLRTVKFDLTPQEVKQISELG NQKHYRSFWKDKFAADDRT TSTA_045300 MRPQLLRAAARSRQFVNARSCRSFATTTRRSAEVELTIDGKKVS IEAGSALIQACEKAGVTIPRKLLIAGNCRMCLVEVEKAPKPVASCAWPVQPGMVVKTN SPLVHKAREGVMEFLLANHPLDCPICDQGGECDLQDQSMRYGADRGRFHETGGKRAVE DKNIGPLIKTSMNRCIHCTRCVRFMNDIAGAPELGTTGRGNDMQIGTYLEQNISSELS ANVIDLCPVGALTSKPYAFRARPWELKHTETIDVLDALGSNVRVDSRGMEVMRVIPRL NDNVNEEWINDKTRFACDGLKTQRLTTPLIRREGKFVPATWEQALTEIASAHARLSPK ENEFKAVAGHLIETESLVAMKDLANKLGSDNLALDQPNGSSPIAHGIDVRSNYLFNSK IFGVEEADAILLVGTNPRHEAAVLNARIRKQWLRSDLEVGLVGESFDSTFGFEHLGAD VNSLQSALSGVFGKKLASAKRPMIIVGSAIAEHPDAKSVFETIGQFVEKHASTFRTDE WQGYNVLQRAASRAGAYEVGFTAPSPEVANTTPKMVWLLGADEINASDIPKDAFVVYQ GHHGDRGAQLADVVLPGAAYTEKSGTYVNTEGRVQVTRAATSLPGAARDDWKIIRAVS EFLGSPLPYDDIEALRDRMEEISPALRRYDLIESTSVPSLSKVQLVDQNKGSKPTGAQ FKKVIEDFYFTDVISRSSPTMARCSAAKATGNPETNFMAAGEMSPQALYGNAA TSTA_045310 MPAENKDWVIRIPRSDNTNEFVLIYIAPTGKAALDLRFIATEGE NPYVGSLRQSRLKDIRSKNFQGTEQELEQILKSVLRVRNVSYEPKQESEIEATASIKS TKEEVNELVITIRKKIDSITQRVASLTLTQNDDQTIELFEWMGLILSRTDVYEHQIST LTTHLQVAQKSIKDLQTSLDDLVKSRKEHENMLLGSFAQVLNEKKLKIRNQQRLLASA IVDSDKVRELESMDQEKPAPKTRRNKRKAPIRQQESDSDDGFEKMDIDKPKPSRRGAT KNQAEDQQDVAEEEDEEDEDEELRPETPQPLEEETESEAEEERMASPPDEEKREENKS TRPNLTRAADPPPPPRRDLPFARRGKGAADTSSKEAEKAAEQHGGDDDATGGETDDDE L TSTA_045320 MQADTFHILQGESPPIESWDDDGDLQCFDDAQFHAISTTTSVTG SMLRSGHRDSISSRLSIRSDVESNAGDEDWQLLLRDNDETAIEEAIASARSAGIPIPD NVPKSALLSGSIKKLQSKQNKKSSIDDWSEDLEFPAADAELALKPNEQESFPESIQQI HTPLNASPAKSRDSVDNAPFRGVEATTPPSITAKETIQGLGFQDVKQESQDVPTINTS TASQLHLPHTPSIGSHSPAVGVARDKDDEFEKDFEFPTKEMTLKLCLPSSIPNNIPSI NDDIWDIGWADGDGNGRFGGVKRDMRSTQSSSVSAFSPSASSCLTAETEDDGLDGLIL PDGPFSFEENLKKRKVIRPDTEEPSPKSKPTFSNNNNKGRTETDGFFDDLDIDDGSVF ASMQSNVHRNVKRKLDPHPMTPGRSEKTITFTSKTPSVSTRIPRLSGHSRSHTSLEPV SESGNAVTHFRKPQSRLTAHSNRSSVGSIHTSPPVTPSGGRRSLGGRISREGMTSDLS SSHAQLLKSKRSAPSARGREESTSGAPFQRPSSRQESTSMIPTSSRPKTPVDRSTTDS SLGNNRSQAPFLPAGASQSQSHHVSVKYSRQFRRSDSETSTDSTIGPKSASRLSYPLR SDNSNRLHAHSASEGSNIIVKRPVTRPARRRNYGDGTELESFDDLPTSTLAESRFVKT PVGRGVPRSVRNGLAQSPTATRTETPVPSMMSNAPSRPSSTSFTPRFARDTNASRIAR EQRIASLSLPSRNRDSAPLAPLSTNWKGHQTPRQSDPMLTVRSKKGKASRPGGSRPQL IRPMGSGVSEAKNVKGMQYNPSTYRWEGNENEVAEFDAINIPKSPKVAPALITSVGTI KGGQVVGGMVFDPQRMCWLKIASTQPGADKVAVIHDELEDVFAGLEDLQDKPELKHRR NISEASVPTEAFDDQSGDSSEEWPITEEFDVGPEFVKRQRAEEDRWKRKLTKWVTPER QRIGDGWRWAIRDLVPGGN TSTA_045330 MNGYKTRPSHEQHYNHTSESTSMATVYETTPTAELPYHSPGPYN VLQPYNSYSSHLPPYFEPQASMGLQSPDMHTVPSLRHDHQHLSQHHHPYRQTNTYSGY PVDVQSSRFGSVRGVPESEIECQDSINEHTMLSERINPPLEGFPQVEDFDKLMENYVN ELSVKKQDKALINAKRAHQIRIVLTDPKDTTVGSAQFRFWVKKMFKLEPADSRIPMNR KWICHEGKPVAIREKLFKILTRAHQQCQHGGRDKTSGQVRQIYSWVPKELISRFVKLC PTCQVRRGGSHLSPPDSRRNSPPLELSRVQPPQSPLAYMRQPVPPMASYDEYRRNSSS SSSYGYSDSRNREWGTYSSPASRHSEMTWSSPSSHHQHQHHNSRQHAHSEVAEGGSPN GRNSMKLMNMHLDTTSSPPSRSVSGEIQPSQAQYLSGYVTTHSNSRYRPSY TSTA_045340 MNIFRLLADFSHLISILILLQKMKSTSSCSGLSFKSQVLYLIVF VTRYLDIFWTFTDSLYNTVFKILFISTSTYTIYLMLNDYKPTQDPNTDTFKVEYLLGF SALLAVLFPREYTISEILWTFSIWLESVAILPQLFMLQRTGESDTITTHYLFALGLYR ALYIPNWIYRYFFEGFFRAVPVLAGIIQTILYSDFFYIYYTKVMKGRKFSLPV TSTA_045350 MYPSVVSCCSTEEEDLEIMRFEERRGGESYRPSSRYSRSRSPSR VRRPRSPPPPHGPRNRSPPRLGADTWAPRCHPSERYRSRSPPGFHRSRSPPYRQPQRA RSPLRPRSPRRDRPPSPIRSWRSRSPYNQRSSYDLSIRGVGDNFRSPYSARSPRRDRP LSPPGRRADISPPPRSAFKERESYGRPPARSRSPYRGGRSPRVDSIQGNRTLSPAKRP SSGYASALNSASTSRRSSPPASNDRLRVTASGQGSRSPAQDASSRRVANDDITKASSL SSTVSPSSSEFEKGVSTSTTAAQQAPSEARDRTTTDSRRPDRSRSPRSLREGTVSDDR LRDSRSNTLRNSQDRNLPTAPSATILQSSQSRSSNVSLLSAPTRPRGATGYPSRDSTW PASPTPRRAPPTPHASHTPHGPPTGPRNRFAYSPPVHDVHRTPSYRHSNIVSANNPRG TKVNHLASLPTIMNGGRLLPLVYDFQIEKRLMNLKADEEKLVEHVVEKQLLKRQGLKD WDRLQRESALNALRSELAEIHLQRMTEEDRLEGSVAF TSTA_045360 MPAAASKPTKNQLKRARRKAKKEASTQAPFENVVPNGDTDKPVD ASPRFESKERDQQQDVDIQLDGSLWSMYKDIANRFEAGEEERTQPEAEKPQVFFDEDD EIPDEEEEPKMSKKKRKEMTKLSIAELKALVQKPDLVEWTDTSAPDPRLLVHIKAHRN VVPVPSHWSLKREYLSSKRGIEKPAFALPKFIQETGIAEMRDAALEKQEQATLKQKQR ERVQPKMGRLDIDYQKLYEAFFRFQTKPELTRYGEVYYEGKEYETNLRHLRPGELSDE LKEALNIPPGAPPPWLINQQRYGPPPSYPALKIPGLNAPPPPGAMWGFHPGGYGKPPV DEHNRPLYGGDIFGVLQTQQTAQQGEPVEKDLWGELQTMEEESEEESDEEEEEAEEEE EEAMDAAHAQSGLETPSGLVSTVPSEFGAAESIGGEFDVRKHHRGTETEDITQPKAAY RVIPEQASRVSGFFGGDRVYDLKAPEPPIPLLGSEDSHRKRKKADDVEVSMDPELLQT NDGISKEHLKGLYDAEKKQEFSQWNFQEDLSDMIASESKRIRKEEERRGRR TSTA_045370 MELNHSQKIILPVSIVFFVLGSLFVGLYLSSCLFVVKKLRFADY LMLLAWLFDLGLAVCIFLAIEKGLGFHASDIKPQDERPLNKAVYAFVVFYVSCQWLQA YIAHIGLLFLQDSASTFAKSSILIFFLSLSHDRRAVHLVNYMVLLVVVVVGIALILHQ IFRCHPFSAAFRFETEKQGRHCESIFVEFLASSPYNIITDLAILLVPIPLLTRMTLPF RQKVILVITFGAAILVIVVDLIRIAFLEHSALIRVREHHAVNVGKIRDVDYTWIFPYS FMWSTLEVNMTLICACVPTLKPLAAKLSPSLLRNPREKRQIDEGTLEKSQRDFETSET VAGEMMDVVTSRSVAGARVEQGSKRGFIDPSQDEDEPPIINLLNKIPASMPRLNNKQS LAPNMLATTLFFLWGFSLGLISVLNLSFGTVVELSPVQVRGIHAAYFGGYMAGAILFG RLFLRRLGFAGTIIAGLYIFACGALLFWPSAVLGSLPTFIISNITIAVGLAQLETDAN LFISICGPLEFAEIRLSVAQSFQGLGNTCAMQLAERALFKNPKDAAAVVNAQWTYLVV AFVAVLLSILFYYLPLPEAPNDDLRQLAAQRPENGAKIWGLRTSNVTFILGAWSMFFY VAGQEAHAVNFKNYVSSSHPRSSLSPQNLEAVGYTAWTVGRLLAALIMWRLLKPRQML LILYVGIIVLGVFCLHTTGLTAAVMATLEYLFSGGIFPIIFAISVRGTAQHAKTAASL LAAGIGGGAWGPFPQYQAALSHGQPWSYSVMISLWSAGTLFAIYLNFVPQARKQVDPV KDDYIKEKE TSTA_045380 MECPLYENEHSLMWSRIKGFQCTTDLQALLKEKKAVIAIAQFII DTRVLDQFREVDPEAVGIYESAETAAQLEPANDKDTDVGTSSVLCGAPLWRTTRGWAA DLLVPAGLGTHLDSGS TSTA_045390 MDGSGYQGYIGASMVIPTFRKQRTECIGTEGASTVYAAKVCHEG VPRNEAADRAAKRAALMGARRQIVPGDLSSEGWTILAAAAKRRIRQSIKDAWERQ TSTA_045400 MWPICQGPLGTLPRLILPEIPYSSKPVGTPNHXXVTVLPHKGLT TRAGVTLQAVPGGPWEHVEVPMGHGHEVFDAELVGVATALEWALERQPLGPIWVFLDA QNAIDRLRSTRPGPGQALVLRAHKAAEKLALRGQPVTIQWVPGHSGIEGNEQADQAAK RAASKQTAPGFEHLSLAHVRRACTEARRAAVSEWAQINAVQGRHRDGRVYKMPRGWNL DPVAGKAPKRLASRYYQLKTGHAPIGTYLYRIGRRESPECQACKEPHETVRHVLFECR GRRTGRRTLYQALKKAGVPLPTAAEEDPEARLFAEPRATQGLLQFVAEANLFNDKERT AREAESSDAWGWDTLEEGGLGVTLEDE TSTA_045410 MGSDGSVLPCHMRRIQKATAQAQLLWGAEFWWQGQKIWAQRFQV LINKQARAITGMFPKTPIGALIREAALEPATALLDARVAQYTARLLTLPDTHPTAQIL PVTLRHGDLHAQPGEQPLDDREWASRDNKVPNRLSQRLAKHLAQRLSRDPSGGIERTK QCELKGFPGSIRVIDNEEALTEANQQRAGTTFWSDGSRLDTGRAGAGVALQAVPGGPW EHVEVPMGHGHEVFDAELMGVATALEWALERQPLGPIWVLLDAQNAIDRLKSARPGPG QALVLRAHRAVEKLAMRGQPVTIQWVPGHSGVVGNEQADQAAKRAASKQTAPGFEHLS LAYVRRACTEARRAAVSEWARINAVQGRHRDGRVYKMPRGWNLDPVAGKAPKRLASRY YQLKTGHAPIGTYLHRIGRRESPECQACKEPHETVRHVLFECRGRRTGRRALYRALEK AGVPLPTAAEESPEARLFAEPRATQGLLQFVAEANLFNDNERTAREAESSDAWGWDYY YYYYYSSLLSVPSPIGYDRPLEALVRPYRPHPYQNPTYKNSK TSTA_045420 MLTALHAAKEKLSQYYAMTDEIDSDLYAIGTIISPQQKLQFFRR KEWHDLKTDWHGQYRKSLEDYLEVYKWRLSDIQLVSKVQSSATVILELEVFCEPEESS GLHHSSSSHCDELTQYLKSIYCPYPALLAMTDHWKSW TSTA_045430 MVKEPGQDSAGKALSADAQKDAKQKRPEDSRQKRPERRLTLDFT DSLEKEFGAAAVYGDGSLDFSVFQKLYENYPEKLFDYVCKRIKDLEQTVIKARGRDAA EDSEYDEEVSSWQEQNAKLQKQVKDQRDAMVELIAEHDDALARMRELAREGTTSTTSI SQKKSTKLPNRKRFSGGDDPKFASWLIDVENKLETNADHYPTALARMQYVKSMCEGAA AEHLVPRLQKDSLERYRDADDMIEHLKTIYHNANSVTKAKRELRRLYMNDTKFQDFLS KFVLKAQESELPSSQWKDELYELQASYNENMSYRDFVKEYHQTANQLEIIVENERRAP KNRENKGGNGSGASKDDKKNKSGSDKLKDDKSAAKISWAEKKQLIAKGKCFNYKMKGH MANECELNKKDTPDLKALEAAKKADVEESSESENDDA TSTA_045440 MYNLPFLIVRLEKHDVILGRMWLAKYGVMVDYARRQLLWPEEVS LKEEIQAKQFVPLPKKLLLRDREIEISHQEDAEQRDKAFNQQDVTKPRIPIPRSHGGD LVQKKPRAPMKTHPRSMGPKRGKKGTPWPEPRFADDPDIRAYVAAAIKDLEALKKHQE ALEIGMPRELSTSVVDAFLYLARRVKNTPTNEQLAQRLAKVELHVEKTQKEVSQASRE ITTTKSNTNRLVEAICHPTSPGTRTAKNSPSFSHVTTSSESYVQAWGRKVPSNPPTVP SVGLSSGGSLPSTPYPSQEDLEVYLEHTDPNILNPIRRFPDKVVEKANLAIRSTQDTT IAHRRIAAARILPSGDIILLLGTVDDVDQLTRKKDWIRAFGNEARIRKRTWGVVVHGV NTNINPKQPQFITTLTSENAPVFAQLPASMNVIHTGWLLSKYKIKEQKLTNAHLVVIF DNERIANFAIQRGLIIKGRQHNILIYDKAANLQQCFKCTVSARSAARTVLDLMIQGIA LHRKRKNTLNVLIAPQKMSISKILSRDLIRNTLRTRGSARYELHALQRHINDVYTAHN ITLLKSAAAARKRVAERSEPEPISPTSGDPTNRSSKKPMRAQWDKDLVIDADPNPEPK TGPETQIKYTYNTRAQISHVQAVRTVRRSKSVRTIPDDDSSEDELTQPSIHEAPQDPI EPAQEADTLMTTNLEDSTWANNQ TSTA_045450 MGSSSSATRHDHVXRIARWVRNRGKPRATFTPTLHYNNTSYTAP KEKAALFREVLHPEPPEADLSDIGPQYRYPKPYTMPPITLDEVRTAVTNVKPDKAPGP DGIPNLVLQRLLPTIEAYLSTTVILCKPGKPDYSDPKAYRPIALLSTIGKALESVLAR RLSYLVEQYNLLPKQHIGGYRGRSCELAIHLLLEETHSAWREGSRVASGLALDAAGAF DNVNHIRLIHDLRKRQVPDDLIGWIESFLSNRRTSITLLEGNMGEFLVNTGIPQGSLL SPILFLFFNADLIEQILAECPDVIVLGYIDDIFIMTYRTSAAANCHTLTKVHQVAERW ERTHASKFAPAKYQLTHFWRKHQMVPKPSGRLDVPLIIKGVEIKPTDSIKYLGVYLDT HLTGEVHVQEMRKKAAKLVAGLSLIAGSTWGTPLVHLRKIYTAVLQLQIIYACSTWYI RGGRGFTGAQRAAEQAIRSIQDQALHQISGAFKRTSRQALEVCLHVPPAELMLAKLAE EACLRIMTSPLRSTLYQIRGQAHCNDPYTSPLHRLETAINRKLGSDTSQRIETIYPFV VPLWWEPSEARIDDTREEAIKAIEVISGIDITIQFFTDGSSFDNGIGAAVYSSIGQAY KLVGSSDTHTVYAGELEGIDAALEILLRSQPCDDNPHEATIYTDNQAAIRATCQPGRS SGSISFDGSYDTWASYATTDLDGVYDYINYPYGSVSPEATCRLCKEVEGTVGACQLWT ASLSDNQSTNEDGIAAARGIMTGLEFSVNPTTNR TSTA_045460 FLASVRIEDSPQCECGLGDQDTAHVLIRCPTHINLRMETLWKEA RETDYRKLLSEPQWVRQSIEFMMRTGLLTQFHHSNHVDSAWTDQPLDTFGNRTGHQNI NITSPRTEILHSFCIYTHFLCWFGVLFARYLLLVVFGSGYGYVSRLSRGVD TSTA_045470 VAERWERTHASKFAPAKYQLTHFWRKHQMVPKPSGRLDVPLTIK GVEIKPTYGLNQISRGLSGYSPHWGSACIIIIIIQTSGSLGETLKASPLSESYIQKFK INHYLVPMWPICQGPLGTLPRLILPEIPYSSKPVGTPNQYKL TSTA_045480 MPPITLDEVQTAVTNVKPDKAPGPDGIPNLVLQRLLPTIEAYLS TTVILRKPGKPDYSDPKAYRPIALLSTIGKALESVLARRLSYLVEQYNLLPKQHIGGY RGRSCELAIHLLLEETHSAWREGSRVASGLALDAAGAFDNVNHIRLIHDLRKRRVPDD LIGWIESFLSNRRTSITLLEGNMGEFLINTGIPQGSPLSPILFLFFNADLIKQILAEC PDVIVLGYIDDIFIMTYGTSAAANCHTLTKVH TSTA_045490 DRKRLRRFLYRRHRRQVAQNVSRARIRVGGELATVKSTVRWLGI LLDSQLTWKSHYNARIKTARNTIIRLNSLCRANGLPPALVRRIQKATVQAQLLWGAEI WWQGQKTWAQRIQILINKQARGITGMFPKTPIGALIREAALEPATVLMDARVARYTAR LLALPDTHPTAQILPVTLRHGDLHAQPGEQPLDDREWASRDNKVLNRLGQRLAKHLAQ RLNRDPSGGIERTEQCELQGFPGSIRVLDKEEALTEANQQRAGTTFWSDGSRLDTGRA GAGVTLQAVPGGPWEHVEVPMGHGHEVFDAELVGVATALEWALERQPLGPIWVLLDAQ NAIDRLRSTRPGPGQALVLRAHKAAEKLALRGQPVTIQWVPGHSGIEGNEQADQAAKR AASKQTAPGFEHLSLAHVRRACTEARRAAVSEWAQINAVQGRHRDGRAYKMPRGWNLD PVAGKAPKRVASRYYQLKTGHAPIGTYLYRIGQRESPECQACKEPHETVRHVLFECRG RRAGRRTLYQALKKAGVPLPTAAEEDPEARLFAEPRATQGLLQFVAEANLFNDKERTA REAESSDAWGKLAAALFMDIEGAFDHVILAKLVEVLREASVDGDLIHWVTSFLSDRRV TLVIDGHVGKEVPISSGLPQGSPVSPILFVLYVHGLSRAIERSVPEVQCLSFVDDQGL ITAASSVKEACRILEKAAEVAIEWGVANGVQFDRKKTEAAFFIDDTDVNIYSRAGYTP EMAKLKHHVSRCRRHARRINTDQAWEDYAEARKEMKRRTNELARDLHRQRIEQATESI DGFWRIARWVRNRGKPRATFTPTLYYNNTSYTAPKEKAALF TSTA_045500 MQSDTAPKSRAINLTAPQPPPRYQNPTKVTKQAVQPPEGPKKVP GTTAKTTKQPETTTKLLTKPAPMKWATIATNNTQSGGWKTVQYKKQALAPSKALSTTD LKPISTRSKEERRLIFRRQYPKDAPTALKADILLALNRALAKAGFPDFVRAIDSGYAA SGALTVLLERGTRSSTLVPVYNDTLLAAVQAVPVDRYMYNDQGLALAQEEIELGTPYR LKREPTGQSPLLKADVPTA TSTA_045510 MSRRQRDERGRFISTTPELEGRIPGSFEQGNETALGQRDEATEN EIVERERDNTVHSGWQNTVVRVEVPIREFTPDRQLVDKLARMPDDETIPTFEEVNGRK FMTFEIAKLDRTNVRSWKNKYELFLKSQGCWKVLDYTYKWRKQSEKIDELLKDDKWSA ADSLSKLYILQNLTESDESAVQHMRSSGEIWAYLMEKYERRTEVDVAYAIRDVITWKM SSTTTVEAGLQQLEQRHTELVDVSGGEVKLPEKTIMVIFLEGLPSEYDSMKFSILGAG DLSRGLVLSRLQQQERMQGGSTNKTIGANESANRASDIKCFNCNEMGHFARNCPKPDK RKKLKEESRDDSQESSKTRSSRKKAHEKRSKKVRFKGKARNASKESDTEDESVSEESS EESAYKVWIGVHYADRATSIDPDQSEQKVKKWTIDGGATSHCTGGNLKIMGKGIARVP LMDGGVARLNNVLYVPDMEENLLSTQVLYRDGIYNAHEKDGYRFYRKDRKTLATGYNI GRTSYLGSVESQDTLMTRSRRMNKDEEARIVSREPDWDLLHKRFGHPGKPRMKRLVKR MGLKLPESYDFTCEMCIQAKSVKRQNRGEVPKEKEPLKRVYIDFWGPYQGQYYLAIVD DATRFSWLYITDNRRTETVIEILEKWMAKEERILGKALINIRLDNAKEFAALGSWAEK KGIDLEFTEPYTPPQNGPAERLNRFILEIERAMMQQMNVPKKYWRYAVRMANFLRNRT MFSPREGEKRKSAYEMIYKKKYNLAKLKVPFCKVWFHIETKDKLDPRAQEGVFVGYTK SSSQYLVLDRQGRVRKVTNPIFLEDQRGFISDEAGDREFTNDEAYNSLIENPSVFNHT VNPVINSASTTAMSPTVLNHKDDVDATTAVDESHSQESTTSTTLDLADPNPSTTPSLP KTSQQDASPKRRSERIRQPTQALIESQQTEQIYGRKSRQERRREEREASKVSITDSSS QVSHEETRLRETANLAVAIELLLGEDDEFALRVDKRLEGEQIPIPKTYEEAVNHPIYG PRWREAIGLEIRNLIRFGTWKFVKRSIGRSVISCKWVFDLKYGADGRLERFKARLVAR GFSQQEGLDFEDTFAPVIRLESLRVLFAIAASYGMVAHLLDATNAFVGSRIDKEMFME IPQGLEDHGVGPTEPDQVCEILQSLYGLRQSANLWNQKVKSFVNTIGFKPSTADSSVF INERGVIIALYVDDILVFEETQEISSNEDPGLAKKILESESPGFQMEDRILPLGPSTN LSADSQRLPKDLHSKFRRIIGRLTYLAGGTRPDIQFPVNRLSQHLAEPTKVHLEAVKR ILRYVRGTIKYAIIYRALDEKGSGKILVGYTDASYANATKGRSTSGYIFILAGGPVSW SSRKQPITATSSSEAEYIAASDGAKQAVWLRHFLHSIQKGSKGPTPFYMDNQSAMKLS DNPVLYSRSKHILIRYHAIRDFVNHREIKLIYIPTTDMLADSLTKASSSEILGKFTES LNMKW TSTA_045520 MCEGDTADQLMARMRDDALDPYLDATDIVLSAGAEDATFPNLSK FNQLAMDAKKSRTEWKEELYHKLNSEIKRAMIREANDSTVSYSDFVKECTMVVNRLEQ IAREEKSVSKDFKDAKDNKDSKLKGTDSGKGAGKAPRVNLSDKEFEELKEARLCFNCK QPGHVNFNYPLRKKNATEIKEVEVAEDTVELAKDKA TSTA_045530 MPPIRKKDPLKSAQNEGKIELAISDLKNGRIRSIREAARIYTIP RTTLQDRLHGVPFQHAIRASNHKLAQFEEESLVKWVLDLTRRGLPPRHFLVRDMANYF LSQRGDQRVGDKWVYNLVQRRPEIESKFSQKYNYERAKCEDPKIIQGHFDRVRDIISE YGVLPEDIYNFDETGFAMGLCTAAKVITGSDRYAQPKLLQPGNREWVTAIEATNSTGW ALPSYVIFKAKKNVRLGWFDELPDDWRINISDNGWTTDQIGLEWLKTHFIPLISGRTL GTYSMLILDGHGSHLTAEFDRTCTDHKIIPVCMPPHSSHLLQPLDVGCFAVLKRHYGQ LVEQRMRLGFNHIDKIDFLTAFPQARTVAYKAQTIRNSFAATGLVPFNPDRVLQHLNI QLKTPTPPPSRSSNTQSSCLQTPQNIRQFVRQSTTINKRINERTGSLNQNQEINQAVI RLSKAYEILANDALLVRKENRDLRAAHEKEKQKRKRSNKQISIEQGITREEAQALVQG QVEASQAVTTTPAEPELPTSQAVRVTFRRGGRIAYVAGSLTDYVN TSTA_045540 MAVPRSRILDLVKTQCRIFATTFNPQRLRLGNKVLRQRLRGPAL AAYYPRKTVSFRDLQDTYKPLDLETFDEGQDDREEAIQIAKLRGKGRPKKKRTAAESR SAKKKK TSTA_045540 MAVPRSRILDLVKTQCRIFATTFNPQRLRLGNKVLRQRLRGPAL AAYYPRKTVSFRDLQDTYKPLDLETFDEGQDDREEAIQMYVATPWFPGWN TSTA_045540 MAVPRSRILDLVKTQCRIFATTFNPQRLRLGNKVLRQRLRGPAL AAYYPRKTVSFRDLQDTYKPLDLETFDEGQDDREEAIQMYVATPWFPGWN TSTA_045540 MAVPRSRILDLVKTQCRIFATTFNPQRLRLGNKVLRQRLRGPAL AAYYPRKTVSFRDLQDTYKPLDLETFDEGQDDREEAIQMYVATPWFPGWN TSTA_045550 MATKRSFKDDKNCATFSNLHKRHKTNRAGSDCDHTRYEYKLNID ESMLVQQLRDVVDQVVHRPQVLNNLPKSSEILQVTTRLHAILSAASKRGTTHRKPEEM KKPPPESVSLPDLPSIHNASLERAVFTHPGAPVNVAAEGMEQNYDRLEVLGDAYVEVI ATRLIWEQFKDMPAGRMSQVREDLVKNETLAGFATQYGFDKRVSVSALEDQRAQTKRW LKIRGDVFEAYVAAVILSNPLHGFDIVEKWLRELWLPRLLHVPPAQTALKHKEQLAKR IMGKGIKLRYVEEQPAKQLEGGMQTFYIGVYLTGWGWQDQHLGSGSGLNKAIAGDEAA HKALENRPLIDQVAEAKAAHDRQANGVKEVITNNLTE TSTA_045560 MTTLPPLHNQHYKDSFGMNRLPSVSSLMSPPEPKPFDSFNSGYP SLTMSSENVVKLPPISDDRKRSRSDIDLPSPPVTPYAGNKKRRSSAEQLEAEIGGNNN RDPVLFPRNEPASDVHSDEPLFGPVLASAAEALIDQHMNSHMERFHNKVNKPTRDEYM LALSCVPIVSTQYNRNPIAWAREERETLERQMAMMDRVEYRPSNLKRLAPAPSKKTTS NSQPRPTKVSRPRRTPKSTPQQRVLDTFDIEYSPSTKPLRTIGTNRDDTDYNSLKDYS PPLETLGGNTKGLKADWKGQMLDLSNDPDRSLLDPAEISLAATLRLSCATYLCSKRRI FEARVKALSIGKEFRKTDAQQACKIDVNKASKLWTAYDRVGWFKPEHFIKFLKA TSTA_045570 MSQAAATRNEFQDDPDHHGSDDADKKKNRRPANTAFRQQRLRAW QPILTPRSVLPIFFVIGAIFAPLGGVLLWASEQVQEIIIDYTDCDTLAPISSTAALPA GRVSSSFKSSAQTSVTTWQRNETDTATKTTGCSLFFDIPEPLGPPVFLYYKLTDFYQN HRKYVQSLDTDQLKGVAVDNSTIHGSTCDPLTTDSATGKAYYPCGLIANSIFNDTISS PVLVNEETYDMTDRGIAWSSDKKIIKTTKYEPWQVVPPPNWRIKYPEYTADNFPDLGN DEAFMVWMRTAGLPTFSKLARRNDTTAMPAGQYRLDIQSSFNVTQYGGTKSIMISTRT VMGGKNSFMGIAYVVVGGICVVIGVLFTAANLIRPRKLGDHTYLTWNNEPSTGTATGH DQR TSTA_045580 MQRILRHTLIFAIVSITSFTAPVSAFTHNTRESSSRSSNLNSEK VQQRQLASSQRGVCGTEEPTTQLKDAHKRLSLREYRHAEGSIDGNASRATADSLPRIE VDTWFHVVSTSEKVGLVTNTMIASQFLYLQQAYQNSSIHYNLIGVDRSINDTWARNGD SAAMKRTLRKGSYSALNVYFQTDLEVSDASGASSRRNGHHHHHIQNLDKRDVLSSQNL LGFCTLPNPEITSSSSIDDYFNDGCNILASTMPGGSIEHYNRGGTTVHEVGHWHGLLH TFQDQTCAPGANGDYISDTPQQSVPTEGCPASKDSCSDLPGMDAIWNFMDYSSDDCYR SFTVEQASRMRSMWATMREGK TSTA_045590 MAEDIVIDKTLFFDRLSTFYNAWKADKRSGAGVFGGVGSIVILM GKTDEANAFQKANAMHFWLLGYEFPATLFVFTVDTMYIVTTAKKAKHLEPLKGGKVPV EILVTSKDPADKAKAFSKCIEIIKVAGKKVGTLPKDNAQGPFADEWKRAFADELKDME EVDISPALSAAAFSIKGQEELVSMRNAARACSGLMSEYFVDDMSQLLDEGKKITHKAL AARIDAKIDDSKFFNKLAKLPPGFDPQQIDWAYGPVVQSGGNYDLRLTATANSDELHP GIIIAGFGIRYKTYSAIIARTYLVDPTKAQETNYGFLLNVYDAVIKDIRDGVAAKDLY NKAIGMVRAKRPDLENHFVKNVGAGIGIELRDSNMILNGKNARTLKSGMTLYISIGLT DVRDSDSKDKKSVYSMVITDTVRVGETGAHVFTKDAGIDMDSVSFYFGDEEEPQKATK EKTDVRSSAIASKNITKTKLRAERPTQINEGAEARRREHQKELAAKKMKEGLERFAGT TGDGNGEAQKKFKRFESYKRDNQLPSSVKDLTVYVDHKASTVIVPIMGRPVPFHINSI KNASKSDEGEYAYLRINFLSPGQGVGRKDDQPFEDPSANFVRNLTLRSKDNDRFARIA QDITELRKNALRKEQERKEMEDVVEQDKLVEIRNRRPVKLPDVYLRPPLDGKRVPGEV EIHQNGLRYQSPLRNEHVDVLFSNVKHLFFQPCAHELIVIIHVHLKTPILIGKRKTKD VQFIREATEMQFDETGNRRRKHRYGDEEEFEAEQEERRRRAALDREFKAFAEKISDAG KDEGVDVDIPFREIGFSGVPNRSNVLIQPTTDAIVQLTEPPFLVVTLNEIEVAHLERV QFGLKNFDLVFVLKDFNRPPIHINTIPVESLEGVKDWLDSVDIPFTEGPLNLNWGTIM KTVAADPYGFFAEGGWSFLAAESDSEDSESEEESAFELSESELNATDESSEDDSDFDD DASAEATDEDLSVDEESGEDWDELEKQAKKKDRESGLDDERNKKRKR TSTA_045600 MASLLNPRVAEATDSDNDGYRQNPRSNAATGASAAGTRALSAQL IAFYFRAPVKAFFRTRVDYMAFPRAINPRIQEKKWSFHTTTPGLIAHAVRVHGWSFLP NQVLPPLLANTTVGAILYVSYLQALGALHPPAKEGKGRIYPPPPPTSTFAAGFLAGVI QSLIAAPLDALQVRLHTSGVLEGQYQSMWEYGWKKLREIGVRGIFAGWSLSLLRDSFG YAAFFTSFEYVKSQAYYSYIIWYYGSLQRKDPSKMAALQEYEKTTIKPHYALEPCFLL GAGIAASLSQQIIQYPIGIIQDLHYGRLEYLDHQARLRHPRPQMLKHYYHAYQETFKR CQKRASRSGGWMRWLYKGFLRNSIRQVPSTSAGLVIFELMRRKYATAADTVLIEKDGF DILLTN TSTA_045610 MHGEVRVHLTMYNDQVAYCAFSDQTETSPNSAAYGSTGYCGISL DPLWSTYGDIHTLTANLVTCSLHLLVSQVPETVKLRSLHLTTTTNSCTSGLAFLIGRR TVELATAPPVPCDDTKVPSESSNSPGVPSWLFLARCLTAIIPSPKEKGSSTPAVPLQS LHSRSRHSSEYSVSGLDLESEKIRHRGSSRRSLSSNSQASDFSIWSDTGDLAEQLASE EDPLRIRLRKSEDGRGQEPQSRTRRVHYGDETLLKSNIDKEAIDIPHITHRRVSRAQC ILAVIMSPNDISTARTKGLVGKPLLYFTSVFVSLGVFLFGYDQGVMSGIITGWYFRDY FNQPSKAEIGTVVAILEIGALIASLLVGKIGDIIGRRRTILYGSIIFLIGGAFQTFAT SLAIMMLGRIIAGLGVGALSTIVPVFQSEISPPHNRGKLACIEFTGNIFGYAASVWVD YFCSYIESNYSWRLPLLLQCIMGGLLGAGSLLIPESPRWLLDNDHDEEGLIVIANLYG GGDIHNELARQEYRDIKFNVLTQRQEGERSYVDMFRRYYKRVFIAMSAQAMAQLNGIN VISYYAPLVFESAGWPGRSAILMTGINGLTYLASTIPPWYLVDGWGRRPILLSGAVAM MISLSLMSYFLLIDVPATPNLTVICVMVYNAAFGASWGPIPWLYPPEILPLSIRAKGA SLSTAANWAFNFLVGEVTPVLQELIKWRLYLIHAFFCACSFVLVYFLYPETSGVRLED MNELFGDATTTMPTPVTQGERDSLIGINSPVPSFDLHRQPSHLTADNAIPGLNIDPPA IGQNNNGKPSSGDQSGESQGFGGWISNMLSRSKEPAKRSQYRRVDQDEES TSTA_045620 MASHSAFASGRDQKSEIRRRNIGETTKGDNNVPTISISDTKGVA KGNSILDVLDEWEFVIAPIIFTAFSFFTRMYRIGLSNIVTWDEAHFGKFGSHYLKREF YFDVHPPLGKILVGLSGYLAGYNGSFEFKSGEHYPEDVNYTFMRVFNAFFGAICVPLA YYTARELNFRRPTVWLVTLMVLFENSYATISRFILLDSMLLCFTFTTVLCWARFHRLR NQSFSVEWFVWLFLTGVSIGCVCSIKLVGLFCTALVGLHTAEDLWNKFGDVKMPMTTL ARHVAARVVCLIIVPLLVYMFSFYLHFLILENTGPGDAQMSSLFQANLKGTEVGRDSP LEVAIGSRVTLKNMGYGGGLLHSHVQTYPEGSTQQQVTCYHHKDANNDWFMYPNRYQP EYDPETPLRFIGDGDVIRLIHAQTGRNLHSHSVAAPITKADWEVSCYGNTTVGDEKDH WKIEVVSDAASRDKSKIRTLTTAFRLRHESLGCYLRAGTVNLPQWGFKQIETTCVKEN KPRDVYTHWNVETHVNERLPPGDPGSYKSPFLKDFIHLNVAMMTSNNALVPDPDKQDD LASKFWQWPILHVGLRMCSWDDNVVKYFLLGNPLVYWGSTASLVGFGLIFAWYLIRWQ RGYVDLSPSEIDQIHYAGVYPVIGWVLHYLPFVVMARVTYVHHYYPALYFAILTAGFC VDWFTRRLSTKVQWVIYIPLYIAVISLFFVFKDIVFGMEGPNRQWAYLKWLSTWRISD TSTA_045630 MSQPWDYIAKLVCIGDSGTGKSSLSIRLCEGRFSPTHDVTIGVE FGSRVVPVGPPASLSLDIGPDNTADSSSHSQVTPNNPAEKNGSTQKKMKLSLWDTAGQ ETYKSVTRSYFRGASGALLVFDITRPSTFASVTSWLHDLRQIAEEGIVVVLVGNKCDL ANDEKPADNVQEEGQQNKNQRRVTRQEAEEWCRLNNVTRYIETSAKSGEGVERAFLEV AERIYRNIEAGKYDLNDRRSGVKGYGASNSGMSTMPPRTITLGLNDAMDRGGKGWSGG CC TSTA_045630 MSQPWDYIAKLVCIGDSGTGKSSLSIRLCEGRFSPTHDVTIGVE FGSRVVPVGPPASLSLDIGPDNTADSSSHSQVTPNNPAEKNGSTQKKMKLSLWDTAGQ ETYKSVTRSYFRGASGALLVFDITRPSTFASVTSWLHDLRQIAEEGIVVVLVGNKCDL ANDEKPADNVQEEGQQNKNQRRVTRQEAEEWCRLNNVTRYIETSAKSGEGVERAFLEV AERIYRNIEAGKYDLNDRRSGVKGYGASNSGMSTMPPRTITLGLNDAMDRGGKGWSGG CC TSTA_045640 MQFQATPQPYLREHTTYSHLNIPVGPCSLNTVTVKPNKFPDASR FLAQSQSRLTTRPEENRTNERDRRPNFNFPRYNNVSRSYLSRNGHPYQSGSSQLPHLP FASRPSTQPAPLFFSATDEFLEDDEHEREREIADYYALQRSRRNFGNIPLEESSELDD DNESQPFQSRSGKDGPYAQKGIKSSWRDDKLLHSQRRTRNVSPSTSDLNERDEDDESH GGPFGKGKMVDVGLDNPTSSTASFTSTSNAADDDNAPIQKFRRQPQADSDYIEGPSDS ITRDGDKLGLLQQERPTSSGASSFHNTMDQTPASERPTHDAFWGHLFIICLIGLFATA FLVWLHTAPPSDGRWKLVDTIYTTIHKSYFLLGIYTLVSILVSLLWLALLRSYIRFLV HGMIIAVPVILYSFSLYPFISSFRGSWHGKTFQDHVMRWGSIIPFVMSTLWIYAIVRN RHSTGKAISILEFACRVLASNPALLVLGLVILVVTVSWTWLWMIMFTRIFLGGSIRSD NSFVISFSSWWLGAYFIIVYLWSLGVIAGIQRAVTAATVSQWYFHRLTLPAPTSAQIV KAAMSHALTTLFGSISLFSLIVLLLKLPLLVLHRRIASVIALFAYSLIPSPIAALVNP LTLTYAAIHSQPLAQSARGFTQMAVFSTFSTSGGLYAPSSIPRGGSNGNSLLSYRLSK MILHACRFAMSLALGFGGWVTAARNLDVTGSNSTIRGSLYAYIIGLIAGTIGWGVLGS MENVLACVVDSALVCWASEVGNSGREATYCREAEWLFRDDIFTAISGENRWNRV TSTA_045650 MSANSLGQRQADLSGSSKTQEQPPTVPAELESVNTRLGRLKDDV LPSAPYLLTVPTDVPFRLGNRFVNNWAVGKKGPFAIEEQQLQYMTFLMHNDTDSLLVA VGDWSDNEGRVMAKKDDEGSRVATPTTPAAPQSGAAKKKISLSDYKTRAKGDSAREQT TSNRTSPHHDTKKDTLQVPSPRTGKERTSHDRPNQSPITASSNSKRPLKDSSKPVSDA PSQKRARLTPPESAEGKVLAEKKANGIPALLSPTLPPTSSSPRLPELLSPTLPPGLEE ELANIEDEAPLTSKRETTSTSKVDNKNGTQGLKAPAHDRIRIETKSTINKTQPKEASK TTSFFTSGKTSTSNRDAGMRSASPYAQKSPLSSTAAKTKGPSSTTTASTTASTTAKKL IVKLKYGRANRKRVGALLKFGGKRTLSDSVPSKTSKESFSGDEDETKMRGRKRERSPD DDHTEERPPRDTFSGGKTVPSDKVKTSNATSFKSPASKRPAVSKTDSAAPTPVKDSRS KHQRLADADPPTEVKTPLGGKSLPTKPDKAVQMSPSNDATSARDQERKTWRDEYTKYS GLGRELKHLADRYSRTKATNSENSTLDGKLAAAHGIESILCFIIAFIVNDRHRSLVRQ SGDSETWRSIIAYWRVVERTTSAYPHLHGLCLVLGAVSHDTINTLDLERLAVCPLPGE QSPVPTPGSDGNTVTSSDRNNSNKSQNKKEFLDLRSRLPENHKEANRLWLEGCRQLAD DVLIKEYPETWSSRSRNYAERGHDSFKIGHYAGGFYLPFGRTTTPMETVRFGVSLLRE WCKKENIEFKARLKL TSTA_045660 MSTTTLTGIGRHPSIRHPSTWSQPQRDSSPQAQNSPIDAFPAFV DETPEAATNGKPQEEQNGHDHTWEPRKAGYVSWDHHRPASKHRPRKSISETITTIRKR NASMSANAHDIAEALKAPVSYKLIGLCLIWYMTSAVTNTSSKSILTALPKPVTLTVVQ FAFVSFWCLFLTYCSTLFPALKTAIPALRNGILQPSREVILTALPLAGFQLLGHILSS MATSQIPVSLVHTIKGLSPLFTVLAYRVLFRIRYARATYLSLVPLTMGVMLACATGFS TNFFGIICALLAALVFVSQNIFSKKLFNEASRAEADPSLGGRRKLDKLNLLYYCSALA FLLTLPIWLFTEGFSLMSDFFSNGTISLTEKKGSLDHGALFLEFVFNGVSHFAQNILA FVILSMVSPVSYSVASLIKRVFVIVVAIIWFGSSTTSTQAVGIALTFLGLYLYDRNSH DDVADQRANADHFRNRDSLLPLNTAIPKTRDAKPYHFPPISGDHAEARASIDDKRDDR STSIRPRGASVSRNWLPPGTKQETTWQRGDN TSTA_045670 MPKSSANTFSVWSPLQPGNDQSHELSESGVDMSHPSFGEETAGS KHLGSAHAPLMSSKLGNKQPSIGVDDESPTMNMDPSSAAVQAGMDKILAKLASNAQSA GKKTSLPRGTAGKVDDKQPLQPMDLIREARSVSSPIQSGNQDQSIFTRVTANQATMMS TQGPSMNNNNNNNVTPGNNGVDADEMLRLQRELIAANSRIAQQEQELAETRVIKHTLD QALGPPSEAEFVDRETSQQNVRDVQAVFHTSAKGFNQEHDPWMQEDATSEMSESFSAG AYNANRHLWGFPSQTAFGIQGAEKAYHGPSPVVQSVQDPVSSPWAASATNTGMTGSAV PPQQRIFSGPSAALDGRFAPEQSYVGGISLGPRRSVSQMNRAPAYFQPMPSAWAAFNT AVTNNAATKAPLSPTFNAYQQVGLVPIPQYQPRPIGTPLSPTAAEFTSSSSGPAPWHG TSTGGVSSPTYVMPMEPINYRRLLDKNVSCDWKYIVDKIVSNSDQQASIFLQQKLKVG TAEQKYDIIEAIVSQAYPLMVNRFGNFLVQRCFEHGTPEQVIAIANSIKGNTLSLSMD AFGCHVIQKAFDCVPEEYKAMMVHELLRRIPETVIHRYACHVWQKLFELRWNNEPPQI MTKVNEALRGMWHEVALGETGSLVVQNIFENCVEEEKRPAIEEVLANIDLLSHGQFGN WCIQHICEHGAPRDKNRAIEHILQWCADYSMDQFASKVVEKCLKIGGSEFLDRYLARV CTGRADRPRMPLIDIAGDQYGNYLIQWILGNAAPHQRELVATHIRKHMVSLRGSKFGS RVAMLCCNPSLATRPGPGAGVQVNRFGPTNDDRLQVAGNSGNRFSRGNHWTQNYPPFR TSTA_045680 MTTPQSTETNTDSTHTTSTTTTTDIYNLISTYDFSSDLEFRKGL GTILGHPAQPASDAEVVSGDDVVFQAKCYYISRKRNINPSIDFKSYKNWLVEHGVNLE AATTNPPVQNNEKCDAEDSNAAIATTSTQSILAPSSQEEKKKSKNGEPAYPSSFAHIV ELITNNQPIPGIEDIPDTVLSGHDEPSKAAKRRKPWEKNVDVETVGGENQV TSTA_045690 MADSDEEYVGSGSEDEIDAHMVTSGARTRSGRKKQRGGANWEVS RTWESVVEGADGTISSTVEGLLEAGKRKRLLRDTTPLQRGIIRHLILVLDLSQSMAEK DIRPTRYLLALRYAQEFVIEFFEQNPISQLGVIGMRDGLAVRISDMSGNPTDHITAIH ALRSDDPKGLPSLQNALEMARGALFHTPSHGTREVLIVFGSLLSSDPGDIHQTLKSLV ADKIRVGIVGLAAQVAICRELCAKTNGGDDTVYGVALNEQHFRELMMEVTIPPAWNPF HLYVPATRNHLVADICARDAGTKSAVCQRNVLLVASP TSTA_045700 MARSDRDTLPDVVKPKRYDISLYNLQLGGSWGYNGTVKIDVKAS KPTKEIVLNTKEVSVEKAEVQAGSTSVKAVGISYDKVSERVTLAFDQEIPSGEALLKI DFTATINEAMAGFSRCKYKAPVTPSAATPESDGYHYMMSTQFEACDARRAFPCFDEPN LKAEFDFEIEVPKDLVALSNMPVKSERDSKEGWKVVSFERTPIMSTYLLAWAVGDFGY VEAKTERKYNGASIPVRVYTTRGLEDQARYALECAHKTVDYFSELFGIEYPLPKSDLL CVHAFAAGAMENWGLVTYRTTAVLFDEGKSDSRYKNRIAYVVAHELAHQWFGNLVTMD WWSELWLNEGFATWVGWLAVDHFHPDWNVWSQFVAEGVQQASQLDSLRASHPIEVPVK NALEVDQIFDHISYLKGSSVIRMLSSHLGQDVFINGVSNYLKTHAYGNATTNDLWLAL SKASNLDVNALMNPWIRKIGYPVVTVAEEPGQISLRQNRFLSSGDVKPEEDETVWWIP LGIKSGAQPTQVKLDALTSKSDTFRGINEEFYKINKDHSGFYRTNYPPQRLAKLGQSL HLLSTEDKIGLVGDAAALAVSGESTTPALLALIEGFAQEANYLVWSQTSSSLASLRTT FSSNESAAAAIKKFKLKLVTPAVEKIGWEFRSDEDYLTGQLRKLLIAMAGEAGHEGIV AEAKRRFQLWASGQDPNAIHSNLRSTIFSINVAEGDRAEFERIKEEFVQTDSVDGKEI CLSALGRAKNPELIQEYLNFIFSDKVSIQDVHTGAASLANNPIGRYALWEYMKSNWTA VSTRLSANNIVYDRFVRLGLSKFSEVAIADDIAKFFEDKDTGAFERTLVILSDSIRTN ARYKERDEKLLLEWMQAHGYA TSTA_045710 MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDTYTESYISTIGVD FKIRTIELDGKTVKLQIWDTAGQERFRTITSSYYRGAHGICVVYDVTDMDSFNNVKQW LQEIDRYATEGVNKLLVGNKSDMEDKKVVEYTVAKEFADSLGIPFLETSAKSASNVEQ AFLTMARQIKERMGTATVNNKPTVQVGQGQGVQSGSAGGCC TSTA_045710 MIHTLKATSPRSESTSYKIRTIELDGKTVKLQIWDTAGQERFRT ITSSYYRGAHGICVVYDVTDMDSFNNVKQWLQEIDRYATEGVNKLLVGNKSDMEDKKV VEYTVAKEFADSLGIPFLETSAKSASNVEQAFLTMARQIKERMGTATVNNKPTVQVGQ GQGVQSGSAGGCC TSTA_045720 MSQAPLEEQKRAVAASAAAQQSDNVAHALAGAGGGILSMVLTYP LITLSTRAQVESKRAQSSTLDAVRHIIQREGISGLYAGLNSALFGISVTNFVYYYWYE WTRAAFEKAAEKAGRASKKLTTVESIIAGAIAGSATVLLTNPIWVVNTRMTARRNSAD EQELPGGEKSKKSKSTIQTLLDLLRNEGPSALFSGVLPALVLVINPILQYTIFEQLKN VLERRRRITPKDAFYLGAVGKILATSITYPYITVKSRMHVAGKSDERQTLNGSLKKII KEEGYTGLYKGITPKVTQSAITAAFLFAFKDVLYDVMVAARRRRSIGK TSTA_045730 MSSSDEDQDAEHLLSTFQSHPLPPTNDVPSSTPFTTVDHQPTPP KRRSRPPKVAVIIDLVDSEGSSPQQETPRRPRKQPKKNPVLRVESSDSENSQAVRRGN AGPRSRRCRAQVKNYYSNANYSGYFYVSEGEQETRPETQTQPRPTHSSRSVVPFTPKK PERRRFRVIYDSQGIRVSRHVFSKLQDIPDLPRTAPYSPQTKPVRYARQFRSKPQHPL LHVSFSEDEIQAIITLLAPYGVDGGLSEMSPADQVTDIVRAVNMNRLEQGFLEKLPQM IHLQKLLNSQGFDNLIAYHQAADSADPLIDNLCNETLSEKDTPAQASQSLVMAAALAK RSRSDIRAFLKDARRGNIPTRPFFITASREDACISKSEHRASILDKHPKQLSEEFSLR RSHTWKGASNDVLNLAWSPDGSKFAIGAAAQCDEHNMQYNRSNNLILGDYQHQTIKEL PDHRVPYPVANHNNAHLYMSVTAVQWFEDTLYTASYDKTVKIWNVSSYANATCDRTLR HSHKVQVMARSPSIANILTTGTESTLHLWHLDDIGQTCYDLDYMSQRLSRNPRATKEA GFTPSSLAWGLSPLTRDLFVTGFSGKSYHEGDACREGLLAAWKVAESSLVAIQLQPNA QNIFDVKSHPFLTWFATGSSVSSIGTNGTGRDIRSLVRIYEPTTKPRCAIEFDCPALD INDVSFCPMDRFHISASCTDGVTYVWDFRNPSQILHKLCHGDAINQLDEELTREQADV GVRVALWGKGPDEFITGGSDGVLRSWNILRAPEDAHVRDIAAIQDEVMSGAFSPDKST LLVGDAAGGIHILQPGSSSDPVEELHYEHTGETEFSASSESGRAIGKSLLETGQLIQH PRYGAGQGPAYRGPYAAWARPEETPKDMLSTTPLLEEIQALQLDQAATPAGDHSVAEY RKTAVIRNRDPSLLKRKDSPHHRPKKKHRVTPVIDLSSDKEYIKPIKPVRKSSKAPIW IDLTGDSEDEGCKIAAVDQQVRRDWDSEVDAEDYWFPDSGEIDPNIRYSD TSTA_045740 MSFAPPPGPPPPPVPPGWKAQFDDRYKSWFFVNLKTGVSQWTPP EESDAAPPDSAPPPSYHESGPANPAVAAEAAREEKKTSHLASNNPYSSAGDPSSSHHS VEDDAKLAAQLQAEEDARAAGRNRDRGENSAYYNDTSSSNNNLSQPPLPPRPTSSSGY DQQQQSSSKGSRGFLGKLLGKSPNRQYATPTPPHGHYPPQQGGYGGYGSPPPPPQGYG GYPPQGYYPPQQGYYPPPQQGYYPQQGYAQQPPKKSGGMGTAGAAALGVGGGLLAGAL ITDAIEDHDQAEYQQGYDQGYDQGFDNGDDFGGGDF TSTA_045750 MRPGAALYEAEQQARAKYGENATILQLAMKSGKLKLARFLLEEG ADIHVPAVALSGTPLQEAIDKGELEFARSVLDGAADVNAVPALYNFTAPEAAARTGIH RNRASSTRSACCQCCISIR TSTA_045760 MKSPLLHLIALLSTGLILESAASSLTIQIPPNSILPNPHALPPN THATLTTLPSSSAQDKKHILSAPITHTAEFHFTDLPSSSGTESYLLDIRSKEYIFAPY RVDIAADGTVLGIWETFRGNQWENRGLERYTKLKSHDGRQDVDAVVQAKVLARRGFYE ERPKFSPLTLFKNPMILMSVFALVATFGIPKLLENMDPEMREEFEKQSRTGPLSSATR AAAAGANPGAGAGAPGFDIAGWMAGATSSPMSAAGSGSGAATGRESGAGARRR TSTA_045770 MLFSKPVHIAKAEELRKAPPKGSPYSVPLPGSAKPGRSPVYRAW NMPNELLTTLDPAVTTIHEMFESTAIRTPKSRCLGFRPYDSKTKSFGPYQWLDYQTVQ RRRANLGVGLVELHKKHGHPGGKHGVGLWCQNRPEWQITDLACSSQSLFSVSLYDVLG ADTTEYIINHAELACVVASLPHIPTLIKLKPKLPGLKFIVCLDPLDGAEQPGLSKRTL LDNMASDLDLSIYSMDEVEELGASLSRPYNPPSPEDIVTINYTSGTTGPPKGVVLTHK NAVTAVSVSVIVTKWGTGDVSLSYLPLAHIMERMCEQAALWAGGALGYYHGEILELVD DLKTLRPTAFVSVPRLFTRFAGAIRTATVDAPGFRGALSRHVLDAKLANLANPDTSNA TEKHALYDRIWTRKVASALGMDRVKTMASGSAPLDASIHNFMRAVTGASFVQGYGLTE SYAMAIVQNIEDRSTNNCGAISPGLEACLVSIPDMEYSVDDKPYPRGELLLRGNHMFR EYLKNPEETASAFTEDGWFHTGDVCAIDELGRFKIIDRRKNVLKLAQGEYISPERLEN ILLAEHTYLAQAYVHGDSLQTALIGIFGIQPDTFAAFASKVLQQDISPTDLERIKSVL DDDRIKKAVLKDFDRTAKKHKLAGYERVRKFTLMIEPFSIENDLLTPTLKMKRPPIVK KFRALLDDMYAQLAEEQSAPKAKL TSTA_045780 MAFDEIAPTNSKPDYHNDKFVTEPNVTEPQSDSTSNSQDGGMHD VEKHGAGRNSLPVPDLKRKLKSRHLQMIAIGGTIGTGLFIGSGTAIANAGPAGALIAY LFVGTIVYSVMTSLGEMATYIPIPGAFTSYAARFVDPSLGFAMGWIYWFSWAITFALE LTATGLIIQYWDQSISIGVFIAVFWVVITAVNLLPVSFYGELEFWLSTVKIITIVGFM LFAICIDAGAGHRPYLGFHTWGNPGAFAPYLLNSDGAKAKFVGFWAVLVQAGFSYQGT ELVGIAVGECENPRKTMPSAIRKTFYRILLFFVLTIFFIGLLVPYTNKRLAAGGSDAS ASPFVIAADLAGVKVLPGIINGVLLTVVLSAANSNVYSGSRILIGLAQEGFAPRFLKM TSANGVPYFGVAVTSAIGLLAFLNLSNNGATVFNWFVNISSVAGFISWSVLNGCHIAF MRALAARNISRDLLPYKAMWQPYFAWYGLFFNVLIILTQGFTAWIPTFDVSSFFVAYI SLILFAVLYLGHKIIFRTKFVRPIDADLDTGRTEPDNAVYESQEPTSIWQRIFN TSTA_045790 MPQHSLHHLNWVLPVRIIQAVFAIIILGLTAYVINVELSWSWYS SDTVNFNLFNSVWTLVVALPYLSLVPIFFSNLSHHYAVLAIEIVTMIFWFAGFIALGA LLPPARACHGSVCDSLQAATVFGAFEWLLFAITTAFTIRLALQSSRNDAGAVHAKTSG VQTAQV TSTA_045800 MAGQRFETLQLHAGQEPDPATNSRAVPIYATTSYVFNDSAHGAR LFGLKEFGNIYSRIMNPTVDVFEKRIAALEGGVAAVAASSGQAAQFMAISALAHAGDN IVATSNLYGGTYNQLKVFLPRLGIQTKFVNGDNADDIAAAIDDRTKAVYVETIGNPRY NVPDFEAIAKVAHEKGVPLVVDNTFGAGGYFARPIDHGADIVVHSATKWIGGHGTTIG GVVIDSGKFDWGKNAARFPQFVEPAEGYHGLKFWETFGPITFAIRTRVEILRDLGSAL NPFAAQQLLLGLETLSLRAERHASNALTLARWLEKNENVAWVSYPGLESHASHETAKK YLPRGFGGVLSFGVKGGAAAGSQVVDGFKLISNLANVGDSKTLAIHPWSTTHEQLSEK ERIASGVTEDAIRISVGTEHIDDIIADFEQSFKAASAAGADKTALPDRASGGAATEHE TGLQGSV TSTA_045810 MSSAVAELDGYLQSMQSLKPPGVSGSKIGSITQLCVANIQSESV LVQKLYTHFKKTPATHKLGVLYVVDSVTRQWVDAARKAGQPLGSAAPDGTFAAGVNRV TELLPVLMTDIINNAPEDQKEKIRKLVDIWERGQTFPLPMLASIKEKLNAPPTKNVES TTPDGSPAPGVNPLAGLQTQPQAAAGATATPDTSSILKALADMAKQNPSAPAAPGAPA QANPLSVLNPQSATPLPASTSVDQVATGANVSPYGNPFAALGNLAPTPVPNTLPAAQP NPLAALLPQAAAGAQQPAAPVTPDVFQQVQLLQLLAAQGIPQDQWATALQILSLSNNA NPAAAAAAAGLPNMGAAPGGYNMPDMSGQQGWGGHGDRDGDRDRGRDRNYMRSPPNQY RRRSGSPGWDRRREASPPRRRDSPVYGEYGGDSPGRRGGRRNDYRQRSPPGRRRRSPS PPHKDVSLPPPGPKLVEWDYSIGQGNIKVLSRTLFVGGVTAPESHLRALFNQFGVVQT CIVNVDKRHAFIKMINRRDAMNAREGMETYKSGDMQLRTRWGVGFGPRDCSDYQTGIS IIPIERLTEADRKWMLTAEYGGTGGKPIESGMVVEEPDIEIGAGVSSKAISRRMATDQ GGKRGPQSSRTQNDRYRRPDRGMDMNGGGMYGGGGDHDYSNPPNPGVPPAVPGFGFQL PGMPMFPPGFMLGSAQPGSAPPPPPGQ TSTA_045820 MAFSLRLGSSAFRSTLVSKPAVQTAAFNGVRCYSAGKAKSLKET FAEKLPGEIEKVKKLRKEYGSKVVGEVTLDQVYGGARGIKSLVWEGSVLDSEEGIRFR GRTIPECQEVLPKAPGGEEPLPEGLFWLLLTGEVPSEQQVRDLSAEWAARSDVPKFVE ELIDRCPNDLHPMAQFSLAVTALEHESSFAKAYAKGLNKKEYWQYTFEDSMDLIAKLP TIAARIYRNVFKDGKVAAVQKDKDYAFNLANQLGFGDNKDFVELMRLYLTIHSDHEGG NVSAHTTHLVGSALSSPYLSLAAGLNGLAGPLHGLANQEVLVWLQKMKKAIGNDLSDE AIKGYLWSTLNAGQVVPGYGHAVLRKTDPRYVSQREFALRHLPDDPMFKLVSQVYKIA PGVLTEHGKTKNPYPNVDAHSGVLLQYYGLTEANYYTVLFGVSRALGVLPQLIIDRAL GAPIERPKSFSTEAYAKLVGAKL TSTA_045830 MSAPTPAPLEKKPVKFSNLLLGAGLNMFEVTTLGQPLEVIKTTM AANRTDSFAGAMRRIWGRGGILGYYQGLIPWAWIEASTKGAVLLFVASEAEFYTKRLG ASDFGAGILAGMTGGVAQAYATVGFCTCMKTVEITRHKMSASGVKPPSTWQTFMDIYR KEGIRGINKGVNAVAIRQTTNWGSRFGLSRLTENAIRSVTGKDDSQKLSAGEKIIASG MGGGLSAWNQPIEVIRVEMQSKTDDPNRPKNLTVGKTFKYIYETNGIKGLYRGVAPRI GLGMWQTVCMVALGDLAKDAVEKLTGEKVTAKH TSTA_045830 MSAPTPAPLEKKPVKFSNLLLGAGLNMFEVTTLGQPLEVIKTTM AANRTDSFAGAMRRIWGRGGILGYYQGLIPWAWIEASTKGAVLLFVASEAEFYTKRLG ASDFGAGILAGMTGGVAQAYATVGFCTCMKTVEITRHKMSASGVKPPSTWQTFMDIYR KEGIRGINKGVNAVAIRQTTNWGSRFGLSRLTENAIRSVTGKDDSQKLSAGEKIIASG MGGGLSAWNQPIEVIRVEMQSKTDDPNRPKNLTVGKTFKYIYETNGIKGLYRGVAPRI GLGMWQTVCMVALGDL TSTA_045840 MPTYQLSCTIKTVREVWEEWYYGLHGNPSVQSIENQWGARWRTD SKDHMMFSRRKVIIDKIYSQKLKLFVHIRLSHRSRRPCGLVT TSTA_045850 MSTTTPESIPGFNAVGRTTGTTDDLKGLSFQTACPPDVGPRTRL VAICGITDEAGAASPEDDGWFLSDFYLFRHLFSHVDGPTPKQIWMTSEPPDDLVLKYK EYEHGDLRGERRIVLDKDMLPAIQQSGTLRVVPRHNLLQRFISTLKEQSRLAKENDEH LFLATEISIRLMDDVKLVLQRDVPTTLFMTSCFSGGWLAQTIANTSQHINATGITAAG PQAVSLGGPLSASLGRACGGRLATGILRSALAVEESQEMSEIREDPTYIAFANSVHET YKKLDAFAGERQIHFSAQDDRWGDHFISRSGLPLSRLKARWESLRMIPSGDYYREEDQ EFLRTRSMRFGLMRKRRKLDCAARQYLSAKPGESSLASNIGLHGALNRFLRDEEKFSD EDISGMLEEVKYRIDALDQANKLAIVMSVNNQFLDAFSYDQHWPRSPEEEKIYSITWR LLATKQLVDKPSCDLRYALASASWTKPISFLAACFAKSGLTSADIPQRVALALTYKSQ EDRTILFLFGRRTTSDAEVVHRRELALNKIRSWKAKVTGPFYD TSTA_045860 MMSKSSFGRTAQRALRTHRRAFASAASPSTLYETTEAAGIKVAN RELAGATSLLAVVSKAGSRYQPVPGFSDALARYAFQSTYKRSGLRITREAELLGSNLT AKNTRENVVLQAKFLSADLPYFAELLAEVTSQTKYSGYEWAELVLPTIKLRQQVLFSN PETLALESAHASAFHRGLGENTFATASTPFESYFTEDGLAEFAQSAYAKPNISLVSVG PNSSEVSKWVNQFFAGHSASPVAGQFKVKDTVPSKFYGGEQRTSSKAGNAVVISFPGS AQYGASGFKAEADVLAALLGGESTIKWSPGFSLLAKAVEGETGVSVSTKNYAYSDAGL FAITISGKADGVATVSKRAAETIKQVAAGEVPAEVIKKASALAKFRALEASQVAETGV ELTGSALINGGKPYQISELASSFEKVSPQQVQDLAKSFVSGKASIAAVGDLHKLPFAE DLGLTI TSTA_045870 MSQYAAELCTLLVEDNFGDLFGRIYSTLVQYGRLSLLRLREHSK VTHKQLLHALAALVQMHLVHHFTSIDDGFTYYEANVDGAYYLIRMGKILEVVEDRLGS YAARVMSAIAYHGHIMISDLEALQELQDDADGNADGEMKNEDNESENTENGVEGNGLT NGDGDDHTASNSRLHSTLNYLAGHGYICRVKDVHFHSLTDNALEAEREAIGWAANLDS KGKKKSAEIDEKKREILNDRMDADLSAGLTAYARPPVNKRRQESGHSENPHKRRRVES DDESDNENSWEEDDVEDKETLRPTLVVRVNYQKLDVVLRNRRLVELALQGTSPATAEV YETLLRLIEYKTSCCREGIEIPREGEESETGSVAITLQRLANEIDPRLDLKGTLGPLA NPQTTKNNKRTLANGVNGNHHGDLDEDDTETTRTHDVDQHLNILALPPYSLSTRRGGQ GSVPAWYVEYRRLARQLRHFEIERIVGIRFGKLALRVIRILLSVGKLDEKRLQEVSLI AQKDLRQVLAQMQENGFVDLQEIPKDAQRIPNRTVYLWFYDPDRVGRNVLHDIYKAMS RCLQRLRFERNRLKDFLDKTERIDVRGNEEEYLTEAELETLRQWREKEALMLGEISRL DDLVAVFRDF TSTA_045880 MHTPIQNGFYFYLRLSSILLFTQFAAANVEKTVFVAPLPWSMPT ENSAIDDLGLDRLSPTDFMLRTNLNASFPTDDRPYGTESWFYLEELNPGQRYEVRICW MATQPTFFSLTTYPLQEVIPSQSLLPSLLNFSDARLAGTSLPSSSRPSIDREDHLVPH AAESSDSYLALKPAKARHQHTSRLRRSRSSLEREQDPLALSSHAESVLFLRIWAAADY YTTNATLMANVPPVMVDIILDPFLWNVFPRSLIPTASYTVVIAVIAYFVGGVLATFLS DAVTTATRKGEAARNDVGVEEVENKKRL TSTA_045890 MREVISLNVGQAGCQIANSCWELYCLEHGIQPDGYLTEERKAQE PDEGFSTFFSETGQGKYVPRTIYCDLEPNVVDEVRTGTYRSLFHPEQMVTGKEDASNN YARGHYTVGKEMIDRVLDQVRRVADNCAGLQGFLVFHSFGGGTGSGFGALLMERLSVD YGKKSKLEFCVYPAPQNGTSVVEPYNSILTTHTTLEHSDCSFMVDNEAIYDICRRNLG IERPGYENLNRLIAQVVSSITASLRFDGSLNVDLNEFQTNLVPYPRIHFPLVAYAPVI SAAKSLHEANSVNEITMSCFEPNNQMVKCDPRNGKYMATCLLYRGDVVPKETHAAVAT LKTKRTIQFVDWCPTGFKIGICYQPPQMVPNGDLAKVNRAVCMLSNTTAIAEAWSALD HKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALERDYEEVASDSLEEDEEVEAEY TSTA_045900 MAEAAPPNLNLTAEEKRVFFQLFQAADTTNLGVVTGEHAVPFFE KTKLPPDTLGLIWQIADKENRGLLTPSGFSMVLRLIGHAQAGRAPTDELALQPGPLPR FEGIQVATSTPSRPSTTSPPPGGPPAASGAPVRVPPLNPEDANKFNSLFEKSDTPGGF MSGETAKQIFERARLPNEVLGRIWNLSDTKQRGQLDATDFIIAMHLLTSFKTGAMRGI PSTLPAGLYEAAARRGAVRTSTGSRITPDVPPVPAIPTQFTGSQRTQSPLNRQPFTPL SAQSTGAEWLISPQEKAQFDNIFATVDTAKAGIISGDQAVAFFTNAQLPEDVLAQIWD LADIDADGQLTRDEFAVAMYLVRQQRSKKEPLPATLPSALIPPSMRRPGTAVPPSVVP APAPAPAPAPAPAPLPRSAADDLFGLDAFTAPTPATPAQIPQSTGGSNAPMFQSPGSP SSRTSQQGPAASLFKPFVPSSQFGQSLGPQLTGAASATRSPPPPSDDLLGDNDPEETK KLTNETTELANLSNQIGSLSKEMQNVQTKRATIEQEFTQSSQQKRDFEARLAQARSMY EKEVKDYKALEERLNVSRTETKKLQQDYALIEGSRQDLQNQYEQISAALVADQTENAS LKEKIRQANAAVSQLKPALEKARSDARQQKGLVAINKKQLATVEGERDKIQGEIDTLA KEAQEAAESSRQVSSPTGVGSPALSTTSQQTNPFFRRTATETSDRTVSSAPPSTHAAF DSLFGPSFTQPSASSTPPPPTSFGAASPLATRAHETSASSPNSGIPTPSVSPPPSQTT IEPPAPSQSQQITPIFLPLEHNLESPSNNTSTAVSPPASRFGAPDEPPSRTGSAVPSV HNAGNELPVARSPFDEFEPRVASPAASNEQAAPPISSVPGAFPEAAGESHQSSETSPE QKKEQSFDELFGNFARERSQSQKASDFDEAFAGMKPRSTHSTEPAAAANGTGEFPPIR ELDNDDDDDSTDSEAPMGFDDNFTPASPLRNEEHSKNESIDSTQLQAFPIPGSSVTTS QPPPPDAQRSPPSYDTSTADRKPDDFPPAFQGLLPSRSDPTAAPDAPHAVESSTGAPI VTGEPQRKAGPADFDAAFADLNLAPAKEDDSEDESEDEAGAQSRPQNDFDFSFDTPAA APAAKSAMPNTAAADFFNFDSNVATSTTSQAGHDQPSTSAAGDHDWNALFAPLDNAKP ASPSNGSAPAPAATSTTAAPEPRKPGWALDNDTGDDLILQRLTGMGFPRDESLAALEK FDYNIDKAADYLTSRS TSTA_045910 MEGHDTTRDITGSTSVLPVKTNEWQGLPQGFREHNSSLAEAEFD EDIDTLIEELESQNDHMEEELDAAEESNSCCHIPVEMLQTNTSTGLTDTEVTTRRKKY GLNQMRKEEKQHPIVKFLMFFVGPIQFVMEAAAVLAAGLRDWVDLGVICGLLLLNAVV GFVQEYQAGSIVDELKKTLALKATVLRNGELLEVAASEVVPGDIIHIEEGTIVPADGK ILTEGAFLQIDQSSITGESFAVDKYVGDTCYASSAVKRGETFLIITATGDSTFVGRAA ALVNSASSGSGHFTEVLNGIGGTLLASVIWTIMSVWVASFFRSVEIVRILEFTLGITI IGVPVGLPAVVTTTMAVGAAYLAKRKAIVQKLSAIESLAGVEILCSDKTGTLTKNKLS LEEPYTVPGIDREELMLAACLAAGRKKKGIDAIDKAFLKSLHQYPYAKDLLPRYRVLQ FHPFDSVSKKVTAVVESPEGYRITCVKGAPLFVLKTVEEDHPVPEAIDSAYKSKVAEF AARGFRSLGIARKFEGHPWEILGIMPCSDPPRYDTFKTISEAKTLGLSIKMLTGDAVG IARETSRQLGLGTNVYNAEKLGLCGGGDMPGSEVYDFVEAADGFAEVFPQHKYAVVDI LQKRGYLVAMTGDGVNDAPSLKKADTGIAVEGSSDAARSAADIVFLAPGLSAIIDALK ISRQIFHRMYAYVVYRIALSLHLEFFLGAWIAIYNDSLNLQLIVFIAIFADIATLAIA YDNAPYSRTPVKWNLPRLWGMSIILGLVLFAGTWITLSTMLIGGEKGGIIQGHGERDS ILFLEIALTENWLIFITRANGPFWSSLPSWQLILAVLFVDIIATLFCLYGLFVAAPTS ILSVVRVWVFSFGVFCVMGGVFYILQGSTGFDNLMHGRSPRTSPRQRSLEDFVVSLQR VSTIHEKNP TSTA_045920 MNGRMIPGFYFDTEKKKYYRIQANHVAPAGSQYSREAVKKRKLD NQQRHRQDAIDRRVRRERVKTSRCRDYAATGLSYEVGSTPLPAFVRKSHYGRAYVSQL QRQCICNLSYMNVVDFVRHGPSGALVAGACMPGFTKMTLCPLLSESTSADTWAYDADK NVSNSLVNYESFVDNEYSYHQCRCAIPGFFCKTPHNMDCEHSFTNMHHRGTHYGGGMA DAVARIWLLPDLNSQSWKDRWQCMPVAATSLYDITLWCSAARPHDPSEPIFAVGTSDG LQTTRVNATGGMNTYTVLERQSKDILAVEWLSQTIIASGFRDSLLFLSDLRSNDSVQR IKHPGMIGQIKKVDDYRLVVAGNRSVKFIPLP TSTA_045930 MYDLRYAKRETKNNRKKHHRGRDVPSTKPYLVFDNYAPQIHHTI DINKELGLLASATQDRQNIGFYSLADGSIVPSPTSLRGPTPDTHGNITQIRFEEYQYP MHQRQAPRLLYSQGANIIELAW TSTA_045940 MVSQPHQLSQSLSQQWPCRETQIRQLAALLHPRIPSPPTIVLYG ISATCKSTLVSEVLDSLPTRHAIIRCVECITSRHLLQKILIETLSAFGMAEEWEKFGK GRCEHVSTLLILLGDIISAAKSRKNVDDLNGDKFVLVLDGIDRQREASQMLLAALARL GEMIPSLIVVLIMNTTPRPLFLQSSGVAHINFPPYTRKEAITIVTAAGLPRLDGLSDE DNDKLSTTSMLGIYTQFVVTVYDSLVGPTAGTIPSFRSICERLWPRFLQPMTSGEDPG VGWDFTRLLLRNRAMFKMQGESMLVHHIVSVPEETSKEQQQHKTKKRKLNSISTSTTP KLPSLPYFPTLVLTSAYVASHTPQRLDTIFFSKFSSSSLSARNKRSHHRRRLKLLSQT QADDENGHNSTPKKGKRVKTKITKSMLNSAFATTSATTSAITGDNAASGGAGGGGATQ LTGSSTLLTARPFTLERLIAIYHAIDPNPPANALHTAPIADAVYNELATLRRLRLVVP ASGGSTQTVGGGATAVMTADVGEKWCINVSGDWVGELAKGIGVEVGEWLAGGLD TSTA_045950 MAFKSGFSERLDELRFPSPRSPPVDAPFSHTPLSPPASMMSAFS RPTTDVRANLQRRFTTDASKLSSWSFLNQQPITSAESLDLLSSIEKKRQHIEYMREQR KRFEADMKLLDLQHERERQEIDQLARDLAQAGLTGPVSEPTTPPEYRDSGFPSAFARP TRFSTSSVTSSPGIFNIFSPSQVTSPPPAPQTRNGATATSNNHRFSVHSVPGSRRNSE EEEYFPDSVATYRQGPSIHRYSMPTTSLAAQLKSVTASYNSPAMEPFNAARLLFGDEK NDPKDEDRLPTPDIKSYLKMTDPDDKFPTLSHNSGILSANSDALDLANSRAPEPWSSH HSRYRSMPQGDLNMFRPENQFGKQLGSDSASTSRHVARHSVELNMYLGKQEPTTPTAG SSARPGSLQSSYSTNDLPTVKGNGFTSTAITPPRNHAEQFHQHNASLGRIPAGVANPR SAKQSPEREDSTLVSNGGPQSGLQATATPFGPQLASVASPSLAGQNGSSGLANFQQAQ FYPYTVQPYANNGVGVNGGANQNFTGQVPYSGFSQNGMYQLPRASARPNNAALNRGGE SEAQQISRFNNLPLEQYKGELYGLCKDQHGCRYLQRKLEERNPEHVQMIFAETHMHVV ELMTDPFGNYLCQKLLEYSNDDQRTRLIHNAAPQLVPIALNQHGTRALQKMIEFVSTP QQIQMVIDALRGHVVDLVQDLNGNHVIQKCLNRLSAEDAQFIYDAVGAHCVIVGTHRH GCCVLQRCIDHASGEQRARLIAQITSNAFSLVQDPFGNYVVQYILDLSEPHFTEPLCQ SFLGNIPPLSKQKFSSNVIEKCLRTAEYPMRRRMIDEILVPRELDAMLRDSYANYVVQ TAMDFADADNRNRIIEAVRPILPSIRQTPHGRRIAGKIAGAESSGRTSGNPSGQATPN DLTSGQLPVSMQVPPKPFMQSPQGGSFNGNGSATQPFNSQQPLVSSANNFNVGPATAG PSEVSNFAHTSNQALPQSQAYGNVGNVHGLCILPETMPRSYELTAPHMNMRRRYGFG TSTA_045950 MAFKSGFSERLDELRFPSPRSPPVDAPFSHTPLSPPASMMSAFS RPTTDVRANLQRRFTTDASKLSSWSFLNQQPITSAESLDLLSSIEKKRQHIEYMREQR KRFEADMKLLDLQHERERQEIDQLARDLAQAGLTGPVSEPTTPPEYRDSGFPSAFARP TRFSTSSVTSSPGIFNIFSPSQVTSPPPAPQTRNGATATSNNHRFSVHSVPGSRRNSE EEEYFPDSVATYRQGPSIHRYSMPTTSLAAQLKSVTASYNSPAMEPFNAARLLFGDEK NDPKDEDRLPTPDIKSYLKMTDPDDKFPTLSHNSGILSANSDALDLANSRAPEPWSSH HSRYRSMPQGDLNMFRPENQFGKQLGSDSASTSRHVARHSVELNMYLGKQEPTTPTAG SSARPGSLQSSYSTNDLPTVKGNGFTSTAITPPRNHAEQFHQHNASLGRIPAGVANPR SAKQSPEREDSTLVSNGGPQSGLQATATPFGPQLASVASPSLAGQNGSSGLANFQQAQ FYPYTVQPYANNGVGVNGGANQNFTGQVPYSGFSQNGMYQLPRASARPNNAALNRGGE SEAQQISRFNNLPLEQYKGELYGLCKDQHGCRYLQRKLEERNPEHVQMIFAETHMHVV ELMTDPFGNYLCQKLLEYSNDDQRTRLIHNAAPQLVPIALNQHGTRALQKMIEFVSTP QQIQMVIDALRGHVVDLVQDLNGNHVIQKCLNRLSAEDAQFIYDAVGAHCVIVGTHRH GCCVLQRCIDHASGEQRARLIAQITSNAFSLVQDPFGNYVVQYILDLSEPHFTEPLCQ SFLGNIPPLSKQKFSSNVIEKCLRTAEYPMRRRMIDEILVPRELDAMLRDSYANYVVQ TAMDFADADNRNRIIEAVRPILPSIRQTPHGRRIAGKIAGAESSGRTSGNPSGQATPN DLTSGQLPVSMQVPPKPFMQSPQGGSFNGNGSATQPFNSQQPLVSSANNFNVGPATAG PSEVSNFAHTSNQALPQSQAYGNVGNGFY TSTA_045960 MPPRKRPQPTVRSAARSTVRPAQASETTVNNVAEQSNSSATKIQ SHAKDGHEEEHYRSATKNRKVDPFDALLEPFYYNKSLTDPINTARDKWNLLPAFLKVK GLVKQHIDSYNYFIEVQLKKIVEASSTIYSDADSHFFLKFTDIYVGSPRRSDEPKDSV ESDSTVTPNECRLRDMTYAAPIFVDFTYKRGKDNVTQRRVPIGRMPIMLRSSKCVLSN KKPNELHLLNECPLDPGGYFIVNGTEKVILVQEQLSKNRIIVETDPKKEIVQASVTSS SNERKSKSYIILKKDRLYLRHNVLSEDVPLVIVLKAMGVHSDKEMMLLVAGIDDVYQE DFAINFEEAIKQNVFTQQQALDWIGSKIKINRGKVGGYKKTHIEEAIEAISSVVISHV EVTNMDFRPKAIYVANMARRVLMAKHDPSMVDDRDYVGNKRLELAGQLLALLFEDLFK KFCFDIKMNITKVLGKRRREIFDAMSVVALHGNHITHGMNRAISTGNWSLKRFRMERA GVTHVLSRLSYIAALGMMTRISSQFEKTRKVSGPRALQPSQFGMLCPSDTPEGEACGL VKNLALMTHITTNDEEGPVSKLVFMLGAEDITTLSGKEIYGLGAYIISMNGTPIAMTR RPKHFLNSFRRLRRMGRVSEFVSIYINHHQRAVHIATDDGRICRPLIVVENGKPLVNS RHLGKLRDGSMQFDDFLAQGLVEYLDVNEENDSNIAIYEKDVVDITTHLEIEPFTILG AVAGLIPYPHHNQSPRNTYQCAMGKQAIGAIASNQFLRIDSLLYLMVYPQKPMVKTRT IELIKYDHLPAGQNATVAVMSYSGYDIEDALVLNKGSVDRGFGRCQVFRKYVANLKSY PNGSEDRLRGPEIGENNVPIAKHALLDSDGLAAVGEVVSQGQVYINKEVPAAAFSAGI TGSDAGRPTDYNPAPMTYKLPDPSYIDKVMVSVTEGESKIYKVQTRQTRRPEVGDKFS SRHGQKGVVGIIAEQADMPFTDQGIVPDIIMNPHGFPSRMTVGKLLELVAGKAGVLSG QHGYGTAFGGSPVEEMSAILVDKGFSYGGKDYLTSGITGDPLPFYVFTGPIYYQKLKH MVQDKMHSRSTGPRATLTRQPTEGRSRDGGLRLGEMERDCLIAYGTSQLLLERLMLSS DKHEVDICEKCGFMGYQNWCQRCRSSRGVVKMTIPYAAKLLIQELLSMNVTARLKLED EFPEDRGM TSTA_045970 MLCPPRTTPTVKGQQPNDRLTLPGVCLPLDFTPEAMNGYGVRTR SLFPSVLDDRDIEAGFIDQPVITIREKKMLRLMNEFTDKKDWFRKVFDECYMQSWEIE LKDDPEVTDRMIRWLRDELKFKAQIAEVLGFINIFHGDVVKSCADFFQHDKFRVELCN AIRTLEQGLGTSQEYQMATEEREWDYVHPSFFPVEFGKTRVLPDRVIGLDDAIESMGQ GVVLPIPEDPGPSRQELSWNIASRADIMSRPYSSRFQWLPSDVFFRPDGTAYFAGYIN NIHPTRDRNLYPILEKLLDKVIPMFNMVLTPFMSTVHAQARIELQNIEYVEKYPGKTE PKPVESTLEERGVYEERLRAWRRKWFKAVHPEPGEFNPVGVPPEIMEHLPPEEQNKHR MLNIMNLKKLYGQRGLQVIVRIMDIAVTPDQPEFKVPWHVEGQINEHICASAVYVFQT QNIDNLRFNFRQVSDTRAFQDLNYERGDTVWLEEIFGMKQNGSTVQDAGYIDINQGKM IVWPNTLQHNADLTIKDSSEVGHAHAVQFMLIDPNIRIISTANVPPQRLDWRPEAEEA WKRGMNVTKLPLEEQLKILPREGDYPWLLQDARKILMEIKEERSKFNHYQDVSFHSKD VIL TSTA_045980 MVLVTGAGKAFLASKVVHITRAQFDNASNQGFAFFYRIKYETER QKPLSVLGSYSEKPVKFFLSRRRDGDIQTAFQNLPNVEIQAKHNERDIHAFISKEIIK HENWGEMPNSLQEEIKQALSRSSDGIFQWANLQVKQLLELTAMDAIRDRLGKLPKNLR VTYNEIYGKIRNGHPHDKELAARAFEWRKVWKFSHLSLVEHLEEKHFSLKQAHPYAAE VCLQYLIETYKEDVSHIPQQSDEESDGESDKRSNQSTTTLSFPHRLENYSSGCWVYPA YTQIKSKGDHELSHLLKTFLGSPKERSVQYRSWHTDSPGYVDIWINGFGLDAAFKPGI YPAKFHVLTMCRFSSYALVE TSTA_045990 MGPKITSGRYGSVLPTAPFAGEIDAINILLKKGAEVNKVLKAGR HGTALIAAAPKSKNVDAVKLRIEQGAVVDLEANSGYYVSALAVAAISAVPKYPALRTL SESEQSLKAGLYGSALEAATWRGDWELVEELITKVVDVNLRSKTGKYGSPLAVVASMG RIQVVSVLLKAGAIISLRLDHGNYPTYPTALQAAHAAHKEEQISVVKKLIEDAMTMFQ KAIEREEMSSQSED TSTA_046000 MSPNMEKFDPLSPFNRLAPSIYVMEPRREPTKDDKSPSTILLPF WMNAPPRAAVKYVVKYAELVPSARIIFLLTDTRDFYISRAAHELRLKPVIDILAAALA DKEETSENACDNAVYIHLFSNGGVFATAQLLQAYKTATGRPLRVSNMILDSAPGRPTP SLSIKALSFGLPQTIILRQLSYALLTAMIWSTYLSRKFLSMMWRLFWKQPDKNDGVVY GDDPLTYTRKTILDPEFVVARTSAERTRMCYIYSDSDELVPWKDVEEHAALASNSKNG AKVVEMERFSGTPHVGHMRSDPERLGYNHQTTLRLPKIIAAGMEGLDSALTCPLI TSTA_046010 MYRSGFLDPDAMDGILSGAIRYGAPTRRFDEYYRCYPVAMLPGP VRENVNHGGKVIMPPSALDKLTRLHITYPMLFELHNGAKERMTHAGVLEFIAEEGKIY LPFWLMQTLLLEPGDLIQVKSTDLPPGRFIKLQPQSTSFLDISDPKAVLENAFRNFSC LTKGDVFTFAYNDQIYEIAVLDVKPDGDKKAISVLETDLEVDFAPPVGYEEPTRTSGT STPRSGQIPALHGGLVHSHGSMAQAINYAAIAPESSDVTKGAKAVSSNFLSGGHRLNA KKSSKTPTPQPNTPVAGSSTNPKHPPPTRKTNGPQPLRLGPNKLFFGYAIKPVPKRDE EGKIITEADDKPKFQGQGQTLRARKKGTGGDSATPTSGSDVEGRKR TSTA_046020 MSRSVRPKRAGEDYARTHQAEDELDGPSNTKKPRFDLRNPSALA PDELEDDAVLDADEIGRRGQGVRRNAVNLDGYQSDSENEGFDARVEAKARAKRRQQKQ QAGGDDDDDMFADDMEEEVEEEMGEDEAYRKNKKNVRFLRDDEIEGQANKSRGGRAVH VDLSRPLDVRGAEDVESESESEVDETERARVDEGMDEEVGAGGKKTHAPLIDAFNMRA EQEEGRFDEQGNYVRKAADPDAIHDTWLDGVSKKDIRRAKEAADKRENERRERDKKND SILAADLLRTLITHMQRGETVLEALARLGKGLRKKPKWQLKNKSKNKKSKAESNGDED VEMTEENPEETARKKAIDDVTGAADLLLTRGQTEIYDQEREMLTRQFKRETGEDWVDP PEVDDTQVADGNKAADSGTLWEYRWSDARDGGETHGPYDSAMMQSWNDAGYFGEGVEF RRVGGAEDDWSEKSPRPYEKKQGLLLKSKPPSKDRHSRYAVTRIVDIKLKSKQKR TSTA_046030 MAAPISTIAESKELRGLNLIAAHSHIRGLGVDPDTLQPRASSQG LVGQEKARKAAAVILQMVKAGDIAGRAVLIAGPPSTGKTAIAMGMAQSLGADVPFTTL AASEVFSLGMSKTEALTQAFRKSIGVRIKEESEIIEGEVVEIQIDRSVTGGNKQGKLT IKTTDMETIYDMGTKMIDSMTRERVMAGDVISIDKSSGKITKLGRSYARSRDYDAMGP DAKFVQCPEGELQVRKEIVHTVSLHEIDVINSRSQGFLALFSGDTGEIRSEVRDQINT KVAEWKEEGKAEIIPGVLFIDEVHMLDIECYSYINRALEAELAPIVIMASNRGNTRIR GTNYSSPHGLPLDLLDRVSIISTQPYTADEIRQILAIRAQEEEVDLSPDALALLTKIG QESGLRYASNIITTSQLLSEKRKAKEVSVDDIQRSYRLFYDPARSVKFVSEFEKRFIN ETGDVNLAYTNGDAMEIS TSTA_046040 MAEISRAFPPAAKSNKQFPARDPRRAARPETGPSATSAVPNVPD STPSAKPKSYGEKLIESLASLSSEVSTATTLKNEYAKAKKAQLGINQHYEKLRNLSQF PAARDLVSRIRETQSKDVESLKEQLTARKENQKNLTKRAAALVDMESKGQTISPDVLK DIQAESTSTKQSLQNVRDEMLRFTRDSRAASDKRATELDRALESQAETLRKLKQNQDH DFESVKRTRERIDKIFSEIGSIHDRIKAISNERSPARFHDSPRKSVEGQSTPSGVAQA TVKALEERVKTLESSIQGTNPTPGYDVLTKSVNQIVSRLQAIEGANRPISGTAAQTET LLLLLDKEVAKIKEEIEGMKALNEYKDRILCDDIEEKQKMMEKNLEETTSKIKAEVAS DLEKAISQLKDNGPLKQLEAVASLVDSAELHNQRMETVEIAVRSLESRYSTITAEALA HQAIPLIQNQFPPAPVLRAVVDRFQVIEMHLAQISRLRELPDIVENHRQQLTILQAQT RQIRPNAEPNTADSANSAAMIEMQKNWQDAIQKISELQKSYAELKKLDQKLSSYVEEN RQKHEQLLQTLGELTKEKDRLVGELASMSGNVDELKAQTRQQKDHHTALAQSTESKVQ TVEQKLVARVKEVQDQIQNIEQRISDILTASGLEDTKQSIENVEVALQQHVENLNYQL TQLVDKHKATTLELSDLQRANEQLDKFTYSSIEDLQKAIKGLEDQQGATEDEHLKMTL EDHALRIDELEQAKASLGRRFRESLSPRSEPSRSPSQTSNWSGEPSRDDDEDENVRPF NDNRLPPRKKNKRKFTKFSGPLPFSSPRTKFGSAPPRGPASMIERSGPPPYKKRQRSM MDLVNNGD TSTA_046050 MFLLSTRLKTAARIRNGYSKCLFSSSTPFRIELAYQLIEPPTQE KKRNGQPIVFMHGFMGNKLNNRSISKALARDLNRDIYIVDLRNHGDSPHVPEHTYTHL ANDVSDFIKLHRLRKTVLIGHSMGAKAAMVLALQSPETVTGLIPVDNAPVSAMLGSPF NDYVKGMKEISEARVTRQSEADQILAKYEPSLAIRQFLLTNLIRDPETDNKTLKFRVP IDTLGSNLDEMADFPWKFDRENDSNNADLPTYNGPTLIVRGLQSKYVSDKRLPAVKRF FPNAQIVGIDAGHWVISEKPEEFRQAVVKFFRENDIE TSTA_046060 MAETPQKGFYRIVTVNTAPERAKRLIGRMVEALKDRYTIIHVDN CETIEEVEPKVKEHQPDVLFCASMWTAEQSTAVFEIARKIRPGIKTHAIPHGLQVERG PDAIVEYLVENVPKLLDEE TSTA_046070 MASSFSRLVRFVSKSNPSRTFIGEPMDANLDIGLALYNGKDVQV KRFTGSSILAPGQRTENVESIKAVLSPLAQDEVGTIRCVGLNYVSHAKEMNLHLPDLP SIFLKPSTALGNPWPEPCLLPKITQKDNTGDYESELAVIIGKTAKNVSEKDAFDYILG YTAANDISSRTSQNNQSQWCFSKGFDGACPLGPVVVSTSLIPDPSQLRIKGSLNGNVV QDCGLGDMIFTVPQLISFLSQGTTLFPGTVIITGTPPGVGAAKRPPQFIKEGDEFRVS LEPHIGTLITQFRNE TSTA_046070 MASSFSRLVRFVSKSNPSRTFIGEPMDANLDIGLALYNGKDVQV KRFTGSSILAPGQRTENVESIKAVLSPLAQDEVGTIRCVGLNYVSHAKEMNLHLPDLP SIFLKPSTALGNPWPEPCLLPKITQKDNTGDYESELAVIIGKTAKNVSEKDAFDYILG YTAANDISSRTSQNNQSQWCFSKGFDGACPLGPVVVSTSLIPDPSQLRIKGSLNGNVV QDCGLG TSTA_046080 MHEFTKIEKTMLPTGSRRYQIQKTLSLSSQLEDSKHKQISKCPA CAMFQKSRLWLKASDVLNQTYAGKSRSSSFYVLNMGGLLLVLSIVGGTLRFMVISVTL VSWLQTQWLAYTSFLKKRHTARLAAAAEKDRSRQRKQIADITEAVGENMISLLDKRRQ ELKLKLDHEAEMKRRNYQQDIVTRITGHLADFQESERVKDEQRLKVREDGISRKEREL KAREESLNALQKRLEDSERKLRVRQKSLKEREKDIEDEERDLEAKQKNLEDREKKAKA TEDKVQKLQLKQEELKIQHRVVNQRTTAITTPNSNISSPTSLPNNSVNLPSDLMQYAE DLNSFHFTCIGITKRGTRCGQSMISSADKSAASRRIAKMKSPDPGDCTTLYEINALRE LADWMLCPRWHRDKLPQGAEIARRWYGQLRDAREVKAKAVNTWKTPSSAGVPSLFGST GTRNNSTGTTASSFGSSVQSMSASYTGSDFQFTSPQGAATAAISRQSLFGHSAAQHLT PVFEFMAEHPSVTKKGFGQWE TSTA_046090 MTGSTPVKQQQWADGPFPLIETPKHKQGPTNNTDPYMETASTMC VVHNTLLRGLNSIYVQGPHIKPEDYKDFIGYSLCWHSTLHEHHTSEEEQFFPEIEEAV GEKGLLDGSVEEHNSFQAGLDEFSSYLESLAGKESKFDATHLNKIIDSFVPALNNHLK SEIQCLLALAQYREKLPIARLWDREGFRSVTSMTKFGALPFFFLNLDLTAEGGLYKDW PAIPAPVKWAITHVTTIPHQGYWKFASCDRNGVPKPLYAPG TSTA_046100 MKVLLLGVTGNVGSRLLPALIAHKHQIVGYVRSPNKLSAQVKSN LDSIVVGSATDSAAIKAAILIHNCDAVVNAAGVAAKTPFHSAGDFPAIFAAVVKAATE AGRERKAPIRCWLMSGFGILDHPKKPHLFVDYIPLFPTHKPNYQLIKSYAADDLAWSL FCANELIPKYDTPQYPAPADCSANNLVVQADSPPAWSNKFCKIPLIGGYLNVMAQAQE YFAVLENIVDMIAADLEKGLNSEYVGKRVGVKEKSKFQ TSTA_046110 MARKGPGTDGPLQTALLESTSAATTRASEGQKIFSPIAAFLDKH RSQTTGLAPHLLRALTALSDDLASVAQQHFNAYISGISTTSILPALSPSPSSSPTLNP LPPSPPPSRPPSGLNQSTYATITQYAPVKLTPTTHPKASVKKPMPLVKQPLPDNRLFI RLPADHAARKMEAYAIYSSLRSQLNSNSSALKEVQATKTGFALCPSSPEALLALEAQK EIISAFFVNCQIERSSRWVSYRVTNVPRKIGQILDEISETTGLKLISISETATSAANP ALSPMLVTSLREQQLPNAHNARNGIMHNPVPAPLDAGSAAPQSILNRNMSTAAQP TSTA_046120 MEERIKRMESAIIASGLQGPAGLVNMKEKEKEEEEKTSSDRIES QAKLSNQLANLVIDSNGSSNFIGSASGFSLFSPQGIQWILQKVGDKDELAQLYHILAK HDFESWGTGNTNLWAPKSRSQHSPLPTKEIASEYVNCYFTTFNNVYPVINRDVFDSYF ERQYSINPPPSSAWYALLNAVLCLGSIRTTAERERHVRSSRLIDYTSIAHETGVGYFR NASSCFHDLFFSEANLMAMQAITLMMFITTSSPNPQISYTITSAGRNLAYTLGLHRSL DGCGLAPEEIEQRRNVFWVFYQLEKAISHKLGRPSVINDDDIAVELPPKKLGLFRSPS GAEIYDIFQDQITLAIIRSRIYTELYSASSQTKSEEHRLKMLGKLDNHLQRWRDGMPI DIRPEHPINSSDEQYVSVVMMHFTYLDAVILLHRISGHHQALHLRDRKATDIESNDSR QRPLNPRVNASQLLCLAAARRSIQLLDTISSNNLQNQHFMWYALYYPLSASLVLFTNI LSNPQDQNAASDVQLMNLITSFIAHSVQPGTSFADTPTLSVFKELYGIATRLVAKVPQ RSSQKMKRQPEGDDPATMQAHYSSSSTSGLPLYADSNAQTMTSFALYESLKTYSEPDT TSTVKSSSDANASKQAIQHTKNDSSQFSQQDPSTTHSSPLDLAPFIIPPEQPSLIDFN PTMSYDPLLESGMNFDWDMDDTWISTSELTLEFLNSTDMRGLPLQDDEGAAGSINQGI DYFNRKLGDERNHGHQQQY TSTA_046130 MTLLVSNEADIELNENFSSETTPEIDSTVQKSLVRRRDLILLPT LCNQPIAPVLKIELLRPRANLRNAKSAILEQDLNSKGNRSSLLLMMFYIPYSIFSIPA TILAKIYSSAVVIPLLVLGRGRTSVGLWLPESFWNDGSRIFALGECLFVKFLYQGVVG EEIICVLFNGSAISGPISWGVFQWHRNFKVWQYLFLIEGAIIVSIAFIALMTLPHSVQ GSRYFTPFQKKCASVYLKHDKKGFIFHEGFIVLKGWKIWLFALSGLLYGVGSSSTSNF LPVMIKRRAQDTVRVNLYTIGPNLTGALMMMYVSCWVTDRM TSTA_046140 MPQPTIENIGSYDDLRELHLLHYIYSLPNLSSLRNNPAAIIREI DTFSEKTGKILMTVGPEKGAFLTNIIAARKPSTVIELGGFVGYSAILLGDALRANGGK RYLSLEINPVNAAVANLLIELAGLRDVVTIHVAPSHKTLAEFVNDNVIDYIEVMLVDH WKDRYLPDLWLMENLGLFKAGVTVLAADNCLMPGAPDFLEWVRASKEKKGALLKTKYG FSEDGRYVKGEELVKAIKDGKEDVELENVPGDPNWVYETEMTEFDSNGRHDGIEVVKV VGKQ TSTA_046150 MGKMTTRPPPSKHLTPLILGTATFNTQYNADPFSLPSTAIVHRA LSSGIRAFDTSPYYGPSEEILGQALATEQVSQQFPRSTYQLLTKVGRVAESSFDYSPA WVRYSIRRSLKRLRTDYLDVVYCHDVEFVSAPEVLEAVKELRRIREEDGSILYVGISG YPVETLCELAELVLQETGEPLDVVMSYANYNLQNMRLATEGLARLRAAGVDVVPNASI LGMGLLRGQPVFDWHPAPKGLRDAIHKASELVSQKLMKLETVATRFGLESWLREGSPV GVLGHPLEAADASTSIFSKGQRLGVTVVGVSKVKEVDELVASYREVLDGGAFLSGETG RASQDIELYNMVRAALGDWVGYAWPSPGEGYLNTLPEEHYQLLREQETTTTTATGSKG EIAMYIHDIFTDSYKYSNSNTFLTPISELGDDGLGEVIQCSHSYSLRENNSHLGMKDL IRWWGARSAYPYLVNPRLSARPLLDLAKSLQVVRR TSTA_046160 MAFVGRPYKADFLPPKNVAEGTIFGPSMAICGAMLTGKDLPDNM AYPNADAPVMLDEKISKDAAIFHQLTTSRVTTDYVKNQW TSTA_046170 MAHRILTTLVVTGTRVFGRAFAEAYKQASASSKYAAEMKKGNVT GASTYASNGLTLDEACKILNVKPPQAGETQLETVMERFKKLFDLNDPQKGGSFYLQSK ILRARERIEMELRETERKAKMDKELKEGWKPKVYKDR TSTA_046180 MPLTPKMNETTPKPGHWEYQGLEDMYGGLNQTNANFTISGELIV NPEGPRLYKVEILLIGKDGRDLDWGKTIPKSSSTLHKVLITGLQFDQDTITYIKDPES DPAQQTCESAQTSYVLIKDNPDNILGGTCKIQPRTRFGPSEPPADEKTRCKCDCIVCF CNR TSTA_046190 MSPPSVISDNGAQSSNPRDPPLTVAAFSPTTTAGSSLTSRQRSS VIVNRKSPLLVATPPPITRALAHSHPFILPLNKLAGLLTWSSGDPWASFLLVAGFWAI VLYSDAIILYAGPILVVVSLILGMYSRRYSPLSSTGVTGEKHGHKRHSSEVSSRHQKS LDEIVETMREFTTRCNIMLGPLREMTDFLSTQSSATSATTRPALTSLLIRIICITPIW ILLTLPPFYIITTRRVVLSVGTIILTYHSSPARVFRVLLWRSLTIRRICAFLTGLNFS TEKPADQAVDKSKTAQSKTHATAIATSNNSNAGVRFTFIVYENQRRWLGIGWTYSLFP HERAAWTDEHLNPVPPKDSFELPEANGGNAVWRWVEGSQWRIEGGDNTSDGGGWIYYD NKWQDGRRGDSWGRYTRRRKWHRDAELVEVDENGSIPESTDKVQDDAASIQSMASTEP RGSVRKRRWFNGSKDLNRSTLSSPSNASTFSFNNQDADNSTSSQDKPSASSTARTNSR PVSLHRSRASDHGIKPGYPYSSSPGQRSTTSSLGRVAFGGESDGGDADSQKSIRDREI EDAQNRLDRWGARATGGTERAEREFGLGDDVNMGLS TSTA_046200 MATEELVSLTKTLSCYAPNAKEAQFMYKEIFEDHCYEIPNLLPE PSFSSTESPSSEPETRSPFIIDAGANIGLFTLYMKQKYRSSKILAFEPAPQLYELLCR NLALNNIPLAGGAADNNAKLTIYPTLPSNSTLKPKEKIHLHAEATKIYGQKLADHWFG GSYEVDVKLQRLSEFLNNNSHVRSANTIDLLKIDVEGAELDVLRGLDDHHWALVRNIV LETMEKSCIRGEIEQLLKEKGFQITVEGVPWAPEKFYTIKACREAFI TSTA_046210 MVLELHIWGPAFSLPSIDAQCLATIAYFVKTVPKGKWVVVASSD PSVSPTNELPALKDGQIWVSKFRNIVDYVRQYSEGKWDLDADWDGLDKADITAFSAFL ESNGQLLLDLSLYVSSQNYYAGTSPAYGSILTWPNQWILPPKLRNAAKHRTEHLGLSS LDLEATEEQQRERERASAGVSAGQIPKSLIARPRETVSTLLGKTAQYSQFRLEALTAE LFEPLDQLLGAKKYLLADGQRPSSLDMLVLGYLSLVLFPEVPAAWLRESLRTKTPRLA KYVERMRVECFGGVVMLEDAFPETAEVKAKSVLPWKQPERINAVKIGSTLLKTLADAT PILKDFRMNSRLQQAAKSSPAGLDDREAKTVSNYANAQRRDLYISIASAAAGVVAMVG YLTYNGVINLGGDNYYEEEDEEAYEPESLDKMTAPTVASLFG TSTA_046220 MLGFPKEYSTVSLASTINSGDPPRQRSQVRMDTCDTSNGYDGRM GLRISSIFVILVGSLFGAVFPVLAKRLGGNGIPSWTFFIAKYFGSGVIIATAFIHLLA PAEEALTNPCLTGPITEYSWVEGIVLMTIVVMFFVELMVMRNSFPDGHGHGHSHGDEA EHSHDHSHLHAELPTQEIDATTGLPINTANKEHEHIPGNNHLSHTRDHRDLESAKSPL ISAEEYAAQLTAVFILEFGIIFHSVFIGLTLAVSGNDFITLYIVLVFHQTFEGLGLGS RLATLPWPESKRFTPYLLGIGYAISTPIAIAIGLGVRHTYPPGGRTTLIVNGVFDSIS AGVLIYTALVELMAHEFMFSSSMRRAPLSTVLWAFFLICLGAALMALLGKWA TSTA_046230 MHYSYILSTALCLSSTIGLSHSSSALPPSQDPWYTAPDNLLSFP AGSIIRIRPDPLSIYDSIGANITSASYNILYRTTDGNDLPSFAVATLFIPTLFIPSLK IAGKSKGLLSYQIPYNSPTVDASPSWTLLTSLNSTFPDIVAALEQGWYVSATDFEGPN ATFAAGVAEGHAVLDGLRAVTKSSSSPVSDAKGTDWKIGLWGYSGGSIASEFAAELRA SYAPEIQIAGVAIGGLPTPVTAVLDSVNETPYAGLIVSGLWGYANAFPIVESYLLSRL TDEVKSSGNFTAGRNMNILEIFAVYADVDVFSYFVGGEDDIFSSPLLQYFWNTQGVMG VHGLPDMPMYIYKAVEDQLAPVEYTDSLVNRYCDESEAAVTRKEAGVRILYERNMIGG HVAEETNADASAVEFLKNALKGGLGASWPSGNGYGCVVRNVTVGNDTSPDCTSIPIDM SDRQAFMQDKLEPVTGSERPEDDISNADTNA TSTA_046240 MLETITSIELQERPNSHETIPAEEPIHHENTSYPTGIKFILLTL SIIFTIFLSALDSTIISTAIPSITAEFGNLNDIVWYSSAYSLTNFAFLSSWGKAYKYF PLKTSFLLAGVVFEIGNVICATAASSTAVIVGRAISGMGGAGIMSGAFIIIAVTAKPE YRAAYMGIVGVTFGCSGVVGPLLGGVLTDRASWRWCFWISLPIWATGAIGIFFSLRTS SGQAEHAVSTASIREKLFQMDFSGSLLASGSMICFITAMHWGGVIKPWSSAPVIGCLV SCVVLAGLFVVNERLMGDRAMVQGRLLRNSTFTANLIYVFFLAGLYFPLLYSLPIQFQ SIDDVSASQSGVRLIPLVLGISIFTMISNGLVSMYRKHLPLTVLGAVVGTIGVALIYS IDETASTKRWIGYEIVTAIGVGLALQLPMVANQSLVVANDIPEATALTLFFENMGTTA FNAATEAAFTSSIVSSLADFKNVDSSAVIAAGAASLLRQLFSGKELQEVVTSYLNGCR VSHALSLTCGGIATIVSLGMAVPVMKEYLIGKKLRVLS TSTA_046250 MLPTRTMHIEDAVLLFDAGAATVQGGRVSQEDRHVILLPHQFPP TKSTDKFALFATYDGHGSADVSEHVRQNLPNLLIKRPEFEKGDYETAIIKSFEDEDEL LLQMVMEENTQAVIAGSTVALCLVNLTKGLMVVGNVGDSHIFLARRDEGSEFITWQER LTVAHKPHDPSEKSRIEQAGGAVHTYGGTARLGTLNMSRALGDLQYKNPINNLNLTRG TKKAKRANASGSTIRGNILSNEAYVRTIKLDPNSRYTLLVCTDGVTDVTDEKQLMTEV MSDFVAGRRARDIAKMVTTATGEQPHSDNSTCVIAFLDGVRALK TSTA_046260 MWSLTSLFTRSAQQAEVTQAKSDDVQQDNAHSETDSTQTTPKAS AIVSTVQVPELNLQESDKSSDQTDQDAPTITSSSTPTTNNASLMLPPSAPTQRRPPTL KPVNQPFLAPPKLKASSLRPTSLSAASALRAPPATTNGLTTSTLAPSQRASRKVILGP GHSPLDWAALTKDPRNNLRGANLPPTLIKVTPSMLKSQNGRKGTDAWTSYNGKVYNIT PYVSFHPGGKGELLRGAGKDSGKLFLETHPWVNWDGILGECLVGILVSENEKGDDETN ELDAMD TSTA_046270 MHFPTLLTNLFLAATLAASATAATDAPTDLKIDVTHSVECNRKT TNGDTVKMHYRGTLAADGKQFDASYDRGTPLSFKLGTGRVIKGWDQGLLDMCVGEKRT LTIPPHLGYGDRAMGPIPSGSTLVFETELMEIVGVPKDEL TSTA_046280 MPHKLSDYSDSNSTSTVSSTDRIPVGEISDASRRSGPKLVVVHN GGGPSYDDKRPASWDKSRWK TSTA_046290 MTNTALILLLASVAPIINAQVISWSTAHSKATTALGKLSQNDKI GIVTGIGWGKGPCVGNTAAPSGISYPSLCLQDSPLGVRYANPVTAFPAGTNAGLTWDR MLMNQRGAALGAEAKGLGVNVQLGPVAGPLGKIPEGGRGWEGFATDPYLSGVAMIETI TGMQDSGTQACAKHYIGNEQELNRESMSANIDDRTLHELYLWPFADAVRANVASVMCS YNKFNGSYACENEALMTNILKTELGFPGYIMTDWNAQHTTANSANSGLDMTMPGSDFS NTPSSVLWGQALASAISSGQVAQSRLDDMVSRILAAWYLVGQDQGFPAVAFNSWNGGQ ASVNVTSNHNEVAHAVARDSIVLLKNTNGTLPLNKPSSIAIIGTDAQTNPSGPNACTD RGCDTGTLAMGWGSGTCQFPYLTDPLTAIKSQASSDGTTITTSISDDGTAGASAAKNA EYAIVFINSDSGEGYITVEGVAGDRNNLDPWHNGNQLVQSVAAVNKKTIVVIHSVGPV ILETILAQPNVVAVVWAGIPGQESGPALADILYGRTAPSGKLTYTIAKQTADYGTAVV SGNDNYSEGLFIDYRRFDKSNIEPRYEFGYGLSYTTFKYSDLAVDVSVSAGPTTGQTV PGGPSDLFGSVGTVTVQITNTGSVAGSEVAQLYIGLPSSAPSSPPKQLRGFDKIPLDA GASGKATFDLTRRDLSYWDVSQQKWVVPSGEFTVYVGASSRDIRLQGTFTVG TSTA_046300 MDIDPILNGLNAAQRTAVTSPTSVLQVLAPPGSGKTKTLTARVA YLLSSKHHGFRPQDVICCTFTIKASREMRERLSHLIGDQVVSKLVLGTFHSICRRYLV AYGHLIGLKKGFGIADSNDSLTIIKRIIKRLNLNIQPNPARSRISSQKAQGICWQDLD NARHSKNVEQQEFVQVYREYEAALASSNLLDYDDLLLRCVDLLRKHPQCVSNVQAVLV DEFQDTNAIQYELMNLFAAQNRRITIVGDPDQSIYGFRSAETKNLERMQRRYPNTSVV LLENNYRSSGSILRSAQQVIEQDAARPVKKLHPTHGIGTMPVLRKLPTAAAEAQWMVA EIRRCITLTGQLLKPSDFAILLRSAALSRQIESELGKQGVPYRMVGGSRFFDRVEIRL LLDYLRVISHPENNDALARIINVPTRKIGDETLKNLTVGAESAKLSLWSFIKDVVQGR RSCQKSLSRPANIGLSTLVGLIEAARQKLHDCVDESSPRTLLEFVISKLGFREYLTAT YPLDEENRWANVEEFLAQAGDSSASYSQQDDVLPEIEGLEQHQGHIGEEALSRFLANV ALSTEVTTEQGDQPQEKVTISTIHAAKGLEWPVVFVAAVYEGIIPHSRAEDSDEERRL LYVAMTRAQALLYLSLPRRDSKNGEETRPSSFLPPSIAETKFRAVGPKFVDKTIFGIA DILGREHPSQEAIITGLQSGLPSLNDDLWTHNDEYTGDKAMRWDGSQVLSSLDGRGQA QEHSAKRRRLNDRTVTDSAGSTSASGFITTTSYTMNNASGYSVSSSTMSMGFSTARQH METAPPAPIHNDPVRPIQKKAITSTSRKSASAPPGQGLLPSYFGIKNTKGQTTLAHTL NEDKRNSLVQVNCEPPAIINSIAMDTQKMSAGNYRIQPQRYKPPRPVPLSAKDTNPYT WLPQSDKSSPQSKSTSASTSIGDTKNPSNAISNRPATTFHTTTTMMKTTMNQLLSNEN SGGYGVSMGRKTLGVRRSLNNGWAERMNYLDIDFQYTAVKSIATVLGRRRGRDAFQHD INN TSTA_046310 MSDLDTLLAEYTNKENLESSRRDMQSRNDINSKVSKKGLIENLD EPLTDILPEFDGIQILIDPKERSIKTSFAVDGRSVLYNLPLIFQHGNGWWYGCSLDWA GVVISRLRHGTSLEDYFVEYIWKKLGLSAPFPRFNISRYPEYKARTLHGVRRTVVDDG IKLVSCDTWAFDNPEDRDDGSGLSSTTRDFVAVLADLVSSPPRLLKLETLTEMFTPQL FSDGPGVRMLLDLRVAWDNFAGPISAENVNHGLSGLLCTGPVPEIKQPGNMLVWGRAT NAIWWANKGLGVAEFLRLSKRRLGMRRLIRC TSTA_046320 MFANGHVHSHHKHLKWSNRQPPVLTVSSGDVVTFDLIDSSNGQI TIDSDTSSLNSFQLELADPAVGPVYVRDAEPGDALKVEVLFLEMGNWGWTAVVPGFGL LADDYTQPDLKIWKFDPEAGYAELKPGVRIMGLAPGADGEFSTIPPTVVGGNIDCRDM VAGSALYLPVQVPGALFSCGDGHAAQGHGEVCGTAIETNMKAKIRLTVCKNYPWVQSP HLQLPPQPPAPSAFPDKGHYATMGLDADMLQATRKATRAMVEWLVATKGLTRTEAYIL ASVAGDLKLAEVVDMPNFAVTMSMPVNIFS TSTA_046330 MPQGFTRRAIGALLTATALLLIWRISNYDVVTSLSPSSSSSSSA NNQLSKSGGNPRRIAKVSMLYGNPNPLYERALRSHERHAQRWGYPMYVLEEDISEGFW NKPSYVLSLVIQELAKPPTKRCEWLMWVDADSIIINPAIPADIFLPPSDLSEIHFVAS KDQNGLNTGIVFFHVHPWTVSMLVETLAHPLYLPDIDLGRSADQEAMARIMAKQTGGP EGQGYAAGMAYLPRPWINTYEWHHAYEGKKGDMLVHFPGLEDDRWPHMANWLDIVEKT PLEWEVPLKESGYDELTRVFWAKFRAARDLANSVQEDIWLEPAGSSTSMRQAAVNNVR LALQQHADEPEVLQQQVAELKAAVKEETSGRS TSTA_046340 MVQIHISWTPEEYQPPQKPSWSAKMDDLMTETSVTDDLCFTPLH HVVIGLEKADVYQQLRLNNNCINIPDSLGRSPLHWAVIQGNTSIIAALLEHGAFPGSR DKEQMTPLHEVCRAPQSSQAACARLLIDSGADVDARDSWGRTALRIAVGFTNTSPDLI EILLEEGADVNDSDIYGQTPLLKSIRAQSCIMELLLKHGASTEATDIYGNTPLSEAIY RNSAEQLNLLMKYGSKTNQLLDLQPGRRAREGRINILHFTAWYGGIEVMRALESSEQY LCRSPDPIDDFVQYKEVRLAHGLGVGKEEYEAFVHLLSTVKYSCEGGDEDEKDDPEGS VGSSCF TSTA_046350 MDPLSLTASIIVVLGLASETSKALRTLYDLRHAPREILELTNEV SELQALLCLVQKATDSAVQNHLSAEDQVLIQRLIETAQDPLKKLEGLIDMCSSKKEMS SGSVEKSSLRLSVSWLKLKNSISNIRKRFLRAHRNIDTALAALNNINRQADYKVILNI HDLVLTQAQASEHGISPASPETVQNLEQKFNGIICDVNEEKTGELFKESILPVPAARN TMTREIAEKKFDSYYSHEDEPGLFENHATIRASIATPEHLYFNFDHAITEDANNKRPS HASSHTIFRSG TSTA_046360 MKTFRLINTISWTVETFQIGKSPPYLAISYAWSDNIFPEKLPLN GSFGGDAFAQTIQKRGLTPVKYSWVDRYCIIQDSDDDMNEQIPLMSQIFGNAKAVLII LTTELNLKQKEVDYGTIQLDEALTVWREEAWTNAEAREYWEFGEGRTKLVRAMDILSR FTKAAWGTRVWTLQEYILATNVLWIDQFGIIERTVRGESLVNEYELLFSHFSGMAAFR TAATSRNSERDQTRVLEMLGNRQAFLLVDEVYGIMAASTVEIIVQPKESRESAWKRWL EAALTAGHLRWLMLPPGMLLTEEAYLSPQDKSGLALFFPPMDSVPKVTLWPLTVTRGV KTDGTGSSYVRDQTRNKNKQTVHLSSGTKQELQPQ TSTA_046370 MEFTREERLKLADIDPELAETLKNIIIPKPDPRVDPAKIIAGIR EYMKSQHKPADPDSGVVEYDIFYPARDGHQLRARVFRPANPQDKASPLVVYYHGGGWT IGFPEDTAPACRNLVQTLGVVCLAPSYRQGPEDPFPAGINDAWDGLQWIALHAESKIS VAAVSLSSGFVIGGSSAGASMAAILAHLARDEGLAKPITGCFLLAPMTLPPETLQNFP EDWKHKDSYLSRTQEACKQDPILTPELDKIFRESAAGDTQSPMFVPYIWPTGHANLPR TYLQVCGMDILRDEVLIYEQTLREHGNETRLDIYPGMPHIFYNTFGMLTQGRKAKKDF EEGLKWLLR TSTA_046380 MASIQQHQVCDSFFVETDEVRPRLDPREQAKIIARERQYAMADQ LSALAREEYLEDSLSHMLDMDTATLPDVESIDIQTEIQWFMRPYLLDFLIEAHAAFQL QPSTLFLAINLLDRYCSKRVVYKRHYQLVGCSALLIAAKYGDKKEHVPTIRELKSMCC SLYDDDMFLQMEWHVLQTLGWTIGHPTVDSFLNIALVDRQYDPELEHLALYILEIALF HREFVSKPSADLAKAALALSRCILNRPQPSTSEWAASYDGNTLVGLSNQLHSPSQVLY RKYSSAHYSRISKLLDNFLAHQAAIASGAYAPPSPPEEAAGEPKSQYNGQIGLATPQK PGMQPHGYLTPPITPENEGFLNPHSAANANKQASITVCPSSPTPPPNVSFNDTQMYDA EPVYAQSAQYPVAHVGYSTAF TSTA_046390 MELHRCRFVPYNPSAINALEFSHPPSSKAAGQGAQTLRLAVGRA NGDIEIWNPLRGAWFQETIIRGGKGRSIEGLAWTLDPSEDAPDGSGMRLPGHLRLFSI GYTNTVTEWDLEKGKPARHSSGSYGEIWCLTAQPRWTAEKSSTTGPADGEYTGQHLAI GCADGSIVILSTADNDLRFVRRLNASVTKNVRVLSITFQNRHVLAAGYADSSIRLFDI RNGRLLRTVSLGRGPVGGPKDILVWSVKSLPDGTLVSGDSTGEIRFWDAKNYSLVQRL RGHQADVLDVAVSIDGETVVSGGADRRTVLYRLKPGKKNDKSRRWAEVMHRRYHTHDV KKFAVYESKDMSIAVSGGPDASLVVLPLRESGKEHHRTLSSLPQICQLASGSSSRFMM SFWEREVRIWKIAPESGADAESQRNQFVGRVLIPGDENITSATLSTDGSLLVLSTMTT IRMFSLSESEGHDEENILQIKDLKLPRQVTDDGARTIFLSPDSRWLSIIRPGNEIYLA KLSVIDTNSDKDVQVHSKLVRLQRSSGRTQSLRGINQGTLGEYDRTIRAVTFSSDSKL FATGDLAGYVDSWILEHVNEQSNKKTPNGTTLSDDDSSDDEDNVEEIIDDQYWRPLSL MPCLHSGIIFMSFRPSIKTSKSIEDRLLVLTSKHQLTEYEVLAGKFSEWSQRNPKSCL PEEFIGLKDRATGGVCGLFQRSPKLLLYGPSWMWMFDLTHDFPSQSADVDDIRKGFGT SAVDLVQTAKRKRETNDNEDLEDAHGDENEHLRRRYNSGAGDLIPKSQATVSMGTKMR KIMGGDNKNNNKQSQWIDVEKHRIHHNGDNEDDEDSHPFFANGEPDFARLRRQIANNH TINEEEPQAGTPQKPTDGASFAVVINQKTPQKSTPQQPAHEPETKTATIFWHSYKFRD ILGMLPLGHSSQSTMTAKDAVELAVVERPMWDMELGERYVKDYE TSTA_046400 MGQSQSKGGDRSGDPLQSYPSFSRTDTKESIRSLKGSIRSKIPG SRGSDKNNDSPRSSMAGLADDKSDAASVRSTSSLKQQPVAQQSTVSNDPIPSRTGTPE PPPSPSQSTSLNRGHKDVDAAQQSGEVDLVSDAPPSVPPVTPQAPGESILVRPDNQIN PVLLDILASAASDTSSTSPGMGMGALKTIDLDDMISRLLDAGYSTKITKAVCLKNAEI IAICSAARELLLSQPALLELSAPVKIVGDVHGQYTDLIRLFEMCGFPPAANYLFLGDY VDRGKQSLETILLLLCYKLKYPENFFLLRGNHECANVTRVYGFYDECKRRCNIKIWKT FVDTFNCLPIASIVAGKIFCVHGGLSPSLSHMDDIRGIARPTDVPDYGLLNDLLWSDP ADMEEDWEPNERGVSYCFGKKVIMDFLQRHDFDLVCRAHMVVEDGYEFFQDRILVTVF SAPNYCGEFDNWGAIMSVSAELLCSFELLKPLDSSALKNHIKKGRNKRNSMLNSPPAV VSAQSY TSTA_046410 MDNSVHINYLQSLLGRNLRIHTTDTRMFVGIFKCTDMDRNVILA NTFEYRLPSQTAVQAAAAAETVSTPDATGKFKMDMTSRFIGLVVVPGQYITKIELEEN PYQIRAQPTVPVMH TSTA_046420 MFLKRKASCSSETLHGRSFTTDVPHHLNSRTRKRVRDNRPDQQT VYANTLRILYQAQKEPTLSYPSDEPSPSSQPPSEPEALDPRQQTLLKFFRPAPAPSSS MQADTGHQINNSKASKVDSRPVHSVPRNVDYDLTSWNSGSSTPSSTRNMDMDMDSESS SGYTPEKRWDGWI TSTA_046430 MSTRRPTHAGSWYEDDSTTLKAELDGWLDAVPNEIDKLGALPIP GARIIIGPHAGYAYSGPCAAWAYKALDLSKAKRIFLLGPSHHHPLATIALPEVTSYAT PLSDEPLPLDTEIINKIRTASSAFETMSRRVDEREHSMELHLPYIHRKLQLTFPGRPA SEYPPLVPIMVGSTNAETERAVGALLATYLADPSNAFVISSDFCHWGQRFGYTYYVRD APQQKPPLPLSFDSLPQPPTTVSAAEDTLQKVPGRSLRRNSELHAEIYDSISAVDIAT MKAITTGNASQFLKSLRTTGNTVCGRHPIGVIMAALEEYASVAGEEVGKFHFIRYERS SDPDVVSESSVSYVSAVAVV TSTA_046440 MARLKKGVHSWEDVLAQRYQNDKPSSLPRYRRRKGNIRGPAPRG LDISQKPDQQPQSLLLTKLPPELRLLVWEIVLGGLRLHIIQRSKKRLGCVVCPQKDTC DICRGVLQQPVKNAETCSKNVNLISLLVACKQIYRESIHLLYSSNTFEFSNTWSLAYL RPTIPANQWTAIRKVDLKWAFPGHWLPSKDSVKSVYVWAGRQQWIETCKAILLLKDLE EFTLHLTGNWFGEPIEKVPVFLDPLRELHLRGWPQRKWRIYLPPQPYYKMEITRLNEL MVKEGIFCEIYEAGQCIKG TSTA_046450 MTSLSSESNKSRYSDALESHILDVSSSNSSEIIVSDNYSEEPPF STFSSSQASLNDDSNDTSRTIPDSQESRNNTNPEDEPISITYKNHILVQLSKDLSRLP AENFNRLQQVQETSSFWIKLFHHLSTLDSQTPPLKSREWAEYTVESSRVLFQAGLSTK KIVSILNHTIRYIANCILNTDNKETIFFENGLNVFYEPECCGIPDEMKVKYMETLEHL RDLVVRGGNGVIAQPEDEDSDDSVVSAASTVSVMPSIELGERIFQSIELQENSHSRVK GIQGRQKKNRGHLRKIGRFTKGIVKMQNREKMKKRLKKNRKARRRSHKNKHRVARGAN TSTA_046460 MADQADLLESPQFEEETSMQKFKRRLKEEPLIPLGCAATCYALY RAYRSGKAKDSVEMNRMFRARIYAQFFTLLAVVAGGMYYKTERKQRREFERKVEERKA QEKRDAWLRELEAREKEDKGWRERHAAVSEAANNPVGVSAVVAGKKEEEEKGVDGNVN QAPQEEGGVKRGTGILDAVKALVRGKKD TSTA_046470 MMNDSLQHLLDAGETEFPPQNGIILSTATVGSGSDSPAEGNHSK LEAAMSRRRPAPRGTAAYPRKRANRACQVCRARRTKCDNKKPSCSFCEKVGAKCITSP TDLSSFDPASLAILDRLEQLEKLIREKPLEVSVHPPPSVEPSALSYGSPPQDLQFGPD LSTVTIETVLAWDVFQGRFDAQLDIKSVLKNYEMPPSPITSPVGEAIPLINSLELGSC NRLLDSFLSRVHIANPILDVELIRSYVNHACLNGIGWDAQSCLVLLVCALGSIAEAFH DVHPHTSLMTRNLPSFGLAKVFFEASQKRIGLLMRGSGVLEAQCFFYSGVYLMTIQQP MDAWRHFVQAAAISQGFDFLKRSLTTDMLLANGMHRERASQECIYWTCFKSELEVRME LSLPGFGLQDLSYPSSFPSPPLDDLGDDSARAWYYYLAEIALRRLANRILYNLFRHQD KGRFPRIVDMVEMTAAFESQALDWMVSLPPIFSLQTPEEQDDVLKFVLRGHLLDCYEW MYFPFMAETINYGRRDPVLDEYTRKGLQMCAERIRKNEPGFQHRHHGAWLMLRTCTRS ALILLAACHSQMVQDLMPQGWKEAVLSAMNMLRFWEDEVGDAQDRLQILTALISEVSW TSTA_046480 MANDPVTPPRRPHVNGLSMTEYSASPTPKTEGAGSIARDATSSV PDDYILPTGYPDYVRLILTSRVYDVVEETPLQHATNLSNRLECNVLLKREDLLPVFSF KLRGAYNKMAHLSQDQRWKGVIACSAGNHAQGVAYSARKLKIPATIVMPSGTPAIKHL NVSRLGGSVVLHGSDFDAAKAEAHRLEKVHNLTNIPPFDDPYVIAGQGTIGMEIVRQA KLHNLEAVFCCIGGGGLIAGVGAYIKRIAPHVKIIGVETYDANAMVQSLKKGERVMLK EVGLFADGAAVKLVGEETFRICQDVVDDIIQVSTDEICAAIKDVFEDTRSILEPAGAL ALAGLKKYVAANPDPNPQRELVAVTSGANMDFDRLRFVAERAALGEKKEVLLGVTIPE KPGAYATLIDIVTPHSITAFNYRYGREDIANVLMGISLPASSRTSELQRITSELKKAG MMASDLSEDELVKTHIRYFVGGPSGVQDERLFMFQFPERPGALQRFLGHLRPTDNITL FHYRNHGGDVGRVLAGIQCSSSENAELTRFLNELGYPYEECTNSPAYKMFLRHD TSTA_046490 MVRPAQVRALSGLARSATFVRLLPSQSQNALRCASLPVSRLGAL PLRATTQITSAPLRQWHQIRNSSATATASLAEQAAADPEGLSQAEIISNIDAEEWKRI SKVRNIGIAAHIDSGKTTATERVLFYTGRINAIHEVRGRDSVGAKMDSMDLEREKGIT IQSAATFCDWVKKENGKEEKYHFNLIDTPGHIDFTIEVERALRVLDGAVMILCAVSGV QSQTITVDRQMKRYNVPRISFVNKMDRMGANPFKAIDQINNKLKLPAAAVQVPIGAED EFQGVVDLIRMKAIYNEGPRGETIVEKDEIPEHIKPLAEERRRILIETLADVDDEIAE IFLDEREPTIEQIKAAIRRATIALKFTPVFMGSALADKSIQPMLDGVCDYLPNPSEVT NLALDQKRKEAQVKLLPYGSQPFVGLAFKLEESNFGQLTYIRVYQGTLRKGANVFNAR NDKKVKVPRIVRMHSNEMEEVQEIGAGEICAVFGVDCASGDTFTDGQLAYTMSSMFVP EPVISLSIKPKNNKDSANFSKAMARFQREDPTFRVSYDTESEQTIISGMGELHLDIYV ERMRREYKVDCETGQPQVAYRETIGRRVEFDHLLKKQSGGPGDYARVVGWMEPSESLE ENKFEEQIVGGAISEKFLFACEKGFNLACEKGPLIGHKVLGTKMVINDGATHMTDSSE MSFKNATQQAFRKAFMESQPHVLEPLMKTVVTAPIEFQGDVIGLLNKRNATINDSEIG VDEFTVYADCSLNGMFGFSSHLRAATQGKGEYTMEFSHYEKAPGQLQKELVQKYLKAQ ADRHKK TSTA_046500 MVELQAIFKKAHWALVVGGFVYLSWVYAMTYPSIQRAALYMNLA NPAIWQDVNDVENFGFLKTQVQPFYLRTPDNETLYGWHILPLHLCREHEELLNDNWSY GPAEDYTNTVAYKLLSENPNSRAVVSFHGNAGHLGSVIRPDMYRMALGVSTPENPLHV FALDYRGYGISTGTPTEEGLITDGVTLLNFLTSDPLNISPSRIVISGLSLGTAVTSGV AERFAFGASKSGTVQPALKDPEPFAGIVLLASFSNIPGLIESYSLKGLTPPMLSPLNS FPQAKQWVKSRIVDTWQSNARLERLTGMKPRQGDEADIRHAQKAVDITIIHARNDAEI PWTEGLTNWKWATTGSDDISKRIGSNKPQSGKIVYERIEENGRTETRVWEREVSSPIM SNVNSTKKPVKRVRWEKVGYGGHNQVGAYSVAALAILRSFEE TSTA_046510 MAEKRKLPARERREPAAKRRVSEAISESSSRRKKSTPSAAQAST PVAEHEAERQATPEPIEEPLPTKIKDGDPLPTSTKAQRSLTLSNKEYQSFAESAVLSI SLERSKKKWLSDGIFERYWTKPKKTKREQLEGKNPPKDSMTKIGPCKIIIEPHHFDGM IYTVKDPNAKPTVQITPPQRHIIHYGPPPPQTGYQYQHYAPPQQMRPPPYPYNQPPNA QYAPRPPQPQPVPRQPPAQAHGTSPQPPKPSPDPVIQMLATRAASDPELKALMKIVAS SKATQEQLRIFQGHIDELNAIIRQRERQQQQQQQQYYPQNQHSPRPQSTPNPQNSPAP PPQQNPQQSQTVPTSSSTPAPAPSSTPTSSTPAPPTNTVKPQSPMSPSDTQIPLQGGD SAKPQPEPSPNAVQSSGSNSGNFTPSATPNIKTEPGTTTSLAPTPAPTASSPPISTPR TTAPTNTASNVPASVPPTGVAPVPTPAAPSPAPHSTPKASPAPNYVPTNPPPQPLSPY PPGPSQYPQYHASPYAPGPPPIKARGALPNYGPSNTYYQSLPTPPAPKPPIKAVVFEF TSPLTPYGSSTSGHAGSGDRYLFPEYTILEYQAGGTVLLASFLLIRKVDPNTKFPLEL TADIAPKTKSKASKSKKKDKGKAAEQSGPSTPAPDNKESSETNAADATADTAGEKKQS ENSVDNEPGTTNEQPKEKGPSNLKEYYQPITMRFYSSKPATLEPLSRIVKPAAEVRKY MEEVMERAERAPAGFLPFRLPREKPLEIVDEGDKGDFTAAEDVKSRTRDGSIAVATPR DDDFGDGDVDDVEPGDLEFLLKDHYDPPSGLVPIRV TSTA_046520 MGASQSTSGIEGASSPEQLSVLLAERFATKCFTPLELTHFKDNF YSRALDQAGFRYWNEKILSDFLSVPDGIYTNEKDGGHDGRLDAGPVVFRMVSYLGAFP FQKTLAPSVLTFDSMVKVVVLLTERYGKVLRRGRKDRVKLLFGSLADVGRSLEQDTKK QNDSAESASKSEDSKQSSHVHGFSVDEPTNDDYEEHEDDDDLALAALESLDAIEVFKH DQQIDRAVCEARISIDTFRRLLMLLLAIAPLRPLESVNKYTFNLSSEEQAAVKREADT IIASFTEEEIRDGIGYKTFAKTVTLSLPHLFDPLTPLFEHLLFSENLDLSRKQTGQSE PSPESPPSEAPTDANIDEKPTTIMLPGSFESAILTPSMVSHLSFFLPASSGQNLYRSD IRLHPVFSTVAHGESLTSFQHNVFTWQAPSLLIVQGALPGSSGSPDELITLGAYIPQP WKPSSSSSYESPQNLNNRSRLPYLFQLHPKHSVLPGNSSLLQTKEQPSTTPIVYFSTT TGIAIGCEVPASAQQHRTSYGPGVPSPHQQPHRRQSSTNHKQQQGPLPHGAGSLIIDA ALETAQLHISYLAAHTGVFTPAVPPTLPTITHIDIYNLEIWGIIEPPSLSLLPDSAGI AKDAISRQREAWQFDAREAERRKGINVKLGSGNDSNYQNAKALLEMAGIIGDQAQQRS GGSV TSTA_046530 MADSQDSKGKGRAQEPTDENVQDSPAVDSAEKTSETTATIEGSS ELSKEKKPVTENIKEKIGKKLGRSSDEEKNITPQMLEALLSMNPALANELAHMPHDKA VETLSKLDVADILTGLSLGKKNQKDMASYKFWQTQPVPRFDDQEDLAEGPIKIINPEE VSKEPPQLIEGFEWVTLDVTDDKEVAELYDLLSNHYVEDDNAMFRFNYSRAFLNWALT SPGWKKDWHVGVRASKSGKLVASICGVPTYIRVREQRLKVIEINFLCVHKKLRSKRLA PVLIKEVTRRCYLQGIYQAIYTVGIVLPKPVTSCRYYHRPLDWLKLYEVGFSPLPAGS TKARQITKNHLPSSTATPGLRKMQKKDLDSTYDLLERFQRRYQINPAFTKEEIEHWLL HTETRGEQVVWSYVVEDPQTKKITDFVSFYSLESSVIGNQKHKEVRAAYLYYYATETA FNEKEKGFKDRLLLLINDALIEAKKARFDVFNALTLQDNPLFLEQLKFGAGDGQLHYY LYNYRTAPVLGGVNDKNFPDEKKRGGMGVVLL TSTA_046540 MLLLHRFWRSQNSSLISQFRSITSFKPFKMVGRTYEEAITALNT LQSNFAIVNAIRQSGGAKNQYAIPEMVEWCRKIGYEPSDFNRLNLIHIAGTKGKGSTS AFVSSILTQYIPSTTSDQATVHKIGLYTSPHLRFARERIKINDEPLTEDQFARYFFEI WDRLEQSAKAAGQDPSGPETKPVYFRYLTLMAFHAYLSEGVDAAVIECGIGGEYDSTN IIDQPVVSGITSLGIDHVALLGTTIEEIAWHKGGIIKTGAKAFTGPQPEAALEVLRKR AEEKKTELIVARGQPELKKGSSLKLGLDGDFQYTNANIAVGVAAEFLRCRGERNIPDD IFSSSLPEKFRAGLEKARLGGRCETRREKTVSWYIDGGHTLESIRVAGEWFASKLVND AESQRPRVLIFNQQTRDSVALARALHQTLAEVLGSARPFTHAIFCSNVTYKNAGYRPD LVSVNANASEVEKLSVQHTLAESWKEIDPKAQTLVFSTIEEAVDFVRDLSKQKADETP VKTLVTGSLHLVGGFLDVIESKPGETLA TSTA_046550 MALPVLPFKDINLHSSPSHYAFTSPSTPNAPTLVVERPNGDLRL NDGTLLGTKRVSSIAGILGIIKLKLDKYIIIITKAQPMGRLQGHMVYKVVATEFLPLR ERPLHDKDEDTYLTLLKDLIRTGPMYFSYSIDLTNSFQRQSQSDPAAPLWKRADDRFF WNRFVQSDLIDFRVGASDTTGTRYSQQPGVDPYILPVIFGMLRITPARVKNTSFTFAL ITRRSRHRGGTRYFSRGIDENGNVSNYNETEQIAILNDTTGSLTGFAGGQGLPSGKSD HLGRDLQVFSFVQTRGSVPIFWSEVNNLRYTPKLQVRSVETAIEAARKHFAQQIEYYG ENYLVNLVNHKGREERVKKAYEQLVRALVSSPGETSEEDQPHTDEKIHIVEASQRKEL LDQIHYIYFDFHNETKGLQWHRAQLLLDRLTDGLMRGQYFRGVESPGDANGRLEVRTQ QTCVVRTNCMDCLDRTNVVQSMLGRWTITRQLIDAGVLQPGESASDDPEFENIFRNMW ADNADVVSKTYSGTGALKTDFTRTGERTRAGMLQDFSNSVTRYIRNNFMDGPRQDGFD LFLGAYLPQDSALGSLLLFIDRRPVIIQSIPYLLAASVFMVLVAMFTRRLPDAAVWPL RIFTFVWIIIGVWAFHFILTHGMLYVNWPKLNTPSAASEGYQDALNHARSDQLVGRFL VNKRHQRGFSNARLGYLEEGKKRLE TSTA_046560 MAARAPVGGRPGARFAQFKLVLLGESAVGKSSLVLRFVKDQFDD YRESTIGAAFLTQTISLDENTTVKFEIWDTAGQERYKSLAPMYYRNANCAVVVYDITQ SASLDKAKSWVKELQRQANENIIIALAGNKLDLVTENPDKRAIATADAEAYAKEAGLL FFETSAKTTTNVKELFTAIAKKLPLDQAGPRNLRTNTRPGVDLRPEAAGTQGANGCAC TSTA_046570 MLRYSVLSSQTRLLSVSRQRTATQLLASNRITTGKRYYADPKNV QPTSPTPVSPESKSVIPPETVNSVTTTPTTQVQTSPPSSIQPPQPPVENPSTGSVPPP PPKRKGRFRRFLLYLILTSGIAYGGGVFAALKSDNFHDFFTEYVPYGEEAVLYFEERD FYRRFPNATRHSNRLPPIHKEESQRVTIPSKSGLSWKVAEEESDSGSLTQKGPHNSAV SASKDTTGAKAVTKAKEERAEKKAPAKKEAPAPAPQEETRTPAITPPTTLELVKVEHA DEPVVQEVVRIFNDIITVISADEGAASKYAAPISRVRTELESIGEKIVSLRAEAQKAA KEEIEKAHALFDESAKKLMQQIETARAAEAAQFREEFEAEREKLSRAYQDKIQTELAR AQELAEQRLKNELVEQAIELNRKYLNDVKELVERERDGRLSKISELTANVNQLEKLTT DWSDVIETNLKTQQLQVAVDAVRSVLENAASAKPFVRELVAVKELAADDPVVAAAIAS INPTAYQRGIPTTSQIIDRFRRVAGEVRKASLLPEDAGIASHAASFVLSKVMFKRDAV TDGNDVESVLVRTENLLEEGNLDAAAREMNTLQGWAKILSKDWLADVRRVLEVKQALE VMETEARLQCLRVES TSTA_046580 MESSPRPAPESEGTTIGRNSRDTSQQNIRANRNQRTSSGGGFLL DSVHPTNLRHGQQQHTHRAHATDSEKRRQVDDEIIVSKRRATPDRGIQPQRRRSLRTS PLAAVTNVSNSEGHDSSQNESATSRHASRNSYASSTVGLDTDQVQIVNLALNLSESRR RATANAGRVPSSPLPGLRHSSLYPQDNRLSRNIGPLPQSLPHGSISNNHGVAGMLPIS DLQDVDIACSQATLARIEKAKQHFELFSKYLELLSYLPPLQPSDSVRSTSGRAYNPLQ AIRNRKIRMREKNPNIDPEGWQDVSKVEDWLASVKAAKPQNNNDPYQCIALPPFQDDR DGGLVEQQESLQTASSPSSSIRGLNRSNTTKMQRPRLEWVISPAELLADAVWVESGTN KSKIYDRDGEKLYPDPSKLKFAGSPAIQNVEATENRAIPTEPSNLPNFANKREARASH MHQLKPSNGLAFGGQGDYAPKWTRSSSVSDDSSSDDMISRGRARRISRKAKMKAEAAM QAEKLHRVLHGHSDSSNAGSRRSKTMSRTSSVKAGDVVDKTHMLASPAGSVTGREEHR MSLDEMDSTAPNSPAQGPIFPSITANLSPPSSRSPSPQKRLPRIMETLHEKAKPRRHG HSDHGERLDESPSADPQLRKRLTADSSNTRGSISPRALEPSLMPETPLGQDEMSLDTF HRSESLGSFKPYANNESRLKGFLKNSRIAEIVGSEVSKVGDMIRRKDGGGHSRQSSYA SSIESDLRDSDEDRSEGELTPSKRNQMRRGSTFSDNESGLSSRKTNGRTSTKTFFSNL PSIGSSFRGNYQDEPAYFDRLHPESSRVSDVHFVRAHPDLAPPSSVDTTPYIDRRDSY GFGSAPHPARRTYKERDDEITPSAALPGGDVPLRPPVTGLANAETSKPDDNRNSNRVR PTLSTGSRGWSLSDRSIPTMNDTNLLHKREILRCQALLLSSGVKANEIVQRYETPREH SSSSPPTFLIKSLVDPKAPIPHSLPRIDEFNYAARNLLERLENTKTGLNKSIYLFSKT TLLPLEKEIDILEDFITNHLTPRVRSAALEAETLSTRLNTTSTLEIKQLSDTLDKGLR RRNRRLRSIRRIGFSLLEWTLVGVMWWLWLIVMVFNVLRGIGKGVVKGVRWILWV TSTA_046590 MFQQNFPRRYSFGSIPSSKYTENDFTCNRLNCHCESANLEADDS ISSRSVSSRRHAEYFYRPPILSIHREQLISNGSPYSYFEYDHNPNAEAEYCEQCQFCS VGAKWCGRYPSNDQTVVKMPRSFLDSTPSDYEGLYGLPELKVQLPHATWVQDPFVVNA AQVVNGGAVPREQEQQFQPAVFSHSIGQPSGAKMDRNPSIDEVLYASHQNPSMDSLQQ MRTINQVPAKESTYLKSCRDVRTTQEERCAIEEGSLVISIPPSFTNLSQQLIAPDEFE LRLGEAFVVCRMYADMWALCARIRNSECIAELDQDELQNSPNLKFIPLCAVTLASNYA AFTRRCTIYRQQHPHSALFPTGGHLITPPDRVESLEASRRYFSRCDRSLIPLPPMVQH LCKAPVKLSPGFDYVPMTEESEAQENIQDEAPAPTHQGTLSRFWKKWSSKDTSSPAEQ RQTEAQVHTGLTPDGKSSYPRHTKQEISDGEDGQEVQDTEHGNGNKIGKRKSVRNFFS RSVRVKNGDVVQV TSTA_046600 MTENEQVAPSENASANAASSTTSNVLETAENVLNTVGDKVVNVA GQNETVQKTTTFVEENVLKANKQQDGPATDDKAVDNVPDEQIEEFMRHQNRSYQG TSTA_046610 MAIEPPTPITILTGFLGSGKTTLLLNLLPQLPSDYRLALLKNEF GDIAIDSQLASEQSISGVRELLNGCICCNLVGQLDSALTQLRTEIKPDRIIIETSGSA FPATLAMEITRLATENESTKGHFVLDGVISVIDVENWQGYEDTSYTAKMQARYTDLIV FNKWELVDERRFDVCLDRLGDLELDSPTPWVKSDRGRVERDVLLGIDGALLAKEGGHI EHEHDHDHQSEVEVLSLTLPSHDDSAVLDLPKFTSLLKSTSKEEVYRIKGTILCSSSQ IPQNSDPTFLTTSFTGTYTSESKTKRMYILNWAFGRWTFTPTSAEGGTDVVARLTFIL ARYESVKWKRKLETGEYVAVEGSADNKAKVENGNYQHELLGGNNPQLF TSTA_046620 MVMAPSVLTPDDAYVFNNYHPHTKDPTVLTHLDIGHGVNDALVL RGHSSPKEMILSELKKRVSRIDIAGCEPGEEDAFYVADMGEVYRQHMRWKLNLGRVKP FYAVKCNPDPEVLRLMAQLGNGFDCASKTEIDLALKTGIDPSRIIYAQPCKTRSYLRY ARQKGVKQMTFDNADELYKIKNDFPDAELYLRILTDDSTSLCRLSMKFGASLDTARPL LDLAKKLELNVVGVSFHVGSGAEDPGAFLKAAQDARWVFDQAAEVGYELHTLDVGGGF CDETFEKFAAILSKAVDDLFPPNIRIIAEPGRYYVAAAFTLAANVIARRDVLDPQASS GSYMIYLNDGVYGNFSNIIFDHQHPVAQILTCANGSIPPTPGLTASGSSTPGSATPCS ADGSPCASPAGIEYSIWGPTCDGIDVISQRIVLPGLVDVGDWLYFEDMGAYTRCSATR FNGFPDNHDVIYVSSESGASALLEY TSTA_046630 MVYYIRFLKTPRTQIVKPGLVSVSTLISITTDLGDAFLAEDVVL QAQLIDGTDINTKSNVLQESIFNWEAGKRELSISIGPVRVGSKISKVVLAIGPKTSSA TLYTDLSNSRNVPLVISGWSAPFSIAQNAPAEKLIERRFILQDTRLRIWEETGNNIAR HIWDAALAAIICLQDTINSSGECSMPRLQSRLRTKGKLQVIELGSGCGVVGIALAQIL SNCSVTLTDLAEVDDIMTRNLQLSAPGSSTRFKVLDWEEELDADILQEPIDLVLVSDC TYNADSLPALVKTLDRLVQSSPEAVVLVSLKRRHESEAVFFDLMRQSALVVMEEAVHT LPAPYLDEDRIEMYIFARNKSSQSN TSTA_046640 MMRTNDPRIRQTLNQISHNIESANESAQEGFYRFGQQYLAPCLA SIGSCLAQCTAPCLPNREHQLRRRRRNRAELNFDFYDDWDNEVANEGILGWGNDELDR LLAGSGSVGVARGGEQPRRQRKMSYGAARTRRQSSILPDQRDDPTVIPKSSFIGFLER LPWKIGPRGVKYRPSAADLQERPGRLPHDDYIQPGSSEAQPLIEEEDEDDLTATMRKG KRQRRDRSTTQSSQETSNSLSSRGDLIFDDEDEDAVPLDDEFAVVLARRNTGLTSDEH LSGSGGRSRRTTSGQSSRSGKTRDRKESRLEIDATRNSEIASMAELSKEEQEAEAEEE LEIVRKRSAAQQLAVNQGLARDTSSQAAPTNFWSSDSPTTKPSNPASIQEDDKPTEPF PSYPVTPTSQRSTTSLSIKDLDTQNTASENQGDLG TSTA_046650 MSTILIIGATRGLGASLTTYYASLPSTSTIYATTRSNKPPSNFP DHNNKTKIHWLLNVDISKPDVGHNLTAQIIPHNNKKLDIIIVNAGYFGSESFDNPDWE KEVRMYTTSAIGPVFAIQALVKSGLIAEGRNGKGGKVIFISSEAGSITLRHESEGGGN YGHHASKAALNMVGKLLSLDLKEIGIAIGMMHPGFMRTEMTRGVGFDRFWEDGGAVTP DEAAKSLAEFVEKDFDIEKTGTYWAPRGPRDIGTAEPVLGKNLSTPLELPW TSTA_046660 MLRLATGIRRNGLVASGGILSQRPGSLGPVGLRRLASTVTDLSS YPSVGERLHGFTVKERKHVPELHLSAVLLKHDKTDADYLHIARDDKNNVFGIGFKTNP PDATGVPHILEHTTLCGSEKYPVRDPFFKMLPRSLSNFMNAFTSSDHTTYPFATTNKQ DFQNLLSVYLDATLHPLLKKDDFKQEGWRLGPENPRAAEQSDAKNKELDEIVFKGVVY NEMKGQMSDANYLYYIRFKESIFPAIHNSGGDPQYITDLTHEQLVQFSKKNYHPSNAK IVTYGDMPLADHLKQIGDVLNGFERKEADKDIKLPLDLSSGPTEVTLPGPIDSFTSED RQYKTSSSWLMGDATDIVETFSTGILSSLLLDGYGSPMYRALIESELGSSFTPNTGLD TSAKKPIFSIGVNGVREEDVGKVHEVIRGVYEEVIATGFNDEKVQGFLHQLELALRHK TANFGIGVMEKTLSSWFNGSDPLKELAWNDVINEFKRRYAEGGYLESLVQKYLLNDNY MKFTMVGSPTYNSDLDEQERVRKDTKLSDLISKHGSVEKVITELEKSELDLLKVQEDA QLADVSCLPTLRVSDISRQKERKPIRESKVGNVEVVWREAPTNGLTYFQALSPFEGLP NELRLLMPLFHDCIMRLGTPGRSMEQWEDLIKLKTGGVSTSSYLVSSPTELGKYKEGL QISGFAIDKNIPTMLDIIRTLITETDFTSHEAPRMILELLRSTTNGALDAVAGTGHRF AVNAAAATLNKSFWIQEQQSGLAQLQATANLLNDATTSPEKLNAIIEKLRLIQSFAIS QSSNLRVRMVCEADSAADNEASLQKWLAALPKSGTMPAVSKHESDIPITPTSNVLYDM PYKVYYSGLAMETVPFIDPSSASLSVLSQLLTHKYLHPEIREKGGAYGAGASNGPIKG IFTLSSYRDPNPLNTLNVFKKTGIFARDRSWTEQELEEAKLSIFQGLDAPTSVDEEGS RYFTSGVTHEMDQRWREQVLDVTAKDVNEVAARFLVGGSENALLRQALCVLGEKKGDL TASGEWTVKKLSLQSQEAEVDGFEGVDAALA TSTA_046670 MASTTMSKKAKGKKMADPNETSKLLAAKISQLEQDAAGEKDQEA EIEREVKKATRDLNQLLSNIESPMTRLETVHKKYTELLAEMKKLDRDYTKSKKRADQL QKEQDKGKSELNKTATMKDKLEKLCRELTKENKKVKDENKKLEETEKRARMIVNERLN SLLVDIQDVMAPKETRKYEKMDIDMDDAIRAKIKTIGEKFELREFHFKALLRSKDAEI QSLVAKYEEQKRAAESEASRCRALTAQVSTFSHTEVELRSQLNIYVEKFKQVEDTLNN SNELFLTFRKEMEEMSKKTKRLEKENLTLTRKHDQTNRNILEMAEERNRNQQELEKYQ KKCHHLEALCRRMQEQGRGHGFTSGDANHVGDHALSGHLEGDEEGTQSDYDDEDDDYE DEDEDEDGVMSDEEEYEALRQRFPEQATMEKPVFGPPPPPALAEARTNGNRISY TSTA_046680 MIQDVLDDRAPPILVYGILALSARFSKNPFFEGCEARERHRQYS AECKRLLDLEDVSLVTVQACVLLGATAVADVKPAAESVYYAVAFRIAQLLDLPRRSTV SAIEKEVNIRVWWTLCLIDVWSATGIGLPRYMIHRDDVPLPMNERKFLQLKREDTIPV ENPPRPETSLIAQMIKLNLILAQIYEINDMAATGRSDGAALETAIRDISHRLDEWQAA LPDYMRDTPTNMARYASEGLGRTFVALYVGYYHYGQLLFYQFLHQDCHASVPSTRFYA NKCKVNAARLCELLYTAKATPDCEVLYTMVGHILVISSTVQLYILLFGIDDEEIRIAR TRLERNFEILQCLRTFWPTLDVCFSRLRAFHKACRVSMETSFRLDQWMLRFLSEFAQP VGDRYSEDSDSSSWRVENIVASPSDFSNYLS TSTA_046680 MIQDVLDDRAPPILVYGILALSARFSKNPFFEGCEARERHRQYS AECKRLLDLEDVSLVTVQACVLLGATAVADVKPAAESVYYAVAFRIAQLLDLPRRSTV SAIEKEVNIRVWWTLCLIDVWSATGIGLPRYMIHRDDVPLPMNERKFLQLKREDTIPV ENPPRPETSLIAQMIKLNLILAQIYEINDMAATGRSDGAALETAIRDISHRLDEWQAA LPDYMRDTPTNMARYASEGLGRTFVALYVGYYHYGQLLFYQFLHQDCHASVPSTRFYA NKCKVNAARLCELLYTAKATPDCEVLYTMVGHILVISSTVQLYILLFGIDDEEIRIAR TRLERNFEILQCLRTFWPTLDVCFSRLRAFHKACRVSMETSFRLDQWMLRFLSEFAQP VGDRYSEDSDSSSWRVENIVASPSDFSNYLS TSTA_046690 MSSKYEHFENKVEEQLRSTTDDHPLGEKGGWHASVADAHLANIN EHETTVRKALRAYPYAAIWSVVVSMSIIMEGYDTSLVGNFYGYPEFAKQFGSYDKATD SYQVAGQWQQALGSSPTASALVSATLNGYLLQRFEFRSVFMGGLVCMNAFIFICFFGT TVELQIAGQFLCGPMAFRPYLTAYTNMRLAIGQFIIAGVLQSLLGRPDQWSYRIPYAI QWLWPAPLMVAAFFMPESPWWLVRHNRYEEAEHSGKRLMARAEKPNARKVVAMMIHTN MIEKEITAGHSYWDCFRGTDLRRTEIACVIFAGQVLAGTSFAFSGTYFFEQAGLNNDD AYKLGLGETAIAFVGTLISWVLMRIAGRRTIYLWGMAGMCACLIIIGFLTLAHGNAGI KWAQSVLCVIWLFFFSLSAGPIGWDIPAEVSSTRLRSKTICLARNSYYITQIVGNVVE PYMLNSIEWNWRGKTGFFWFGTAFLTFVWACFRLPETKGRTYEELDLMFAAKVPTRKF RKFQVDAYDENVNIIDRVKETQ TSTA_046700 MAQPSSIVWQTSTLTVQLVVGSDEMVRIEQDPSSPKQGAVPLFG VRLNGDGNPSQKSAKALIGSYVSSRLKYQGHQEYGNDRVKSLDVTAFDETSQISVTAH LSVYSGIPVIRSTMTIHNESQKDIVVDQLSSFVVGALGGKPINCWLDYTLSTPTNTWF REAQWRDESLPNVGLDDIGLSELPESHDASLAVHAVSNRGTFSTGTYLPLGLLKKYDS SETWLWQIETNGSWRWELGDFQENVYLALGGPTNKDHGWKHCLAPSQSFTSVPVAICH VLGHPDSAFAALTEYRRRIRRPHKDNDELAIIFNDYMNCLMGDPTTEKVMALVDPAVK AGAKFFVIDCGWYADDNGWWDDVGLWEPSTKRFPGGLKALLDDIRAKGLIPGLWIEPE VIGVRSVVANMLPADAFFQENSRRIVEKGRYQLDYRHPEVIKRMDKVIDNLVRNYGVG YFKFDYNIEVVQGTDASSFSPADGQLGHNRAYLEWVGKLYDRFPDLIIESCSSGGQRM DYALLAIHSLQSTSDQQDPVRYSAIAAAVPTAVTPEQSASWAYPQPKWDNEKNAMTVV NSLLGRVHLSGRIDLLSSQQLELVASGMQVYKQIRSDLKTGLPFWPLGLPKWHDDWVA LGIAASGGVRYVAVWRRSGSDTVSLHIPVLKDREVVVKLLYPEIFETHAQWHSDSGAL SVRVPSVACARLFRLSVN TSTA_046710 MSVHTPLCDLLNIKHPILLAGMAKASGAALAAAVSNAGGLGNIG GLGYTPAQLEEMLVELKSLLRDPNLPFGVDLALPQIGGGARATNHDYTHGQLDELIEL IIKYGAKLFISAVGIPPEHTVKRLHEAGILVMNMVGTPKHAEKALQRGVDIICAQGGE GGGHTGDVPFSVLIPAVVDTVQKYKSPLTGKMPLVVAAGGVNDGRSLAASLMLGASGV WVGTRFVATVESGASKIHKDAVVSAGFGETIRTLVVSGRPLRVLPNDYIKEWESRPQD IQKLTAQGIIPMMHDIENEKEDVDFPYLMGSVSAVVKEIKPAGDVVEEIAREATEVLR RSQTFIKGGNSKI TSTA_046720 MTFESSSDKFLQPPSGEEYQPEFRAPSAYTPLHTFQLPKADSRK YQQQYGDLYFLRLAKLKPAAEKVGREAWDGFTIAGEKARKVDRVLDVRQGALCWVTGT VYMDLPLKPNILDDVAKDNYAAAPPPRTTYIDPLNPDKTQVMLEDESGRLRMTGTMLR TTHLVTGVIIAVLGTENSNGDFEVIDIKVPDFPVQPPRWELASTDKTESTIKRVENTT GKSSKIAFISGLGITGSSSDTLALELLTDYLLGYTGPGGEDESPARISRLIIAGNSLG NLETATAAAAMNGDGTAKKKVPKKYGYDASSYNASPITQLDNFLSELLPSLPVTLMPG ENDPANFSLPQQGIHRAMLPRAKAYSSDGLPGDTSVEPGWFDNVTNPWEGYVDGWRFW GCSGQNVDDVLRYLDFADEDGNATNSDGDGETSVQIMEAMLRWRCAVPTAPDTLWCYP FQTHDPFILQACPHLFFIGNQSHFKTVVVVSEPAFRLDGPDTEMSNSADEPLATHVRL VSIPKFHETGELILVDSETLEVDVVKFQIFSGKEESK TSTA_046720 MGWIHSRQFQRGQRFDGQIIHNQQIAGEKARKVDRVLDVRQGAL CWVTGTVYMDLPLKPNILDDVAKDNYAAAPPPRTTYIDPLNPDKTQVMLEDESGRLRM TGTMLRTTHLVTGVIIAVLGTENSNGDFEVIDIKVPDFPVQPPRWELASTDKTESTIK RVENTTGKSSKIAFISGLGITGSSSDTLALELLTDYLLGYTGPGGEDESPARISRLII AGNSLGNLETATAAAAMNGDGTAKKKVPKKYGYDASSYNASPITQLDNFLSELLPSLP VTLMPGENDPANFSLPQQGIHRAMLPRAKAYSSDGLPGDTSVEPGWFDNVTNPWEGYV DGWRFWGCSGQNVDDVLRYLDFADEDGNATNSDGDGETSVQIMEAMLRWRCAVPTAPD TLWCYPFQTHDPFILQACPHLFFIGNQSHFKTVVVVSEPAFRLDGPDTEMSNSADEPL ATHVRLVSIPKFHETGELILVDSETLEVDVVKFQIFSGKEESK TSTA_046730 MNFSSRDRLLSERARLSAADRDLTHRAAAAALTKEMKVARETVQ AIQRRWRTAQHAAIENLDDDDVRNIVFAMRVPWQERVIQSTSTDGSPSISGLLVFYDP ERSAGFQTMLANHEFPVSLRSDCFLYMDVEGLRSTRPYLWLGEPMPSLGPGPDTEKAT ETGTAARHSRNNQGEPGGILPSRARRM TSTA_046740 MHEAASSAGGVIYRRLFGELEDRLSAGTGPLYFLTGRRNGIYIW AAKFSRSSKQADASLMNSKSKWPVVVLEIGISETTRKLYKDAERWLEGSNSQTKLVIL ADVQETPKWETSNNKWELSEVDFRETSHDRLSDHILHPRRGPVFIRQLLPDYQYCAQL TIPPATPTDYNHNLIHDSQWTSNQRGVEWRTLPVANSDFYTWNPALNDDCPSLYPGYY VCVGIITITTTTISTTSAGQTPTPAQTGMVSGCTDFYLVNTNDGCYDIAAAEESPWPE LYAWNPALNGDCSGLWLNYYTSIWNSTLSQ TSTA_046750 MLLNATTAISTSTILLVPYSKRHVLKYHEWMKDEEIQQATASEP LTLEEEYSMQQSWRQDADKLTFIACLPLSSSTSSSETVALSDQDDAPDRMIGDTNLFL RLDDDDDNDNDNDDDNNDEEEKSVIGELELMIAETRNQGKGYGRAALLTFLWYVVTHE REILDEFLRSTATNSNKRLKCLSVKIGKDNTRSLALFESLGFEKRASETNYFGEFELR RYGLDVDAVGRLADVYGLKGYREVQYNE TSTA_046760 MPSEVSDIKQFIEICRRKDASSARIKRNRKTSQTKFKVRCNRFL YTLVLKDSDKADKLKQSLPPALKIVDVSKGSKKKST TSTA_046780 MTTGFAGIVTAVSAWSIWGSDMFPAEPDPKGEPEEWTTEELKRW LRARNLMASDKASREVLIERVKANLRPTRS TSTA_046770 MATPKEDLLTKVSTEGATDFVQLYHSALSSNKRSIASFYSSAPT NILFNGNVIADGAAVEDIFTNQLPTTRHDIQSVDCQIINKTYPTTTPGKTARENAKNI SMLVIVSGSVQYGGKDSPQHGFSETFVLIPNVENKEKNRKDWLIQSQNFRLVV TSTA_046790 MATLPRSFRCAVTLRPLLSRRIITLSTSLRQSISRQCRHAAGYS TQANSQKPFYVTTPIFYVNAAPHVGHLYSMVLGDVIKRWEQLKGNKDAIFLTGTDEHG IKIQQAAQEAGMDTQAFCDMNCKTFKDLARAANISNDHFIRTTDEAHKDAVRYFWEML QHRGYIYTKKHEGWYSVSDEAFYPQSQVEPSLDPATGRKRMVSTETGKEVEWSSETNY HFRLSAFRDRLLEFYELNPKFILSKSHMMNVKQAVEEGLQDLSVSRPVERLTWGVRVP GDDSQTIYVWLDALINYLTKAGYPFTPGKESDLGWPADLHIVGKDIIRFHCIYWPAFL MALDLPLPRHVLVHGHWTMNKAKMSKSTGNVVNPFFAIDRFSVDIMRFFLTLRGPLGD DSNYDNSNIVNDYKKYLQYGVGNVYQRLMGLTKMQLRPSIEAARSGFTTEPNEYDIEF EQYLHTFPKIVERNMEAFHSKAALREIVDATMKAQNYFHHSAPWQHKDDLSRCYRVFY NVSEYLRIAGILLQPFVPQKASEVLDRIGVRQDAVARGFGAAQYGADYEYGHAPKVDK RELLFPPLMVED TSTA_046800 MTETMTSHNLNLSLTPLSPETTRIKTTSRTEYKAGKPWIETPLI ESAILSKKAGCRIFLKLENLQPSGSFKSRAIGSLILYHINDPLNANKKLHFFINSGGN AGLAAVCAARSLSYPCTVVLPLSTSRLMVEKLCAAGATEVIQYGDTIAAAGEYMRDVL IGNNQESEKEEEEEGVKKIALHPFDHEAIWEGNSSIVDELADQLPPADEELPLDALIC SVGGGGLMNGLIQGIQRHRRHQHNKKDVHILAVETDGTQSMNLAISSGTLATLPKVTS MAVSLACVRVSQRTFEYCCVSPPEGIRIHSAVLSDADAARGCLRLADEERILVELACG VCVEAAVGDVQETGRKRGREGEDEGYEDQPEAKRRASLCASDSGVASSSSSDTESDIL LSEQLTTSYLKRMIPDLTPQSRVVIIVCGGSNVTTAMANEWRERLANGWV TSTA_046810 MEQGKYNTSPDWTDINPIPLDDGSQEEEGITSLAAIAYKPEYLE ATSYLRAVMAANEMSERVLQLTEDVIMMNPAHYTVWLYRAKILFALKKDLTQELVWLN KVSLKYLKNYQIWHHRQQLLSSKEHFPTLPEGEQDFLMQMFDADSKNYHVWSYRQWLV RQFNLWDDPREMSDVELLISEDVRNNSAWNHRYLLRFAPRQGAEAAMSVASDASEKGC LNVVDEDVVDAELEYAQKKILRAPENRSPWLYARGVLRAAGRSLAEWKGFASRFFTEE ISDAATPIVHVKSSLALEWLADVFCQEAREQQQKEKVDEAVKMLTLLKERYDPIRKNY WDYKIGQLSVSV TSTA_046820 MRLFPCRFPGLRPFLLSVIVVFTFASKILHLFQHRRNVRSPSFL LYLPTFFLTDFLVSIFAWLALSKLPGSWGIAGLAIVAFVGAVDIGAVSSQFGFFYVTG AEVRWNAASTLASDKAGFNLLLSGLMPVFAFGTLLFTLSWILAPLITFILDSWLNAVG NAFAGLSFRSRQYLPLTNEPKEPRRRKYLALSITLIALFIILGIDIYRPQVPYDHISG TVPFTLAAAFQNQNATCLSDQQPFPLSDLVAPNLWEPENGRYKGWKPGSQSSAADLAT VMAPSWAPESWPAGFGRWARKGQNSTQEDALLAMSDAVCPGGNSRYNIYNPTLDPMRI TNLDLDVLAPVQEALKDHAVPIKHVFLIEMESARKDIFPLKAGSHLYQQIIDAHESAS EEDLYELNSRLAAMTPVAEQITGESGNFNLKSDELRNNLTADSWKDPSAPGMGGINVI GALTGSSLSFKSLVGSHCGVGPIPVDFMFETEAEVYQPCIMHILKLFNQLKNEGQKEA TDMRSQRWKSVFLQSVTGEFDNQVQLSLQIGFEESIFKENISTASAKHWHKGMKEINY FGYEEPEIYPYLKDTIEDAKANGERLFLTHFTSTTHHPWGLPAGVEVEDYWPRNGFAS EHQPMNKYLNSVRYVDEWLGNVLELIEETGIANETLIVFVGDHGQAFEEDHHVTGTFE NGHISNFRVPIVFRHPQLPRLDVHANATSISILPTILDLLITTNSLNDIDRDVASDII QEYEGQSLLRPYKNSHNGRQAWNMGIINTGGTMLSVASAAAPYRLVLPLTRDFTFVFS DLSKDPYEIDLVEDWDFYSLVHTVKRKHGHDAAHWLKDAEKVARWWVNERKRLWNYHE G TSTA_046830 MRGIKKSRHGCATCKSKRLKCDESRPACGRCTRLRIKCPGYTQP FRWVTKHEVFEAANTRTPSKDGASSPQDDALAASPGDISASVPNTNAGSPPTSAYAGS AATSLDAWDQSLDIEHLDHQFSTTLPELEHLDAPSVATRSTVGLEEFHHPSSSNITRR RPSTPTEYNQLMRSDNLSPYGRPSSSFFRRQFPIDLQSQAGGQFNILPLPRKTLEDPS STLVEYYFKEVAGLFSSYDSHMNPFRTTVSRLWASSPAMCRTLQSMAAATLVDDFPQF GPIGLKMRREAISLLESQETHDDKSLLAMLMLGQTASWHNPKDLGISYFNTLRNHLNS LTSGINSGSLLTNRNNYQFFEEALIYWEMLLSYVADNSTLTSSARMDPQSGSDQQLLT QKVPHPWTGIARDTQFIVNKVGRLVRRERKRIRAKRFTSQRDIAQAQQAIREAQELEE RLLSLAHPAETEVVNPGDDDTPVWHLITMAEVYRHTGLLQLYRVFPDLLRGRLQAESI SRGDMPSTLDPNLLYPSEDAANSTTFSFDIFSNLDDPQRDNGAESNGGDLPEEVKSEW LTTIALTAMSRLKTVPLESRTRCLQPFLLVASSSELRLPATDLPVMDPSGDDYSLNLS AHAIEVSRTRKFILGRLTSFLHVLPPKPIHICVDLVQEVWRRMDASEADVYWMDVMID NGWETTMG TSTA_046840 MNNGKGRKKHGSVKRSHSNTKRQQLTLSELQSRFESQNSISGEI ESTDSSSSSSSGADGTVLTPSSTSTPDSVSNAQITPTSTATNANMSRTQMKRKQSSPM APAFMVSAPGKVIVFGEHAVVHGKAAMAAAISLRSYLLVTTLSKSHRTVTLNFRDIGL DHTWDIDSLPWNVFKHPSKKKMYYDLVTELDPDLVEAVQPHAAAVSTDKPDDIRKIHQ GSAAQFLYLFLSLGSPESHAAIYTLRSTIPISAGLGSSASVSVCLSAALLLQIRTLAG PHPDQPPEEAEVQIERINRWAFVGEMCIHGNPSGVDNTVSAGGKAVLFRRSDYSKPPT VTPLNKFPELPLLLVNTRQSRSTAVEVAKVGRLRETHPEVTEAMLDAIDKVTMSASEM IKENDFEADDDEDLEQFGALFRINHGLLVSLGVSHPRLERIRWTKLTGAGGGGCAITL IKPNTSQQTLQDLEEKLTSENFEKFETTLGGDGVGVLYPAVLRNGVTDEEGGEEIDQQ KFENAVGMEGIERLVGVHASGNERREGWKFWKRAGGWTK TSTA_046850 MASGNSGPSNRSTHSRQRPVFSARSAEEDAGRLAPSETSPETVR RLPSPSENPYQPMTAATRLSPRNFTRTSTFFDGVSQWFSRPDTAPVQRDLHTASTQST DANDAFVEVNSDQKKDRARTHDLRASMAAQSSKLGTFSGVFVPTTLNVLSILMFLRFG FVLGQSGVLGMMGMLVASYIIDLLTTMSISAIATNGTVRGGGAYYLISRSLGPEFGGS IGIVFYMGLIFNTGMNALGLVDCMVENFGVESGSWSRFLLEGFWWNYLWGSIVLLVCT AICFAGSSIFARASNGLLAILLISTFSIPLSGLIQQPFKSTELGVEFTGFRTRTFLEN LKPHLTKGAAGSQLPHRENFQDLFGILFPATSGIFAGANMSGDLKNPSRSIPTGTLSG LLLTFFTYTAVILSMAASITRQSFYNNVNVIQVTNVSETMVLLGEFAASFFSSLSGLI GAAKLLQAISRDNLVPGLSLFGKASTKSDDPILAIIFSYVVAQITMLFDINKIASFTA MTYLMTFLAINLACFLLKIGSAPNFRPSFHYFNWVTAALGAVFSLASMFFVDGVYATG CIIVLVFLFVLIHYTSPPKSWGDVSQSLIYHQVRKYLLRLKQEHVKFWRPQILLFVND MDAQYKMVHFCNSLKKGALFVLGHVIVTDDFTNAVPEARRRQVVWTKFIEYSKVKAFT NITVAPTAEWGVRNIVLNSGLGGMRPNIVIIDQYRPNQSIGDIFQRRPRRRHSKSVGV TLTRQESDPSADETDLTPSNSAQTYITILEDLLFKLRINVAVAKGFENLELPNPRGRN EKTFIDLWPIQMSAELAADRQSKQNVLTTNFDTYTLILQLGCILNTVPSWKKSYKLRV AVFVEYESDVEEERGRVSALLEKLRIEAKVLVYCLAGGELQTYQIIVNGDTSSASEDV LEDVNTVLKDESWWHDIQDFRDKTSGSAQRPGQSSRAADLLGGLSHWPVASFQQGAQR QATPRFGGIKNFVRSNRQQRRRSISSFRGLSVNMGMQTSRLLDAMVNYQSDDSEDSST TEDEEQEPYVSDSDDGSTTPRTGAATPVIRTVTDTPENMSPSHTSVMETLSAQDEAAE EEAGNPTDTLAARPQISSLSRPMFSRAASGNRFSSSPIPETRVNQTEDTGPSIMFSDS PPPRRTIDLASADPPSQTHESIYTHHHRQRPESSTPASSTAASGFPNQAAMPLSFNDL PCRAQHLILNELITRHSHETAVMFTTLPSPIEGTCLDNAASEAYVSDMEILCRGLPPC LLVHSNSMTVTMNL TSTA_046860 MDSNEEVASSVKSSSVQIPSSIPRSFRSSSPRAEASSRETSIAR LASPLPANSYTGTPSSVRSGKRIATPVAQNESLATINNKASLPGPGTSALASALQDSN GSISGAGPSGTPPRQPPLPGLREYPSVDKPVRSNYGSFDPKSTDDGSESVRGAEDPEV VKRHLVRPPDLDIWVSQPSSDAGGYDDEFSSLQLQGGDVTRQVYRWTEDAEAQASGLR RPTRSRSFSVQRPEPESETMNINSIRVPGGFRRDYIRRNLGSPGPHGSEDGQHPAPNQ PRFLTSNFLEFLTLYGHFAGEELEEDDEVLGPGEYFSSDVWEEGDEAHPGESTSLLRP DTPGMKRRKHKERAPKGTNTSMGAALLLLKSFVGTGVLFLPRAFLNGGMLFSSIVLLV VSLVSFYCFILLVNSRLKIEGSFGDIGGILYGKWMRRIILGSIVLSQLGFVAAYIVFT SQNLQAFILAVSKCLTYIDIKFMVLMQLIIFLPLSLIRDISKLGFTALVADVFIMLGL IYLYYYDISTIIDQNGVSDIIAFNPNSWTLFIGTAIFTYEGVGLIIPIQESMKQPKKF PGVLAAVMIIITVIFLSAGAVSYAAYGSATKTVVLLNLPQDDKFVNAVQFLYSLAILL STPLQLFPAIRICENELFTRSGKYNPGIKWKKNFFRFMLVMFCAFVAWGGAGDLDKFV SLVGSFACVPLVYVYPPLLHLKACATTRFQRSADIGLAVIGVICCIYTTTLTIYNWVG VPPAPEAPGYCDV TSTA_046870 MAAAIKAINAKIRSNKVLDYFFSTHFWGPASNFGIPIAAVMDIQ KDPEIISGPMTASLVVYSATFMRYSLAVTPKNYLLFACHAINFTSQSIQGYRYLNYWN WGGREKALAEKGVSEGAVANAQ TSTA_046880 MAANKQGKMQNLINYRMRVTLNDGRQMTGQMLAFDKHMNLVLAD TEEFRRVKRKATKQAPGAAPAPLVEAEEKRTLGLTIVRGTNVVSCSVDGPPPADPSAR LGTSVPNVPSGAATLSAGPGISRPAGRGLPVGLGGPAAGVGGPAPAGFGGFPPAPGFP GAPPPGFGGRGGPGGPPGFASPGFPQGAPGGPPGFQPPPGFQPPGQGRGYPPPGFGGR TSTA_046890 MSEGKDKSANPMRELRIQKLVLNISVGESGDRLTRAAKVLEQLS GQTPVYSKARYTVRTFGIRRNEKIAVHVTVRGPKAEEILERGLKVKEYELRKRNFSET GNFGFGISEHIDLGIKYDPGIGIYGMDFYCCMTRPGERIAKRRRAKAHIGSSHRITQA ETIKWFKNRFEGIVR TSTA_046900 MSDNTSTSPRRHWNGQPGARHEHQNVWLNGGIRSTAPSAPWAGW DAHKKRRESESSSSTKSTESRRSSGTGKSLFDTLHTQKRGSYSDPSHEQRRKSYAEMM PEKGFFGKLWHGYTSGSK TSTA_046910 MSQEANEAILLNLGEHGYIQGRTIGDDDVVLVHYFGGVRYAFPP SKRWGMAERLPIDYSYGSKTNPGMCDGRAVHCPQPFMGDQGSEDCFECNVWMPVGERP DGGWPVIFYIHGGFLQTGSPNDINPSRLIGETDLKCVIIAPVYRLAVLGFLSSNELAQ EAALRHEPSGNQGFWDQRIALEWAYEYARYFGGNGGNITVAGYSAGSYSVFHQLAHDL YLPQEKSLIRRVIMLSNGPGVQPKPAPEVQKQFNHLLIALNIPLTLSSAKKLARLRNV PTTQLITTSIKTQYHQYRPWHDGKFVPSSLFPDIDNGEFARRMVERNIRLMNGECRDE HFLYGTWYTPNNSLGSLRRRLEADYPSAVCDALTNLYYPDSNLPPGVENWQDAFGRIY ADVQVHMLERGFMNALAQTGAAHLLYRYRIEYRAKCVTLPPEWGVTHSSDMAMWFWGN GARLEMDEERVVGEALIEPLVEFVNGREVEGWVDDGPSRVQRVRRLRADGGVDVWVDE GEMWRKGLRIWGALAPARRDVAKL TSTA_046920 MASDTKYLREEAIDDSDVVDDNPHADSVHHRLRANSTIMHFDKI LVANRGEIPIRIFRTAHELSLQTVAVYSWEDRLSMHRQKADEAYIIGKRGQYTPVGAY LAGDEIIKIALEHGVQLIHPGYGFLSENAEFARNVEKAGLVFVGPTPDTIDALGDKVS ARKLAVKCNVPVVPGTEGPVEKFEDAKAFTDQYGFPIIIKAAFGGGGRGMRVVREQES LKDAFERATSEARSAFGNGTVFIERFLDKPKHIEVQLLGDNLGNVVHLYERDCSVQRR HQKVVELAPAKDLPVDVRDKILSDAVKLAQSVNYRNAGTAEFLVDQQNRYYFIEINPR IQVEHTITEEITGIDIVAAQIQIAAGATLEQLGLTQDRISPRGFAIQCRITTEDPAKG FSPDTGKIEVYRSAGGNGVRLDGGNGFAGALITPHYDSMLVKCTCLGSTYEIARRKMI RALIEFRIRGVKTNIPFLTSLLSHPTFIDGNCWTTFIDDTPELFSLIGGQNRAQKLLA YLGDVAVNGSSIKGQIGEPKLKGDIIKPVLLDEAGKPLDISKPASQGWKQILDSQGPE AFAKAIRANQGCLIMDTTWRDAHQSLLATRVRTIDFLNIARETSYALSNAYSLECWGG ATFDVAMRFLYEDPWDRLRKLRKAVPNIPFQMLLRGANGVAYSSLPDNAIYHFCKQAK RNGVDIFRVFDALNDIDQLEVGIKAVQAAGGVVEATVCYSGDMLNPHKKYNLEYYLSL VDKIVALGTHVLGIKDMAGVLKPQAATLLIGSIRKKYPDLPIHVHTHDSAGTGVASMV ACAQAGADAVDAATDSMSGMTSQPSIGALLASLEGTEWDPKLNIRHVRALDGYWQQLR LLYSPFEAGLTGPDPEVYEHEIPGGQLTNLLFQATQLGLGTQWAETKKAYEAANDLLG DIVKVTPTSKVVGDLAQFMVSNKLSAQDVIDRADQLDFPGSVLEFLEGLMGQPYGGFP EPLRSKALRNRRKLDKRPGLYLEPLDLAKIKNEIKEKFGTATETDVASYAMYPKVFED YRKFVSKYGDLSVLPTRYFLARPEIGEEFHVELEQGKVLILKLLAIGPLSEQKGQREV FYEMNGEVRQVTVDDNKAAVDNTARVKADPLDSSQVGAPMSGVVVEVRVHEGSEVKKG DPIAVLSAMKMEMVISAPHHGKVSGLAVKEGDSVDGQDLVCKIAK TSTA_046930 MAPLPIKFTELLQLTNAEIEPSSIGFNSCTLESDHYICVRQKVS ETDKPQVIIINLKNNNEIIKRPINADSAIMHWSRNVIALKAQSRTIQIFDLSAKQKLK SALMNEDVVYWKWFSETSLGLVTDTSVWHWDVFDPNQPNPVKQFDRLPNLSGCQIINY RVNDEEKWSVVVGISQQQGRVVGSMQLYSRERGISQSIEGHAAAFASIRVEGSPLEHK LFTFAVRTQTGAKLQIAEIDHQEPNPRFQKKAVEVFFPPEATNDFPVAMQVSKKYDIV YLVTKYGFIHLYDLETGTCIFMNRISSETIFTTAPDSDSTGLVGVNRKGQVLSVSLDE STVIPYLMENPAMSGLAVKLASKAGLPGADHLYQQQFDNLLAQGNYSEAAKIAANSPR GFLRTPETINRFKNAPQGGQQMSVILQYFGMLLDKGSLNRYESLELVRPVLQQNRKHL LEKWMRENKLEASEELGDLVRPHDMGLALQIYLQANVPPKVVAGFAETGQFDKILPYA KQSGYQPDFTQLLQHIVRLNPEKGAEFAAQLANEETGALVDLDRVVDVFLSQNMIQQA TSFLLDALKDNKPEQGHLQTRLLEMNLINAPQVADAILGNEMFTHYDKARIAQLCENA GLIQRALENTDDPVAIKRNLVRTDKLNPEWLIKYFGRLSQEQALEGLDEMLHSNIRQN LQAVVQIATKFSDLLGNNRLIDLFEKYRTAEGLYYYLGSIVNLSEDPEVHFKYIEAAT AMGQLTEVERICRESNYYNPEKVKNFLKEANLTEQLPLIIVCDRFNFIHDLVLYLYRN QQYKSIEVYVQRVNPSRSPAVIGGLLDVDCDEAIIKNLLATVDPSQIPIDELVQEVES RNRLKLLLPFLESTLATGNQQQAVYNALAKIYIDSNNNPEKFLKENDMYDTLIVGKYC EKRDPNLAYIAYSKGQNDLELINITNENAMYRAQARYLLDRADPEIWSFVLNENNIHR RSVVDQVTATAVPESTEPDKVSVAVKSFLDADMPAELIELLEKIILEPSPFSDNSSLQ NLLMLTAAKADKGRLIDYIHKLNEFNADEIAQMCISVGLYEEAFEIYKKVNNHTAATD VLVENIVSIDRAQEYAERVELPEVWSKVAKAQLDGLRVTDSIESYIRANDPSNYNEVI ETATHAGKDEDLVKYLRMARKTLREPAIDTALAFCFARLDQLSELEDFLRASNVADIE ASGDKAYEEGFHEAAKIFYTSISNWAKLATTLVHLEDYQAAVECARKANSVKVWKQVN EACVNKKEFRLAQICGLNLIVHAEELSDLVKQYERHGYFDELISLLEAGLGLERAHMG MFTELGIALSKYHPDRVMEHLKLFWSRINIPKMIRATEEANLWPELVFLYCHYDEWDN AALAMMERAAEAWEHHSFKDIIVKVANLEIYYRSLNFYLQEQPLLLTDLLQVLTPRID VSRVVRIFQSSDNIPLIKPFLLSVQGQNKRAVNDAINDLLIEEEDYKTLRDSVENYDN YDPVELAQRLEKHDLVFFRQIASSIYRKNKRWEKSIALSKQDKLFKDAIETAAMSGKP DVVEELLRYFVDIGSRECYVGMLYACYDIIRPDVILEISWRHGLNDFTMPYLINMMAQ QTITIEQLKKDNEERKAKETTHQKDEDTGPILGSRLMLTQGPASGPGPVPFQANGITP QVTGYRAF TSTA_046940 MPYGRYLRTFNLDILNWISTVVPRQEHIRLLEDGKLERKYANAG QWLFHDPEFESWSAHENKDTATLWLCGPGISRKGVLVIEHSLKYKQSIDADKEQIAYF YCTKKQGEVHGSDPKTILRSLVRQLAWSADNQSISKPVKDIYDESQLSHECEESRLPV TACVSLPTKLVSTR TSTA_046950 MENYIEHRATKCSWIYRYMLASRYGHLDIAKFLIENGANVDATS TDCCTALLRLLLDNDASCIKTIERMRETDISGFYDHAFAGSNALHLVVNYGDKETTGL ILQYEIEIDAKIEKGDTALHMAARHGHIEQVRLLMEYGACMESKNIRGETAIVLAAVR GHDEIVRILGDRQNSSEEYKPWLKVARIARAIELDDQLLARMLLGDDENDLWSVTDSS IWGVVYGPLLHLAVSKGNEEVVALLLEKGADVEMPISRQRMLKEKDLYILQRWKGQNL CN TSTA_046960 MSTTKLRLASKVEKRFVVRHALDWYRALIITGLYTVNQNNAFDT RNITSYIPALKACIEAHPFLSATIQELQTENPVFTRPATLDLRNHIQTIDTESSRYKS VDDELELLRKVTLETHDRPWENAETIPPWKIVVLPLPDRADSTQKRVYIIFAYSHAHG DGKSGLAFHRSFLQGLRRQTSDHNESRGFIYKPPSSPLPLPLEEVCNLKISWSFLLSP LLAQYLPHFVCRLLRLHAGGTQLSTDQVYTGDIIRYDRENFHTTSQILVVKADVLADV LKVCRSHGSKLTGLLNQLIVHALSENLAPGTANEFICQFALDLRSLIPAYSDGMTMGN YVSGAYETSPASTNPEYDDAFWGAVRKTTGLLAAAANTLDDQPIGLLKYLGNFRSWFL GHLGKKRDGSFEISNIGVFDPSLPNGSTSSQGWSIERMVFSQPANVTASPLNFQVVTM KGSDMVITLNWQVGALGVVDEDAFAKAVLRHINNGFGKVALGS TSTA_046970 MPPSSSAEDQSEFTHPIKPWSTGRVLSRAQRERKRQMNRASQSR RRKNLKTTLKSMETRLFQIEQRCLTFLSNPAAGVEYTFNDCISATGNEGTSLRDLLND ILGSIYHINPRQVCTNDQFNQDAVIRGVILGWHVLQRESLICPIWSILRRLDALLMMH AAVPTRLALLRGLNSMLLGRRLCDRPPPLPSWFRPSKFDSPSSENVVNDYFAWPRFRE RLITSNNPSLTNRFWLYFTRNVQFEWNLSPADTIDIEPDTGKYRLTMTFRDATDEIQR YTMGNEFFEAYPECFGDVYGL TSTA_046980 MAPQKSAILSVYDKTGLLDLAKGLSQHNVRILASGGTAKMIREA GFPVEDVSAITNAPEMLGGRVKTLHPAVHGGILARNIESDEKDLAAQKINKVDFVVCN LYPFKDTIAKPNVTIAEAVEEVDIGGVTLLRAAAKNHARVTILSDPKDYPEFLKELET GEISEQTRKIYALKAFEHTADYDTAISGYFRKQYAGDGVQHLSLRYGTNPHQKPAAAY VTQGKLPFKVLGGSPGYVNLLDSLNAWPLVKELKQALGLPAAASFKHVSPAGAAIGVP LNEKERKVYFVDDIAGIESSGLAQAYARARGADRMSSFGDIIALSDKVDVPTAKIISR EVSDGVIAPDYEPEALEILKKKKGGKYLVLQIDETYTPPSTETRTLYGVQLEQGRNDF TVTSKTFSSIITPKDTKTLPEAALRDLTVATIALKYTQSNSVCYALNGQVIGLGAGQQ SRIHCTRLAGDKADNWWMRFHERTLNIKWKAGVKRADKSNAIDMLTSGQVPPRNEVEK AEYERVFEEVPAPFTAEEREAWLKQLTNVAVSSDAFFPFIDNVFRAARSGAKYIAAPS GSQNDGPVFETAEKLGITFIEQSTRLFHH TSTA_046990 MSDSPHILRPTPRRPFELPSADSSTPSTPSGETQVTEGIDLLDP KNSDLASKRSGSLLNLTSSTLLGIFQPTAFEDSREEDSPWDTQAHTPIETRSNSVFAT QQQNGRRFSTDAQGQVRRHVPRTGSVYYIPLVLKTSLLFACGVAYGTIIAHLHENNWI TPVKLEYIDRNSYVYLLSWGVAGVAFAFVLPWLDNLWDNGTTDRSKDVGKKQSNRTLA IRSIGAFVGIAFAMRRVPWESTTQESLTLALVNPLLWYLIDRTQTGFWLSTVVALTGM GITLRMHPELIPSSSAVGVGLRNWRLEYDVEGGISKDATAVAVWLASVFYSACVCFGN IGRQLALDGSEVQHVQFSEKR TSTA_047000 MADVDTTTVDNSFAASEAGDDQTSHNNTASATVGTRRQANGTIG SVYSGNKIKHLKKDDGIPLWRKDIQYQFLKLVFEDQTRVFTRYSDGAKNLDFADVYID CMARSSKTSKILKDKLQSDKKAAISMAMVCLLVNFGRMNTTLNFFPEMRAQLRTYHSI PSLQADQDPNAYKQLQDAPRLKSILKGASEDVEQPPTIERVRESRKPRTNPVHLIFLL AQYAPKISEIHFFQPRDFFDLVMRSTLSSRSRARAFLWLMWWYLESDFTREDALNNPF GPGLDGEGTGGLPIKVPAFEILTEEQAEEENRDTPEEIRFGEEKQRERKRILEEDEPL PRIPKRVKKHDSGLDDELLSANSTPLHPSARRIALNEEEEDWSTPGSSAHLKSKKPNY DSSVNRSTGQRLVLKTRMDPTSDAASPAPPGSGHPILNQYATDSMTPNHNHSSRRPRP LTQHQLAVEQNRRHRIEYLLAQRKTQAYKVLRARRENEPTFNGWMRLLPHLPEPRASL DGDDIPPEISQLMDASTDYEDFGETAHYYLSVTRKAIRRLDRWDWEKINGPSKDRKKA REERQKAFQNGTALMGGGGRGGQSSRSARSRPRPPRRKPAPTTSTPSSAQKPIAPKDE AQDSKFLSDAVDKSGSAVISSSRDNEPFDDAEKDVLGEGSGHDEDDSELSYPEDDEHD DDDDLEDVEGEHDYSIMESRNDYDTDSEDEVNGDGEQGGDEDEVMEDQ TSTA_047010 MADSLKIQNLSLNDSQHAPNGPPAANGRSAYIPPHLRGRNVSAG PSPSPMDGAGAGAGAGPGGPPGPTPAGAWGNGPRAPPRNYRENNANGTQEPKRPVFDP NAYGNPGHGPSGSYSGGGGASGGHARGSGDGQWRDGKHIPGPANPRLERELFGVPNDP SKQQTGINFANYDDIPVEASGQNVPEPVNTFTNPPLDDHLIANIALARYTTPTPVQKY SIPIVMNGRDLMACAQTGSGKTGGFLFPILSQAFQTGPSAAPAPAAGGNFYGRQRKAY PTSLILAPTRELVSQIYDEARKFAYRSWVRPCVVYGGADIGSQLRQIERGCDLLVATP GRLVDLIERGRISLVNIKYLVLDEADRMLDMGFEPQIRRIVEGEDMPQVNDRQTLMFS ATFPRDIQMLARDFLKDYVFLSVGRVGSTSENITQRIEYVEDHDKRSVLLDILHTHGT TGLTLIFVETKRMADSLCDFLINQNFPATAIHGDRTQRERERALEMFRNGRCPILVAT AVAARGLDIPNVTHVVNYDLPTDIDDYVHRIGRTGRAGNTGIATAFFNRGNRGVVRDL IDLLKEAHQDVPSFLENIAREGSGYGGRGGRGGRGRGSNATRDVRRMGGSMGGPPSYG GSGGFGGNASYGGGGAAANWGASSGGYGGSAPYSGGYGGGGYGNPSGGPTGPSSWW TSTA_047020 MQLKSFLFSFLLVLTFGFTLFTQAQAADTPRGPKITNKVYFDIE QDGKPLGRIVFGLYGKTVPKTAENFRALATGEKGFGYEGSGFHRVIKNFMIQGGDFTN HDGTGGKSIYGQKFPDENFKLRHTKKGLLSMANAGKDTNGSQFFITTVVTSWLDGRHV VFGEVLEGYEVVEKIENTPTKQGVDRPLSPVTIAKSGELPMDEGVADYLPFDDDRETA ELAPESTPSPMSPQQLLQGISQNGSVTGFSGMAVIAVIVLVVLYMAKRKRISAQLDEK HLP TSTA_047020 MQLKSFLFSFLLVLTFGFTLFTQAQAADTPRGPKITNKVYFDIE QDGKPLGRIVFGLYGKTVPKTAENFRALATGEKGFGYEGSGFHRVIKNFMIQGGDFTN HDGTGGKSIYGQKFPDENFKLRHTKKGLLSMANAGKDTNGSQFFITTVVTSWLDGRHV VFGEVLEGYEVVEKIENTPTKQGVDRPLSPVTIAKSGELPMDEGSNDAHSEL TSTA_047030 MVFILGVNFPERNLVKKSLETFFGIGNNVSSRLLARFHIHPTAK IGDLQNQQVLDITAALSEMKIENDLRRQILDDIKRLRDTGTYRGRRHALGLPVRGQNT RSQIKTAYKLNSAERRL TSTA_047040 MDVEASSLSQANQRQVRLHLTTRDGDIALPESTGPILVPTGLRR YALSTLVNNLLGNDKPIPLEFLVNGTYLRTSIDEYLTANGISAETTLEVEYVRALIPP LHIASFLHDDWVSSVDVLSATSVTGESVAAGQERILSGSYDGLLRMWNMSSQTIAVSP DASEGGHTASIKAAKFISPTQLASAGLDRTVRVWKYAEEEDGSMTRITPQLELYGHKS GINSLSVHAPSNRMLSASSDHSVGFWSTRKSDAPTALAELLPSNTARSSKRRKLNSSV STPQRGPLVLLSSHTSPVSAAIFDAKDSTVGYSASWDHSVRTWDLVTSALVDTRSTSH PLLSLEHLPELHLLAAGTSARHITLIDPRASATSVSVMTLRGHTNAVVTLARDPNSTY GLISGSHDGTCRIWDVRSTKTDKEGVVGKDIYSISRKSLEESGREGSKRIGGDGIKVF GVCWDRSVGIVSAGEDKRLQINRGEGVLQKDSSKRGM TSTA_047050 MATESPVWCITGASSGFGREIALQAVQRGHRVIATARDVSKISD IRQKGALTLALDVSDAFDKLAEIAKSAIQEYQRVDYLINAAGYILEGAVEEAGSEEVQ RQFAVSRELLQNGDHRATIAVFGSLGSWIGGASYAFYAMTKASMSSLAESLKEELAPF DIRATVIEPGYFRTGFLNPGAKASTAERIPAYEDESTPSGQVRKGLEAHDGKQLGDVK KGVSVCVDILTSSGIAEGRELPLRVIIGSDCVDVIRKKCQSTLELLDSWEEVSVTTDH A TSTA_047060 MSSTVDQDGLSESLLAFVASGKYPESENVVSANIALDFLPKGLQ GISVARAQVEDEINSLSRATASDVDGWMSQARQLRADIERSRETARNIVAEHERTLPL RARVTDAAAKVLLMNTELAFNEGVTHVLEEIQKFSAHISNGRKAVKEEQINESITSLE AAENSFKISNLSRYSNVEGLLKGRLSSLRDSISNLLLSCWDRQVRLSKNALEIRPHYE KGQANLSTLITSLSRLGMLNSVTTSFQHGLLENIIQPILMPHSHGQSSGVSVESNGVR VGETSSSSSISEVIDRLLSVFRYLQEKLPESMSASVAETIMPKTSTMLSEYWLTPNIP LNLEGIADFENTLIHVSGFSKAIESLGWHGHEELTSWVNQFPRLWLTRRRVDSLDQVR RILVQSKGTTKEAERVEKEVVTNKDDVLLDTGVTDDWDANWSNESEEEAEQPAQAAVA SEVKAEDDDDDVDAWGLGDKEDVIKANEDEHDEDAWGWGDEGEEENGTELLKPISEQK HHQKQDEAPAMEKRPGAKEIILTEHYTITDIPDSVIALVQQQVTDSSLLTTPEFSSSR VSASGNGLLALPTLIIAMFKAIASSFYSLKLNAGNIYLYNDSLYLAEQVHNLVEKHQL TRLTADVEGLERFGKLSYSREMQTQRTIVTDLLDGSQGFVHCSEQPFLRECENAIEGT VDRIRSVFKEWHPILSHSALLQAMGSLLSSVINKVIIDVEDLSDISETESQALVTFCN KLSTLEDIFIPESGVGATSMAAVYVRNWLKFQYLINILESSLADIKFLWTEGELHLEF SAEEVVELITALFAESDHRRRTISEIRRTHR TSTA_047070 MAALGQKSHFRQLQKFKPDYSVNEFTQYESQKTGMRVVTIDHKG PKVAGYFVLATEIHDDSGSPHTLEHLCFMGSRNYRYKGFLDKLATRLYSNTNAWTATD HTAYTLDTAGWAGFSDILPIYLEHVIAPTLTDAGCYTEVHHIDGAGNDAGVVYSEMQA IQNNQGELIDLQARRLIYPDGVGFRYETGGMMEQLRSLTADRIREFHREMYQPKNLCL IITGEVDHDDLLKKLDAFEDTILDIIPRPDAPFKRPWVESKRTPPIKESIVKEVEFPE EDESSGEIEIRFLGPDCTDSIQGGALNVVLLYLAGSSATILENTLVEKEQVASAVYFS TEDRPDIEIKFTLTSVATAKLKQVERRFFEILHEAMERPLDMKYMSECIQRQKRSWKF ATEGSAISFAEYVISDFLYGKKDGSTLLDIASLKEYDALEKWTEEQWKAFIRKWIADA NHVSILGIPSAKLAKKLKADEKARLAARRKELGEKGLKTLQEKLESAMAENDKPIPKE LLNRFKVPATDSIHFVTTTTAKSGSALKSGRPDNELQSLVDADKLDSPLFIHFEHIES NFVQLSLLISAETVPVQLRPLLVVYTDAYFNSPIKRNGETISFEQVIVELERDTVGYS MEGARDLGNSEMLRVIFQVEVEKYATAIAWLKELTWNSIFDVERLKAITTRLLADVPD AKRSGDDMLAAVFFMTHFAPESIVRARSTLVKARYLKRIKRLLETQPEVVISQMEELR NRMFQFSNFRVLVIADLKRLHAPVSSWKHFVEGLDTKKDLRPITNRRALLSEAGKNLG NLSYVVPMSTIDSSFAYATAKGLDSYDHPKLPALLVAIAYMNSVEGPLWVAVRGTGLA YGTNFGYNIDLGTVNFDVYRSPNAYKAFDSSKKIVEEYLNDIVEFDPLMLEGAISSIV VSFANEQATMISAASGSFIRQVVRLLPSDYKEKILKKVREISVDDIKLALKDHILPLF KPETSNLVVTCAPVLEDTIKTGFESAGFNPTVKPLKDFEDDYGLKVDGDDDNDNDDEE EEDEDEDSEDSEDDDDDDDDDDDEKEK TSTA_047080 MINNLLQALARFLDRPLFPWKTVLVGFSLGQYVFESFLSLRQYR VLQRTKPPKVLEGEITNKVFEDSQTYGRAKAKFGFFSGIYGQLQNLVFIYYDFLPKIW ALTGVCLVRYFPAWSQGEIGHTLLFFFAFNILTTILSLPISYYSTFVLEEKFGFNKQT VGLWITDMLKGQALGIVLGGPIMAAVLKIIQKTGNEFFYYLWIFSILVQVFAITIYPI VILPMFNKLSPLEAGPIKTGVEELAQKLKFPLHDIYSIDGSKRSAHSNAYFFGFPWKK HIVIYDTLMEKSEPEEVVAVLSHELGHWKLGHTTKLFTIAQAHMFYIFALFTAFVNNK SLFQSFGFHNEQPIMIGFLLFSDALAPMDAVVKFLMNILSRKFEFEADAFAANLGQSK LLAQSLLKLQIQNLSTMDADWMYASYHYSHPILTERLAALGWKGKGSEKSEDSEKPVK AADREL TSTA_047090 MGFAGVFIAVVKLFDDRTGRVVEIEEKRELRDEEYVREEDVEGR IHDVGRICGLVGGGYVSGFLFVADLIINEEASYRILGPEKMSHANPTPVPPTQILSSR PISQSAALDFLKAYLDRAANDPSLQPSAMITEHGPASRTTAAAPNLTLHNLKRIQAGL AGEILGRDLSLSTTAAETTQSRTPKKSKGDKTGAGDERKQEEQWEDREKWELEQGQGL GGEEDGTELLNTTTGEEIEVDEDGMPVTGVIDKEERKRRKKERRQAEKKARKQQAREA GEEEMEMSE TSTA_047100 MATNGDFSDEDSQPGSPLMGHEHEDDIEEQEPLDHEDDVQEKPL KSALKNKDAEPLVYEHKRPELPEQPDPNTVDFSTFTPLTPEIIARQATINIGTIGHVA HGKSTVVKAISEVHTIRFKNEQERNITIKLGYANAKIYKCDNPECPRPTCYKSYKSEK EIDPPCEREGCSGTYRLLRHVSFVDCPGHDILMSTMLSGAAVMDAALLLIAGNETCPQ PQTSEHLAAIEIMKLNHIIILQNKVDLMREEGALSHYQSILKFIRGTVADGSPIIPIS AQLKYNIDAVNEHLVSHIPVPVRDFTAAPHMIIIRSFDVNKPGAGIEELKGGVAGGSI LTGVLKLDDEIEIRPGIVTRDENGKVQCRPIFSKVVSLFAEHNDLKFAVPGGLIGVGT RVDPTLCRADRLLGFVLGLRGRLPAIYTELEVNYFLLRRLLGVKSADGKQAKVAKLSK NEVLMVNIGSTATGAKVVGVKADAAKLVLTNPACTEIGEKIAISRRIEKHWRLIGWAN IVAGNTLEPVQD TSTA_047110 MAKRKRVEAAANGQSGQDQPRKAVKSAAVPAEFPENDPGAVTIQ IVTGSYQSVLHGFTVTLPANPPNDKEAQKEDGTSKLHFADTFLFQAHTSAIRTLALSP PTSTDASQPQTAILASGGSDERINLYSLSMAPPIVSERFPSVATLAGNKILENPKNRE LGTLLHHSSSITTLYFPSKSKLISAAEDNTISVTRIRDWNVVSTVKAPQPKAQGRPSG DTAPPGSVPCGINDFAVHPSMKLMISVGRGERCMRLWNLMTGKKAGVLNFDKELLQSV KENKRSTGEGRGIVWDTAGEEFAIAFEWGVAIFGIDSTPKCKAVPSPSTKVQKVKYID FSEDKDGSKELLTVSTDDGSVLFYSTKEASTSSDSSSIPDAQLFGQLGGRTGGLSGRI KGFEILNLSSFDKWKGNYLLVTSGSDGVIRIWTLKIKEAASGGLSSTLLDSYETGNRI TCMVAFAMQKPQHPEAINESDLDSDEEKEATEESSSDSDQN TSTA_047120 MLRDELLRSKNDEIARCLQSRKRKLSELYFATVGCLGAIDGAIT DTLYEQKKQAFLDANDLSKGRFYNEATLPPFPNYADIVTRVQAHAPPGAGEVATLDVS SEEQERIPESSIPGVVKEKGHVQDKSIEVQKPDARAEIEQPIVESTTSKPLITQRSNI PAPSTSSISTKPHFSTPGTPVSTHENAVSSTFTSPSHTRPSPKDKAIEPSSETVISAP PQHTEASLQSKQGRRLSLAVGTTAHLDQPLSPASSIEPYSTNTPAPPAASPDTSPDES GEIEDHATLSAKPVVNAHATPSDKALDVTTSLTPDEQLRFEEAQSLRRNAQLLAASKA IGDHGEPGPNPVSNQIVKEDVTPSQLVPPPTDQPKTQDAQPSRTGQEEKLSPTSSAVP ESQAARGMPSGPAPTELKMEQETAAATKRLSSTSTTNVVVQPIPERMTTRVSSGAIRH KSVSEILGEIPKSGQLERQLSGAARDSSAPRSPISQGSPASVARVKQKKDQEKERTKL STVVFPKKQIEKNDSLVLIRQQAGDLIAGLNEQQDYLFTLFQNKAYSPPRTSNISTLL ATAHKTLSTANHLLEYQEQMDCRTLRRIYALQNSNRWPLRQMQRSAEPLRQGTHWDVL LDHMKWMRTDFREERKWKIAAAKSCAEWCAEYVQSEPEHRAQLRVRTKTPSPKSVGRS DATNTNSDPMMVDNPVDISCPVSQPTPDLIHSTEEESSSEGFLDEPRPDFRDTVAPAA IFSLGSEEFNFSIDFTPVSEKLLGELPIYAPIGISPDNQLPIFRFQADTDWKTEVLPV SKFSTGRITFRDVGPARKKSRYDYALEDDHMETPTVDITPEQTDVALFRPENKHIRDR IHPGHSFRPPTEYPMPSVGFFESRQSSQWTLNEDDELRRLVKDYSYNWSLIASCLSSP SLFSSGAERRTPWECFERWVGLEGLPADMSKTQYFRAYHQRLEAAQRTIIAQQQAAQQ QQQQQQAQQGIPGPAQPLVRRRTTQPVRVDRRRASRHLALLDAMRKLAKKRETMLQKQ QQASHLASLRKVNEANQPKPPITNPADFSKLKYEREQKLQERQEQYRQQMIAQQRANL AAQRAGQLPNQQAATMAGVVARTPNGVQPAGSQPVPGAAQNAVVNGMPPNANINHGNA MPMQSLPNGRPMSSPMPPNAMSVKIVPQQGMPQQMVARSGIPIQGSPDNARVIREASR LQEQQRLLQSRQQQNQQVPQAGQQQFHNPQQFGQQGSPNISVQGINGNPNNAAMLAAM QAAGGMGSSSPYNNAQGVPTASPRMGQPNHLSSGVVPTITNIQNQIQRSHPNLSPEQV AKLATERLHVYQQQQQRMTQAAMNAAVGNVGSIPANFHMTPDPNFQGSPQANGNVGMQ NSQAQFSPMMRVAQPNQQNRVPVTSSPSMNGSVLPQQSRSATPQTQRSGSLQTPIPGA NQSPHPPAAQMATN TSTA_047130 MAIGYAKDQPTGFKNYLENIAIVGAGGQVGKFIVQALVANGKHK ITALTREGSTNTVPAGVHSIQKIDYSQPSTIVEALKGQDALICTLSIRATDEAEKLSR AAAEAGVKWILPNEFGNSKNNEQIDRDIMIGVVKAKDRKLIEELGVSSWIGVATGFWY EYSLSVGPWSYGFDIKNRAVTFFDDGAKQINTTTWPQVGRGVANLLGLKVLPDDENDK SPHLSQFKNDFVCISSFKVSQQQIFESLLRVTGTSRDDWKVTTESAKERYEKGKVMLE AGDRTGFGLLLYSRVFYPDEAGQHPRVDNAALGLPEENLDEWTAVAVKMAKEDYFLKH VVPRTMG TSTA_047140 MPPIRNKNSKNSAEQEGRILLAISDLKNEKIHSIRKAAEIYNVS RSTLQNRLNGMTYRAEIRANGHKLTQSEEESLVRWILDLDKRGLPTRPSLVREMADYL LSQRGNQYVGENWVYNLVKRRPEIESKFSRNYNYERAKCEDPKIIQEYFDRVREVILE YGILQEDIYNFDETGFAMGLCATAKVITGSDRYARPKLLQPGNREWVTAIEAVNSIGW ALPSYIIFKAKKYARLGWFEDLPDDWRINISDNGWTTDKIGLEWLKTHFIPLTNDRTL GKYRMLILDGHGSHLTAEFDRTCTENNIIPVCMPPHSSHLLQPLDVGCFAVLKRHYGQ LVEQRMRLGFNHIDKIDFLTTFPAARTMAYKAQSVRNSFAATGLVPFNTDRVYQQLTI QLKTPTPPPSRSSDTQSSCLQTPQNPHQFKRQMTTIKKRISRHTRSSSEVIDEVFTRA SNAYEMSINKLTIAQKELHDLRAAHEKAKQISHEQGITREEAQALVQGQIEVSQAVTT GPAEPELPVSRPPSAAISGKVANSLVN TSTA_047150 MLPSKQGSFGTPGKPFSVSFSNASRKPPNIPQNARPRVSSSLRH RQHISHDDSEEEEEVPVAEEVTGFAMGGAISKNTASKKEPLVIKVESKNNWRDRPGTN RRGKNLLPQEVQAAGNVAVVETETPSMSYGLSLAPAKTATAGNVTDEKEADVPMEDAV PTSENDPRAPLTQDEIALQALIRESEGGDKVRRSDIVIASTTAGSRYDETSSFRADVA TRPDQASLDAYDAVPVEEFGAALLRGMGWKEGQAIGRGNYGNTSAANEARVPQRRPGF LGIGAKDIGGAKGAEVEIGAWGKAAMRKGSRKGGDGSGNTEGVYMPVVMKSKMTGEHL TEEEFKLRQKEASERKANGRSDGHSSRPSTINGRDKGRTRRYDDESDSDRDRSRRHGK SSTSRQDRSLSSGAHDRRSRRYDDDDDDKRSSRDHRRYRDRADSDYKSRPRSRERSHR DSGHSRRGQDYHRTRDRDRDSDQPHHTSAFDKVFHHRHHSEQDGKPAPPQAKKESEIH KLEGDLKKDEQKLEDYIDKDEELEEQGKTYGVLT TSTA_047160 MKAATAFLTSLAALASAQLIDLETGKKVSIADYSLLMPVAVREP EDNSTGLEPRDWYGVKGYFYSPNCGKDGSWDGYTWTNIGSGCYGSWENNQVKDLWSVE WGVLDDLKKKVYCTDPYFKLYLQLFHGCTNCNCGFEPNNNEMDGNYGCAKLGESIKSW RLACAPP TSTA_047170 MSRISAKTTFEASRTLHPIYTGGSTSLDASGRILASCVGEDALI VDLTTGDQLASLEGDGELITSLAITPSASHVVVCSRSMSMRFYSLTPFNIDEKGPIET TLLRTVKAHTSPVVTTAIDPTGTLLATGGADGSIKVWDIRGGFITHTFHGHGGVVTAL NFFEAITNVGERNGSKRTNKKQNDDDGEAERSRTIRLASGDEEGKMRVWDLHKKKPIA SLESHVSVVRSLSYSPVENTLLSASRDKTVIIWDAKTWKSRRIIPVLESIESAGFISD TSLCFTGGERGVLRIWDTNRGGEIIQQQEEGSETDAIITTQYSSELSFVVTVHADQTL RLHSIESLTDYKPGSSLEPLPVIRRISGNDDEVIDLAYVGPERSLLAMATNTESIRVT RKVTAKVIATLEQMSHTWRVTTISLSAWTSTPPGYWLATGAKDNTARLWRLDPETSSY TCAAILTGHAESLGAIALPRTPPPAGSKAYLDPLNHPPAFLFTGSQDRTIKKWDTSKL GISQSTPKAAYTRKAHEKDINSLDVNYTATLFASASQDRTVKIWSAEDGSVVGILRGH KRGVWSVRFSPRDMPIISSNAGTSTSRGLVATGSGDKTVKIWNLADFSCLLTFEGHTN SVLKVIWLPPSQLSTENDAEDGVDPKTQDRTATRPLLASSAADGLVKIWSPYSGESEA SLDNHTDRVWALASPTPSGSRSDVKSPSSCPYSLISGAADSTVTFWTDTTSATLTAAV SANSARIEQDQQLQNYIRTGAYREAITLALQLNHPARLLSLFTAAIDEVDGKKSENDG NDKDADSAALTGNSAVDTVLQSLDQDNLYLLLLRLRAWNTNVRTAKVAQRILYTLVRS YPASTFIELANRPPPAAVTQGQRSRKDVSGLSLKEVFNALSSYTDRHYRRVEELVDES YLVEWILDEMEGGMGLVSGLSADKNGDSRSGREEDVIMLEA TSTA_047180 MGRQPYITRLALGRSPYEPPRNASAQYQAYRSRNHNADGYVQQY DERGHPIFPESKALARELRRAKNDVLSTMGVVVSGEAGRSSILKDKKAVNAITAENDY GLVIVAFDHAMHFFGSWWVSSLSRRVQTFRSYTNIPLIRLFKSERQRFGWAAECFAGI PLWAARIILPLCRTQLFEPLLSYVQNKLTPYLNQSCTRLVDGCFSMIRSSARLASFVF FEQVHMFCILQTLHLIPHNVFPRPAFLIPFTKFSLVQSPSLPSDFSLVSLGCFASKLA MSPFAFAFVYVLIRPIVEDKIYRLIRRHLPKQDRPDEISIQVAMENDLLEWTLPTAGR RTEEETRRSNMSLLEDMREELRRLQKWLFSRFGYNHDDFSDEKISICLSHNDIDTVRE NIQAEPSNQNSSHQIPNQLGEAAFATRPVSLDRDQRLTEGQLTHSPGEITPNAIEVRP AERGEIVQDQSPESSWVPFQNYDQDSRSDTLFSRPQTPESPLTSPRIRASLTHQNSFT TTMELSLQSSRITNRQDELEPVVEIERGDTALGNWPVTLDQPPLEATELVLDQMNPNA NDAVLEQLNTAADAGPASIMSNPTENDGLLELLNRELATMDIPPVLPHMIEEPMHSPT HQPDMDALSDPGTEASASRVPSYLPPWRSLSQDRSDLNKQRVTVLSSYPADALAHHLA SFISGFIFIPFESFFYRSLARSYLSSRLPSVYGSNSLIPVSDIRPLNALAGGGSRRDM IAYICKLTLIQGIQAGVSGAILGVCSATAVGIGKRVFDWGNL TSTA_047190 MAKRQADTELERELSVNSPASKKPRFAETDSQNGHLNGREWEQD ERNGQDILAAADLEAAELQEAEQSRPESPEADDDDETLLAAPQRQSQPVEGYGDLYLD TINRAVLDFDFEKLCSVSLSNINVYACLVCGKYFQGRGNKSYAYFHALEIGHHVFVNM ETKKVYVLPEGYEVKSKSLDDIKYVVDPTFTKNDVLKLDKEVRDAWDLQGKKYRPGYV GMNNIKANDYLNVVVQVLAHVTPIRNFFLLQNFPVPGSPQLSVRFSTLVRKLWNPKAF KSHVSPHELLQEIALRSSKRFTLTHQSDPVEFLSWFLNNLHLSLGGSKKPSKTPTSVI QQAFQGHLRIESQAITAHSDTTNSRLVFTESSQTNTQTSPFLILTLDLPPTPLFQSAN RESIIPQVPLTTLLNKYNGITASEKLSHRVRHRLLHPLPPYLLFHIKRFSKNKFVSER NPTIVTFPSPRSLDMSPYVEPNPELWPPGEPIIYDLVSNIILDANAPTPGAGEDTAAV DKGLAAAGDKSTTTATATATGAGAGAGSEKVSWLVQLHDKAMTAENAKLQQQQQQQQQ QQAQQRGPEWLEIQDLYVQRTESETLFTRESYLMVWERRKTPGMQKGKGK TSTA_047200 MEVLLGITGKDFVIIAASKAAMRGPTVLKATDDKTRNLSQHTLM AFSGEPGDGVQFAEYIQANVQLYSMRNDSELSPAAVGNFVRGELARALRSRNPYTVNL LLGGVDAITNTPSLYWVDYLASLAQVPYAAHGYAQYYCLSILDKHHHPDIELEQGLKL LNLCTDELKRRLPIDFKGVLVKVVTKDGIQEIDYDNERKVAIA TSTA_047210 MSSFFTLPASQRKRKRDDRVAAPGSKKRGIAAKGNAREDVSRRR QERDDDESISGSDLEDADAEDVSQSGLSDDATSEDSDEGETAAERRLKLAERYLENIR EEVDEAGFDAAEIDRDLIAERLKEDVDEAKGRIFRKIASQLNFSGASHSFFRADTQTT TSVAIHPPFAYTVSKDRTLIKWELITPKGSQNTKNNSERKRPDHPGKKKPIKLKFVKG LKKIRGSKDPQGHTGNILALAVSPSGKYLATGGEDKKLIIWDAETLTPMKTFTQHRDT VSSLSFTRHISSHSSGEQLFSGSFDRTIKTWSLSSGGHAYVETLFGHQDHVSSVASMM TDQCVSVGARDRTARLWKVIDETQLVFRGESSKKEQYQNNTIDCVAVIPPTHFVTGSD SGSLSLWSIHKKKPLHTIQLAHGVDPIPPPEKVSSETNPETAAHNTRFLRPMPRWITA LTTVPGTDVVLSGSWDGWIRAWKVSDDKKTLISLGPLGSTRTPQPKHTFFKNGDVEQQ QQQQQQQQPTSNMEIDQKPEEEMESLPLLKGVVNSIAVFERRPEANKSGTITTKSKKK KSSSKLAAAAQSEKAPIRGLCVVAALGKEHRFSRFNCFANNHYKGPSTEGRNGAVVFE VPFFDNVDHEQIELEAAETAE TSTA_047220 MAVKEKKKTKVAMGSTSATSHKTCESSVMVDSSGLLQTLQGHVE EVRALIQCGICVRPLYEPYTLACGHTFCYGCLTSWFSSGRSHKTCPDCRAQVKIQPAP AYLVRAIVQMFTSNAELLEKGETTAEHSKNQREEAEKLEKDKTNDNPTTGGLFQGCFK PKPHPMGPIFDAEDGVARCPNCAWELEDDGCLNCGYHVEDGTIDSDDYSEMTDEDDED EDEDEDEDEDDDDVDDDHSTDYEGGWGDYAPDIPFDVARQVYDVVDMGEHPIFGHHHY QVHNHQFMPPLWLGEGSYSNMDQTDVSSMTHTSDGESDDSDNSEEDDEDEEMDSFIDD GSMHYENSEDGYNTDRSTVVGDRSDEIDPGTDPESPESYGGRCPDFHHRSDPEDEGDE DEEDEPIRPAPIRRAGQNPSYRSNPISRMGLSRNYRGPAPTSPVQNRPASGTLFGRGV GGGAFRMPRRISINSSPRASGAGTSATNAINVEDDSEDEMPVPATRRSRNNRR TSTA_047230 MAATNVMTAPALPDPPIMNETPETYHYVPQDLSSKIPQTASLEV SQEIPQEEQSQESSHVVEPEIPETIQELPKEVAQELSTEEVKVTRQKPSTREGKPIIP VKDDLPPSERVTFDPAKHIKFTPPSKVWTMQELDYAEGQGISPVGVSEPFPLFSEEAV KQMRAEILSEKVWDKYKYSSNLSQCQLRGYAPECAPFIYDAWKSPEVLEIISKIAGID LVPVMDWEIAHINIAVKSEEEKAKELEIVHRNADEGVADCALEEDDQPVVDWHTDSYP FVCVTMLSDCTTMVGGETMLRKGNGGTVKVRGPQMGSAVILQGRYIEHQALRALGAAE RITSVTSFRPRSAAVKDDTVLTTVRGISDLKELYHQYAEYRFEILQDRFQAMGRKLRD RKRASRPFDTAATKRFIREQIEFLEAMDREMVSDELVQKGYIGDGHLVSEETRQTAKR RGLYVEETVAA TSTA_047240 MASTTKEEETSSWSKAEKKFENKSASEYYDPCQAFADRSIRCMR RNAGDREMCGDYFQAYRDCKKEWLNSRKLTRVKAFSEQQAQQAQQPQQQQA TSTA_047250 MSATADPVFQRRNKQIQEAIDSHNLKQALQLIDKRIKKGEDTRF LRAWKAHILFLHPDQTHSRQGIAATLEICKLDPPTTDLDTIDLLNSTLNSIKGHEDTA RGLWEKAAKTKPQDHEIQSQWFIRSFEGEDWKSAQKAAMSLNANFPKQRKYYLWAIFL CHLLAVNSNSSESERKLFGTLAYRMISKAAENVPADPKELLSPPRAIQTAEELILLIK IFQSQGRDDEALKILNSDNLGLNSRLVQNDWSFIREKLLALERAEKWMDGLGYAKELL TMPDEDESAKKLLQERDDWGVWSLLLDSTKKMDDKKTCEDTVSFVQKFAQRFPKSRNA HLARLEVSTWRLKSGELAQSDYLADLRNFYDSNSTKMYCFDDIRKYASRLDPTQILEL VDYALEKAAGQKDVSVTAQQITIINAYKLDYCFKVFSGPLSSRKRVEAFVSRCLTMYR AMKKEEATDKTIENQPRDDLGLLAVTALIRLNDSQGQPSQEKPPTAELIRSAAILEYL CQNSPHNYQILLLLVRVYLLLGAGSIAMKTFSKLSVKQIQNETVAHNLYTRIATIHPL GAPPIEAEFKDFIPEVALSHAINFYDHADRTATRQRTMGLNLGSYANVEGTIELQQSL RNSICRRMWALEARRLDRLQGKDRFSRFDDIILDESEVVDKRTFDAFMNCEPLGAPSL EERLRLGPLPKKRWISATGIIDKIWGLNHQLLSQKPIKPDTALPDIEILDMSDVVDDM TLAERSNAEFHRLLLKATLLLSDSKSVVGQDINKIFNQMEEWVSKTLQSVSDEADLFA NTTISVSSEGNGKRPLPFWQYLHTSILLLETLKVLSSTISLLETSSKKLPKSGTKPSK EAVQGLKEAANKLQEQIKSNTRTLRSSVIGSGVLGALTDLIFQQSQVEESNDTNNELA NELEQTLDASAVEVFCGELLESWEEALGGVLSIK TSTA_047260 MAKERSGLIVGLNKGHKTTPIETKARISRSKGRISNRTAFVREI VKEVAGLAPYERRVVELLRNSQDKRARKLAKKRLGTMGRAKAKVDEMQKVIAEARRAG H TSTA_047270 MSSHVVVIDSTARRATIKTAPSKPLADVLQEACTKLGLNASQYG LKHQSKQLDLSLLFRLSGLSSGAKLELVQLSKSPSVVTVALQLPESESQGVPNGRLLD KFPSTTTLWLVLRKFEAGVAGNGSTRNLTARAAPATDSGNNGTGRLYYQTPVLQVMGR ELAEFSDLQKSLAQLGFNSGNVLFRLSFRTTQQPFEEAVAMIDKYFEAHGEKAKVSST AETITAATGLNEPAQLLEPAATTGLTEAEPMDTQEDITQPAAGVPVSDPQRTEAVAPP LVSDRPVTVYKPPTNTTPHSALTSYNEEDYVPSIEHAKSHQGRLNQLSRNVRLPSDKE IAEKEAAEQEKLAAITEIDVKIRFPEQSQVVAKFKQTDTGSHLYSFVRGCLNPTFANE KFQLVVFGAAVKQSRTGPGGSSQAIPDSDQQYLIKDQGLRGRVLVNFTWIDNKQASAM TAATRMTSLLKPELSRQAQDIQIPSVPGVVEGDNKDKPSLINRLGTKGEGEGGSQSHG PKKGGVPKWLKLPGKK TSTA_047280 MSSPFDINGGACVAMVGKDCVAIACDLRLGMQALTVSNNFPKIF NYAPSTYLGLTGLATDVTTVSDLFRYKVNMYRLREERHISPQTLANLVSSSLYERRFG PYFVSPVIAGINHTTGKPFICGFDSIGCIDFAKDFIVSGTASDQLFGTCEGLWEPDLG PEDLFETISQALLNAVDRDALSGWGANVYIIEKDKVTKRLLKGRQD TSTA_047290 MEAPTNTPSNLSNPRIRPFKISIPDSQITALHQKLELASFPDEL ELDKFQEWDMGAPLEDIKRLTAHWKGPFDWRKAEQDLNDILPQYMTTVSVEGGFGMLM YILCISGVGFEKGERLFRYCFCMGPGSFIELKKVLPLLTQGDDDQPTFDVVAPSLPNF GFSPGISKSSKAAIGAPSIARTMAIRYPKTIKALHLNFIPSPPPYPWRNPLLFLQGLL GFIIPPFSASNRQKIAITQTYLERENAYARQQDTYPQTLGYALNDSPVGLLAWIYDKL HRWTEGYPWTDEEVLQWVSIYAFSKAGPAASLRIYHESVTKPDLTAYEEGVTWLSRDQ VVSTRIPSCVKIAVASFPGEIM TSTA_047300 MDAASESRKSGMALDTPLPRTSLCLASEGFSDSLHHGDAGFTTL LNKHFDNRESDAAERLVTLKKALKSSNTKLPWDRVLEELATLTQAQCAFISYELDNTN SEGRRESMAKCYYTNGSGSQGLLSDRNHPAINASRQHMKHGKVFLIPDGLRSSALPDD VPFAAEALIAAPLWSGERNIGHFGIMWTVQGLQKQSLSWSYIEMLLHSLEDLVTQRVV DDASSPRQLSAPAFSLPSESLSHDADTRPQPASAPPSPIFAHPLKPFAPSLSHELRTP MQGVVGMLDVMHANVEEAIDARVATKSFRLLQDLKENIELVQDSARRAVEAADNVVHA YDLNMEVPETPQKEIYADIMGDVLPRVTASGDRFSFKDNKLPSNSYKRRRSISIDWTG HGRPPKRSSTRNTSHGDLSPRSEVKNAVQESDKIVYSSAKGHIEEVVGNAVAQRPSLT ARRMAPQMVVEGVPPTAVRQTKIRELLHLVINESLHVGKRPESTLSQRTLLGERINVH ALASNGNTCIKSIEWSVEPTVPDNLYVDERDLAKLISCVFLNAVKFTESGEIKVLATS SNKSPQYIRINIRDTGTGIPEEFFPSLFKPFAREDDSTTRTRDGLGLGLLVAKGLARK MGGDLLCVHSSTSGPERGSEFEIRIPLNPGGMLSRPTTSHNVSAPLATPPLPVEVGTP TTFPTDAATITTTSHPESLEMLPPPETFVPLDQPVPSSTPKVPPTGALHGAGLGKAHP LTFLVAEDNQINRKILVNMLRKLGYRDVYEAYDGREAVRIMQETLLSSYPLPSPMSSP SIQGSDSGIENSLSPDMANPGNRKKAKPIDVVLMDLWMPEMDGYEATSKIFEMVDEHC NRLAPMHNPQSSPVENWDQRSGSECPSIFDISPKVLAVSADVTDEALNRASQVGIQGY MTKPYKLADLERLIGKMKTSSLFSSVLLLVGATRASPHPAPSPQQQQQPLSNIIAEAN TQPNKHDLEHVIDISPLLSLHRDLVKFESISGNEADVGDFVIQYLQSRDFKVEKQIVV PRKSLSKDQHERFNIYAYPNSSPTAPKVLLSSHIDTVPPYIPYSLDLPSSSNGSDIST LNWRDNILISGRGSVDAKASVASQILAVLEYLQLHPFAPLGLLFVVGEEVDGIGMQYF SQSDLNTSPPTFHTVIFGEPTELNLVSGHKGSLFFKISAKGKAAHSGYPWLGRSAVSS LLPALVKLDTLADVPAEDGGIPGSEKLGKSTINIGRIEAGIASNVVPEAAEASVNIRL AYHDVEKVKEIVTRAVDEATNGDENVTIEWGNNGKGHAPIDFDADIDGFNVTTVNYAT DAWYLKIHEGAGGSPEGHVHRYLYGPGSIFVAHGADEAITVGDLEAAVEGYKRLIDAA FERNQ TSTA_047310 MSAYCCIPINIKHRGKKNSKSTKDTTSEKPKMAIWNFFLGSTPN RQQRKQPPRRSQAQQRAAAREREGSPPSYGSARHEVRSGDIQTKNSTTPGPPRNGGAV STPIVTEGEDARINSRYQPYVESAPGSSVSMEFQYDTPSTPSSESKGTLADAFASEEE EQEKNMQNKEAFAAPLTIHRSIINGRKVADYTGTEDSFINVSSAGRVYMHGRDGDIIV DDSGVRLNSYGNKAKGKQSKDKELGSNESNGPYGATRNINMGTIIDNVEESGLLFRGR TFQAHPDGTREEIEDNLHNCGTTNFNSGTIYHNVARDAVVLTGSTIYGDMDFSRGGAE PMTLNLATAALEHPTLEAVILSQTATRICVEVSSMAMGALAKS TSTA_047320 MVTFVHSSQNDRSQQGLDWRASSARSSSNLGGYGFSSKDTSGNS NDSSAGLSKMNSFFGGKKNRDLPVYKDKPYFKPRRTGSNRTASRVVYTVVSFFMMVLL YVFWGSWGSPVAVGPAGEAGENLWKWVQTFDTEDDGVLAKKITWEERRQKVKDVFTIS WDSYEKYGWGYDEYKPVSKKGRNMVEGGMGWTIVDALDTMILMNLTSRVQHARHWIHN SLRYDQNHDVNTFETTIRMLGGLLSAHYLSTTYPNLAPITDDDVGAPGEDLYIEKATD LADRLLGAFESPSGVPYASINLNTSHGIPSHADGGASSTAEATTVQLEFKYLAKLTGE AEYWQTVEHVMQVVDNQQAEDGLLPIFIYADSGQFRGKNIRLGSRGDSYYEYLIKQYL QTSEGEPIYKEMWDEALTGISKHLITYTKNGKFAVLAERPDGLDRALTPKMDHLVCFM PGTIALGATHGQSLSSARQSSTWSQRQDEEILLARELMKTCWATYLATATGLAPEITY FKTDTPPLMLPDVYPDSALLSTPGSNKDSSYTPKELDIKSAPLEDRDAWISDINIHAQ DTHNLQRPETVESLLYMYRITGDEQYREWGWQMFKSFVKHTAVVEKVFPSDHEGENSH QHALNENNDDDNHASYRIVGFTSLSNANIDPPLKRDNMESFWMAETLKYFYLLFSDRD FIPLEDVVFNTEAHIFPRFKVGGDLKTGWSRNTRVQSST TSTA_047320 MVTFVHSSQNDRSQQGLDWRASSARSSSNLGGYGFSSKDTSGNS NDSSAGLSKMNSFFGGKKNRDLPVYKDKPYFKPRRTGSNRTASRVVYTVVSFFMMVLL YVFWGSWGSPVAVGPAGEAGENLWKWVQTFDTEDDGVLAKKITWEERRQKVKDVFTIS WDSYEKYGWGYDEYKPVSKKGRNMVEGGMGWTIVDALDTMILMNLTSRVQHARHWIHN SLRYDQNHDVNTFETTIRMLGGLLSAHYLSTTYPNLAPITDDDVGAPGEDLYIEKATD LADRLLGAFESPSGVPYASINLNTSHGIPSHADGGASSTAEATTVQLEFKYLAKLTGE AEYWQTVEHVMQVVDNQQAEDGLLPIFIYADSGQFRGKNIRLGSRGDSYYEYLIKQYL QTSEGEPIYKEMWDEALTGISKHLITYTKNGKFAVLAERPDGLDRALTPKMDHLVCFM PGTIALGATHGQSLSSARQSSTWSQRQDEEILLARELMKTCWATYLATATGLAPEITY FKTDTPPLMLPDVYPDSALLSTPGSNKDSSYTPKELDIKSAPLEDRDAWISDINIHAQ DTHNLQRPETVESLLYMYRITGDEQYREWGWQMFKSFVKHTAVVEKVFPSDHEGENSH QHALNENNDDDNHASYRIVGFTSLSNANIDPPLKRDNMESFWMAETLKYFYLLFSDRD FIPLEDVVFNTEAHIFPRFKVGGDLKTGWSRNTRVQSST TSTA_047330 MSGAGDPERNQAIEDYKKTLLDLREWEAKLKSLRMEIKDSQKEY DITEENIKALQSVGQIIGEVLKQLDEERFIVKASSGPRYVVGCRSKVDKSKLKQGTRV ALDMTTLTIMRMLPREVDPLVYNMSLEDPGQVNFAGIGGLNDQIRELREVIELPLKNP ELFMRVGIKPPKGVLLYGPPGTGKTLLARAVASSLETNFLKVVSSAIVDKYIGESARL IREMFGYAKEHEPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDYLGK TKIIMATNRPDTLDPALLRAGRLDRKIEIPLPNEVGRLEILKIHASTVVTEGEIDFES IVKMSDGLNGADLRNVVTEAGFFAIKDERDSINQDDFNKAVRKVAEAKKLEGKLEYQK L TSTA_047330 MSGAGDPERNQAIEDYKKTLLDLREWEAKLKSLRMEIKDSQKEY DITEENIKALQSVGQIIGEVLKQLDEERFIVKASSGPRYVVGCRSKVDKSKLKQGTRV ALDMTTLTIMRMLPREVDPLVYNMSLEDPGQVNFAGIGGLNDQIRELREVIELPLKNP ELFMRVGIKPPKGVLLYGPPGTGKTLLARAVASSLETNFLKVVSSAIVDKYIGESARL IREMFGYAKEHEPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDYLGK TKIIMATNRPDTLDPALLRAGRLDRKIEIPLPNEVGRLEILKIHASTVVTEGEIDFES IVKMSDGLNGADLRNVVTEAYVFLPLNFRITVNSYYSGFFAIKDERDSINQDDFNKAV RKVAEAKKLEGKLEYQKL TSTA_047340 MPISKIHARSVYDSRGNPTVEVDVVTETGLHRAIVPSGASTGQH EACELRDGDKTKWGGKGVLKAVANVNETIGPAIIKENIDVKDQSKVDEFLIKLDGTAN KTNLGANAILGVSLAIAKAGAAEKGVPLYAHISDLAGTKKPYVLPVPFQNVLNGGSHA GGRLAFQEFMIVPSTAPTFSEGLRQGAEVYQKLKALAKKKYGQSAGNVGDEGGVAPDI QTAEEALDLITEAIEQAGYTGKIKIAMDVASSEFYKADVKKYDLDFKNPDSDPSKWLT YEQLADLYKNLAKKYPIVSIEDPFAEDDWEAWSYFFKTSDFQIVGDDLTVTNPLRIKK AIELKSCNALLLKVNQIGTLTESIQAAKDSYAGGWGVMVSHRSGETEDVTIADIVVGL RSGQIKTGAPARSERLAKLNQILRIEEELGENAIYAGEKFRDAVNL TSTA_047350 MTYLPFQLSGYLSIESKTPFTSRDRHHPSLSQPKPQYLKMILKN FLLLTSLAYLGHSTPLSNASPEPNEEASSSLEARSSGVWLDIFHSGSCDGGAESQPTS GWVWAGQCKNIEPNSYGAKLGYNVNEWPYWCILKFWEGENCHGHATTTKIGDTEKKEH VTKDFEGNYNCIATANKGGQFYLGGGASSVMLIC TSTA_047360 MIFKNLLLFTCLAYLGQSSPITESSSPETNITTSTDTEFLSTRS SDGVWLDVYHSGSCDSGWEQQPTSGWVWSGQCKTLEQNTYGARLGHNKKKWKECILKF WEGDNCHGHATTWPTFMSECIATANKQDGQFYLGNGAKSVMLIC TSTA_047370 MSYEVGLYRVMGSLEGIYSRSRYLHNFLPKRLTTKRSTGYERNR HRDMKHTSYTVLPALLITTLLLNPAQAIQCSSCEGPPTFQEEYRTNYMPKVIKTWYGL QVLAPDTPYVAVAGSDKLYFIDTRLDTETAKHVKQNIEMATIPREDGYISIDEIEVTA EVKNTAGETMFVFDPEYARVFFAEPMNRRNPDLKLPEPEPAGDWLVTYDLESIVETES TSTA_047380 MKYVLVSGGVISGVGKGIIASSTGLLLKTIGLTVTSIKIDPYIN IDAGTMSPIEHGEVYVTDDGGEMDLDLGNYERYLLTTLTRDHNITTGKIYQQVIERER VGQYLGKTVQVVPHITNAIQDWIERVAKVPVDESGAEPDVCIIELGGTVGDIESAPFI HAVSQLQRRAGKGNYAQIHVSYVPVIPPGPGGEQKTKPTQRAVSDVRSAGLNPDLIAC RCEQPLEDTTIDKIANMCQMERKQVVAVHNVSTTYHVPLLLEKQKLLKTLSELLNLSS IQQPPARIEQGKRMWRDWVDLAHSQDNPHDTVSIALVGKYTTLHDAYISLSKSFEHAA MYCRKKLKVIWVDASHLEDETMEVSPAEFHKAWHNVCTADGLCVPGGFGTRATLGMMK AITWARTKNVPFLGICLGMQLAVIEYARNVVGIEDAGSEELHPDAKNHVIVYMPEVDK SKLGGTMRLGKHPCIFQSGSEWSRLRALYGSAPQIQERHRHRYEVNPEMVDRLEKAGL TFVGKDVKGERMEIIELRDHPWFVGVQFHPEYLSRVLSPSFTVLGFFAAAAGCLDEIT AKLNSDVRANTKKKPNFSKLSREYGVSRKKLSRRWRGLPSRSTRPPTRRLLSLDQEKA LILWIEYLDNIGAPPTNQQIEESANYLLGKDFSVHDFIKRLPKQYVRIVQKPQEKERT VAEHYGEVERWFIDLELAIQQYKIRPQNLWNFDETGFIVGQGKDEAVVTAYPKTSKRV SSLSSRESITVIEGINAEGKIIPPLLIPKGKVHLEEWYRHIKDDDWLVAPASNGFITD KIAFEWLQHFDHFSRPGAFPDWRSRVGEGDATSIYQITRISGEAEDGLFALEGQPVTS GHVFGNLHFSKIRSHLLIRAQYSPSSSQITTPKNVYEDPALEP TSTA_047390 MAPSKWDDEEESTPPSSPPPVAGRRKFDDEEDDEVLDSWDAAED SEVEREKAAAAAAAKAKAEAEAAAKKKSKAQRIQEHQQERKKLEEEEEDSDDDSSEDE AERRARLRKTEKDSDLKHAEDLFGDIDLNRNRGKSKAIVVGDASDPTKAIDLSAMPLF KPTTKDQFANLTNVLIPLLTANSKKPQYALWAPEFAKQLVKDLPSDQVKKVASALTTL SNEKMREERAADKGSKKSKAAKTKTSLNTARDFAKVDTNAYDDDDLGDDDFM TSTA_047400 MTADVSELLNRRVADILKSKTFLARLCEQAERYDEMVTYMKLNG ELSVDERNLLSVAYKNVVGTRRASWRIISSIEQKEESKGSSEHVSIIRDYRQKIETEL EKVCQDVLNVLDESLIPKAESGESKVFYHKMKGDYHRYLAEFASGNKRKVAATAAHEA YKNATDVAQTELTPTHPIRLGLALNFSVFYYEILNSPDRACHLAKQAFDDAIAELDSL SEESYRDSTLIMQLLRDNLTLWTSSDGGEPEAVEAKEDKPVEGEAAAPAEEKPAEEEA PAAAPAPAE TSTA_047410 MDHSFTRSPAELLRDFGVTEEAGLTQEQVLRLREKYGSNALEEE EATPLWKLVLEQFQDQLVLILLGSAAISFVLALFEEGDDWTAFVDPAVILTILILNAI VGVSQESSAEKAIAALQEYSANVTKVVRNGTLQKLKAEELVPGDIIHIAVGDRVPADC RLLSIQSNSFRVDQAILTGESESVSKDTKVVSDKQAVKQDQTNMLFSGTTVVAGHATA IVVLTGASTAIGGIHESITSQISEPTPLKQKLNDFGDMLAKVITVICVVVWLINIEHF NDPSHGGWAKGAIYYLKIAVSLGVAAIPEGLAVVITTCLALGTRKMAAKNAVVRSLPS VETLGSCSVICSDKTGTLTTNQMSVERVLYLDPTGQGFEEIDVEGTTFAPVGALKKHG KPLTDLAVSSSTIRQMTEVLSLNNEATLAYDPKTGFTCIGEPTEGALRVLAEKIGTDN AGFNESIRSLPPSAALHATSKYYETKLPLKATYEFSRDRKSMSVLVGEGKEQKLLVKG APESILERCSHVLLGSDGPRVPLTQSHISLISEQVVECGNRGLRVIALATVSDVSTNP LLHTAKTSEEYAQLERNMTLIGLVGMLDPPRPEVANSIQKCREAGIRIIVITGDNRNT AESICRQIGVFGADENLEGKSFTGREFDDLTDSQKLEAVKNASLFSRTEPAHKSKLVD LLQSIGHVVAMTGDGVNDAPALKKADIGVAMGTGTDVAKLAADMVLADDNFATIEVAV EEGRSIYSNTQQFIRYLISSNIGEVVSIFLTAALGLPEALIPVQLLWVNLVTDGLPAT ALSFNPPDHDVMRRPPRKRDEALVGGWLFFRYMVIGTYVGAATVFGYVWWFMFNPAGP QISYWQLSHFHKCTSDFPEIGCSIFHNDMSKSASTVSLSILVVIEMLNAMNALSSSES LLTFPLWHNPMLVYAIVLSMSLHFAILYIPFLQGLFAILPLDWNEWKAVLGISLPVII IDEVLKYFERRLYDQRVEHPSDSCPGGSFFISNFLLVTARYLDASTRGNLTTFGRGIC KSSNVLMQLGRIGSFAQYVSFLLSSNNGTPPNTVNVAFQIPLYVLEVIGESFAVPMVY DHAINTSPQNLKSVVQGVYVLNAALWYGISLALSPKGPELLYTYCMLG TSTA_047420 MTERTGGSDVSGTETVAYLSPENERQEGKDASGFELGLWEIDGF KWFSSGTESMMTMLLARTTKGISLFYAPMRRKTMDQFGNTTTQLNCIRIQRLKNKLGT RANTTAELELKGMRAWLIEEEGNGIKQVTQVLSVTRLHIAINCLGNWARGLAASRAYS KSVLAKEHVKYRGIWMLLNFASPFLGASENLPSEKETSKEPFELLPERPEDVLLLLRV LTSPIKGVSARASIHGLLECIEFLGGVGYLENERWISTLRECTVMLLGSAVMDCLNRW IHRILQDTFDALQSVIENLETQWSSLQQTIKESDKVTLMVNANEIMEDLTAIVSGVIL VADAARDHDETAIAVARSWMDISFTAKAQRREPGSLEQLKINQEIVFGKI TSTA_047430 MGVLGTTPIPLRGSSSTEGFFQRPPKLVNQFIEDVAFRRAFEAF LPVDIRTSVEDEFEKFADEVLTPKVFGNIADAERNLSYVKTWDMWGNRVDEVVLSNGW NNLVALVYRAGLAFLLPTTSEKVLTLLGSFRLRMKSLMAGLVVLCNF TSTA_047440 MVAAASTEATRISIIKRPSLHFRAVHIEISFLTREVLYNIFQDI VSYSLCFADVVSYVKTNTELGLNEGIYPSCFFSFKTYRHNGQIYSPPSREYARQSGFW KLANGGSDDKAGLEDQIESQSVESLGTLEYATSQSSSLTPNDSASQIQSSTLINPFLE PPKPKRQRKETSCNLQKHLGQKHAITSSQSSMLSYIRARPLQSPQELLDQNIFNWAIG TMQPFSTFDDPLFRQIWKNCSTIALSLDGWKSANGYKIFPIISHWIIADFQPQHRILD FQEIEGPDTSENLASIIYKVLCELDIKAKLISITGDNASNNLVMAEILHDLLKANYEQ GNTQQTMRYQGEDSFIHCLAHILNLIVKEFLTVLKASDIAGDFQIIEDLKNNLSLAQS QKRVAESMPDKRDGFKTYLAAPQIQEYLKMNHILPPFTNQDWNQLGQIRIVLAEFDRY TLELSTDIPQISQSLAIYYQLFNLLQEVQDREGKFKDFDTDIANAAKSSMRKYNKYYT LMDDSCDILYITMLLDPRFKKLDRAQDIITAMQEQLETQYPITHKPELFTASEEPGPL ATLQNPHKTIVSEMMNEYPRMAAAARDYLAVPAAEVDVERLFNTERDLLGLGGGL TSTA_047450 MENYTYVQANPSVSLYTANKTTSEDTGTKDQSPNPPSLIALLPW MGATKRQTETYIRGHIKLFSPSQPNFLVIYSGITDFLSPTQGNDRRLQPAITTLAAYE PSSILFHIFSNGGVRVFANLARSYGSTASPKRRLCSRIVIDSAPGRLTFKETMRAVNS ALPRNQPLIKWPLYTILAVVLALYLGLNKTLAWPDPLQFAAESFNDAGIVGKDSRRCY FYSKRDGIVLSGFVEQHAAEAREKGLGIVEMEMFEKSAHTGHVIEDEERYWGAGLLGY QLLGTIFC TSTA_047460 MQAVRTKAEVLSQKEKLVNSYNELLQEFSSPDLRSVGNYTLGRL IGKGSFGKVYLATHKLTNNSKVVLKSSNKQDSNLAREIHHHRQFLHPHIARLYEVIIT ENLVWLVLEYCPGDELYNHILNNGPLVVDKARRIFAQLVGAVAYVHSKSCVHRDLKLE NILLDKHGNVKLCDFGFTREYEGKASYLQTFCGTICYSAPEMLKGEKYAGEKVDVWSL GIILYALLAGELPYDEDDDQETKAKILKEDPVFNDKFPDDSQALIKILLSKRPLLRPT LADILAHPFLAEHGVEQQIILQAPRPSPFTTPLEKATLLRMKSAGVNIDQVIEHVLAQ RCDALAGWWALLIEKEERKEKRRERRRKEKEAEARSLRRLSAASSRLEKISALVEVDE EAHSADTLQTRGRRDRRSLPNPELSIPEVPKVPEAFANLPALDIALPPQPIDKDSIRS LSSTRRRPVPPPKENRRPSMLHVSASQPELASHSSGLFRRRTGRRNQHSLMSQLASLK HWIVESAKRAKSPHPRTPKSTGGQSSKARSEKSSPGKNSDTRRKQVAITSTSTEPPLM TPTQVKRSSNASSLAPNSASYAHHRNSYGRAPRSSNSHRNSLSPAPLTPRGSYRRSSA GLRGRKSTSSSVSSVRSIYHAHTHSKASSISSNSIDTMSTPTASSRMSRSPHTSVKVL PAIPNAGARFPSNIRLVRNPPNALRDVNDPNNHGIYSVFNEAVSGPSTAPPQSPGFIF ARRKRTPFRGPMLHTNTLMFTNGPGTPNLSPPLQRDVASTETVKPHTRKSQIIEEEDD DMEEDIEEVDTFSDPGITDTSFTAMNEPLVETETDADLTIVVAPSEETLEEISHEPSL EPAMDPSSPIPPRISSLNDPEVQLQTNEATEEDPSARTPELEPAPDATEDIKTPTTED AEHSTQHEKPDPTSNDELKQDTPITS TSTA_047470 MSSTTFNKERHIKYFLRCLKTLLPYQYTTGDGGRVLLGFFTIAG LDLLGVLHEQTTPEERQGYINWIYHCQHPRGGFRGFTGTKFGDAQHDRDNAGWDPANI PSTFLALETLLILGDDLSRVKRKECLKWLPKLQRQDGSFGDMLGADERIVGGNDLRFC YCAAGIRYFLRGPYGAGVEDVRDIDVAKLVSFVQSCQSYDGGMGETPFREAHAGLTYC AVGALALLQRTGSLGAQLAVLSPKTENYQSLLRWLVSRQTSDLGAEDEEDDEADTKGD SASTVETQDESTTNLSEQIDKLPECLPIHEESLKWAGFNGRLNKIADTCYCFWVTGTL GTMNQLSLIDAPGVRHYLLDKTQHIVGGFGKSVGEVPDIYHSYLGMISLALINEPGLE PADPTLCTGVSVMQNLKSLLWWKEG TSTA_047480 MTFLSSKTLIQLHALFMFILAVYLITSPSSITESDLIFIIGEAM QIDLDPAMSTSQFPFSICAILLVSEALIDLIVVGKLPQLDSILTNLQREQRQTEDRGF SRITLQKPKQTINSWTIQLATIYNEIWTFIAGARFVFFFAVSLFIYTGDSSGATGKKS WVGQGQVRNGLDQVRNRIVFTFAFVEMMFWLWALSNVREERREVVTRLAQQQAAENER NLQ TSTA_047490 MKLTTGIISGLALCVVNAAAQDLSSLPPCAKSCATNAMPASCGL DVKCICSAESFITSVSCCVAKVCDQADQQTTLQFAEQLCAGAGVTNLPSAAGCSSTAS ATSTADAASATSSKTTAASSAVTSAPTSASVASSATSSKTTTGSASAASTTHTGAASA VAKGNGVAAAGAGAAAILAMCFV TSTA_047500 MGLGVLEDSKLDHVPGTSYVNESTDVTHRQPDQQQPWLKYDRSG PVPILLIPQPSDDPYDPLNWPLWRRDLILAILSLVAVICTTMSPIMAANTVTIALAYR RDFTQVALLTGYHLLGVGLAGILCVPTARIWGKRHLFIFGNILMIISAAWAGGSTGNW RSLVAARVFQGFALAPFEALVNACVGDLYFMHERGKRMAFSNVALFGGAFLTPVVVGK MTETLGWQWSFYFVAIFTAAGLPLIFFFVPETAYRRADYLNPDFSGDADVTNSQTDIV DPASQLSADARNQNVQEKQHLSGPTNEITPKTRNTQYSYWQTLKLFNGRKTDENFIKL FLRPFPLFFHPGILWACLTQGVLIGWTVFIGVVLAAVFLGPPLWFDQVKTGYLYTGAF IGSILGLIFSGLLSDWINKRMIKLNKGTYEPEFRIVLVFFQLLFSGIGLYGFGITAEN VRRYGWLIPDVFFAFVVMGMVMGAVSSALYIVDAHRQIAVEAFTCMLFFKNIFSFILT FFAYDWLVVKAGSRAPFIAIGTIQIGICLLAIPMCKLFPNSRYPILIHPADVFGKRNR SFFARHNILKALHL TSTA_047500 MGLGVLEDSKLDHVPGTSYVNESTDVTHRQPDQQQPWLKYDRSG PVPILLIPQPSDDPYDPLNWPLWRRDLILAILSLVAVICTTMSPIMAANTVTIALAYR RDFTQVALLTGYHLLGVGLAGILCVPTARIWGKRHLFIFGNILMIISAAWAGGSTGNW RSLVAARVFQGFALAPFEALVNACVGDLYFMHERGKRMAFSNVALFGGAFLTPVVVGK MTETLGWQWSFYFVAIFTAAGLPLIFFFVPETAYRRADYLNPDFSGDADVTNSQTDIV DPASQLSADARNQNVQEKQHLSGPTNEITPKTRNTQYSYWQTLKLFNGRKTDENFIKL FLRPFPLFFHPGILWACLTQGVLIGWTVFIGVVLAAVFLGPPLWFDQVKTGYLYTGAF IGSILGLIFSGLLSDWINKRMIKLNKGTYEPEFRIVLVFFQLLFSGIGLYGFGITAEN VRRYGWLIPDVFFAFVVMGMVMGAVSSALYIVDAHRQIAVEAFTCMLFFKNIFSFILT FFAYDWLVVKAGSRAPFIAIGTIQIGICLLAIPMYVFGKRNRSFFARHNILKALHL TSTA_047510 MAAVRSSNVGSAQLADHAATAALYATNPKRKRAGSEALSQGSQN QSLTLANASAAASLAHANQKTTTAWHPERQPYAEKAAAFVRSYQSPEPAPPKQPNPDV YKAALLAAQERVYTTSPPATSPPELKSDKAAFEKRRASQRESAQFSPGALMAATGAVS NRRRTESAPTKPMFHLDTAAALTAATISHRASQDARNDVLSDLEPGMEAARIHHIART NVQLYTSTPPVEIELEEQRYKDTLRAAAVSMARDMYASAAATKEEPSDETDFASAAAQ KRLSHRYSQSQFSWAPGDEYNATQRPTPNLHEAAQKIAAEKLAKMQRDDLYNKQEYYG TAASSKSRQTFTRRLRRRTSSEGDVSAIDWQRSERIRHQMTSLQSRVNAIDEKKSKDR ADLMEIARKNVHAAIHDMDEQVYAHTGKPSPSMQREWEEKAQLRAKQESEARLMNWGR VDVGGERYMDQTEVEAIARSRIQPTLDEIDNRVEEQRAREVEQRLEQERQQRRQETDR EREADIKAEERRQEAAVQEKEKSKGSLLGRRRSLMGGGKLFSRTSRKSSWKKPETNGS REEALPSGGVNEQVEQGPTSDTAPTGPVESTTVGTTEDNTQPSHQQIVSDTADAEPQT SSPTSPKSESKIKSWFKGKLSRRFSKPQPPEEPTRQESELSTGVSTAEATHRAAALTS NPVLDTDLVSDLAPQRPQAEEDAVNEEVSRQWSSSTENSTDRNRSSSGEDKRKKGLRM SLRGIISRKSTSDAGSPLASPTEASPSATGASRTVGTTAMSTRPAQVPRLNTMERNEL HDSFTEESLPLPPSLVQAERRSLSGSARDSKFSEDL TSTA_047520 MGNEPFYFLLRFTDPEVKYDRLAISETAGYSIRRNLASAFSLLG VSGTNTHSMESFIGDVVDPRLLGFDTGSGIGDGYYDQFYAFIPDDAAKLPRQLYEIAR ELVETSRSLRLDSGDGADILDLTELHTLFTVQNLTVFVTAFFHSLHWHMPVVHCPTFD PANVSNCLLLAIFLAGAVYTIPCSGSDLLLPSLLSVAEEYVFRRVATAATTLNDHPIK GSTIEIVQAALILEMLQFSQGDPQTRRRIRIIRHPCLVSTIRSMGLFHYKRREAPVVC DGENKWRDLAAEEVCIRVACWAFLADGFLTVCFKNHPSLSIFEMDCDFPWSTELFESE NVSTFNDTVTIHTTVPSLPTLRKVAEGLLQMPSSNQHISWGRSLSVEHLLMLIYAMNS LAFQARSGLLGFISIDIIKHAAMNWHKLWKSVLSHLHHINGVTTTENLHLGYPKHAEE LWWLLMTTLDATTNQTQNKGKRFQYLDSAATDDLGKLNEFILSARGRKSSI TSTA_047530 MSSPKNHLTIQCSHSIPLQHLSCTMDVLLDICDTFVFDRLYATV LPVKAFISNDTLHDVGNQAYNKQVQLYYPLQPSQWASSSSWSRDYLPRQALSLFLITW LFGISMYLLGSTLLYYTIYNKQLLKHPKFLRQQIRQEIRQSLLAMPIMAILTVPFFLA EIHGYTKLYDFSPDPALQIPFGISGNVYKYIQYPFFILFTDTGIYWIHRAIHHPLIYR FVHKRHHKWIVPTPYASYAFNPVDGWLQSLPYHIFPFVFPLQKGAYLGLFVFVTVWTV LIRKFFLFTSNILEGLLLMVVVDDAEYLSYSKLINGAACHTMHHLYFNYNYGQFTTFW DRVCWTYQTPSEKGMIVDEVEKEKKKKTR TSTA_047530 MDVLLDICDTFVFDRLYATVLPVKAFISNDTLHDVGNQAYNKQV QLYYPLQPSQWASSSSWSRDYLPRQALSLFLITWLFGISMYLLGSTLLYYTIYNKQLL KHPKFLRQQIRQEIRQSLLAMPIMAILTVPFFLAEIHGYTKLYDFSPDPALQIPFGIS GNVYKYIQYPFFILFTDTGIYWIHRAIHHPLIYRFVHKRHHKWIVPTPYASYAFNPVD GWLQSLPYHIFPFVFPLQKGAYLGLFVFVTVWTVLIHDAEYLSYSKLINGAACHTMHH LYFNYNYGQFTTFWDRVCWTYQTPSEKGMIVDEVEKEKKKKTR TSTA_047530 MYLLGSTLLYYTIYNKQLLKHPKFLRQQIRQEIRQSLLAMPIMA ILTVPFFLAEIHGYTKLYDFSPDPALQIPFGISGNVYKYIQYPFFILFTDTGIYWIHR AIHHPLIYRFVHKRHHKWIVPTPYASYAFNPVDGWLQSLPYHIFPFVFPLQKGAYLGL FVFVTVWTVLIHDAEYLSYSKLINGAACHTMHHLYFNYNYGQFTTFWDRVCWTYQTPS EKGMIVDEVEKEKKKKTR TSTA_047540 MPLIYTWVSQISSSLLQAPVDIQELDQFQEYGKTYFYIQLLYDP VVVVVGAGPVGLFTALLLAQKGIKVIVYETGSGIDQSPRAVVYFPAVLEEFSKAGILE AVIAAGEKRCEGVDWRDRDGKVIAGIDPPLEDPHYVVVLAQPEFCEVILDALVKTGNA EVHFNHRLQTLQQGDGFVEYHVESRESTLTGKCQYLVGADGGRSTVRRNLGIELEGYT WKDLLFVAVNFKYGLSELGWKAGNFIVDPEDWGVIVKRGKGRSWRIATGIRRAGASTD RINALDEATISVIKKRLCHMLPGDTSQIEYEAFAPYVVHQRCASSYIQGHVILAGDAA HLNNPTGGLGLTTGLLDAAHLGRSLLQILNEGASPELLQSYAETRRRIFREITDPMST ANLLRLHSQKPEDIKKREEFFKNLRDRKDMATILMAGLPDFALTSTSETKFDTYHEVT WFISVTKPDGWPTEKFIHEYKSVHAGMTRQAKEGGSPLRAYIQISNSKQTMKGGVRPE WDYVTRLTFPNLFIVHSSFQDPGYRATAGAHIFCRLGQEGCLARQVAKFSRSEKTSNT SVLMFHNRNEANDEYSQEWFEKRADGMTSIADGDENVVEYVLWRDIAPKNSDYFFRDT QFSGGSWHNYKAVEGFDFVDEDAAAAFLDCHRDEITERSTLQITVVIGEPDHIIRSDV S TSTA_047550 MRQPTCGLCERTGGSCSFPVKRKKPDVRRPSVAHRNEPRVDPRK LERLLGLLESRLGNEGDVDLLAWQLSGTNHSTICSSDSGLRTLTSADNTERDLQTGDE NASEAVSSTRTPTSTLDPHIIDDSLTPSSSAHDLTDDYRDEHAGYRWWLTVPEQVLTE LIHLFFDKIQCWLPLLHRPRFFDRYMKNGVFSKRSPSSFSNTESLLFCGLFALAARHS QDPFFQDVPASDRGQQFINNANKYYEMTRMSEKGPTLMHLQGYILLTFYQYASGPSHR AWILAGDCVRLAYDLNLCNMDEQQYDCMIPREWSFLEEQRRAFWLVWEVEAFGSAISR RPSSLNRSMIASPIIDPRPCVVWGILLDSQNQDARAWFLLATFLMATAGEFAGGRYTC RRDKDELVDAITCFSLVISQRFNLESLEFSGPSEDATKFNWVIAMHLMVLCSRTTIRT SFETKRQRPGIVDCSRYMSRIFYQWQPEYIRLSHPFLACCLLSDLAYPLEETVQGLPS QSTDMAKLVLSHYASVWKLASVLLDLREMLIRGAHNSANISFEKRFALYFPRVHRSCS NDIESNQPGLLLGVADEEMGKDNENNCPVSISGLQQFPQLPGDLQYIEPGMVDISSIS SGEFPKFLPFASRNAFNSRDLLDFVFENN TSTA_047560 MASQPAIVSSSPEEPMSRINSKTFICLVAVNLIYLAQLTSVIGT GFLAQSMAQAVGGTDKTVWYSSCITIMTVALNPPISQAADYWGRKPILIASSLIGVAG SIIVSRAQNSGTIIAGFAVLGLNFGCQSVILAVLSEVLPRHWRPIGQASSSIASSVGA SIGLLIGGGLLQHGNLTNYRIYWYILAGFYVVATLGCFIGYNPPPRAVQASLSTSEKL YRLDWVGYLLFAPGLALFSMALAWSKNPYSWNSANILAPFIIGIVAMILFVVYEWRFK KDGILHHDLWTNRNLAISLLIMFVEGLAFFAANSYFVFEIIVLYDASILSAGTNFSIM FFTGLAFSPIFGLWSSKLKTMRPQLILGCVFLLLFFILLATVKIDTPRYAFWIFPITS GIALVSILPISMVSAQLTTSSELISLASALMITVRSLGGAIGLAINNAVLNDTLDTEL PKKVGAAVLALGLPPSSLPELIRALATQSKAAVAAVPGITPEIAQAAVVAMKRAYLMA FRNAWIVSAAFCAVLVLASIFIKEQRADFDARIDAPMDVVSYDLKAKDMEMTVENNGQ KETSVQHNENAALADDNN TSTA_047570 MAASSSSSPVTWDKYSLSINGERLFVFAGEFHYLRLPVPELWLD VFQKLKANGFNAISVYFFWNYHSASEGIYDFESGAHNIQRLFDYAKETGIYIIARPGP YANGELSAGGFALWAANGLLGRERTSDSQYYNLWSPWMTEIGKIIAANQITEGGPVIL VQHENELQETTHSANNTLVLYMEQILKVLADAGIVVPSTHNEKGMRSVSWSTDYENVG GAVNIYGLDSYPGGLSCTNPNAGFDLIRTYYQWFQNYSYTQPEFLPEFEGGYFTPWGD VFYDDCSSMLLPEYADVFYKNNIGSRITLQSLYMTYGGTNWGHIAAPVVYTSYDYNAP LRETREIRDKLKQTKLLGLFTRVSSDLLQTDMMGNGTGYTTGADIFTWALRNPETNAG FYVVAQEDSSSVTDVVFDLEVETSTGPVKISNIELSGRQSKIIATDYKVGDTTLLYCS TDILTYATLDVDVLVLYLNEGQTGTFVLARSSSGLKYIVYGNSTVTTSQSSHGTVYTY TQGKGVSAIKFSNGYLVYLLDKYTAWNFFAPSLVSSPIVKPDEHLFVIGPYLVREASI KDHTLELRGDNENTTSIEIYHGNPSISTITWNNKPLPTTRTAYGSLIGTIPGTEHISI SLPKLTSWKSHNTIPEIDPNYDDSNWVVCDKKTTFNSIPPMSFPVLYSGDYGYHAGPK IYRGRFGNTNATGVNITAQNGYAAGWSAWLNGKYVGGEPGDPSMEATSAVLKFDSSNL KQDDTENVLTVLVDYTGHDEDNVRPTGAQNPRGLLGAVFQGPTSTDFTSWKIQGNAGG EKNIDPLRGPMNEGGLYGERLGWHLPGFKPSTESGWDDKSPSDGVHGASGRFYLTEFT FDLGANSHTLDVPIGIRLNASSTSGPAVVYVWLNGYKFAHYLPHIGPQTVFPFQPGIL NIQGSDGRERTNTLAICIWAMTGQSVALDVVELVVYGKYVSSFNFGRDWRYLQPGWKD RSEYA TSTA_047580 MGQKRSKRPTRLTPSPSPSASSSSFDLASPDRPFDNILNFRDVG RSINEFSGDKILKEGILFRSARLDDASERDRHRLIDDINLSTIIDLRSNTEHELATRR RQNSISLHSSEDSRISQTGERRHLLDLLGVQRHFISLTGRAFERLLLWRLDWYNFIKV LSLLASGYRKDAIKLIAAQVMKPCGLIGLAQDTLDSSRSEIRDIFSVLTKDGSYPILI HCTQGKDRTGLIIMLLLLLVSEATTPSIPLTAIASDYTKSEAELRPDLDSLMKEITDI GLDEEFAKTPSGFTEAIKSYLDMKYGGARGYLLSIGCEEGNIEKVRKRLLFN TSTA_047590 MWIISFWVFPVISACMWLAMLVAMISTWAAKGKPHYSNMPATRT IPYISDIGATGIQPLFIAGSAVTVVFLDLSFLSERWLRHTGKLVRNKGRFDKACSILS ILFSIAGAAGLILLSIFDDVHHRSLHDAFLGLFIGAYLISAVFICMEYLRLGVTYRRQ HRILFISFWIKFIFIVVELALAIAFGVLNQHDRGARNAAAVIEWVIALVFTFYVLSFI IDLLPSVRTRHHIPQGEKAFARPSTSSGHPEITYEEPVTTDSMGDRANTYRGPVASGY QTNGANLPRDF TSTA_047600 MASRLVNYESSENGAEQLPEPSPPGEPCEPHTQIPAPSTDQTFE TRDAAIKFLNSFTKTYGYASVTKRSKTPKEGGSIRRVYLQCSRGEVYHPRHDHYSAAG LTLASLRHEEIESKETQVKSYLDSYMSTNQILPILYKDNPESIIKPRDIYNKKRKLRD DFPDGKTPVQALISVVPLPDNGDGIINYETTDTNTLLAVSLT TSTA_047610 MPNSNTSDFSGSLSNEPKRTEGTVDGNDDSDNSMNRPAAANTPR SLPAIQLYTIVAAVSLAGFLYSLDVTIITAAIPAITTHFHTIKDIGWYGSAYLITLCA CAPVMGKIYQYYYSKVAFFIFVVIFEIGSLICGVAPSSTAFIVGRAVAGIGGAGVFNG GLAIVAASSTKEQRPSLVALLYAFSLLGSVIGPIIGGVFTESVSWRWCFYINLPVGGV TLGAIALVRIPDIRDKSKTAVTLYEAIKRLDPIGFCLFAPTCIMLLLAIQWGGSTYAW GSSTIIGLFIGFGALLTVFCAWESRRGDSAMIPGSILRQKIVYSSCLINMSQFASLWI FAYYLPVWFQTVKGVSPIISGAYFMATAGPLIAATMLTGFIAKKGNTSLYCLIGNSIA TIGSGLMTLFTPFSPTGAWVGYQVLAGVGRGITQQQPVLAVQQNLEPSKIPIGISMVL LGQFFGGGLFLALAETDLSSSLRSTLPEYAPDINATLIFDAGAEGVRAVVSIDQLPGV LLSYNKAIINTFYLGTAASAFAAIVSLYVCFEHVRVTKPSKQAGNT TSTA_047620 MADYVNPRAHACQNCVKAKAKCYDQTNGRCERCKRLDKHCIMQD RSTPRPSKPSKSRRNDQARQIAQLEQKVNSLVSLLGASQQSLDNGMLNVITPESTGPT SSASVSMSAPLNPRQAISQDLPTTQQYELEPTSFAPPEGRPNKSPDDLLDNFRRDMAH QAPFISVPLQMSAQALSRERPFLYRAIMTVASYHDSVHQLQMGQELVKYMMEHLIVLS EKSLDLLQGLLVYINWYNSLFHANSQTNTLLGLAFSLLVDLNLYIPFKSSESHEKFVG EMKITVTCNPNWARSSEPSMEERRAVLGCFYLFSCVSSKFRSLNPLHWTTNIQQCYEE ILKAPEHENDIRLVHLIDLQRIAENTKYIIIREFPSSNASVPNSSIGLHLKLLVSDLE KFKVTLPERFQQDSTMLMHYHAVEILVFELCFFMSPTAPAQDPSLNRADALWMCLTAT RALVNIYLSLNLQPHISFSAISLQQLYLALATLSKLVLFKADDWDVNYAQPSVDLSTL LDSLVTRTEEHSSRYDLMENNKPWLQTSRRLRQARTRFDDLLSNEDVSSVTLSTAQPS NGLLATSFHDFRLDHFGLLDDRFWETMQDDSAPCN TSTA_047630 MIIFCDSQATIQAINGAQKTGQQILGSIAEKWDELRSQGVQVTI HWILAHQGIKGNERADIAAKEATRWRLASIIYPNTDADRFKEERNAWKNEGTWSSDAR KILSKGKYTVRAAKFMLKTKLLGQFGSIDLDI TSTA_047640 MAETVALRKPEQEDYTQVKSYRPMALLNTLASFLTERQTTIKLR EATSGILNVETGIPQGSPLSPILYLFFNADLIEKCYNPSNNTGTQVQQNNRQGTPNLA GRLRSEANNKLNWNGHIEHIETKMTKSLGALSSLAGSTWGTGYKGLRQVYQATILPQI IYAASIWYAPLNLEDNHREKAVGKLEAIQKKVARIITEAFKTVSGAALDIEAFLLPI TSTA_047650 MAPLLADENIWKYNIIAIQELWRNQFQTTTYHLVKDRFDLVYNE QPNTRVCFFINSQLRGAWTHTHHTLDLDTLHLQFKNGKEARIVHIHNIYNLVESQNEN QPSTLPDLRKALESDREEEHLLIGDFNLHYPMWGGVQSRKTSEEATDLLELITDYHLE LLLPVGTKTRQDNGEPSTIDLVFGTWLLSESIISCGLTGNDLDHNSDHLLITTLLSLT TTRHPERKRRLWNQLREKDFQEETINKAIEHHCPESQVCPRSVPGWTPEIKAAQMHAR RLHRQFQLLRTKEAWEEYRAARNLKGRLIKKLLRKNHWEQIQEATETQSGLWRLAKWA RNRQPRQSFTPPLRVDLGPMKTQTEDKIALLKENFFPQMKEADLSDL TSTA_047660 MAGSPNAPQLGLQPSTIRDHAIQLEADIRALKEYRVNPVGKNRT PNWNMIEPLLESFISYLQKTQDLPTTSELTAVVHATARAQQILSKDVTEIKNFLAALA RKSTIPSYAQVLKDPYVVKPTMQTRPSMGHREILVKLNPTDVERQAQRATAEEIKQKI NNALANHEDPNLKKTQILAVKQHPSGDLTLFTSDRGSTELIIAHREDWQKILGEKAKV KVPSYGIIIHELKRNLGEKRASTMVVEFDREEDADHAIKNSIIYIGTYCKAQETCGYC AGLYSTKACLERDKPGSQPICPNCSKNHPSWSIQCEDRKEELARIEERRMNMPCTHKE AALRRGNFTGKPKQSRETHRGVKENSRATGVAATLNAPGN TSTA_047670 MSQFKIPVDLITSRFGDRFQSMRNTSISSRFANLKPISEFLDIK RISKPADFSEVQSRVNYNLSYFSSNYFVVFLMLSIYGLLTNPVLLFVIILVAGGMYGI GKLDGRDLDVGFFRASTSQLYTTLLIIAVPLGLWASPIAFALWLIGATGVTTLECFFR GGGLGGRPRTPYDMPRWGRPPGVWQGNQTRRRATQWEDFNGVRFEEIYSDVDDGYNEL KFGDGSWRRIEKGGKASTRELRLGSNGYDYESEVSDGAEYDLDDDADSTVAYAVQLAM RDKEEMLVEKALERIRRAQMLGKKNVRLSQEELDALERRRKGTTNRKSTATVAVSSTG RVPSVNDRRPKSRDSVYSVSKGSPRTSGASERRRSSQSQYSPIEFSGVSLRSSGIHAS LNNSPTSSSSRPRTPTMQSLRPQPSGNSPMMHQATYMQRYPSERGPTSSRALPDDPQW APRQRSQSNVLPYPYDHPAYVQQPYGAIPIDPRYANLPNRRPVTSDPSFSNANYASTS QNIPRREPANPTGRRIPDEVSEDEPSEDEDSEEEDDDDDDDDDDKDEGVKVTQPPAVR AYGGRTAVAGGGSRGNRETRRRRGR TSTA_047680 MSLIFEISMLDKWKVESKATHKLSMVDLAKCGLDIERHLQQELA NLSNKQGPIQSKGSSAIHNIPSRITATKAYALSAIVYLHVVISGPYPNLMQAMEDSRM WVILAAAGT TSTA_047690 MTATRTDTKRQPIEPNAAPENFPHEIPGFKWLLVIAAIYSSQFL FALDNITVAKLSWISVSFLIGSAGTDLIWGKFYAQLNAKWTYIVCVAVFEVGSAVCGA ALSINAFIIARAICGVSGAGMYIELMTLLSITITIQERPDWMALVVLYQSPYWHRVPP IYLFMFPSDKDPHPGVSFLDRAREIVGLFICSGVLFILLGIQQTYTIFTAVACRIIPI EFFTMPTILILFAATAAGGTTIFVPVYMAPLFFQFTRDDSALESGVRILPFIFVMIVA IIANGAILSKFDLSMSWYLAGGILIVIGAALMYTIYLSTSVSQIYGYTVLIGFGVGHV FSGILLSRPSSAVGFISCAQITGITLSLAIANAVFLDKSREGIKTILPDILASEIEQT IAGARSTLLKSLSPSVKDKVLAIIVDVDAQNNS TSTA_047700 MRHTAFQFLVVAILLAFVTAWTKEDHEIFQIRDELIAKEGPNVT FYDFLGVKPNANQDDINKAYRKMSRQLHPDKVKRSFIANSARKGDGKKKGTKPGVHVS KGPSERQIANAVKEATERSARLNTVANILRGPSRERYDHFMKHGFPTWKGTGYYYSRF RPGLGTVLIGLFVAFGGIGHYVALVLSYRRQREFMERYIRHARKAAWGDERALGGIPG LDATATTSPVSAPQAEVEESPAAMALNRRQKRMMERENRKESKKGSKNADSAPGSGTA TPISENVAGASGTKKRVYAENGKILVVDSAGNVFLEEETEDGEKQQFLLDVEEIPQPV LRDTFVFRLPRWLYRRALGRKDAGESSEAVEGADDVDVNEGDALDAEVTKSTSTRSSA ANAATRKRGKRGQKK TSTA_047710 MAPSKQMTVTPRYLLPRLTWNGTPRITITAIQGAPLSTVRPQGN RAEEHRLNSQKYHTSSPAVRRPSVSSSPFSSLTPSTSPTKASTNSDSSPIRHNGVYVA AFKPARRAFHASAIMSRDHHFDTLKFVQRLKAEGFSEDQAVAMMKVLNDVIQESIQNL TRTMVLKEDSERATYTQKVDFAKLRSELLNSDSTEAQLTRSSHERIAADIAKLNSRLR DEISRTQASVRLDLNLEKGRIREEANSQEMRIKETETRIEQEVAGLRERVQAVKQSTL QWLVGVCTGTAALIIGAWRLLM TSTA_047720 MASRGAGLPFRQLIQSSRSTTLAYRRAISYTHPLRAEVEQDKNE KDSKDKKKPSLFESMKGFMFSRAPQPGDKPARKPQPPPQIQRTGSLSQDSIFADEAAG EIEEEESTKPKGSAKLEDRLWGNMLRVVDPKPEARKRWERKMIIREVRKRGRLTKQEI IMATERESLVKSHWFKTSVKKLTPLARQIAGKNIDEAILQMRFSKKKAAKDVLEHLQL AKNTAIVRHGMGLTKAGESGRKMVPITVILKDGERHTITDPTSIYIAQAWVNRGPFGS DWDHRARGKINLLRLPYTGLSVLLKEEKTRIREWNDRETRALRQRKSQLWVQLPDRPV SQQNQYYSW TSTA_047730 MSSAWREIVGLTESNDEDNTSTQQTYSTPLTNGMFEENDPSPPR ENRWVAVNSPGYLARNRSKSNCYNEESGTPTPRGLRRPRSSRTLSSVTSGPRSERGTR KRVSRKPKKHIQFRHYHQRSGTFAAMADEMESGEISVGGGATTLPINDTTNQLLVTLK YRRSQVSATEGHDTGSPINQTQRTQDSDIMRITSILNDTTQSTSPPPLMSNTTITASS YGGSAFSRQTTSSPSLFNDQMGYGSNESYNKFAETSMRDGYMFMFTRPEHQRYEDALV KIVGDMELPFDCGSGSSGNE TSTA_047740 MAITESMTTAKRNEESYLTYSAVKGYFLQDEEDTDASRFDYVAK NFGLINRKYDYEEGLSARHKGHEDAAEETKPMTQWAGFEQQVRTLNGEAGPNVQYKVL YLGRHGEGFHNVAQNWYGDEAWDCYWSMQDGNETSTWSDAKLTEVGKAQAQTAHDAWW KQIENEIPFPEIFYVSPLNRCLQTAFITFYGLEKDIVKPFTPTVKEEYTPATVARANQ IFKENTQTTLSKRALLKKTSYGSLNTANQTLCVTHASRVSLMIYSPLIATMTNTLFL TSTA_047750 MQIFYFLSSFLAISSTVVASCTQPSASGIEALLQRRLPQHADKF EFGIVNATSLGENDVYVVLSAENGSIRIEGSSLSALATGLHRYLSDVAHVDIYWFIGS RLDQIDGQFPKLNEPLTGSSVVPYRYHFNTVTTSYTSAFWSWEDWELQLDWMALRGIN LPLAWIGIERIFIEVFQDLGLTDTEIADFLSGPAFLAWNHFGNIQGSWSGSLPYDWVD SQFDLQKKIVKRMTELGMTPILPAFPGFVPRAITRVLPDADVINGSAWEAFPTMYTND TFMEPTDPHFTEIQKSFIAKQIEAYGNVTTFYTLDQFNENNPSSGDLSYLRNVSQGTW KTLKAADSNAVWVMQGWLFTSNSAFWTNDRIEAYLGGVAVDSDLLILDLASESSPQWQ RTNSYYGKPWIWCEIHDYGGNMGFYGQVMNITNNPIAALHNSSSLVGFGLSMEGQEGN EIVYDLLLDQAWNAAPIDTESYFHDWVTARYAGSRSIPSSVYSAWDILRTTVYNNTNL AANAVPKAIFELIPSTTGLLNRTGHHPTKLNYNTADMVQAWNLFYTSAFKEPSLWLNP AFEFDLVDMSRQVLANAFIPVYENLISTYNTSNPSSTKLQTIGAELIGILQALDTVLA TNKNFKLSTWLSAARASAGSQHNIEDFLEYNARNQITLWGPTGQISDYASKSWAGLVS SYYIPRWKMFVEYLIDTPVAKYNQSAFNRGLLIWELQWQNETIAAAVGEEKVGGSVES VLEGVVGQWKDVFSS TSTA_047760 MDNYNNFDGTFDFGSFINFPEDNTMESNNNADFRFPTLEGFSAD PLSFSDVQLLPGWDLSQYDANANTFDSFGYSASSVSESPVTTNPDSFAPQAEDFRYLV DSRASADHSARSMKQKRRDAAIDLHLERFMASHSDSQLFPIGSEFSYSDTPSVTYSHE SSRQSPVANTPASSTSSSDQSTVPQTGGRELVFDINLNTATNLPKKQKKRTKAQIEDY INARRNGACLKHKKQHKKCNCHEKQSAKSHVDAKARKQRATLSKIPVPAPGVKPTIVE APALSWSSFMPSVYSHMDDQPFNEETDRDWALLADWERWDDLEHTSMVKMSQQQNSQT VSHGSLLPGRPRAPNTPGLSVSANLQPNVGYSAGGDGSFNHLLGLRNDTSDRHPLNAG QLVTTAGTLSVPLRRTERTSVWGQNISSVRSSVSISSQFVRQNGTVRLSTPIQQGLPT ASVLVQLETSRRPGDTSGLMWNNSPLRPGVLVSSAITMLNAQQQPSAPAPRVLPAVPR AHTASQGLPMESSVSVASAAGWEQQTGPISTIPQRPPVASVQQVTQPRSAMDVLATVL APSFQNTFSELQATNFVDAMFKDVSQAAFVVVQQYYSIFAAVLSSACWMSGLCVVALQ GIGQLAMLFRSSFYHLAGEDFRYHKSLTTTCAAELSTSLSFGHPLVLNV TSTA_047770 MPRVIGLGLRALEFIFTVILLGLVGSLEAQSDSNPESVHFSMFT AAWSALFLLYLIPATWTESFTFHPIIPIVLDTLNVVFTFCAAVTLAARTKAKSCSNHI FLDTNSIAMGSENRCREAQAACAFFWFLWACYVGSLISSVLGGRSSANLRATPSVRRP MSQV TSTA_047780 MFKSLLSSITGGTNKDYIFPAVNTQEDGPDCLKDCSDCTVHLPD KFHIDSERKIYGKVKPFTTHVLVGTGKSDWVSKPEGERGSLVHALCEVSSKERLMVNA SNITAHKDDSSTDRDSATTVLLLPSFQLIDNVTISSVPELVARFIDKTSTSSAETSAL KPRPCPHDYVVLLCSHKRRDARCGTSAPLIKKELERHLRPAGLYRDATDERPGGVGIF YVSHVGGHKFSANVLVYRKEEGQLIWLARIRPEHCEGIVKYTILQGKVVHPEFQLRGG FDKERGVTSW TSTA_047790 MQSYYLKDQQEIGNRSVIMAAEIDLLDPEPTNNSINNSPSETRP RKRRRRTVACTQCRTRKLKCDREYPACGRCLKSGIPDRCKYEDSFVWQQPNTVNAAAA STASATTTTSPPNGGVAGIDRLNGGHITLPPPNRVATGASPRFATVLPAYPKSASVTG LPAPLPLPVSSQSLPSARSHSNSAYHLGQSGTSRARFLDTVLDGPNPPTSSWSRPDGS TAHYSHAGGYQHSLATPSQRLELPNKVMIRGKETRTRFNGGGIMSNLMLQFPELKAFL HEVKTGNPNFARIRMAKDALKFSSLKHKLKERLPTIDTATLLSLLPPKPVVDMLLRLY MLYVESMHRVIHVPSFRRELSELQTNIHNPDMISAAFVAQLLLMLAAAVGFYEAEPSP DFLHDSTISKTFQVVEWIRYAEKWINTTYVKRPDLTILRIQCLLIIAKNNQGLNRSQA WLATGNLVKMAMLAGYHRDPGNIPKITLFNKEMRRRMWATIIELDAQIAIDRGMPPTL QASDFDTAPPLNINDDEIHENTTEEPQSRPVTEVTDCTFQVVLSRSLSLRLKIFSLMN SPVVSCSYEDIQKIEWELGRFQASLPTWQATTDTEISHKLTLWSAVLETKLGQALLAI HTPFAIEANEDPLFEPSSRARLEVATVILSRHLKLHETSARLSFGHSADSTVQASFSV LQHLFARRTGYTSNLLRQVLPAFTESLLDLVEKTISCMEARLLLVIKGAKQFFFLYMI FALVKAELYPDQAEEIKRLIVDRILTVTYRILRGSDGTVSLDGIDLPSISQVPSLASG NEHNTPLESLPGSFDSNNLSDLMLTPPDDFNSLLQVFDWEDFSTFPFSI TSTA_047800 MVRLGFITAALAATAFAVPSPALKRATCTAGDTSTTDGVQAILD STGAIDWLDLMLGSFTDGENNWVTALWQESFPGQGASPLTGCGDIGGDCAPDSLCSNY PSEMAYWVFRSVGNLHSKINSVRQQLLWTGWLNGLSIDQIHDDFTAVNPEPSWLQWVS AAFTMAGGVATGIDLSKPLRGMIGFASGAFNEIYNQGSSNVQVDVTSVENTLRNIVGA AGNYVATVLTNATGNGDPETLPIYTYTALQHATARFFDDNTILLDEKKDNSSFISAYS HFANTVEKKLVDVSMKTAYHILFADQNLAQSDCNMKGAYWLQAKPGEYFCFYLIRATA GAQCSNNDSTSCKFYDSPYGPRWDTGVYDKLTNTYGFDLQVYYTSLIDCYLNGKDQVD LTATTNDGQIPRCFYSLKLTNLQRNLHLP TSTA_047810 MATTTTTTTLSTSEANQLNSLRAELKQWEKAFADANGGRKAGRH DIKQDPSIAAKYKTYGRLRALEESSASTQNVGGQEKTPSESNHRTKRKITEVNDTADN KFTPRKAPRGTFTPSRNTANPHHPATIDPYDSPSTFRRLFSPSTHRQLQQPSPLPLKT AIGPTPQRNGKILGLFDMLSASGGSTATPSANRIATLDHAIAQTPSRRKPAPKLDDIP ESADNEKEEDEYELKNLMGRTPASSSKKMYLERLFATPTTLRFATMMEEEDNQPQRIL YQKAETGTQTDVKHPLGSETPSFLRRWNSGRYVSSSNDNDPANPFSPVGVRKFQRYNG KRLTALVQGLRDMENERMEDDWDILNEIEAERNGPALGIAGEGENVQVGDSQMPQVDA GDENLNGGKVWKKKGQKRTTRLVKMKPVMQVKNKPMKQSKRTQEKEVEDEIEDDLQPI VDPESVDIDDNLRRTRNLRERKQSTETDQQNADEEDEYSDDNSSDSDFTETAPSKQPI PSTTIPKPTSSFSEKLKAAFSTIPVLKKNTKKPVTKKDEDDKQKASPKKRKVNPDAHA NYRSLKIRSKGQGRFGRGRFGRR TSTA_047820 MPGITGLSNMNTTIGIDRVQTVVERLVAVVRANAVVSGVAVAAV LGTAWMIHDYKEWRALGTGGTPPNVFGYCRMTISRIVFAYHIPRQTDPNTLSNNGPSY IKASIPLRSGGRPKIMPRILPQRQVPEPIDPLVKEKLMSLMRRLASKHPDILETRPSH TEGGTADGLYARKDNGKINPIVVSDRALDYEIAHAHPRDNSLHLWLSEADARKVIEAR WGQRFCVPTVQKGWTMVYAPRNEEELELIEEFVKAGVRWVTGVAI TSTA_047830 MEVFCRNVPGQLKKRHLEQELRPILAELDIVSFECRVHSNGHAT ITIADVFKARRLLDRYPRVRKDPRQQLKIRGTNIFIEEGRNQPDPFLLQSLQEEDKER RSRPRRSNSISNDADSRGPRKFSIFNLSCGTFDFRGTSPVFIEQFEIHAASGTIHFGS NKLTITLPNPEDVSKNFKIESEYWSIYDAIYVSDQGHPTITFGCNYAPRLFEAPINNQ MQTTLATFMRDRRAPPPREEKKTRIGFLNLSHKCIVSSCFVYRVTLINQQDFSSILRL SHNPNLPELVRWRELRVATHLSFTTQLTNFFSALRQGVFPFAVQFQLRMLVSNGELPP EKVISLFPYVRTLLARKRPLVVAKILKGLPRRLHHPDPHADSADVSLEAIIETLKEIE EMQALEYVQPGQPGYLHHNQMEIHRLSVTPTGIYLDGPNVEAENRVLRKYRHQSDYFI RVRFIEESGDPMMYDISSNLDRIFKDRFGEVLRDGVIIADRHYRFLGFSHSSLRSRSC WFMAEFHDSEGQLITVNSLISKLGDFSHIRSPAKFAARLGQTFSDTLTSISVDRDMVK RIDDVERNGRVFSDGCGTISKEVLWRIYRDYPRNAAVRPTVFQVRISGAKGMLSLDPR LKGPTICLRDSMIKFRAEADHNIEICGSGIHSLPCYLNAPLIKILEDLGVARDLFLEL QREEVQNLRLAIRSPRQAASFLDQAHITKSTRLSWLITVLQSMGLNYNQDKFLKRAVE LVILMKLRDLKYRARILVPEAVTLYGIMDETGYLQEGEIFVPILNEVTKRRNILVQNK VLITRSPAFHPGDVQMVNAVNVPEASPLRKLHNCVVFSQKGERDLPSQLSGGDLDGDI FNIIYDPRFRLQRLARPADYPRAPGTVLDRPVQMEDIQKFFIEFMQQDQLGRIATTHK ILADQQAAGTFDPNCLTLAHLHSTAVDFSKTGTPVDIKQIPKYPPYRPDFMAPGPRVR VAESIEVLDDGREHIWDDGGSIIDDDDDVRPPLRYYKSQRVLGALYRTINERDFIREL EWDSPNHQQQKQSSKSVNVLAAVWEYVKCETAGFLWDHCVKDAYYIRDVYEDSIQDLM YQYSDAPWKTCLTEVEVFIGNIMGQNHKQTAYQKESSRAMRDAYDDLVKWTISLITGR GTENEGESLERSVACFWVALEDTRQKKSSVLPGQKKLDSYAWVAAVTCLHEIDKLQRS MPF TSTA_047840 MLSNMSGSDVLAASLSDPSHQRKTVTSYPYSSSVGSSASSSSSS VFSLDCVSTQSSISSSSTTAVDVIWENDESGESSGRGLPHSENASHCLRNSLRGLPSK VTECPVPPELRKNPRRTACPTATANGASASCPRLPPSLVRQCDRKVNFVDSLVVAVRS ESPLGCKGVLPLRTFIQETLRRSRTSFSTLQVALYYLIKIRPHVPNHDFTMEQPRDSP CARAMQCGRRMFLAALILASKYLQDRNYSARAWSKISGLHTQEINQNEMMFLQAVDWK LHVPESIYHRWTDIVLKYTPGPASSPNPDDCWKTLIPRLTPDLVTVDVEPSSPPEMFG LDFSDAPSPRRSPFRVNNIVSMCSPSEQQSPTCPRSIPVLEPSTRVESSNPTLPALHK LGLLPTPQLTPQSCAASTPAVSVRGTCSRRPSFASAMSQAQNMCMSRLALESRPFPTS KPVNFEVMPPLTRRSSLARSTSSASSPDSMVSDVSTISSRSSRSSSISSCASATCAPS QPRLAVTATRRCAAMTLKECRKNLAIHSPIDESSLIGVYSSPESGLCGTVPDLSNFSL NTPVDMTAHEAAQGLCELSGAMLRSQSPVSKVNESRPCRKRGRTSSDDQILQSNVREL ISPIYGNEVLTDEKLAKSFLLPKDPSDHCLSSIQLPIPIPAPKGGIKRACCSAEVRRH NILPTTPTPMR TSTA_047850 MAQGHLNILTLLSAAILCLYLPSVLGTPFIVTPRREDGLHQQKD QTALLQHVGYQGNSNNAGRDDPLKDFISDVVHDSVVPVAPPASIPLPSPPMMSSLRYA GNVDGLDNITIGLHRIADGEELDGDEETAADDEEELSTLRRPSWFDSVLLARRLLAKS SIGIASTVYQHTDSSSSAHLTGLEGVPISLPEYIADCSEIIDSNNNNGNPILLGLYVS TTFRNIAKGSNISLSIDWWNHLNDTKPVYPGFPLSEAGLPRISLIGYVERLDLSSTEK IKDGLEECFFAIHPDAKVWAPGNPDSPHSGFWARMVVTRVYWIGGFGDLQQIGWINMT DWRGVGRYESASSIGDGSGRGWEDIRLPGE TSTA_047860 MLNADPQRETPFERMKSSIAEAMQPGDTKSTLHRLPPDEQESIL PLKEDEKPLYGQRQSTEFSGIGSSSSAGATTSKKDQGMMASAGEMVGKALGRK TSTA_047870 MRHVHKYDNTDDEITGKLDFPIEDSIAKGALLGDSVFPDWQDGA PHSNAGESPDEMQRKDPLATQIWKLYSRTKSQLPNQERMENLTWRMMAMSLKRQERER AEQARRAAQQAAQKPSTTPSGIAQLRQASQSNNAASTSASADFMNLDEFIVPSSIGSP SGIETLPATTDHNTATQPHSVAPSGIPIKGRKDQNLSHGPLIPASLPQPSQDLRRNDE FGYVQRRVRKTSVDERKAPRKRPAEFSPQVVPTSNLMVQHNDHELDTAMMPDYSLDQP AFHHHHHHHHHNNHTSPNVPFNLDTFQINDDPILTSAGPFQQNFTFSPTESPLVTSGP FSHVYAQTPMGSSLNSTDFYSPPPSGYQSTASTPQPVYDGEHSMYFEQNSMDARVQRR VPAYAAPSHRTSNLTASLQPRYMYGSKNDQNSATTAAAAAYNSMSGPPSSIPSPGFAS GQQHIDPSSVISHNDFSAPPSQHNLNLTSSENMFTFGADSDNEDDETSAFPDQNMAMQ TDFHGIDDISGDMASSLQWDAQLAGHYGSMPNFSGLHHGRMGDIMDQSHDWESTSLPR GHASATNMSRPKLGRAHTDHMGMQMQSHTSPNTPPESGFSSAVPSRPASPGGTKPGDQ GAPTTCTNCFTQTTPLWRRNPEGQPLCNACGLFLKLHGVVRPLSLKTDVIKKRNRGGG NNLAIGVSAARSKKGSRKNSVQQIPVVTPPSSSKLQSANASESPPSISGSTTSGTGSN GVAVSVKSGVVPIAAAPPKTTPSAGAQARAIQVAPKRQRRLDKDSIGSLASIQASLSQ DSPEAVNDDMNGDNKASAGSSGARSKNVPLAPAMPPAATNPAHHSLAAGSGQTASQEW EWLTMSL TSTA_047880 MSTTIIVGAGIVGSALAHFLSSSSSKPKGKIILIDHSFKPLQGS TAHAPGYIGQFNESATLTRLAIDTVIEYAKIPNGFNVLGGIEAVGTEEGLSRLQVRGL PASMITATQAAGLAPDFVRKEALKGALYFATDGVANATVITEFYQHEARKKGVEFVEG VVTRIKYNEDGDVVGVEVDVDDNEQDEDESDKRKVKRFIASDTLILATGIWTQDLCSD LDIPIPIIPVAHPYMYIKHDRLQNDDDAASGNTTTTTTTTSPSSPKSSTKSHLSPRLH NKPSPWIRYPEHHVYARDHGPFYGVGTYNHGPAIQCQPTDHVAIGPWYEEIFSSALEQ AKALLPVLRICDNESVEYSMPETKFNGIFAMTPDNLPIAGKVDGRKGLVLCAAVWVTH AAGVARFVAGLIERHNDDYGKGLDGDVVRHLDPARFRGRDFEELRELSLRGYSQIYCT GEN TSTA_047890 MHSVLVETVSPLPNPTNLLIMEPLGASGAGADKKRNKLGYHRTS VACVHCRRRKIRCLVAADDSQGRCENCIRLRKECQFYPVDQQPQPDRKSSRPGGITLE TPSSSADPSIASSSPPPLGGAMDPTDIYQYQQLSLHSTATTPEMTASGFHPSAGFSSV ASMGGFATGPTSPVDGVAHPELVPGQTIDPNATYNMGHASPVMWTQGQMLTALPPGVA PHPQHISTGIPSHPQMLSPAAAAPYTVRPDGSIWPTPPPRAITTIPSQPEMYHPHPHH HTIFASSPVPQPELKRSMTSPAPGRSHHQPSPLHSPPSTQIQINYSGQPIPYQHHHPP PPPPAGGGQSQPYPPQWNPGMNAIPMGDGTYTTLYATPEHFQMSGQQHPPTGP TSTA_047900 MGSSQKRKKEKQKDFQAKAKPANFTDTSFRSKAIVLNQQSLSTS APTSSAQFSHHLSLLSSKSDIQRKESLAHLTTSVSSRPVDSPLPQPVSVMIPKLVPLL LDASNSVRAQLLKFLKTLPTGDIEAHAAQLLPYIRAGMTHLAADIRSSAVEVLGWLVE TAGGEVVSCPGGWIKTLNCFLSLLGWHTEESAKWSSNRSSFGKAGSEGRPMVKALHAL AEFLRAGISSDDGEAIIGNEHLPADHDTGVEFFPVDLTVRQNMIPDRSAPYAYLNLFG QPRDAEGEMYESREDRLRVFGDMFRKAIERGVENARKEGGEVGRASAAVFKVLRETRS ER TSTA_047910 MAQPNPQVPQHVSALLSHLTSRPGVQSTLILSRKDGSIIQSTGL LAATSPSSLRTSNNTTTSNTDNNSNGSSNNIESSSPTRTGTSSSTTDLASPTLPPSSS SLQDSTASGGQTSTTSIQNNNPQQQQQQQQQQQQQPYKPSPAETLAAHIFAFMTSASG LALSLSGSGSTTGNDLDSSRSRGVITNGTIENGIRTPDDTDRTHEREEDDEIKLLRLR TKKNEIVVVPDRKYLLCVVHDASGAGAASGGGTSR TSTA_047920 MDHNTLKTPEIHPLERTRSCDVEPKQPANIPNVLEHALQNIDTT TTTAATITTTAKVTRVLTKDDVKYLFSGAPHFILEKGRHNHWYPHVLFPWDTSLPIQD LWDREQLRHESFTLSTLHAHLPVPTTVHSDASKRQKGWEYEEGVKRSTYDLGIFEVPN MLSINGKEPGAVGFRHFLELATADAVRYKPAVPTKGSDTINPHLLSSMPLTEAFDTLS HLKDPYSLCNHQAVVLDRHKLLCEGPPAWKRLGVRDANVRDIVERLEYLANFRLNAIK QPPVDESSGRKKIITILDKESPEMLHKGLFTKFLFPPPHGWTHHEHDELKAQIEVLTR VLAVKGAWIDMSLPEWRLRVGQLLWEKPPHADGDCMDCLKDANTRPEENKKGKAPPLC LNQGLERKWLLIQLLLAGELLMRLDAIVQLAVLQHSRTITVTAQDMRELDSLRHGKVN WDILFFQRASDNLTFKYLPSNIVPASASSSAQPPQKSGTSSPPPETKPLGGLRSKFGS ISQHLPLRRTNSTRIGLENTSHEQSSCESIWDSVVVLPRRPESQLKGLAMFAEKIGWP GHETLEARIRSSFSHTNGETVHALTSDLPVKSAIASRTFPKKEAYCRSPSHRYVVLNK SESTAENHNTNTGGWLTRSWLSGLIMPGESIHQFLMSTILENDPTALQAVGTVANLYG GLSYGDEWTWWSTKCIVGRVLAALPGSKICMGWVRVSTTPDVEQAKLKNTWISVEGIQ EPLQKNMRIRQGMKIASESNPLGKGRVASDTFTIPIDEGDNDLISGFDVKMDKLILVR QSLPVECCTAEAIKDVFTAGRTSISFQVATTPEPSTITFQLKYNVAFISSYTCRTPRG SVTHHMLHGDSEEIHEHDMQSLHGHPHLPGHPLHNSYKYKYVPLRDLHSDTVPPIISF PASKESSPSRSNVRYLMNHDTKPAQNNTNKKDEKSATLDEKEMEPIWILDARSSTHDK EVFARSWCAAVGVHALIGRVGRTCLACCIREARAIGVGVVIRV TSTA_047950 MRLFINPSSRTASKTTFDRILQKPSLAIYQQYQLKNPSFSATTP TTSTSSIFKRHIHCSSVTMAGVSKACCSIPPVISKGYEAKGEYKTIGGLKTYVTGPAD ATRAILIVYDIFGFFPQTLQGADILATADKDKKYRVYMPDLFEGEPANISWYPPQTDE HKKKLGHFFQTKAPPPKHLAKFPGILADANKEAAGGNGYTSWGILGFCWGGKVANLAL AKDSAFKAGAQAHPAMLDPEDAKNVTVPVALLASKDEDPAAVKGYKENLKVANHVDTY STQIHGWMAARADLEDPEVKKEYERGYHAVLDFFHQHL TSTA_047950 MRLFINPSSRTASKTTFDRILQKPSLAIYQQYQLKNPSFSATTP TTSTSSIFKRHIHCSSVTMAGVSKACCSIPPVISKGYEAKGEYKTIGGLKTYVTGPAD ATRAILIVYDIFGFFPQTLQGADILATADKDKKYRVYMPDLFEGEPANISWYPPQTDE HKKKLGHFFQTKAPPPKHLAKFPGILADANKEAAGGNGYTSWGILGFCWGGKVANLAL AKDSAFKAGAQAHPAMLDPEDAKNVTVPVALLASKDEDPAAVKGYKENLKVANHVDTY STQIHGWMAARADLEDPEVKKEYERGYHAVLDFFHQHL TSTA_047950 MRLFINPSSRTASKTTFDRILQKPSLAIYQQYQLKNPSFSATTP TTSTSSIFKRHIHCSSVTMAGVSKACCSIPPVISKGYEAKGEYKTIGGLKTYVTGPAD ATRAILIVYDIFGFFPQTLQGADILATADKDKKYRVYMPDLFEGEPANISWYPPQTDE HKKKLGHFFQTKAPPPKHLAKFPGILADANKEAAGGNGYTSWGILGFCWGGKVANLAL AKDSAFKAGAQAHPAMLDPEDAKNVTVPVALLASKDEDPAAVKGYKENLKVANHVDTY STQIHGWMAARADLEDPEVKKEYERGYHAVLDFFHQHL TSTA_047960 MAALAVPPPGMGPTLDMDPASQPLTARRPAASNLPVFELPPPAN FGLVNSSTKFPSPSAGNPVSNVSSLLTPPSNHSGEGANNSLPPGPSISTTISASQEVP SYPPSWQNQSSYTYGSPSQASWGPSSTSIFPPPRSVLSPTSASLGRNSGSLPPTTSDG MASQYELNHHLPPFQQQSIPTSSPASATSVISHGQHPQQQAMAHLPTTSGASSHYQTA TVDMYSRPLVSPLFGPSSSVGAGQYPPSYGASPVGQSGYAPRLQSGPSQSPPMQPPHL GYSRPPWPSYSLPAMSGPIMTNVHNPHGQMSLVGNMATGMIPGFTSGHLASMQHMYGS HPSHHQGHGQSAPPNDRPFKCDQCPQSFNRNHDLKRHKRIHLSVKPFPCNHCEKSFSR KDALKRHLLVKGCGKNTDTSSNSGTKDDDTLTKVESQSDKASPVSNSI TSTA_047970 MTLSRHVDPDELIKALRDGSNNSLKTNIHTENAVASSTPYTTRY SSKEPIPKFRIPTEGAPAEVAAQLLRDELDLDGRPNLNLASFVGTYMERQANALMAEN LAKNLADADEYPALMLMHARCVSIIANLWGAKSNEKAIGSATVGSSEAIMLGGKAMQR RWQEKRKAAGKDISKPNILMGANAQVALEKFARYFDVEARILNVSEKSNFALDPEEVR KNIDENTIGVFVILGSTYTGHYEPVEEISKVLDEYEAKTGHDIPIHVDGASGGFIAPF TYAGGGQKWNFELPRVKSINTSGHKFGLVYAGLGWIIWRDQSYLPKDLIFELHYLGGT EESYTLNFSRPGAQVIGQYYNLIHLGFNGYRDIMENCLANARLLSKALEKTGWFTCVS SIHHKRTLKSAKDTVLGEGGETSADYVPGLPVVAFRFSDQFQKDYPHVKQESVSILLR AKQYIIPNYPLPPTANKIEILRVVVRESMTADLLERLIADIVAVTEKLVDSDPVDLSR LQTHPDRTRLERKRHHFKELGQQTIDRPHSGMARMGEGVHKTVC TSTA_047970 MQVDPDELIKALRDGSNNSLKTNIHTENAVASSTPYTTRYSSKE PIPKFRIPTEGAPAEVAAQLLRDELDLDGRPNLNLASFVGTYMERQANALMAENLAKN LADADEYPALMLMHARCVSIIANLWGAKSNEKAIGSATVGSSEAIMLGGKAMQRRWQE KRKAAGKDISKPNILMGANAQVALEKFARYFDVEARILNVSEKSNFALDPEEVRKNID ENTIGVFVILGSTYTGHYEPVEEISKVLDEYEAKTGHDIPIHVDGASGGFIAPFTYAG GGQKWNFELPRVKSINTSGHKFGLVYAGLGWIIWRDQSYLPKDLIFELHYLGGTEESY TLNFSRPGAQVIGQYYNLIHLGFNGYRDIMENCLANARLLSKALEKTGWFTCVSSIHH KRTLKSAKDTVLGEGGETSADYVPGLPVVAFRFSDQFQKDYPHVKQESVSILLRAKQY IIPNYPLPPTANKIEILRVVVRESMTADLLERLIADIVAVTEKLVDSDPVDLSRLQTH PDRTRLERKRHHFKELGQQTIDRPHSGMARMGEGVHKTVC TSTA_047970 MYALLDTKSSKEVDGRSFVGTYMERQANALMAENLAKNLADADE YPALMLMHARCVSIIANLWGAKSNEKAIGSATVGSSEAIMLGGKAMQRRWQEKRKAAG KDISKPNILMGANAQVALEKFARYFDVEARILNVSEKSNFALDPEEVRKNIDENTIGV FVILGSTYTGHYEPVEEISKVLDEYEAKTGHDIPIHVDGASGGFIAPFTYAGGGQKWN FELPRVKSINTSGHKFGLVYAGLGWIIWRDQSYLPKDLIFELHYLGGTEESYTLNFSR PGAQVIGQYYNLIHLGFNGYRDIMENCLANARLLSKALEKTGWFTCVSSIHHKRTLKS AKDTVLGEGGETSADYVPGLPVVAFRFSDQFQKDYPHVKQESVSILLRAKQYIIPNYP LPPTANKIEILRVVVRESMTADLLERLIADIVAVTEKLVDSDPVDLSRLQTHPDRTRL ERKRHHFKELGQQTIDRPHSGMARMGEGVHKTVC TSTA_047980 MVMDTIRIPSPSAILDSPIPPPVSKRPVSNTRNVATSPKKKSAP ILIPTSGTPRPKQSKSRNGCITCKAKRLKCDETKPSCQQCHKRNVTCGGYKKDFKWRP FEETQAVPKAAPKKKASPPPDPDGNDSQPRKAARRSSASSGQLLGSKEPNFFSPISSS LPDDLSLPETPMLSDPTPLINNAHGLNFGVPSLEEFNLPTIMNTPETELSSFILSSAE LDSISHSLPTDLDQAVMNIPSQPSFTSMLEEDSEDAENIIRQSEPGFQLWPVRDTPPP TTQVDVAPDLSMATILFQEPEPAPGSEESLIVRFDRLTCGILSVKDGLSENPWRNLIW PMARESSALYHAVISMAAFHSSKDNPALRVFGMEHMRRSINFMVQGMGQMQTDAALAT TLSLAFAETWDQQTSTGIQHLKGARALMRQALRNRVRRHPPSEELGRIRFLYNTWLYM DVIARITSMDEADTEELGDPRFAISEDGVHDVDPLMGCATTLFPLMGHVANLVQRIRK TERNSFAIISQARELKNAIDRWVLPDNFNPPEDPQSELQDSIQTAYAYRWAILLYLHQ AVPEIPSPSSSVLANNTLKLLATVPLSSRTNILHIFPLFVASCEFEDEEDREWIMQRW VAMQNRLRIGNIDRCVEVIREVWRRRDTAKAERLRQQRSCPASRKGSMVVHEDHHPSK LGKVYGSSIDNTQTLGGLYSTSPTQRRQGSIVPIVEELDFEQTVRGSHHWVGVMRDWN WEGKYTNHP TSTA_047990 MGSILSRVSGSKKSITTTAKDAKMAPVGMTYYEKAQSTFKPPLI ANDNAFLGDISSSEKNDAEHPVSCGFYRLEKGTPLVYEYTYHEMKIILEGEFEISDET GQKVTAKPGDVFYFPKGSKITFTTPSYGLAFYTGQRKEGAA TSTA_048000 MAGSNFTSGSPTRPNPLNLPIPPPLLHTRASQENYDRPSTPTHS QNEFTSPVQTPTGSPSKNRMPPGALNLPGVFEKAMKLAPSSPTKSGFGTPSSPAKGLS VLEDFNGSVIHQDAYQQTPGSPTRRSNKENAPPSVPRLGKELGLNVNSAAVSRLEQYQ QRDNMESVRRAQNQTRIGLTAEELEKLQLPKVKRLANVTQLYFLDHYFDILSYVHNRQ TRYNQFKAAYPAPPETPVEEYEPALQKYLGRERANLRKRRTRLRQGDFHILTQVGQGG YGQVYLAQKKDTREVCALKIMSKKLLFKLDEIRHILTERDILTAAKSDWLVKLLYAFQ DDQQIYLAMEYVPGGDFRTLLNNTGVLHNRHARFYIAEMFSCVDALHNLGYIHRDLKP ENFLIDSTGHVKLTDFGLAAGMLNPGKIESMRIKLEEVGNTPVPFGRPMEQRTAAERR EGYRSLREREVNYANSIVGSPDYMAPEVLKGEDYDFTVDYWSLGCMLFEALAGYPPFA GATVDETWQNLKRWQKVLRKPQYEDPNYFLSRRTWDLITRLVAAKETRFKNIQQIHDH EYFAEVDFNKLRERNAPFVPELDSETDAGYFDDFSNEADMAKYKEVHDKQRQLEEMAD REEQMGKGLFVGFTFRHRKPAVEDGRGSPRKSILTEGTFGTIF TSTA_048010 MAHPGEHHQQMPGNILSHPSTEKNHGRLFDKDTNTTIITNKPVV ISDPSSGELHFIPGDVTHVQHDQHRHDMLKPDSRRMTVEFDEDGARQELQEALQNFAR KLDFVLTNNNKPKKSKGKIHVRNNERMEWD TSTA_048020 MFYSKYFASIVLLLGTSLAAPLRAAIGNSGKHLKDLDIEEIIKF YESTYAVASPLVKLAIICFYPCLFINSGFRTVVNTLFILCIIWAAVTILGFALRCRPL TQQWDMTVFGTCDGQIAFIESIQGIDISLDASIFAMPWPMIWKLHRSWQDKIGLSGIF LLGEFVVGASIYPRFGVFPSSTPKKEAPSPILNRKRSAVGEKHCLKHKTLDIITLFHK PSLPSSTRVLNVLRTASANASEASNATLDQASDPSSSPTPSGSSSSSVADGPLRDDFE IEVTESLPTKDQLSTIIDYLAAKGVKPGAAVQGAVGKEDALRKLSESGFRRPIVVDWS NGKAVIGDNESEILRLLRKGDESI TSTA_048030 MVLIQENLRIAEITLLLLYDVRRYVHFEVYNSKPPSFFIIFIKS SNHDYHVYQTTGTAPLHVPLIIDSREEPGQKSFKVVSPYTNKVCWTVASATPADAVRA VETVQKAFPAWSNTKPIFRRDILFKAADILESRLEENGEFMRTEMESDVPSSTGLSFR WQFACCVILLLGLLLSVVAFQLLKQKGRARSYIENPWERSWGFLLGCFNLISTRAEDA AAVVPSMIEHPAIRKVNFTGSTAVGRKVASFCGQHLKPCLMELGGKNSAIVCEDANME IAAWVVLMGAFLNSGQTCMATDRIISHSAIADNFKSTVKRLLTENSFAQLWALVSMAS KARATEIITSALSAGAEVVHGVFHDTAISQPDSDVSKVSMAPVILGNGVSSYLTLNGF DQALAFLQQLAQNQAVCLMNVCVLRETVKIISPLGNLLVDHAVVKVYGLWTRHPNSQV SEVQRSSG TSTA_048040 MDMSGMDMGGMSAGAGIPTYFEFQQYYWAVVGTVIATATVANVL NRFLAKQRLLDKSKTPSQPKSSFFQAYATVTAITREVANATLQPVKLGGYTLHLAPIG PVSLVLANLLTILVMMFYGFDTANWLNWENIGYRAGFMTICQLPLIILLAGKQNIIGL LTGSSHEQLNWYHRWVSRTLWLSATIHMGFWFRDYGRFHYILTMIKTDYYTKHGFAAW IILTFIFLTSFAPVRRWNYEFFVIQHIVTMAGFLAATYLHAPQEVKVWVWIPIGFVCF DRFARILILAFANLSAIHFWSKKQRPFFANQATFTPLAGNVTRITVRDPLITWRPGQH VFLSCHSVVPLQSHPFTIMSLPSDDKIEFLVRAEKGGTRRFFRFATKHSALLGETQSS ENSKRTVFIEGPYGTVRPLRQFDSVVLFAGGMGCTYTLPLMRDIVHAWKRESQSTLRL AVTKRVKFVWVIKSRSQLTWLEPELQSVLQDLKNLGLQNPQMDREIEISIYLTCDEAL EKSTRTAAPTQQRGYTEISRNDDIDEKKALEAENVVVRSISSTSESSQANQTTGCGVT RKCCCTMTIENEDDVAFVQKCTCSGKAATTTPSSSATPLVDMKGFKSMTGRPHPRSII HHVLEHAEGESAVVVCGPRGLAADVRHSVVSLSDERAVHKGTGAQGIYLHVENFGW TSTA_048050 MDMSGMSASSTSSSSSGMTMAMAMVFTNSHSTPLWSSTWTPTTS GQYAGTCIFLIILAIIGRCLMAFKAAMERRWLAIALDRRYVVVAGKKPDSILDKADPD TIKTGTLTCQGVDETVRVVRQVATETQPWRFSVDLPRAALAFVVAGVSYLLMLAVMTM NVGYFMSVLAGTFIGELAVGRYIVHWSEH TSTA_048060 MRPFFSLALASVATAHFTLDWPVSRGFNEDTMPTFPCGGFNTPV SNRTVIALDAHSIPVDITFHHTQTAVSYLLALGNDPGSSFNITLGPTLAATGLGEFCL PDISLSSLNLTDGQNATLQVITDGDSGGGLFACADITFSSSVAKNSPSKCANNTGVTA TALSGADGARTANFSNPDGSPRSASPSSSTSSGSSSTSATASAASSTHTGAAVTLETA GWGVLGSAFVACLALL TSTA_048070 MPDPMQLPHELRLMIMNHATDEVDRMLCNMSLVSKRWHAILIEQ IYSCWQQYAYNRNPISSMWKFLRTILSNRQIADTVREIRYSSFELSGGRYSHSSKRPT LSRGDYDMIQKAICRAGLDHLKPYVIEAINNADPRPLLALLLANLRNLQTLHACLPDS DVILAEVFREAVKCKQNLRNGFSPLHNLREVYLDGMPWVCNECKRSHHIHVTLLWPIF QLPAIHRVSVSNFKPHEGASRAKYSKDFGYVFEASTVTDLNLAVDTSSNPCLGTPDSF ILFALPKRLARLSVYLKGYNSTISNTDIWNAIQPYEGSIEYLDIDRHDHCRETDNSKL GSMRGFKRLERLYIQPRLLNDKDSLGDTLPPNCQSLNFYFDGRFSFSKTFIQQLQDFI PSTHLHVPNLWHIVLDRSGYLISRDFLLYDQMEDSCTKYGIKFEAKLLSKYGKGPRHW TSTA_048080 MSYRAPFVVPAIKKHTATVIMAHGLGDSGAGWVGLAQNWRRRNK FEEVSFIFPNAPMIPITVNMGMTMPGWYDIAHLGQDMDFEEAQRNQDEPGILKSRDYF NTLIKEEIDKGIEPSRIILGGFSQGGAMSLFTGITSPYKLGGIFGLSCYLLLSTKLKE FSPPGGELPNAKTPFFVAHGYEDPVVKYEFGDMTQKRLKGMGFDVEFHSYRGLGHSAD PQEIEDLETFMAKVLPPTASDESAGL TSTA_048090 MTSTTPSDPNQPRSTLSQDLQAVLGITAHGLRNPAEVWTAVGPT MNELTWGLMGYGFRPEQDIPDLSGKVIFITGGNTGLGKETILQLARHNPARIYLAARN VQKAENAIRSIKAGLSAAGSAGEVDIRFIQLDLTSFPSIERAAETFIRDNTRLDILVL NAGIMAEAAITTPQGHEIQFGTNHIGHFLLTKLLLPVLLETASPSFSPKNEKRDVRVI TLSSLASAHTPHTPEFMDLISTPRRLLDLTTWQRYAISKAANILFAAELARRYPSLTS VSVHPGVVASDLYNGTEATNALAGSILPLGKLLLFRSVRSGTLNQLWAAAGGKKEDLV NGGYYTPVGNRIEGNRFVVDKELAGRLWEWTEREVQRFGL TSTA_048100 MDAAKLAKLQQSVRIGYVHLPRNTSTFAHRNYLEQQKQGKGTPR RKTKRVHKTSTTDDKKLQTTLKKMNVQPIQAIEEVNMFKEDGNVIHFSNPKVHAAVPS NTFAIYGNGEEKELTELVPGILNQLGPDSLASLRKLAESYQSLQKKEAGEGKEDDDED DIPDLVEGENFEGTVE TSTA_048110 MEYHFVPQEERARDDKGNLLPWGYVYKDESRNPRRPPEESGPFG RRRNARYDHARSRTRTGTPAKKENPSVAEFSRLFSKQQEDERTNNTLPKSSSSSTLEG SRKVIEKVATECILYGYKNKETEWKVIDKYERISQGMICEDYPRNDPAVSNKYSQLLS GGDVVIRANLSADANRKSKRYAGGLHWIKVTFDSTIAADRACFFSPQEIDGHLVFCEL YHGQGPAEDIPIPKESAEATCTRSKAHRTLTSSRSTAFLSDIEPECSTLPRSFGMGNL AAIKDIDEGNSQLSTPTASSATATAVAADPPSSLRQRTIQDTQLEQKPESEFMTHMPN VRRAVLRPISEALPPQPTVTERFLRSIPILSWFTGDIVGDGPQLGDDGKFDYSKSSFY WRFWFMMDQVLGTDICGLKEDS TSTA_048120 MAGIFVAQNVSLDMHERTPASTLLPELLDSAEEGEMPVRTGSVC IKSEPLSEIEIAEAIAARTDSTPIKSEPVSENGEDSEEIDNAEWEDVMVPEIPSVSMT RDNDYQQSTVPVIKNETDIFDQSGQSLHPICCTNRTHCSGAM TSTA_048130 MRYFPFISIFWTVLLSIVIYQKISNDAFFYQRCEDVWVKVYSSP WYPRIEFTDSPYFPGWGTWLHPDRLEIRLTIPERLRTVLKPSRHLTKRELLYKLGGNG PWVQKTTQGSPDNRPPQSCKVEQVHMLSRHSERYPTYTAGSRHLDLVKRLKALNTPLN GSLSFFNEWNYFTNNSENDFEQLTSTGPYAGTLGSFTTGIRFRTRYGHMLPKSGTKKT TRLWASDSKRVIDTARYFAAGLFGIDWEKDDKAEVEVIPETMDRYTDTLTPGDTCLQY IEDTVLGHDCGRNMLEKFQNAYIPPIARRLIDEEGNEAVGEFTNLEIYSMQETCGFET LVRGTSPWCDVFTSRDWDHFEYARDLIHFYRAGPGNPYAGAMGWLWLNATSTLLEIGP KVGKMFFSFVHDGDIAPMLTALNIFDDPKYGKYLPVTRIAEDRVWRMSTVLPMGGRIS FERLHCKANNEGEEFFVRININDDIVQLPNCHTGPGSSCPLDHFVKIVHERRDQVGKF GETCGTEEKEETGLTFLRQPVYDSLEDDVRSSSSNLYSVIKSTDQNRQNC TSTA_048140 MPENYGQYQQTIYSNGMFSSGRPVITTDPRLLEEQARKTMSLQS FGYIAGGAGEKATMDSNRLAFRQWKLIPKMLRTTEVDTSIELFGEKYSHPVLMAPIGV QALAHRDKETGLAEACSEVDVPYILSTASGSSFEDIAASCGDVPKWYQLYWPNDNDIT ISLLKRAKENGYKALVVTLDTWTLAWRPADLDTGYLPFLAGIGTEFGLTDPVFRAKFE ADTGSKVEDEPLGAARAWLQSIFGVNHTWEDVAFLRKNWDGPLILKGIQHVDDARTAL KYGCDGIVVSNHGGRQLDGAIGSLEVLPEIVDAVGKDMTVLFDSGIRTGSDIVKAIAL GAKAVFVGRPVMYGYGINGKEGAKEVLQGLLADFYLSMAIAGIPSIADCHREVLRKVQ YGGDLKASL TSTA_048140 MAPIGVQALAHRDKETGLAEACSEVDVPYILSTASGSSFEDIAA SCGDVPKWYQLYWPNDNDITISLLKRAKENGYKALVVTLDTWTLAWRPADLDTGYLPF LAGIGTEFGLTDPVFRAKFEADTGSKVEDEPLGAARAWLQSIFGVNHTWEDVAFLRKN WDGPLILKGIQHVDDARTALKYGCDGIVVSNHGGRQLDGAIGSLEVLPEIVDAVGKDM TVLFDSGIRTGSDIVKAIALGAKAVFVGRPVMYGYGINGKEGAKEVLQGLLADFYLSM AIAGIPSIADCHREVLRKVQYGGDLKASL TSTA_048150 MPRDRDTSDELNISEKQHEEDKTSSNSLITSAAKSASTLLPQSI ASAVSFITQSTSLSLRIGTFFGGAALGSARVTTLTGLELSRVVVEAILTRAGRDVAGH SHGEYGRLEAESLLERSLAALHSTVTSTSFFAAAGFHLSEVALSSLSNLSQNLLYTLD SILGSTESSRAIAAIITLIRREFSKKEEGEDNAVVGVGDLLVGSVGFALLQRWGRLNS ERHLRETEGEVTIWDVVILDNGLRADVIGTQTTGYAQNMLNDAVGDRSRPASFMDPGV HQQSIDALERVHNCQDAHPWNPSTDVHNQLSDEDIRNYIMSQLPQGSRASVRTELVTA RTITVDIFDDDVAEIAAPPGTMMIEERFHHDQESQDAAKRLPKHTVVFQTTFNKSQST DLRTEAIANENQKTENVAYRITDITDADKTNPGEESSQDASRPIFSENHANEGRRRPR RITSSSSLSGSETPRNTFGKASLSKITQKVRVGQGEKSALKSKDTTKKTQGKGSSVLS KANKVPRNGPQRKYPAGISENQHPRSSKGGLYNPTLASLNRSLPPIPVGEYPRYRSTP SPTASRMPQPNRAPSRAGLFHPDEDTESFVTHTDSYSIHSIDSRPGSAAYTRTHIRSS SSMSRTRPEDAQKSSKRDLQPPGSPQTHRRSRSFVPSIYSMATGSETSLILAPRPRKS VYEDESTIIGLNRNGLVPGIFPHNHLVKNIRRFCRFSSASYGSNALKVMGVQHDQKRI QYHDPENIEHSSFSNMTGLPQSTILLSSYVDPAGGTNSAGETESGFPLVHYLFLDHES KAVVLALRGTWGFEDILTDMTCDYDDLEWQGKNWKVHKGMHASAKRLLEGGGKRVMAT IKAALEEFPDYGVIFCGHSLGGGVAALLATMISQPNADTSGPSFVTASALQATEPLLL TASHQQEATKAFSLPPDRPIHVYAFGPPACMSPFLRRATRGLVTTVVNGKDVVPCLSL GILHDLRAVALSFKKDTTDSKSHIRSRVWDGLRQSILNKFYVNQPPIPINAGEGLGED TWAWSTLQSLRDEMIAPKLVPPGEVFIVDTMRVLQRNAFMSSAGLREGESSHPQLGRP ATRVQLKFIRNVETYFGEMKFSSGMLGDHNPVRYEASLAALARGTIDD TSTA_048160 MRRPIAASNRKVLMYVYTAAAVTITIGGSLYGAELATKQEKKKL TQRDYEATFEEKIEALQTARGILVSKKATVEKQLHELEERIEERARKGMPSTSNESSR EQTPK TSTA_048170 MDVAHVALLSRGDPNLNLRRTQPLPQYARKITHQLLDKLCAWSE DLKTMTSLQVPPQGRRRRRVVDEEYEDERSTRATTPLSQSSTSSKRIRLDDPDIKNDV DDDADGDDGNDTEQSVAKVPLTKSALPPGYTTYKDFQPGAIVRMKLKDFVTYTNVEYH FGSQLNMIIGPNGTGKSTLVCAICLGLGWGPQHLGRAKDASEFVKHGCKEAIIEIELA RGPPFKKNPVVRRVIKFEGNKSTFSIDGRDASRKQVMKLAQKFSIQIDNLCQFLPQDK VSEFAALTPVELLYSTQRAAAGPQMIEWHDDLKRIRAEQKRLLADNKGDRDLLSNLQN RQELQRADVERVRERAKIKRRIEILELARPLAAYKTFVPQYQEIKNRKQEVEAELQAL KAEVEPILRSLNAKQEYFTRTDELVKFKRRGLVEAESSAKQIATRMDKHEESMKNLTM QIESEKKEGATYKQQLSTIQQAINRITRQIEEKPEGFDIDAYNEKIRACQRAIKDFQH RAEEIKEGRAAIYERLNEKETRIQETENELQNLETQNGQREAMLRKFSPDTHRAYRWV LDNQDKFEHTVYGPALIECSIKDPRYADVIESLLQKNDFLAFTTQSIKDFRTLQKVLN VELKLHDVSIRNCTTSLSDLKPSISEEEMRDLGFDGWAKDYLEGPEPVIAMLCNEQFL FRTPVVLREINDREYSRMESHNAINSWVAGKQTYKVNRRKEYGPGATSTQVRQVRPAR FWTDQPINVSLKQELLDTRAQLDAEKAEIEKAIQSFKLELTTLGADHKEKVKEKSALE KEKSDKQTALVNYRSLPEKLRQQQIKKRDLEKGFEGLRGRVQAIRAKQDQVALDKAET AIACADAVENLRVLHHDLIQAEIRNIEALSDFENLRMRNEETRQRLDQKQDELKEAHL KQREAAAEGRRLRDEVAKIRQLASTEPDMLEVLESESIRELTMDKLEADIDSEKARLE LTHEVSEGMVKEFEDRQRAIDKLQDKMSNYQAKLNDLESAIQEIRGKWEPRLDALVKT ISDAFSDSFARIGCAGQVTVDKVEDEPGPNGEPGGSNFDQWSIQIQVKFRETENLSVL DSHRQSGGERAVSTIFYLIALQSLSASPFRVVDEINQGMDPRNERMVHGRMVDIACAP RNTSSSANGADDVTGGGGSQYFLVTPKLLSGLHYRPGITVQCIASGEHVPADFHSTDF RHAIESMKKIKAAKAGHSLTNGRAQSVRVQ TSTA_048180 MRRKRASIANTEKPLKETIIPSVNILYRTHDSNSDTLEGNNYAE KADKPTLPSASPPENQDDGGGFSHWVTSLDLIREKLSFTPDVMYNLNNFLLGNPNMNS PHLFRADILYDSAGELKTPREKERMILGNESSSYSSESHLPYTEAKAEAPNIPGFELK RTVVSELIPRKPKLDRSLKQTSLYYEGVSSCSLRQAEDVDAVAVQRVLYLFLSDLKGL KDVPFYHPLIRGWGYLYDYEDHSFASSSRYEEGETAEASGNGTLSLHILPFPPAEGYD PYSVQLERILQNMLSTHIRLARNTRPTVLSTDTTPSTSQHNSSNTTGTKFQDEGYNPD KDNIIPRHMTQNTYSRLKTTYSHDLCKRWVETTEPSKHVFEDLAITAFLIELWRNMYG VIPKAERGEQAGEGDASNGGDSFPGFVDIACGNGVLVYVLLMEGYEGWGFDARQRKTW SIFPERVQDKLREAVYIPEPFVGEFVSTSSITTTTANSQQQETENCVDNIRIHNGIHY TTGDFSKGTFVISNHADELTVWTPLLAALACPEDPLPFLSIPCCSHALSGTRYRYSPP KNEKSSNIKSNPHQSEENPQTGDLKALRTEKQAASTSLLGSYPNSVAVLNSMYGSLTV KTMNVAKEVGYDVEKTLLRIPSTRNIGVIGGRKKGLVHKKLGKEEYKETVRRSIHEVV ERECVMDGGVRAAARIWIERALGLQKPDHVRRSYASYSKYVHVND TSTA_048190 MPRARTKYIWPPPILANDTAILPPQRADATTTPTITNATNSEPR GKKPRLAASKRRTTSQRNTPASEDRGVSRAGDNQDKADKAGEEAVEWDPDSDTAPPSV EVAIAAYARIPMETQIQRYREWRRFGSIHEDFCMACRKSGPENLVPCFTCSKSFHDRC IPRGSLYNERRQWFCAVCVHRNWHEQPPTLTPPASPSPEPLADNNQQTQGNPRKRGNA HLADQEENATSTGNNSQALSILAEISRSMSRGETRRANVPSHRFPEVSAPYSPNPSSI TLPSISTRPEFGLTAPSPSAYVGGGGSILDSHARKSKFATLSSEVDSALWVLYRELES VTSLRQRIGELESEIVKLRQDVSIRDNQLILSRRSMSVHGSLPNGISQTEIDRLRVQA AKVEEVTRQTESLRAKNEALEKALEEAKAESASKDKTLNEWKGRLVSLIGN TSTA_048200 MLINVQQQPKSRPGNWRLHNERDILKRFQPRTSNLHRLLDDVES PLEAPAIIHAYLDDDWTRASRKQRLTRKEIKSVTRNFLEVWPCCMKMVAILFRAPEVH LEIAWNTAADIWSLGVTLINLIWGFKFHIFDPQEPEGHEMYNTKIVVRQHQWFGPFPI SYREIAEEDTQEAIIGQKIYAQDDEFRSTGPAHCERAFEG TSTA_048210 MLRIRGLHDTSTQHFRIQWRNIGRSHYRDMYYSYPVFENNDIVI FAKMAPQLRELRLTIERYTGNFAERLLYEALELFPHLQSLIVDLHYRPRSSEALWPTG PEPRSTHTSETYRSALVDAFMNTAMDEDLSLQIWNAIYAKRQKESLPKFRNLLLVPIG GDLFDNFAEQNVMFQLSRPFLISNREFGKSNPEICEIGRGERLLHVKRGASINLEPEG RHAPKRLQPIIKSLWKLFDFEMED TSTA_048220 MIENQKRKVSALLSDSSKPDTTIRKRQKGDNFAESPKVVLTSAP NRRLDVFVFGTNCGGELGLGDATKKPEIPGPVLNPKLSAETVGVVQLAVGGIHSAALT HDNQILTWGVNDEWTLGRDTTVESKKTPVDATSDNGEEDDEDDDEVELNLKEATPLPV DSSHFPDGTVFTQLVATDSATFALTSKGLVYSWGTFRDNNGTTRFSPKSTIQRAPALI PDVKDVLKLVPGAQHVLALISNGTVFSWGNNEQNQLGRRMISRLHEFPWLVPGQCSLP RGIIDIGAGIYHSFAIHKTGQLYAWGSNNFGQTGIFQSAGQSDAVIPYPTPVPSLGKG SGIVSVTGGKDHSLAVNEQGQCLAWGRIDNKALGIIAKDIPTSDVIFDTYQKPRILKV PTPISGVVGKVVFAAAGTDHSFAITQDRRAYSWGFNAQHQVGHGNEEKDEVEQPTQLK NKHVSGKMLVSAAAGGQFSIVVGLHGPQTNGVH TSTA_048230 MPVRELSHVQLACGLHLFEALLGVLFESAWWEFTCAGIGLSQED KEWKTLLQKYMPDYTDACEFWLDFYALSKYPSLDERGMELLNG TSTA_048240 MAFSGTSQMRARLKKPSKLLLNRLTGFGPITLASSLGSGPFVSA TRDKVADRNGTRRYDERRVSIRGIFNTTVSGKWVVPARINVPLEDHRDLEDRLTNARR RPVLVYDRTKDCGWLVPEFSLVVHMALTYLN TSTA_048250 MAPQFSTQDEENAMSREPFLKASMQEDSSKNAENLLRPTRQYFL GLLGVTLLIAVTILLSQLFLRNREAAYLLHCGHSVEEARALGCEFDILSYTWTPKECY DKETADEFRQWLTRPERFPRPWPFFADKNRTEWIPSEEALSKRAHMMTYAPQEEHIGH CIFMMRRLHRINDGSAKMNSRFGKYSHTEHCTNETLDAVSSRIIRDEDANLGPKNVLI HISAVGESSFVVKYMCMCVFVCFYLTAVVRDSHVSHRFLLGHRPPRETLWRISVAAVV LQEGPLLSGL TSTA_048260 MRLNLPEYTLLPMTNAAEASNRNATWQKHLWGCLRDNRSIIHIS VLYIAILTLLVYNNFIWTVARPTDPSQILYSPAESTLEYETKVFPENIWTKSPYMTGG NGLPSNETDGLWDDLYKYGIVKVNAEEASNLPNSTAELPHESGFYVIEIDVFHQLHCL NYIRKALYPDRYMHEFRDAYDTEGKRNYSGHDARHYDHCIDSIRLAMMCHADISIIPW QFDPAKEYPTPKLEVAHTCRNFDAVHDWAKSRAVANEGALEWSPGLETDFNMLGGGVL ALV TSTA_048270 MVIGTQTSRSLSVFSGNEKRSNIVDLGDEDDKWSNKADEKRSNI VDLGDEDDKWSNKADEKRSNIVDLGDEDDKWSNKADEKRSNIVDLGDEDDKWSNKADE KRSNIVDEEDEDDKWSNKADEKKL TSTA_048280 MPVPRCFIVRHGETEWSLNGRHTGTTDIPLTANGEKRVKATGKA LVGDDRLIAPKRLNHVYVSPRKRAQRTFELLQIGCKERLPWIESESSCSFEQPIATEA NIEITDAIREWDYGDYEGLTSPEIRELRAKQGLGKWDIWRDGCPGGESPEDICRRLDA FIANLREKNHKHCFGSPDNHEKGDVLVVAHGHILRALAIRWVGKPLTETALILEAGGV GTLSYEHHNIEEPAIILGGAFVVED TSTA_048290 MNNNANMSTTKSRQYAHLHSQLAQLNAHLADTENLAGDMRFLGG YVGGLFMGAAKVLGEEGVQQTQNQSQGQGQGQGQGQGQGQGLKREEGDKKD TSTA_048300 MGKRRLTLKFCVVGGNAVSAFLSWRLQSTNSCDVTLVWKSGFES VAQYGVSFRSKKFGNERFKPRHVVRTPEEAASREAAYDYVVLSVKALPDIYDLGSVIE SVVTPQHTCILVNTTNTLGVESHLEQRFPTNVVLSLVSNAVINQTGISDFEHEGSTEM WVGPASKNPSIPASIQNDMASALAMTLSSGQVDCKVSSNIRQEQFDRMIGPVAFHPTS VLFNTPNHSQLLEKVGVRQLVNDVIDELLKLASTQGCSFDSGYRKKVIERMTAAPAET QTTTMYQDFLARRPMEVETYLGSPVRLAMESNISLPRIETLYAMLHHVNIVNQTKPYS ESPPPGITTQFPPRIASVPPTQQQKPPIANGMRASRTSSNMGMPMPPPQQRRGPPPGG PMLRPPSAQAGPSRMPPRDASFDDNGLEEFSHLVVYDDIIPSDGPPNGNMDMPNGGAN GNLALRERELALRQRELQLREREMNMRQRPPGPRSGGPRAPPPPRSVFDEEDEDDYFD PQDFRPPPGLDPDNIDMMSVTSRRTRKAPSAGQLRRNPEMNGPPSRPSSSFRFFSGQG GRKSTTSSRLINDIPMTHSSLMDDPLMAYSSNRYGAVDRKELHMDSRANSLSTVSRMG DFGPAGQSGPYPPGPVRRTSGSPAAFGPPNGRGMPRPATGDHQQSWGPPQQRPSPPNM RQPVPRYPPVNGNNTAAEQVEQHLGVSNPYPPKISPNARSLTGSASASAESGDSGASA NIDSENSAHSSQISLGQPIPMPVR TSTA_048300 MGKRRLTLKFCVVGGNAVSAFLSWRLQSTNSCDVTLVWKSGFES VAQYGVSFRSKKFGNERFKPRHVVRTPEEAASREAAYDYVVLSVKALPDIYDLGSVIE SVVTPQHTCILVNTTNTLGVESHLEQRFPTNVVLSLVSNAVINQTGISDFEHEGSTEM WVGPASKNPSIPASIQNDMASALAMTLSSGQVDCKVSSNIRQEQFDRMIGPVAFHPTS VLFNTPNHSQLLEKVGVRQLVNDVIDELLKLASTQGCSFDSGYRKKVIERMTAAPAET QTTTMYQDFLARRPMEVETYLGSPVRLAMESNISLPRIETLYAMLHHVNIVNQTKPYS ESPPPGITTQFPPRIASVPPTQQQKPPIANGMRASRTSSNMGMPMPPPQQRRGPPPGG PMLRPPSAQAGPSRMPPRDASFDDNGLEEFSHLVVYDDIIPSDGPPNGNMDMPNGGAN GNLALRERELALRQRELQLREREMNMRQRPPGPRSGGPRAPPPPRSVFDEEDEDDYFD PQDFRPPPGLDPDNIDMMSVTSRRTRKAPSAGQLRRNPEMNGPPSRPSSSFRFFSGQG GRKSTTSSRLINDIPMTHSSLMDDPLMAYSSNRYGAVDRKELHMDSRANSLSTVSRMG DFGPAGQSGPYPPGPVRRTSGSPAAFGPPNGRGMPRPATGDHQQSWGPPQQRPSPPNM RQPVPRYPPVNGNNTAAEQVEQHLGRGEW TSTA_048310 MGFFRRLASGTSLVYLTQSALAIQLPPGYDTVWTTQSNNSAGSM PVGGGDVGLNVWAEAGDILFYIAKSGAFDENNSLLKLGRVRLSMDPNPFEMNANSFEQ HLNINDGYITFTGDNNTLIKLWVDTVTSGIHTEITSDNHLNLTAYFEAWRLTERPMGS GEEVQTSWGVNSVSEIPLPTQYPDKIDYRHNGVLSYHHNHDTPLTTFQISEQGLGDAD PSSFFNPMKNNTFGAFMWSRQLHPGAITSGAYNGTNFTAYNLVSDRLSKSFKLYIGTE QSASTNIDQWAESFIKDALKMEMASQHNTIEWWNAFWDRSYIIINPDAGPDDSGFQVG KNYQYFRYMMACNAKGEYPTRFNGGLFTFDPSYVNPIWYPYTPDFRKWSGGTFTAQNQ RLLYWPLLKTGDYDIMQQAFNFYQNILPTSRALGKLYFGLDVALTSEQIDNTGLPNVY EYNANVFSNSQTRDPVLYPAGIDFNDWLSWYQDTANEFVDMILQAKMYNGLDITPWKP FVEYQLAWFDEYYQQRNGLESNGTLIIYPGSGAETYKLAFNSASTVSGLRKTLSDLLS LNQNWSKGNATYYAQYLSRVPATPLHSCPGYSTLTCVAPALNYSFTENNEPTALYTVF PWGEYGLGQPTNLSYAINAYFNDTQSATYHGTYGWRQDQIWFARLGLTEEATANIISR LTDSTTYRFSAFKGPNYDWSPDINHYGSGAIGLQEMLMQTFALNNTQIRLLPAWPSEW TGYFKLQAPFSTVVTANITGPSVSNLVVVPASRLSDVVYGTE TSTA_048320 MSTTLSTCTYIINRGKKDKERVCGDTCDGPLCSKHSKMGTPRKT AKRFDDGDEEEDTFESEISSPLASRTTRQSTPVATPPPRSRRTSTPAEESPSRPSTER SANSGRKLRNSTSVEDSPKTSRRNTPIRSSISAATDTPPRTNTPRRGTPRLNKGSSTS TATLESASAYKPISTPPRSTGNSSDNIEVPTTRLNGLTVRDEVNNDLDSGKNTESDTL LPLADGPKMPKRTNTADSAVSHGPRDPTERKLMEYIPESVSWSVAGKIMQVLRKPPRN GDEKGFVYMLRVTPYPPEPSSEREEGNKMMIIKVGNSKDVQARLRGLRGAKCKFFRYE RLELYPNGIGDIELKYKAEDLVHAQLSNWRYRSAKRCPCNSEHKEFFEVTPEKELKAV YDCINHWAQVVNEHHNTLWPGEVERLKLLAEKKRRES TSTA_048330 MATEEDLIDYSDEELQTTEPAAVAPAATEAGNGAAATKKGDLTV SGGRPDKKGSYVGIHSTGFRDFLLKTELLRAITDCGFEHPSEVQQVCIPQGMLHSDLL CQAKSGLGKTAVFVLTTLHGLDPVEGEVQVLVMCHTRELAYQIKNEYARFSKYLPQVK TAVFYGGTPMQKDIEILSNKETRPNIVVGTPGRLNALVRDKKLSLRNVKAFVLDECDK MLDQIDMRRDVQEIFRNTPAEKQVMMFSATLSQEVRPICKKFMRNPLEVYVDDDTKLT LHGLQQYYIKLSEQEKNRKLSDLLDNLEFNQVIIFVKSTLRANELDKLLRECNFPSIA VHSGVSQEERIKRYKEFKEFNKRICVATDVFGRGIDIERINLAINYDLPGDADSYLHR VGRAGRFGTKGLSISFVSSEEDEKVLKEIEKRFEVALPEYPEGGVDASTYMA TSTA_048330 MLHSDLLCQAKSGLGKTAVFVLTTLHGLDPVEGEVQVLVMCHTR ELAYQIKNEYARFSKYLPQVKTAVFYGGTPMQKDIEILSNKETRPNIVVGTPGRLNAL VRDKKLSLRNVKAFVLDECDKMLDQIDMRRDVQEIFRNTPAEKQVMMFSATLSQEVRP ICKKFMRNPLEVYVDDDTKLTLHGLQQYYIKLSEQEKNRKLSDLLDNLEFNQVIIFVK STLRANELDKLLRECNFPSIAVHSGVSQEERIKRYKEFKEFNKRICVATDVFGRGIDI ERINLAINYDLPGDADSYLHRVGRAGRFGTKGLSISFVSSEEDEKVLKEIEKRFEVAL PEYPEGGVDASTYMA TSTA_048340 MAASKAKKGDKGVSGGTHSKQSEINEPQSPDNNNNLKENKTKSI IQTSLPRHYINQMRGVALKTQQRLSQETKRGFCKRCDLLLIPGETCTEEIQNESKGRR KPWADVLVVRCKACETVKRFPQNRKRSMKLAVRRKEGAVAVKEGVEGS TSTA_048350 MSVATSIPDTSLGLTSSEIQILRQQQQIALQGGGGHAGSVSRGR GSGRNSNSSSRATSAASSQGRLLLDPMSLRALSHQLEYLQEQIHHRIEHLEDQMQASI QNSRDRAGNVIRNADAEIARTRAILASIDELENELAKIGHIRDIVKSFRARIESYDHR LDQAARRR TSTA_048360 MPKDKERSINPAQAQRKLEKQKALKKGKAEAVARRNEKLARRNP ERIQRQINDLKAIEESGQPLRPREKQILEELERDLRAVQKAREALGDKAPHVLGKRRR DERQQQWRQESDDGSETDESVRNIPMPRDTPPPIPPEFRRRVPTTAQGEEGPRQPHAL PAKPEISAQPRTVYESAPVIRDLRKEAVSKFVPAAVRKQQEAIRGQGRLVEPEEMDRL EKAGYVQTARNPSKGNEGKEQEEEDPEEILRRIEEETRAQSSPNQQRAHNVDIEEVSD EDNM TSTA_048370 MASIALSEADKYEVLEKIGAGSFGIIRKVRRKSDGFILCRKEIN YIKMSQKEREQLTAEFNILSSLRHPNIVAYYHREHLKASQDLYLYMEYCGGGDLGMVI KNLKNSNKYAEEEFVWRVLAQLATALYRCHYGTDAPPVGSNLLGPPQPRQGLKGKQAQ VMILHRDLKPENIFLGSDNSVKLGDFGLSKLMESHDFASTYVGTPFYMSPEICAAEKY TLHSDIWSLGCIMYELCQKAPPFNAKTHMQLVQRIREGKFPPLPDIYSDELQAVIASC LRVNPDQRPDTAAILNLPVVRLVRKEREALDLTNRVKRREEAALQKMKELEIKMANFE KDMALTREEIDATVRREWEVKARLEIERQVQMRYEELSKQFETEVRARVAAEMERVNK MKVQAQEFIQPREPSTSSVSCSEDSDFPSTTDISDLSLESPRSSRNKSLQKVSRTPFN RAKTTVESPMDIQMGEPSPITIASLSLSPRRTAPISKNLFTEPAQQKSKWEPSLVTSD DEDDIPELPSPTRPKVKPDPFKTARRPMLRHQTTAMVSKMGNQPSIFPAGASRLPPPP TMASPLSSQQTQETSRPTSTELRSKSPTRRLSKIPSTSNLIGDATSPTRKGAAGKAAT FHATKANPSGNSGSSSDDNSMYKAVMQRNMGGRTLVELAQARAGGRAFVDDLKRVSSD SRAYTTGSSSGSTVSSFGKSTECPATWDPNSEQMPSPFVSRRVFHSFR TSTA_048380 MTDSEVLRKDQLEIRLHNEKKLIHEGALKDENPLDFSEGFRQLC DACRKGDLKVCQEKISEGVNINARDSYDYTPLILASLCGHFEVVQLLLESGALCERDT FQGERCLYNALNDRIRNLLLKYDYSKSTDPLQPFAAHISSLLTRDHPVTSDIIVTDGE ESLFLHKFILSARSPYFQKKLAASPDSTSWKIPNTLPPAAFASAIRYIYLGDSPRELR AGPGTGFTESEVVAGVDRIGRHLEIPNLLVIVLESGDRRLARQRRADELARGRDQFES WFESNVLGHKVVVETAKANDVKWDRSNGIFADVLLRADELSDGADPAVDAQEENRSSY IPVGPGGGDSTEQNNYTASKRMSVLYPVHRAMLLRSEFFGAMFSSIFKEAQVTEHLNI ISVDCSPEVLEIVLRFFYTEKADFPLDIATKAAVVISSLGSANMSQAQASKTRPARQN GHRFDEKCDEDEIDIYEIIRAGWLTRVQRLEEFGARYLAYRLEEHIDTEEFAELIKES AGRIQKRQETDSIELLDDIRFYLSERFRLRFDDAGLAEMIEENQPQNWFPPDAQQTSD STTVTDDMAKLNISPERHAKPEEVVPGDHPNQADDHVAIIRTLDGAVVEDEFDQDAMN YNILLDKLDRLLERLNLEA TSTA_048390 MATAIHIERVPPPDLHLPLSPNTVNVRIIDTTTSMLCKADYFLS PTIGNLHELYCNAFAFLVENPRTGQKLVFDLGVRKDWENLATPMVERLLAQGFRINVE KGVSEILQEEHVALEDIDAIIWSHWHFDHIGDPSTFPETTSLVVGPGTLAAKLPPWPE NLASTLTASDIRGREVREISSAEFTLKIGRFRALDYFNDGSFYLLDVPGHAIGHICGL ARTTENSFILMGADTCHHGGQYRPSPYVPLPESIKPHPRGDSVTAGPNETCPCSIFEE LHPNPAEYRTQQFYSIRVREDGGSVAFNVQDAKDSIAGLQEFDAADNVLVVCAHDASL KGIIDVFPQYANAWKDGEWKEKGRWRFLGDWEIPDHKV TSTA_048400 MDNKYATRDQLPPFGAGKAYPAPLHAPEDYIVDFESDTDPLRSQ NWPLKRKLLVAAILGYTTYTTGWSSSIFSPAVSIVSSQYGVSSEVGTLGVSLFIFGFA TGPVLWAPASELYGRRAPIIISMLGYTIFEFAVATGKDIQTVLICRFWCGFFGACPVS VVPAVFADLFSNTTRGLAMTAYSLSVSTSPLLSPVVGGFVVANKSLGWRWTQYITGIM AATALLLNIFLLRETYSPRILKIKVVSIRIPHHNRILKLRSSAEMAQFVGSRFNPKLS AKVTALARFMAFSRTDKIGRALRRSKQAIT TSTA_048410 MDIYRPDCHNIHTTYRTSNPEWDAHRFQLLRGHTGPKIDEATAL PRSSIPSRKKRRRADEHPPPPAASSYNPSANTEELSSVALDEDDSLMESAQILGPAGA HDAYFLERALNFSGDQMSKVSTPFRVYSSDPRNPVVHSPMARHHDIYQVAADTSRDPA NISEKLVGHCSTELLQLYVGDFFNLKCDKFQV TSTA_048420 MAQLHQLPTEVLFLIISELRSNRDFLALSLTCRSIHNLTIKELP LRRKYRRIRIKSQKDIDKAFVILLSILRRPQLGGYVRHIEYDQPPKSYHNYEIRTKDL KVLSNEDSRILQMAVTKASFTGEYAEKVINMILQSPPPYHFGDLKEELRTVWIAQALA ALLVSASPYLESMATSVPMYVYGFSTETGASLGMPQEIMRFPLEKLLIEANSEQPEKP YLQYLHSVEIIPHEGSGWDDERFYMRFELLSMLLLFTRLPSMEYICVDAVMEEEDGPF DLEPSSSNLSRIRIEHSNLRTWFLMPIIYSCKVLREFHYTIGGRASLDGSFAPFNPRT FLKSILGHKTTLEILHIDADSNLLNSFFLDMDGYDNGLIDHDEDESEPDLVPPQGFWE RTGSLRDFLALTELHIGIGILMYLALGTESMDVKDPDLVKPFGEVVLAESLPPALESF TIIGYEKGVRKDFDKIIEQFMADRDGKLPHLKDVNGIEEAIERADTVRYPDESELLWE PEEDEWSEHEYLTI TSTA_048430 MSPLIHDDQPYSWNAAMPIAVVGIGFRGPGDATNVENLFRMIAE GRESRIDIPKEKWNHEAFYHPDPSRFGTHNVTGGHYFQQDVSRFDAPFFNMTAAEAAA LDPQQRMLLECTYEAMENSGTKMHDFVGSNTSVFVGSFCADYADVLWRDPETVPMYQC TNAGHSRANTANRVSYIYDLKGPSVTVDTACSASLVALHLGCQSLRTGDAKQALVAGC SAILSHEGMVTMSMMRLLSPEGRCYTFDERAGGYARGDGVGAILLKPLQDALDAGDTI RAVIRGTGSNQDGKTPGITMPSGTAQEALVRSVYEKIGLDPLDTSYVECHGTGTQAGD VTETSALARVFEPGRPKDEPLVIGSVKTNIGHLEGASGVAGVIKTILMLENGLILPNR NFQKGNPKILFDEWKLRVPLGVENWEISKPRRASVNSFGYGGANAHVVLENAQDYLRN HNWEFRSHTRKSATESSGTSTPSNPGPHGRLFVFSSFDEATGKKYLQSFEKYIEDRLQ IADSEEFLDDLAYTLGERRTNHTWRTAVPAQSAEELLSNLREGINLGNASQTKNRKIG FVFTGQGAQWCGMGKELIDQYPVFKETIEKAGIACQKAGATFDLETELRKDPKESAIN RAIYSQPLSTAVQLGLIDLLASWGVKPTSVTGHSSGEIASAYAAGALSLEDAMLVSYS RGVVSSKMAERATVPGCMMAIGMSKEEVLPIVSTLTKGKVVVACSNSPSSVTASGDLP AIDELHTVLDEKGVFNRKLVVEVAYHSHHMELVKEEYRNAISSIKVQPGNDVEFFSSV TGERASISGLGPDYWVSNMIGEVKFNDSLGRLCLETEGPSATTKKSAQRRKAKVSPVS TLIEIGPHSALAGPIKQIIQANETLNKASIKYYSALVRNKNAATTVLNLVGQLFVAGH EPSLEKVNRPTGLESHSVLIDLPPYAWNHANSYWAESRISKFYRERRFPRTDLLGVLE RNSSSIEPRWRNHIRLSEIPWVRDHKIQGNIVYPAAGYLAMAIEAACQHAITVKSIPT ITGYKLREVVIDSALIIPENPGEVEVAITLKSFTDSIRNPSDMWDEFVISSVNADSRW TEHCRGLVSVVAPQKVVNVIDGQAQSIAEKQGYAELVASYETKCRRNINVPQFYEQLI ELGLEYGPTFANLKRAKAAPNACIGDLEIPDTAAVMPYNFQFPFVIHPATLDALFHTI FAALATANGGTLKDPAVPVSASEIFVSANITSKPGDKLATYTSTEQKDYRFMSASMTV FHESQKQQGAFEPVIEIKDLTCATLAREGADPSTDGQVTKAYNLAWKPSIDLLSQSEI LELCAKTSSPEGNTNATNARELLERAAYYMLKRAVAGYAPPETNSAYAQQLWSFLKSQ SRVASWKHAYDGWDQLFKADVDAFIDKVVSSSSVGKFLVEVGDKLPNLVKGENSSAEF IKELDLKVFVDNTQLFQNTQSAARYFDLLQHKTPSLSVLAVGPGSGVASLGFLALLNK KSSAPFERYEHNDVEFDIRDVVKEKFPQWAQLIGTKQVDISREIQGQEDIEPNSYDVL VAFHVLGDATGMNNVLAQSKQLLKPDGKVLFIGRPLKSLVASVLFGYVPSVLAETGST SDRSNLSPAEIDDMVSASGYSKVTAIATSINSNNYSMMVVSASASQDTSAKPQKVHVI AEDESTSQPSLLAGLKEEGIEVTVSSLSEASPTPDHMCIVLSDLSNKTVLSDPSVQEW EALKKIMLEAKGVLWVTRGSAVTTSNPNGSMATGLSRTIRSERGDVPVVTLDLDAERT LDDAVSTDIILKVFRKSFYPAFTASEVEQEYAERKGRLLVPRLIEDEELTKTLAIATE GAKGQLEPLHQPGRPLRMFVGTPGLLDSIFWTDDDRVETPLPDDWVEMEVKASGFNFK DVMMAMGQIKVENLGWECSGILTKVGPAVTGLAVGDRVVCHASGTFCTNARVHVDNVR KIPDTMSFEIAASLPVTYVTAYHSIYNIARLQKGETILVHAATGGLGQAIIELCQLIG AEIFATVGTLDKKKFLIDHFHIPEDHIFFSRDQSFAAGIKRMTRGKGVDAVMNSLAGE GLRLSWECIAPYGRFVELGQRDIGINSRLEMGQFIKNTSFTAFNLAYMVQYNPKVANE VFTSVLNLFWKDAIKGPSPVEVYSFSDVEKAFRRMQTGGHMGKLVGTADTDAMVKVIP LDRSKSLLRSDASYVLIGGLGGIGRATALWMVEHGARNVIFVNRSGVKVDEARETIRV LEEMECKTAIFPCDITNENQVETFVGDAAKAMPPIKGVIQGAMLLRDTLFEKMSLEDY ITVLRPKVQGTFNLHKYLPKDMDFFIMESSVSGIVGNASQAAYAAGNTFLDAFASYRV SQGLPATTIDLGAISGVGYLSTNSELKQAMERQGFEFTNPKRLMALIESAIRNPARPG QQAHIITGLGTWNEDSSLGALTLPMFSHFRHLSAGNADWGKSGSGNNLKSALKAAKTL DDASELILGALIDKIASRSGIGPENINTSKSMPDYGIDSLVAVEMRNWITKDMDSTLP VLELLASDPLTHLATKIAQRSRSVQVAEQTHE TSTA_048440 MDNQSTINNNGNNKVQQKVRSACDACQSAKVRCGREKPTCRRCQ NQGKTCVYSHARPLGRPRKSGSASSTTTMTTTTVNMNDLRDDAMIIAGSVNGDSEYGS PTRFRSISRVNNDHGMNMNMNNDWPGIPPLPSAGPRIPDILLDDSDENYMDMFMSVRL LGSLSGPSTVTDHHPLPPPEEEDGQEGYQNQHQHHNDPHSLIADPSAATEWDLGFPFD QHSSSESLSLEPSSAGAHPGDIPTSSLSKDGMDFTHTRGSQKISPNPHSIDSRTSSRD KSFNHHRSLSTLGSRTPNTTTTSSSASSVGLTGSSTTGFSRRFRGGGGGVLSLSRRRT ECTCCDSMLQILAELDRHIADRSAISLDLIIKLEKETRAQTVAILHCDLCSQTFRPRI LILSGLVLEAVVELLEEILHQHQLLGYSTATTTSNTTSSSLSSSLSSSSWIPSGPAGM ELASPPPSIFDNNNTSTTTTTSSISTANRPGKTVDICSLWLGDYEISGPEKQEFLKHL LTARLRDIAATIHQLHETMNRYRHRPAFKVGTLMLGEIYRHVQAIVKTLDQ TSTA_048440 MDNQSTINNNGNNKVQQKVRSACDACQSAKVRCGREKPTCRRCQ NQGKTCVYSHARPLGRPRKSGSASSTTTMTTTTVNMNDLRDDAMIIAGSVNGDSEYGS PTRFRSISRVNNDHGMNMNMNNDWPGIPPLPSAGPRIPDILLDDSDENYMDMFMSVRL LGSLSGPSTVTDHHPLPPPEEEDGQEGYQNQHQHHNDPHSLIADPSAATEWDLGFPFD QHSSSESLSLEPSSAGAHPGDIPTSSLSKDGMDFTHTRGSQKISPNPHSIDSRTSSRD KSFNHHRSLSTLGSRTPNTTTTSSSASSVGLTGSSTTGFSRRFRGGGGGVLSLSRRRT ECTCCDSMLQILAELDRHIADRSAISLDLIIKLEKETRAQTVAILHCDLCSQTFRPRI LILSGLVLEAVVELLEEILHQHQLLGYSTATTTSNTTSSSLSSSLSSSSWIPSGPAGM ELASPPPSIFDNNNTSTTTTTSSISTANRPGKTVDICSLWLGDYEISGPEKQEFLKHL LTARLRDIAATIHQLHETMNRYRHRPAFKVGTLMLGEIYRHVQAIVKTLDQ TSTA_048450 MPDGIVASEAKDNTNNNLVHSFLGPKFPAASESSIMWFILRCLE WTLAKLLYRRRGYDHDLFYKGLAFGKYPNPTFTVTSPDCGPTGAKLGVEYSQWGSGKV PQLTWPVSGIEVKEYLIISEDPDAPLGHSNVHGIYCFVPGNKTGFGPDDLELLGEDKN GLKQISSGYLVGKNRRNTVYIAPRPPLGHGPHRYFFEIVALSQPLDPEKLSPVPTKQE LSDMIIGKVCGWGLWTATFEQKWSM TSTA_048460 MRLSTLSSLLLGSSSIVFARVPPTVDNQEPIKSTSYFLDDPDFP SHFEFENPETNCKYVSQPWIYNAFNSVSEDMEAVFKYAHPDLHVRIMGHHPFAGYYHN PKMAFVNSLWRLNNCLKDAKVDAKLWAIHGGCDQAWSVQEFYFNATTNKGQPWELTSL WVSRWDEDGLIREVRTWVDAGQIMRTLWDNEIWFNSSDRVHHYDFIPGPGGLPPIANK TELGGEL TSTA_048470 MPEPFNSTMPLPSPPNAQPKDEKVGLQDSNQPPEMSASSEKKHP ENENRQSQQNLRPTESENNEPYSVHSSSAKKLMVLAASLAGFFSPLSASIYYPALPAI EKALNVSSTQVNLTVTTYLILQGLAPMVTASFSDSAGRRPGYAICFIVYLAANLGLAL QNSYAALMVLRALQSAGSSGAIAIANGVVSDIITPQERGSYIAFASVGSILGPSLSPI IGGLFAEYTDWHWIFWFLLIFSGAFCVPFFLFFPETCRKIVGNGKGVPPFWNRNLPDI LRARKEKQRQQRAENEEENANRQRSRLSIPNPLKVFVVFTNLQTVMTLCPAGVAFGSY YAVLTGASGEFTRVYHFSEIKVALIFLPMGVGGLISALSTGKLVNWNFHRHARKRGIM VARNRRQELLNFPIERARLEIALPVFCLGCVCTVLYGWLMTQDVNVSGPIILLFVMSW SFAAFYQVLNVLLVDTYPGRGAMVTAVVNLLRCEIGAGMAAMISPLTSATGSGWSYTI IALIGVAATSPLLLTMKYGMKWRQESAAKAEEKKSRMQEAQQRQEV TSTA_048480 MAAVTTTETASQSRDKGENAWAYKKDVAWYRKDLSEDTIGETRA ILQQYSKIPPERVIDHIKKVRDKAWEVYPYGSVGMFGFLTLNMPYMPEYVEILDRLRT GDQKFIDVGCCFGQEIRKLVFDGVPSQNLYGMDLHQGFMDIGYDLFCDKQKLKSTFIA ADIFDSSNPAFAKIAGTIDIIHAGSFFHLFSWDDQVKVAKQIINFLKPQSGSMLVGKH AGDTNPGHKSRPGRLGSRYRHNGETWKKMWEQIGEETDTKWEVKVNELADDEYFKDGK QYGDWDSETTRRLQFCVRRL TSTA_048490 MSDGTLFIQDSRTSKQYTISVTSDTITAVDFQKITSPTGKLALY DPGLQNTIIKKTQITGRDPVTGITLFRGLSAKEIWNRHADFEDHFHLLVFGKYPSPEE SEALRRRLAVQMTVVPETVIKAVQAFPRTSHPLPMIIAGLAAFISADPSSLPAIRGGN IYHGNRALCDEGVIRATAAYAVVMGLINSHRKQLPYVPADPQKSFYENVFAMMRCPVH HNYLVTFREGMVLNSDNGMTQSSVVLLSTASSLPDPISCLISAITAAYGPLHYGAQEA GSTTLKSIGSLDKVPEFLEQVKRRERRLFGFGHRLHKREDPRLASVKRWLKMMDYTPD QEPLLELAQEIDRLASSDEYFIKRNLRANADFYTHFLFKAWGFDWDMLCAANMFHRII GLMAHWREAMDQPIKIFRATDLYVGPVVIQEDNRTVLEEPKIQSRL TSTA_048500 MTDEIPFDKPIRDIASYVHNYTIPSSPTSFKHARGVILDSLGCA IETLHRSSEACTLLGPVIPGTTFPYGFHLPGTSYVLDPVKGTFDLGVLIRYLDHNDAF GGAEWGHPSDTLSAIIAVMDWLCRAEQRSSTTSIRYPPLTIKTLLEAAIKAYEIQGCY QLQNAFNAYGIDHVILVKLAAASVCSWLMGMTETQTISGANTTSRKGWAAADAAMRAV HLCLLTHAGQLGSKQPLNDKRYGFLVHTFGLEAGFALPRAFGDWAIQNIFTKLMPCEG HGISAVEAALVQGRKLKSCGHTVSDIKHIDLRVTAAANLIISKIGRLYNAADRDHCIQ YVIALAFLKGRFPDAEDYMDDSPYANSKEMDDLREKIMMKVDQDLTQGYLDPERKSCG TGMTVYLNNGTVLDEVLVEYPAGHLKNPRTKELHQRKFEKNMRLAFTDAEIANIVKCI EDDEMPISSFVDLFTRDSKGMAKL TSTA_048510 MILFSIFVALLAATRAQSQTPPGFKPSTELHLGVTFQDGVSVQA GHELLANEAKSAPQLDLHSLLAIHHTQTPFYHSTWKFMVFMIDIDVERNGTKYPLLHW YQPDLILSGRTGRLSIESDSNMPKAMYAGPAPPPGPAHRYVEVIFKQPERYELPADFE KFLENTIAARLGFDIEQFVKEAGLSEPVAGNWFLTATS TSTA_048520 MSRAHPKQPVTGRNIPILAITMPALKLLCLHGAGMNSEIMKSHL SSLAKTLEYRNIAQFAYAEGSVETEPGPGITPGLYEGPYYSFHIWPPKAGNLQDEESI QNAYEELLEIIDDEGPFDGLLGFSHGGSFLAELLARYARDNPATDVERLARCAVFINS FPPFRNDPDQNPIIDYELLKHFPKIPTLHVVGTSDFVHEYSTILYEKLHQKAPTSTGL VTHSKGHEIPRDPKVLDKVVAGFEKLNFAVSFSH TSTA_048530 MTASEQTALLGSPRKPAYTIFSNSQKLLIILTATLASVFSPLSA NIYYPALKSIQKELNVSEEILNMTITSYMIFQGLSPTFIGSLSDTIGRRPVYIICFLI YIAANVGLTFQTTFIGLLLLRCLQSSGSSSTVNLAYGVTADVVTSAERGRYVGLASVG PIIGPTLGPVLGGIISQYYGWRFIFVVLTGMALIMLIFIIVFLPETCRNIVGNGSLEP LSVWNKPLSSFLCKKKENEDLDETLEAQQAIEAARKKGGKPKPWKSLTLLFTYPTGCV ILFNGFTFATYYAVTTSLTWCFSSTYHFNEVEIGLSYIPIGVGSMIAAFTNGFLLDWN YRRAVKKVLGRPLARGEHFDHDTGVALGFHIERTRLQIVIPAVVLAMLAMVAYGWSVY LVLTPAVPLVLLFLFGWLGTAAYSGMNVLIVDLNLKSPATATAANNLIRCMLGALGAM VIMPIINAVGMGWTFIMISGVWIVLSPLIILVAWSKSSE TSTA_048540 MPHSNYETPEVILQYFAPNQDGTPPDANDLEIQYGTKNLHLAAV TLNDLRPDKDKITLTDHGLQLINHDTAMSYEDFNDPEKIKSQYYLEVAEAIKKATGAQ KVICFNHNVRSEAAPRLDISKHKVDHIGPMRRVHVDVAPRGTYEAVEKRAGEALMSSI RGRWKIINSWKPLKTVQRDPLAIATGPSCPDEDLVELTRYRPDGSLSESRYSVLYDQR HKWYWVPMQKPNEMLLFNQYSDDPNRTLADRVAHCGFTLPGAEDKEIRESVEVRALVI Y TSTA_048550 MSLNDLVAFDEKPAETKALDGVLQTTDEENNDKNGASTPKESTH SVLLAQGFCVGIGCRLTCVLSTAILSQYLSTKIATAVGFTSAGSSFGGIIYAIVFHKS QPSIGLPWATRVIGFVILATQLIAVTVYKVRVLPENKRAVIYSQLSGNWYTLS TSTA_048560 MNVTSIFGRIILGILFDKSTPVGGVVLNDHGHTSLWIFFGALVA ASEGVWASLHTPR TSTA_048570 MMKVSNLVSTEWSEQSGTSSQPHLSPAPSLSGSVRKYDEYCEKP SPITTAANTSYFSVVNSNKTTTPQLPLSPPADDQRKCSLPSISSLLEGMDSMPANKRA RHDSGDYSRNFFPPTPPMRPCSGFTEGNSPASLPSGRSHSASVSSTVSHQPPQRTSLP SISASLQNTPVHPPERLSISSLASPDSARLPHAIPSPSSTTTSITTATQPTPYYTTLE EKPYPRAHSASAPVTPSSLVPPPPPPPAMLSPVNHQGWQHHHYFPLSTTTPFPPNHER YICRTCHKAFSRPSSLRIHSHSHTGEKPFRCTHAGCGKAFSVRSNMKRHERGCHSGRP MTATVV TSTA_048580 MIGWRLRHSLLSDVMLRPCKLPICTEVNCHFSFAIVFAWLIIHG YQNILSHPSFALAHQWLQYKLLDLESQPATHLVPNIWTPSSRIIRIQDVESIRSQQTI YLMDEELTTPNLAGGLCFHHLVDHPPHDGDETQVRFLLQNGGDVHVNNEDRQTPLLLA AKRGHSDLVRLLLKHGADIDSADKDGSKAGDVLIVQLLLEGDVNIDAIDDGGNTVFVL AEMAGHQKVMDLLEQSDEDENEESTDQGSTEDKAAQADDDLDSITVPPEEVIQSRVHK VLFSPDGQLLATESQDKEISLWNVQTGNLVKTFKGYGLVFSANGQLAAFAEDDKVVEI WNTMTGALVKAFKGHQDYVSKVIFSPDGQFLASASRDGTVRLWKADTLWRSSLAKHWS SPQTDRCGYICRPCQPREVPLGRTSDSLGEEVLIENVQFGMDGPENRRLGKEISWLWT RSRKPFLQMGRYWPLVIISENVKTKETSRILDGIPLGTITSSPDGQRPCQAIQRTSAH GNVETSYPIQQSNYTYSFLDQNPDSWAIGDNPWNVSKERFNDVGNSIPLCTLYNTMAM GECLLSRTDKYRPEGHVIFTKRGLDTTEYLYSNLPKSINQSPRLYAAEDGDLKLELDT ELHPYSVNQIDHFSCETHNYADNNRGHDFIGCKAYHTPKHKLPYRTPYEFLETISPRI RGVNTLNATLPNRKQPAKRRTQPRKIYDIFNLFFQHSVPLRSCDLNLGSKNRGESRPG KIQTLALNAVWRLSVVPDNNTLYPDPRKKEPHTGAGILPS TSTA_048590 MVAVPTNGLGVVPPRVYHFFKNSTIVDRVQSPWPGSLEIIPCLA LLTVLTLFLMLAQSYFSSRVKSFPGPFVTNFTDLWRYIKTASGQAHLVHADLHRKYGS VVRIGPNTLSLSDPSLLRVVYNTKNPWKKSRMYAVQESVRPDGTPQPNLFSTSDDQWH ATMIRPIGQYLASNTSILKTEKFLDDSISLLINVLKEKFSNAALPCDIADVFTRFSWD AMGYTTFGSSLGFLDGSLKDSEKLLQDSDRDFEYFARTCQMPWLHYYLKTNRIARAFN APQLEWAVKLSIEHYTKRLQMRNASDAKKPASGQDQDFLDKYLEAQQKYPDLIDDYQM ISYLLTNTVAGSHPTAYTLTAMVYYVLKTDGVLSKIHKELKSASLYGRNGPISYAIAK DLPYLEAIVREAIRIHPGFALALEREVPAEGLSLPDGRVIAPGTVVGMDAWVINRNEK VFGPEPDSFKPERWLRCPGESEDHFAARRRKMFNTVLSFGTGTRVCLGQHLALIELYK TAASFFANFDIELVDPKQEMKVVCAWAMRTEDVHLYLRSTSKLAKESLVEV TSTA_048600 MAAESLSKGVQNDPCIIENRAVDDARPIKVRVMGAGISGIITCI RLMQRITNLDLSVWEKNDDIGGTWYENRYPGCACDIPSHTYQATFEPNLEWSHFYATS KEIHQYWKKVAQKYGAMKHIHVNHKVLAAHWNDKAAKWDLKVQVADGSVQEESCDVFI SCAGSLNNWKWPAIPGLHDFEGKLLHTAAWDESYDYKNKRIAVIGNGSSGIQVVPAML PDVTHIDHYARGRTWLSPTFARHKLDEIGGKNLDNIAFSPETIAGFKANRAAYHKFRK DIEHDLQNGFWVTIKDTPEQLAGSEFFKENMKRRLTKKPELLDQIVPDFPPGCRRLTP GPGYLEALTDEKVEVIKTEISSVDATGIRTADGVHREVDVIVCATGFDTSYLPRFPMT GRNGLSLAEKWKEIPETYISLATNEFPNYFICLGPNAALGHGSLTLLIEKEIDYITQC VAKIQRDNIRSMAPRKEAVERFTKHCEQHFSKTVFSTKCRSWYKGGKEDGRVIAVWPG SSLHALKTFSNPRWEDFEYEYINDNPNGWIGDGWTTAEKLRDFNVDYLDDNQIDFPTP FEVEIEIAVEAAIKRNEEEVQRGKEVGTEAAEFKEEDGQAMKNSVVGDGSKNSSAVHI ETVATEVPV TSTA_048610 MTKQTADKNFRCNICQRGFTRIDHLKRHHLRHSGVKPYSCIFCS EAFARCDNLRDHYPDCVQRGENPIPETGQRGRRRHACESCTSMKLRCDGQSPCSSCVK RNLKCNNTGRPKNPNIELPPSTESSLLKNEELESSDRGSINFLLNGGTDSFTERFRLP PRSDRARGMQYHTQKELEEATSPANTYALDTTPPNINTGFFSEFDDLSTFEESFMSFM TGPFAESQKSMVDPYSGTFAAHALLQFPNQEPQLGMASDSSFYEPESAFSSALVQAIL AKTWTVNMDAKSQQEISSNLHFLLTTRRIQKFVSLYFLYWHNNCQLLHPPSFNMEQVT MPLLAAVVFMGAMYSNEEREAYVARRMLDFAELYVFSTDVFSYEHEVSCTFIGRPATD AERKDWVHFQNLQAGYLMVVTQYWAGSKVSRNRAMEARFNEVVAAARRMELTRCKHLP EDRIHETLWIQKECRIRTINVISLLDCAFSFYSNFPCRLTPPELECDLPCEESVFNCE HPFAQLKFRFTRETTVYDAFQHLFQDEQGASACHHDQHHTNHCAHSPSIGTTLTVTDM FLMIHMLYSYINSHMTVLAPIMRMRQLKKRKEQSTANSENHKGSLTFTCRSITSDDPV LISIRAALSRWRTLWLDLKSRIPADEWAAMGFMKTAYNFWQVAQLLITKKHSVDVIMQ MEVKCEDKLEKLKVLLQDDND TSTA_048620 MASQSPRKVFIVTGGASGIGLGITRYLLTQHPTLTPAHIAILDI NTSTGTTILSSLREEYKSASPNTTISFHQCDVSSWESQAAAFKEVFQQQGRVDYVVAN AGITEKGNLLQVDEREPVKPSLATLDVNLVGVIYSVKLALHYIRKNAGPTKGGIICTA SNAGLYAFPMAPIYATTKHGVVGFVRSLARPLALEGIQINALAPAVIETNIASSLDLF KNMIITPMSTVTKAVEILLTTSPSPNPTSTKPLPETTILTGKIAECHEGNVTFAEQPA YVDENTGKNIEMFWNLGYA TSTA_048630 MKFNFSLKLLLVASTALAAPSIEERTTAVSRNDIIGPKNSFKPL PETCKRHKVCHVRSHGDGKDDSDNILDALRTCNNGGRVIFDQEYTIGRALDLQFLKHI DLDITGTMKFTNDTNYWINNAFQITFQNSTTFFKLGGQDVNIYGGGTIDGNGQVWYDL FTQNATLQRPILMGIIGLEGGSIGPLKLRYSPSWYHIVANSSGVVFDGIDISGYSSSG NVAKNTDGWDTYRSDNIVIQNSVINNGDDCVAFKPNSTNILVQNLHCNGSHGISVGSL GQYAGETDIVENVLVYNISMFNASDGARIKVWPGIVSELEASLQGGGGSGSVKNVVYD TMSVHNVDWAIELTQCYGQSNQALCDEYPSNLTISDIWFKNFSGVTSTKEKNKIATLV CSSPNVCSNIFAEDINVVSPSGYNQAVCTNVDDSLLQLNCTK TSTA_048640 MADREPPYDPYIPAGGAAAGGSAAPSGNQRTAALQAQIDDTVGV MRENINKVSQRGERLDSLQDKTDNLAVSAQGFRRGANRVRKQMWWKDMKMRVCLVIVV IILIIVIVVPAVVATKH TSTA_048650 MLANKMLAIRPAVRRAIVTQSRRAISAPQALARCPARLTATTTY TSSSQFRSYSRPADPQFSTRSTVVQLLSNIGSKREVQQYLSHFTSVSSQQFAVIKVGG AIITEHLQTLSSALAFLNHVGLYPVVVHGAGPQLNKMLEDAGVEPHFEDGIRVTDGKT LALARKLFLEENLKLVEELENMGVRARPITTGVFSADYLDKEKYNLVGKINSVDKKPI EAAIEAGCLPILTSMAETPEGQVLNVNADVAAGELARALQPLKIVYLAEKGGLFNGDT GEKISVINLDEEYDHLMTQWWVRHGTRLKIKEMKELLSDLPRTSSVAIIHPADLQKEL FTDSGAGTMIRRGNKVHIKSSLSEFEDLAALKEVLIRDREGLDAKATVDRYVEGLKDR PFKAYFDEPMDALAVVLPQQDSTFAHLATFTITKAGWLTNVADNVFTSIKKDFPKLVW TVKADDENLTWFFDKADGSLSRDGDVLFWYGLEDGDEVKQLVLEFTKNGRQMFGDYNL EARLHRAAQAALNIGKGGQQKRSYSTYRTNALQSYRMGNQGASGRRSYSTTNPNPPLG EKNISNTRPSKVALIGARGYTGQALINLINAHPNMDLRHVSSRELAGKKLEGYDKREI IYENLSPDDVRRMASNGDIDCWVMALPNGVCKPFVDAVDQGAQKGGLIVDLSADYRFD SSWTYGLPELVNRSTIARATRIANPGCYATAAQIGIAPLVPYLAGQPTVFGVSGYSGA GTKPSPKNDVKILTNNIVPYSLTDHIHEREISSQLGTSVAFIPHVAVWFQGIHHTISL PLKEEMSSRDIRNLYQDRYAGEKLVKIVGEPPLVKDIAGRHGVEIGGFAVHSSGKRVV ICATIDNLLKGAATQCLQNMNLALGYSEYEGIPLE TSTA_048660 MSPEAPMALRLQGNLLYGVTKVYSRQCVYTLADVQAMLDKMKTA LKVVHDRGLQADAGKARPEELIVPYDPAFIPDFAFPVVDLELLNPLGPTVPAAKLDSS LLSSKMSDSSHSQLELITIPQLDVDTSGTTVAGFGGFSFASGSSHSPGGQNLLDIGGE EEGILLQPDFDFDEEGNIVDLVMADAPAMPPATPVRDRVIHEEAGDVLQGPQEIEMAA TTPAINALDDVQIDAEERGRPSPTWGRLPDPDYVDRSRNQNASSSRKRRAQNMLQNDV LTQISRDELLQWDQEYLQHMSRLDAQRANAKGLARARKNAAAWITGRGIGSVGLGIGV NGLTHPLESFCGDSLLAALSSEPPTNDARKRSSREAVSEDEEGHDRSVRRRISDAGVE VGLSHPDQLAMQEDVELGLDAPPSLPDDASSQMPWNITASLQGSVAGSSSVPRPFNGY DSSSRRYRGFGRPGSRFSSASPLARRGLPRDLFGRDSLSSLSLRDFGEFHDDDDLGDF PHATGSDGFAESSNNNAFESQVTLASLDNNDRNFLDYLQTRVQGTKVGRDSPGIQKIS FSELLPPTSTSRAVATQALLHVLTLATKNVIQVSQGKRCRGAPYAIDDLHEIRLSIRD TSTA_048670 MGRTVDQEVHAAFVEFRAKEDDKARDPCLSVQCIYCQQIRAKNT SRQKQHLLECPGLRGHPSAPQPQATAAGPNGIGGANGYSSTPNGPSAGAGGAGPGPSG AQLGTPNGAMMPNGVNPHATPIQTPLQNLTNRPSLPAPGTSQGGGPSSTPLSHQQRSH STPKPKQNRQSTSNLPAPPLDDVHAAFVEFRAKEEDKCLSVQCIYCQQVRAKNTSRQR QHLLECPTYLNVMKDSIPANNLLHTFPEGDIARSLQIPVPSIELDFRMSIKLNPKVSI GSSLWGGRDWVSFLGGQWAGRWGKGIILPGGQDSQVTVKEMATSLSANYVLQSSDEPP AYIIVKAQGWLTGAKDILEKLNDPNHADTINPSSYKYRLNLSMESGDERYAFVNTVMW VGSGCRRGHEVILDAFRLN TSTA_048680 MTELDAVDSNATLRRRKEPLNRRRQNFNNLLRNNQLGIIDNPLN YVTPDELDNDVHQFYKYHHLEHVVEEELLLRGARLARDGVNYQNYTEVERKALEDEDR PTLPSQPRALQTILLACSIGAIVQGWTQANLTGADLSWPGELFGARNRPFTNAETWKF GAVNSITYFSCALLGGWLSDPLSEHAYGRRGALFIAGLFSLASCIGSGYVNSWQGLFA CRVTQGIGMGAKASIVPIFESEVSPAKIRGRFLVSWQTFVAFGIFLGSCSNIIFHDSW RWQLASGFIPAVPLLFLVLVCSESPHWLMKHGRYVDAWRAYRGLRETPLQAARDFYQL HCQLQVESILLGSENEPDEENRGPLSKQTNFFQRFGQLFTIPRNRRASLAACVVMASQ QLSGINIFAFLSNTFISNSTSFSTPNISHIRILSLSLGFALANAVFSSLAYFTIDSKG RRFLLLLSLILMVPLLIAAGLSLKISHAHPNNSVRVGVFETFLILYTAAYSPGAGVVP FLYSSEIFPMVHREVGMSLSCSVNFVLAGILALTVPQLQFHLGQTRLLCLFAGLDALA VILVWLFVPGTRKAVSLTIFNYIFGVPTRVHVRYQVSTVFPWVLTSCIPWLIKDYIPW ILRWYFCCGAGSYEWSYVRERLPHLHELYVWRDLRMISRREQGHQDASDSDNTADG TSTA_048690 MEPVSSAAAILQLLGNAIKIALNTAIFVKNVKNAEEFQDDFIRG LDYSFRAVERMTAQVEDRDVADCYDKAVQERREISKLVHEIAKDCKKCNDSLHRLYGT FSNTLTGRVTAEARRVLRRPQIETVNARLRSNVGNIQALISVLQVIANNHQTEQVKDY LREIQEKLISLQESRAEIMAALNNLNNREEVNRIDDGSGLIHQICDEIVLSRDAGTCI KITEGTFKTAKSIYDRLSRDGSVQNSSPSDRKSDGDDSAYGSSVPQLEPNTSTSVPLS TMTVFLDGYIHETKTEFENCGYEKCKKYIHEALEWGECRYFEHNVDFHQWFDLQLLLA EVYEKEGSFQDARSYISFLWQLSETHTVDYQQMSNLQEVQLRCATARLFLLEYEREPH NTSPDKLKHEALSAFGAAITAFREAADPEEESASVMSTLRQLLVDSAATLDKVFGILA DPVGQRSLRVEYPLLLETLPVLEPIMQPTRGTESEPPIPHPVLDRPQPPKLRSPGPAS TSGSSDGCGTSPVSHRTDVTRLSEDSMSTGASQLLGAAKMQNFEALKNRINEFGPYIN AKDSAGMNALHHALTRLGREDVVKLLIDNDIDVNAKDNHGDTPLHYCVRRSNYHGAQI LLSKGKAAIDCVNKTNQTPAKLAIDQSSIPNRDILQLLIHNKADFDWPGIPRGIRDYL RELGYESNETRGDSPVTTQTLENWERRNSRASQSSHTSNRSRWYLRIGRIPSHNT TSTA_048700 MQSTLLLPSHVVRSCRLIAGRRYAPSLTASSRHFHQSVLRYSES SPDPNGSSDPNSDTRNGVKPVGVNTQAPEHVKDSTESKESNQSSAGRKSYISGLRRTW KDRKSLSDDVPITATIPQWFVDTNVVTYAQAVDSTLPLQVHINQKQASSKQSIPTPSS SLPSDDVTNATQQSTSEVLNKESENENSSGEAPESTTIDSRYTISHDVWVRLKLSVRA GFATPSAKYANDPATEKSHLLLQYPHRRKEANVDVIGGSLFLHAVVQRLAKEEKADLV TLNAQDIARLCSEQARAEGKSSSSIRNLGYEIYNTWNKKRRLDMEPVSDKDDGPGDGS DTSISLSDSGVRPHIVPIEVSLPDTQLPNWLLSSFGASTDGTGPRVSRTEIGAWMRVI DQLTSLSFQAKPAATDSTSTPPTETVEKSDAPPKTIIHIQDYVELMHTREGSKFLKLL LDAIHSRRRHGYKILLVGTSSGYRDLYFDREMSPLPIVEQTRLYLDSSARPFASPIAV FPSMDPKELNLKFAEDRSSRIRNINIRHLQDMLRLRVDADTTVIDDSVFDTTSWPSDI DSCTPLYALNVDYLGYTRVHNLSSMIMGAVQPNEKLSFKHIRLAFMTETMHRRSCENW YSPSRSARPAVSGIDEKSELEEVFRPSKLDTISQLECNKYEKKLLGGVVDADNIRTTF SDVHVPSETVEALKTLTSLSLKRPDAFTYGVLASDKIPGMLLYGPPGTGKTLLAKAVA RESGATVLEVSGSDIYDMYVGEGEKNVKAIFTLAKKLSPCVVFIDEADAIFGSRNQSR NRFSSHRELINQFLREWDGMNDMSAFIMVATNRPFDLDDAVLRRLPRRLLVDLPVEQD REAILKIHLKNEQLDPSVDLADLARRTPFYSGSDLKNVCVAAALTCVREEYEKKTQHT GDTPYQYPERRTLTQAHFERAMEEISASISEDMSSLDEIRKFDEKFGDSKGRRSKKAS WGFMPLSDTQAPMDTPRVLTAEVKQKREAAVREAKQYVRDVVRVDWSYDPPSTLWTTG ATSNSLTAETSSTSAGTHIRTELRQPNIAQWRIREPASSESDSDLDPALRKAIETHNR RKEDPYRFESPDAIKTSVLDRGRRRRADLEQEMKWNPGLRFYIERRDAWTGARKRRDV EQRSTSKQQAVIDILSKEMKNAKAVESDGDTSAKVESLDEAASSAQQSRDLLEDLSLS ENKSRDTTTTTVDSNITPNDSSGLDGSTGDDGDIDGYDADRSYDSDESMIPVMESFID NSNCVRSSITPALYASLYTKVVVQGLTPTIPINLSDVTKALVAGWKADGQWPPKPTIP PPGSDVPARKKGTKAQSSTKNDDATATGVVGRPPPTATTAGRRTSFSNQATSAVKKVL GLSGHSFHLRRSSRSSVNADSNVPDRLSSVADAVPTDVLMVEEEQDQDMKRAFGC TSTA_048710 MMTQPFPAHQGMPQHSGFPPGHPMAQAQHPNMVQGPGMLQQMHP GVSAAAGPQVSQPGPMMAGMPPGATTGPGGPVPNAHALQHLNPAAHAQLLQQQPYAMN VTNNQQLLQQQAVARQQRMLHQQHLAQQQQHHGGGIPVSMPAGTQGLSQAQLSAMQNP NMRGPVGMMHFPQMQHMPQNLQQQQQQLFAMQAQAQAQAQAQAQAQAAQAQAVAQANS QQGQHTPQPRPAVPPQSVTPQPQQGPPVPPGSATPSQQSNPPQHSTPQPPSHQGSQPP QSTPNPQHQQIPQAQQAMAQQQSQQQAQANHPQQQVQLPQAQPPNAPQVTQPQQQQVL PGAEQMKMQQQALMDQQRRMNMNNINNLLQNKTGVTHWVNSYFEMLSNFESQGEDVDL LHWQNVVNTYYNTNHHIATGQPWGVLRQGVLTPGTGSKSFEVNATILPRYYVTLFNNG ITRIQTHMEATQEFTPTNGYRVVYSPRTSFIYWFANDCQLVVNGSLKVLVNPEFKFDL VDISVSGFREYIPRNLLQQPEPIDQKPSPRVSKNASKRMQKQQQPPPTISPPESMVNE NGLPHSVQCFLEIAEPLSYMTTLINHSAHNPHKGASELLRDVTAIINSPHNLQAQGQR TPGINGPSQFASPSVGNMGLPGAQASPHLGVSAHPSPAQNHPMGPGMIPHQSQGAMGA NGSQGASANTSPNVSNKRRRASTVKTEGDDGVEVNGTGPTGAAKVRASPKVGGKRHKG AS TSTA_048720 MSETVDPKPAWELLEQLVKASSFHNNEYEVRDWLEALVRGRGGA AWVFFSRLTQLNKIQLDMEKRNPMTPYVARTISKLAARSHSGYLDQGLSALEDVRPEF KDRLERGTFAEIPRLMAAFSRLPNLRNMSIMGYYASNQDGDSWLPSAETLPKSIREVT ILAHRCPPGANRLDFESVFRGFRPQSYPNLCSISAYHRNMKDFHKIGHNGADHILKIH NHALSEAGISTDDITHYKLRNEYFEKKRKQYRKPPGQRGGDRWMDEFGFKDNVLYALP AKDTEGR TSTA_048730 MSTLGHTHSHDHGLSHSHDDTDAFGGHGHSHEIYDGPGSYLNRE QPLSQHEGRDWKDRAFTIGIGGPVGSGKTALMLQLCLTLRDQYNIAAVTNDIFTKEDA EFLTRNKALPPERISAIETGGCPHAAVREDISANLLALQTLQRKFNTDLLLIESGGDN LAANYSRELADFIIYVIDVAGGDKVPRKGGPGITGSDLLVINKIDLAEAVGADLSVME RDAGKIREGGPTVFGSVKHGNGVDHIVNLIISSWKASGAYETSLERWKNGAPKNSGGV TSTA_048740 MAPITSSVHHHRSTTKTSHKPFKSKHATKSALKERTRGKIETKE RGVRKTPHQQLMSKLDRKNQARQKQQVKRQEKSQAASIFAGQNGAPRQVAIVPLSENI DVAAVIRALNESVDVANDVSIDRLTRIRVDRFKQNIMYTPAKYDLIHALDVCRVADFV VLVLPTDVEVTEEGETLLRSIESQGISNVLVVAQGLDQVNPQKKRPQVISSLVSFMNH FFPTIDKVLSLDSRQECSNVVRSLCTATPKGIRWRNDRSWMTVEDVKWPDAQGSLVDD VVVTGVVRGKGLKADRIVHIPGWGDFQIESITAAPLPTTKAKKEDTMNIDDSETSQVL DQPTDDRDDMAVIAPEEIEMDDDMLSNPDVERRGVLLDDHHYFSDDDLHIPARPKRLP KGTSDYQAAWFLEDDSDSASDMVDNEDEDMEMEVDETEGRPEDGVFPDHGDAMTEGGP SEYPQSEMFLDPSPEDEADQLENYRASRRTEAEDDLEFPDEIELHPNVLARERLQKYR GLKSLKTSRWETSEDRPFEPEDWRRLLQFNDYKGIKNKMIREALIGGVTPGTRVNVHL KAVPSALRNNPLPVALYSLLRHEHKHTVININMTLNSSVERPIKSKEEVIIQCGPRRL VVNPIYSGAGNTPNNVHKFDRYLHPGRSAIATFIGPVTWGAVPVLVFKKQAVDDPEVL ASADGSTIAGKTSNRLELIATGTVVAPDPSRVVAKRAILTGHPYKIHKKVVTVRYMFF NAEDVHWFKALQLWTKRGRSGFVKESLGTHGYFKAMFDAKINPQDSVGISLYKRVFPR RARAIEEVENAA TSTA_048750 MAAVAKPVAKRSPQGLVEDREAKRRITEAIEKYGHGKAVQMKKI KDKKLRGNLKRVEDRYKTAALRAKDAEILLENESGFLEPEAELERTYKVRQDEIRESV GIEVAKKGFELKLDELGPYRADYTRNGRHLLLAGRKGHVATMDWQAGKLGCELQLGET VRDVKWLHNNQFFAVAQKKNVYIYDHAGVELHCLNKHVEAKYLEFLPYHFLLASAANS GFLKYTDTSTGQLVAELPTRLGSPTALCQNPWNAILHVGHQNGTVTLWSPNSQTALVK ALVHRGPVRSMAIDRQGRYMVSTGQDMRMNVWDIRMFKPVHSYSCYQPGSSVAISDRG LTAVGWGTQVSVWKGLFDAAAADAGKVQSPYMAWGGDGQRIETVRWCPYEDILGVSHD KGFASIIVPGAGEPNFDATEVNPYETTKQRQEAEVKALLNKLQPEMISLDPNFVGKLD LISDKKRREEREQDNKPKDPIEKLKNRGRGRNSALRKYLRKRGKTNVIDEKRLKAEAL QRERNSQQNESLQNQREELGPALARFASKKDV TSTA_048760 MEGVHRWSSYYDYNIQAHERRSGNLVKSPTEYHGTKSANAPAIE TLQMIGAVIVGKTKLNAMLVREETMECV TSTA_048770 MGDPRALLQKADKALSSASTGFSFFGGRTEKLENAADLYVQAAN AFRVQRLNKEAGMAFEKAAAVQKNNLNEPDDAANSLSEAFKVYRKTDPEDAVRVLAGA IQHYISKGNFRRAATHQQNLAECYEVEIGNPKKALEEYEKAAEWYESDNAEALANKYF LKVADLAAIEADYYKAIAHYEKVGKASIDNNLMKWSVKDYFFKAGICHLASGDLVATN RALESYRDIDPSFASTREHTLLVDLLQAVEQGEPDTFADKLFQFDQLSKLDKWKTTLF LRIKENIEEKGEDFS TSTA_048780 MPPPRRRTARRELDPCMRARICELHIEVHWGYKRIHRAHLEIPM TTIRNTIKKEQERINQRSKTRSGAPAKLTDEDKQKLIDLTIQNPHIKYEELRNAVDNK VTIRTIQNMFQQIHKRKWKQPLRYETYTPHEWQRILWSDECTVERGKGDQLIWTWHSL SEQLQEHDIREIRTGKSIKKMFWGAFKFDQRSPLVSLTSDGSSAGGGITVTVIRQLYM EQLPELLGDGDIFMQDNAPVHRAHIIRNLLQELGLNIMEWPPYSPDLYPIENIWAIMK TIIVNDHPELQNAPDNDQTLYSLIQAAKEAWESIEARVLRSLSNTMPNRVRAVIEADG WYTKY TSTA_048790 MDSGVWSDCNRAMLGYAVLKVDEYESEEHCTCTKALQDMTTLTP TPKSRWKMIGQLPRDLVQIKSPEQQLVSCRNCKEVCSILVVALQFVSLRSYILFYHNP ISMPRIQLRLGHLRSTRRLFTPGRQYSSQPPKHTSKDIAALLAKPTWSVRSLLPNTHD PTIPLSITPHKLHHLLRLSALPQPADKAEETSMLRTLEAQIHFVKSIQDVDTKNVSPL RAIRDESREAIKESTISMEALKEALAKEEVVGRRKKIQRQTTTLENEYPSHAESDQWD GNALGSASRTAGRFFVVQSRS TSTA_048800 MAHRFLDRFSNATDRGKEKNKNEEHGLSDFLSDSQRGDLMVLIS VVLEHMRKTILESFEGLPVSKEWSPVPENRDTPGSNKCGDGAISDKTEETIQNAVGLD PKSRSEALAYFDEWQNSVVNERESKDGQVQVDKFPKDPAEPEQKNPNRLQQVYRPLDT PLRDSPRAQRLLILRSLLLLLLGLEHYNAYSRVLLLHITSSLDLQLSDLNENEAKIAR GLLDAAVAMTADEEAKKKAAENQNLRKWKVGIATVAGAALIGITGGLAAPLVAAGLGT VMGGLGLGGTIAASYLGALASSGVVVGGLFGAYGGKMTGKMMDRYAREVEDFAFVPIR GKLAKKLNDEKEAAKEDHRLRVTIGITGWVTEEDNIVVPWRVIGPESEVFALRWEYEA LLNLGNSMRALVTTAAWKFASHQVLIRTVFAGLMSAVLLPFGLMRLAKIAANPFNVAI SRADKAGEVLADALINGAQGKRPVTLVGYSLGSRVIYSCLRNLAQRRAYGLIDSAIFM GSPIPADAAEWHSMRAVVAGRLVNIYSKNDSVLALLYRATHLEVDISGLQPVRGVPNL ENMDASDTVSGHLRYQFLVGQVLTDIGFEEVDASELEKEKAALSKQDEQIEREQEENE RRKPVGATITTSATAQVRRKPVLPPRPQAQTDSEAVKDAGTTDQTKDDTGAVNKSIRR KEVPPTTTTANNNKDSEDESNEDELNYITMIDEEEEERLQQEIEQRTREEMITWKTRQ MRVGRDE TSTA_048810 MGGSSSPPFLYDPVSQWSFNDYHRGKPFNPKAVTQASRAPRPQR APQEGPLVSFNRHPDSWVVPRQNKPIHPMSRHTKARVTHTRRVQLLLRILSVLGAIGI LFCVICISHTDVALGWIIRVAPSVAILHNIYAIYHLARSAASRPAGSSTSYHLFASIM DAGLVPFYAFTSFVSYKSFHDGYYNWQTLFNDANIQHYIVKSLFYASAGVGGLHLISL MISVYLAIVFRQITKLPPDMNPLEDNLTARPSSRRSKATKSDLTEKKHMSTSTVDSAY FSVKSHLDDVPNEIPQPIPFMHTRQQSSTSLTYQKRVSRTSVLPHQQSGQARHNGDSQ PCRAAARGPQESVSRPRSILEDAPVLRPTSSGYNPNSIRWSSPVPSEASANWIAYESP TDDDDIVHESKLPSRSKNGTPFPVANDWLGPVPKFGRVESGISQDEARGEYAALDTNE DYDNDAHYDTNKENLEEDITEYLNRALDPLRMNPPTPAPKEEPGNTKTGSRQSSLRRA VLGDISDVSAVRNSNNVTDSAIAENADSPPSKPSKLRTFGSLRLWGSKSNKPTYASVK EDEALSSDNESDNEPNQPAKLRTPKRRTTLTKLNTEDADRKGRIVSNSGIDLGTSFHT GMDSEQYSDYISALGVGRRRDVSGKIAEEGRGGLVEVKETPEIPKTPEKKQNTPRAAG WARFAGL TSTA_048820 MNSSLTLSLCTRCARRRSQVPQIRTRYPLSRYSTSTATLHDHPF RVAVIGSGPAGFYAAYRLLSKVSDVVVDMYEQLPVPFGLVRYGVAPDHPEVKNCEEKF TEVATSPRFNFIGNVAVGSQLPFQTLKPHYDAILFAYGAAKDRELGIPGEDAKKNVLS ARAFVGWYNGLPEYRDLAPDLTAGEHAVVVGQGNVALDVARLLLSDVDALRKTDISEH ALEELSRSKIKRVRVVGRRGPMQAAFTIKEIRELLQLPSVAFDAIPKDFLPPEDVVAK LPRAQKRLIQLLAKGSETNRSTAKKSWSLDFLLSPHSLHWSPMFPYRLSHAKFTRNEF ASSDPFVPDAKVKQHFLSSGQQAQVNIPCNIFFRSIGYKSLPLPGFDHLGIEFDGRRG IIPNDGFGRVTSSTPTAEDTTTPLDHRHISHLPGLYCAGWVKRGPTGVIASTMMDAFG TADSIAADWEAHKSSSDAKISFLNSTSGSSTGLGWDAVRAEAIQRGLSPTSWGDWQRI DSAEKERGKAKGKPREKFGRVEEMLSVVR TSTA_048830 MDQNSPPPPPPPPKSAIPRRGPPLAALRTDIAQQQHQQPQLQAQ SQPQQRTRPVLQKPRVQRLRPPEAVANEPQSVPQQPEPTKRVASKTSLRGLFARNKAL REERKTENSLPAVGERRGSTTPSTTVPDTPRSVAHTIASGSTLHASSQVATPTESTFN VETPNKSTRVRPELKSKVSSSWTPPPLFQAYPQASKHARLAVPNISPDSILRIHATQA ANLRNPSQQGEAEGADADTTKKNGKAARDKKPVRRAGSTTLDQIVFLKKIFVLVTTGY LLQYSGEGNYDRLPEKIMRLGPTSAAFASDSIPGKHHVLQISQKSTDDGAPAAATSGR TFLSRFGLQTAESRRAVRTFLVVMSSAQEMNSWLVALRKTIESLGGKKHVPETAPGDD SEASDVPSNTLLAHRGSRLLASRKSTLIESNPPSPSSDTFQGQSPAASKRDSYQEGDQ QQQPRVPSLVKATNRLSISSPLDIVTIPTGFGDSDIIFENPRDAPQPTQGSRTTPTSP PSVPPAETLVQTPATTPGRLRKHSTPTTASMNRRSLTSYTATQLERQGEIARASRPLS FVGSANTSAPKGTSAAPQHPSIPGPNVRLSLLHGESSRSALSHDATSRKTATTEPTSK AADKASEQGPAGVKEDSIPSTQKRHSLISGRITTTTSSSAIATARTIPTIPRKYSLTK TESSEPATPASTTRRYYQQHKLPDINHTPRLPQQQRSSQLTQSRLPSVTERGRPLPRL PKISGESSETTTVPSSNLAQSALSKDRATKASATAAKDRIQLPHRSTHPPTSEDPSSL QSKASTPTSSPRTPQTPREDSSRRNSYIMPQPQSQQTSPRATTRRNPNLSFLPPAPPP NVPLPAVPTPNIAFRHIPLWQRRHLQQPSTQPPQSQLPQPPPLQRQPQQQKHLPRPSI STSSLASSSPPTAATANQQHSRRRSSELRSLHLQMANMLNDSIMHRKQSDISATGAPT TTADATPTSEFPPPYNDPSLAFKGDVIITVITGT TSTA_048840 MTTQNYKPKSIVAHDLADFICRTKERTTQFEDAVSSPIDPKNGG DKEMKQENIHSLSDYIKFVDELVRWVPKVSSTGDELMNKLLVFYWVLDQLILEPYQTK IAPTTANTDLTWFSYWLVTFACEQGKWLDSPESAGSVYSFYRNENYSKTADEWEEPEN GRKSFNHWFARRWKDINKSRPVASPGEDDVIVHVADSMFDGNTRRQRSGMASGDTSPG SGIDYKNGSFMHAFLGPTDYHRQHAPVSGKVIEVRSIQDQVYLQVSKKLEKSGIKGSR GLSYGPGRPRVSMVSDSWLDCHPEKYGKVAVLPIGMAQVSSVKMTVEVGDKVKKGDNI SCFQFGGSDVCIVFERRVKWRLDLKPGETKLNVTWKESKIWRKEIVNQPRALSLTVCK AATTLRTGQPVVVTNLVKLSIMENLLTEIGYLCTDATNDCGVSMCLERARPPLKMLDD IIQDIRAAKLTHREKVKNVFKALSYDWKLSDASDLLRGNIDRLSLGLLVLQLPSWYSG KDLWARLWTNGLGDSSVQQSLTVMHTVPSSEGIWDLVTRRDIVGIRNEFIKRKYSVNT VDEYDRSLGLACRSGQSDFVRFLLDTGAVSQCVNDNGRYASRIEHLR TSTA_048850 MAAPPGMTPQQMAAFQQHMQQQVATEAAKRGMTPEAFVKMQREQ VAAEAAKHGLTPEQYVQGLRMRALQQRAAAQQAAQQGQPGQAQAQQGAPGQPPQGAVP QQQIQKQVNVNPNNPPDPKAIALAKWLRTQDLKTRTCILDGQRKDMFKVKRAIRAIES PAYAKAAAKSKGLLPPVTDRASAENVFKLLPLSLLALRVTKIDPHAGHNHGKTKRVKG LWTVKIEQHQETDPMMHYVFLYEGSQWKQKAMAIGVVLAIFAVVLFPLWPIVLRQGVW YLSVGMMGLLAAFFAMAIFRLVLFCVTVFVVPPGLWLFPNLFEDVGFFDSFKPLWGWQ EKKKKKVKLSKKAKSAPSADAQGTSEKAKDTAAPAPAPATAPSRTAPAATDHSSKRSL AASVEDAEE TSTA_048860 MSGSYTSDFALLNSTFWVDLLSQRDVSAQVPINYVTEPSIALTA ACFGDNRYLGDAGSFCLSDLLTVGYRRFIIDVYWSPSNRQWLLCPVSIPSNSTSDDSP YRLGNYTCSGTFNLTTIMEDLRSYIRSSSADIDSTFLYIVFNIHVAADSNNPDQPASI VSSADLPSGSLLLGEISNNALDSFIYTPPELAEERSNLNDSWYHGTRSRTTVLQEYFT TIRQDNHILSTPDGWPCLAYLINKRAKRLILGRGSIDPQLQGYNVSGDEPYIFSTNYI EDAINVSATSAGQGLQFGCFYNPQSTDPKNLNNSWALSTLNEIGPNISHSTSLLLKNY TGCGITPVINHTLGGQSADIGVDPYRNLSLSTMWSWAVGEPRNASSLPGYEEIAPSSD ILRCAMMDPTSNGHWRAGNCSDTYRAACRVDSRPYSWVLSDSRQSFADSNKICSNGSS FDVPRTGLENTYLYHTVLSASDTPNEPVWINLNSIDVQYCWVLGGANATCIYIADADN VGRKTVLVPTIAAIIILVITAATLFVKCNSNRRISRRKRVNQGWDYEGVPS TSTA_048870 MAPDLNSLPPSRSSTSSPLHARNNWPAPSTEGQSSNDRNHSSSR SSSIPPAAAMNPLSSADLSHGSPLGGGHRSSPQSTRPSISEGRRRSHLNLNDSPSAAA IAGTDHHEHRSPSFSNYFRTASPSSLGGSPIIATGDPHHQRAPSLGELHQELEQEQEA QVNRLLQMIRQQQLQLQQLQLQQQQGSSSTSGTAVVDDNNNLTPNSERSHQFPTIPPL PTPGVSRSSTVHSHSHSPFSTRRGSRGSETAATAYQPFYPLPNAPPSDSVFDLSDVLD RQQHDSSIAARRGSLDDNAYYQAEIASLNRDNRMLRARIRVLERQIAELSSSASSTGA AAAGNTDSSDGPSVTTTTATATQVDADTGSAATQEEPRATEKDATG TSTA_048880 MSIHSSICRADYIVAGGSQGVSSTVVHAISVYDGNIIVLDIRDT RRVRNAHKEIWYKDHIYHNGVADEKSLTSSFDQAAGAATEKPFVEHTWTDMQRVQEIC FPSQNAFTQDGGLKTHRRSSGHFFASQLAAKQFLKKGSPGSIVRVASIISYTVLPKYR MSTCSSSKGTVVILRELLLSNSRHSESA TSTA_048890 MYEIINDEAMENVVRDAFDQDYTDELDVERKLGQRHHGNPSSSS PCITPIYVIQRVIHRSFYESYTTVCESLSVLVAIIQKGVGGLQHRTGHDIGPPSTT TSTA_048900 MFLTSARSRLSPSLGRAPALRLSNRTSPILAMAPQRRKQSSVPE GYVEDLSKGKMLRFENSLPKLPVPTLEETAKRYLKSVHPLLSASEYERTTKAVEEFIK PGGQGETLQKRLIARRDDPKINNWLTEWWNFAAYLGYRDPVVPYVSYFYSYRDDRLRR SNPAARAATVTLSALDFKRQVDDGSLEPEYLRKLPMAMSSYQYMFNCTRIPALPADYP QKYSPEENKHFTVVRKNQFFKVPYVVDGQQLTSGELQKQFEHIYERAEHPVNPVGVLT AANRDHWTASRAKLLEASPANAKALKDIESSAFLVCLDDAAPVTLEERAHQYWHGDGC NRWFDKPLQFIINDNGTAGFMGEHSMMDGTPTHRLNDYVNSLIFGNKLDFDNTPVRST LPPPAPINFELNLETEKAINEALQEHKSQIAAHELRVQAFQAYGKGLIKKFKCSPDAY VQMVIQLAYYKMYGINRPTYESASTRKFQEGRTETTRTVSDESVAFCRAHQDPKVPRE EVVKLFREALKQHTKYTLEASDGKGVDRHLFGLKKLLQEGEKLPEIYQDPAYTYSSSW YLSTSQLSSEYFNGYGWSQVIDDGFGIAYMINEDSLQFNIVSKRLGSERMSFYLNEAA LEIRDVLMPDLLAEKEKAKL TSTA_048910 MNAPFIHPITAPYFSTYEGYNFTLKNDPIWTKPLGKDLCVVDID NRPFSKKHELFNEQPLNWDSFDKFSAGLLNHYLYAMIHGYRYAFVHLAQKPSDRYMSW AKVPAVIEHLKECKYLLNVEADAIFKELTLPMEWQMNYWNFTKNTKVAIPSDPNEDFN LDWEGKVNLNTGFILAQNTPRTFEIWEGWKSCVDDHVRFPGCEKFRDNWPAEQGAYSS IIRHAYNDPDDLLVIRCSEANEYPESGSDCIGSLNYQHFWIKKEQLLKDKAVVPMMQL MMQSLRLDLLIGKNEVLVKKQGFYI TSTA_048920 MEKDLSDIPAPDAAGPATILAGINSQKETEPSTSLEWASNPRNP VNWSPTRQWGIIVLLWGANTVASICSTAFEPALPFVLDDFGTHSDTLSSFTISIYLIG FCFGPLLIAPVSELYGRVIVLYPSFVVYLGALAICGSSNNIAVFIVFRALMGFAGITF LICGRAVVADIIAPKRRGLAVTFITSGATFVTDSPGPIVGGYIAETIGWRWIFWISMT FLGACMLGCLLTLRETYPPIVLARHLKQQADSTKAAEKPASQLLITACTRPLRFIFRT RLIPLFTLYTSILNSYLFILLSTLGTTFQSSYRFSPGASGLAYLGMMAGFVLSQATLG VFSDFYANRQSQRRPDKTIKPEDRLPPLILGAVILPGALLFYGWTLERHTMWIAPIIG SGLVAFAAMYSYIPVQIYVIDVYTLHTASATGAMSIIRSAISAAVPLGADPLYARLGY GWGYTLLAGLALPFIILGVVLVRWGERIRQRESALD TSTA_048930 MQLIAYNNLSTLIIGSYCDSELQNVCSLDSAAPFAMCMSAMEVD VAVIGAGLTGIAFARFYLDIHPEARLVIFEKDADIGGVWSAERVFEAFWAQSPLRMTS LADVALDIPDDAPRAYGTFEAKYVTKYLKEYVDSHVYNGESLRSRIRVNADVRSVEKH GDGWLLHIKGTEPQSWYCKKLAVASGLTSLPNMPTFPLSSDCKFPMLHHRDLGAHEKI LEPDSAYRNITVIGGGKSAADMVYGALKKGKNVNWIIRTSGEGPGIFMDPAASGRYKH AAEAGATQKAATLSPSFFHELSGTALSLHQNESARASLEEKLYAADHRFKAWANYRGR EGALPGFRDLEPKASFFWSSGPIGVIQQDDFWDLVSKNVHVYRGDPCGTTSDAIILMD GREVPTDVALCGTGWNSSYPFFTNEQASQLGLPHQPSASTEDQTWKDLIKQADAEILR TYPILGSPPTDAKFVGGDNLTPARLYNGMASLSDPSILFLGRARMSNNFRAADAQAIW ATAYWDGHVTLPPLGEAKRQVAYMNALSRRRYPTRGADGVNFHADLVYYTDKLACEAG LSSHRKGWWNDPEEPCLASDFRGCTEEYRKKYC TSTA_048940 MGIEAEPLLEDHEQSAGLEDVRLSRRSPRWPWLTSVLTHTLAVV LVIIVINLIPLTRTVLLFTESPQPKLYSLSPAPLTPAIEYIIDTPPWNYWSNHLYFGE PSDDSERAWNRLIHPHGLQVFPDEVKHLDGSRTVVMKNGNSLYMLGVYHNLHCLRRIR QTLQADHYYPNMTAEQRKNDLEHTTHCLEAMRTSMMCHPDLTTNRFYWSHRPWHDLSV RPDVTRECVNWDRLEAFMRKRRYDPGDILKGHGEDLSDDYKDDYKDDYKDEHAYHD TSTA_048950 MTAIQDIRIHAPVVIAEAVCLIVFCSLMVGLRFYARRVKNSRLG LDDLAISLALLFVIGMAVTILVETGIKGFGYAASEGDAGPNHPDANVAGWPTELLQVP ALGCIKLSFLLFYRRVFTKYVAWEFTWVNYFMIASVIAWTICFFFLLLFLCGTDFAAY WTSGETEAKYCLPTGPVHMGYAISDVIMDFLTILLPIGEIWKLHLPTRRKFAIMCVFG LGAITIAMSIVRMVIYQRALAVQFDPDSDEEYLTTLTTYFSMLEAGLGVIAACLPVQY GLLKSEKVQSIIHSVHSLTSLGSRGSSNNSRGISLTQSRAQSQRIHDIDGSQVSEVGL SLPANAAVTAGSDIDMDHFDGQGIVVHKSFGTNEQRI TSTA_048960 MADYLLSQRGNQQVGENWVYNLVKRRPEIESKFSRKYNYERAKC EDPKIIQEYFDRVREVISDYGILPEDIYNFDETGFAMGLCATAKVITRINSIGWALPS YIIFKAKKYTRLGWFEDLPDDWKINISDNRWTTDKIGLEWLKTHFIPLTDGRTLGKYR MLILDDHGSHLTAEFDRTCTKNNIIPKELHDLRGAHEKEKQKCQRSKQQISHEQGITR EEAQALVQGQIEASQAVTTALAEPELPVSHPPIRRQFRCSGCDVAGHKITGSPNRIRN TSTA_048970 MLNIGDLDRNSPVDQLQKRRTRRTRPLQAEGILTVSEGRELAQE AEEAVNPPCSQ TSTA_048980 MENRWNSTYRMLGDGLEAKAQIQSIHSGWERPFQIHRILTKFNE LTLLVSKRRPQISLAIPVYFELHDLLSEGSESQGCFKELDPDIAFALEKGSKKYMKYY TFMDESEIYYAALILDPKVKGDLILKVLEGKEAGDLILQATRDRLYQNTHLQITPDLT HTNVESRMLQRLEPESIITCYI TSTA_048990 MACLQQQHNLKGLSLILPGSLSGSALMKAEKLDKIWPNLKALYL GMGDEYWLQQLPKFENLQILTLQDLASGITNGDVIEIIAKCRVLRVVDVFFRELKDIE ALDIARGCPLLQKFRVRHGQLFDLFGPKDTVFLELDLMFRIDTARIRDLAVYCPKLTV LRLRKARLCLSIAQMPVAHPLCQLEIMHFRGFGNTLDTR TSTA_049000 MDLPQPQTEDAVSSKRINKTGKKQRDRDEEAAYIIDDLKSGNFI LLLQETPKVKMSHCQAWGCMPRKRTGKPVIKSHYRFMLKDISVSSKPKGEYYHVTCLE RLLPDLSALVRDGHLKMDGYISAPLDSKVCLESSVEMIADWFKYGGRTFDLGCYENFK KDHREWEEDWSVRWIDHQLGHGEQPDNACNYCQSLPDPEEPKKSDYFPEEPSVILLSQ LLATVSGQSHIDKWWRWKKQT TSTA_049010 METQQQPALVQIDDWHIPDFTHEAEEELATEGPAQIILDSPPST IPFDEDSPPRQSPSERLPPSEEVGEAAGSPSPVIEISDDEEDNHARPRRRNTGHRPDY TYRDYQDTMEHAISAPPLRKRKREDSDAVDFRSKIKRFSKEVTESFEALEKENKQLTR GETSMEEGQETVTASSPIFTATTQ TSTA_049020 MDSAFFTSRIVLLLSPLATTSLRDFLEKLKELHEARRTRKLQNI ELEFRELIHQFCEEVPAVAEAIAAMIQEDMTNNESPTQQFVSDSSHRLPKRKQEQDPP HSLSHSIEPASKKPRRITQSRGFLDNPTPSIEGEMIHLSF TSTA_049030 MSDDVTFDQLWNEAVDKYMGTTNRSPEDKKLLLRLHKVDDLFDQ IDEESIKFGTFRNKKPKFTNVLKKAVKPFIALSGIAQSALSLTPFAPASTFFGAVVFL VKAAGGVSDCYDWIEELFEKLGGFTERLEQYVGGGAMNKVLRAKVIAILTCLLEILAR AETVMKTGRFKKYGAVLFLGQDDEVKGSLGKLGKLFEDERALVQAISYATTQRVEQKT IEIDKTTKQALEATEEAVKKLDDLSISARSSEAKALLDENLLTVAHKKNTAIYHEYIE STLEKTGDWLLIDSTVKRWREKKMPLLWVSGGPGTGKSCLSSTLITSLRGEYPQDPKH PNRTSVVYFFTKEDDDALRDLLNLLKTLAYQIAQNDNIFRNFAVKVLSKPDSVATPRL LWKNLFMDFYGQDRSISNAVIILLDGLDEAPRSMVKDLFSLLEELTDRSKFGNRLSCA LFSRPDLSEFLLPKFSRIMAKVDIGSRNEGDIAQYIKKRLTDVLVVKQIKRLRDKKAA AKLARDIREKVLDKADGMFFKVVLIMDQIHDKERKNAVFDAIEEAPPQLDAMIAHVFD KLLLNEDVDKGDLNELLLWVAFSKSWITVSQLYAVLKVRTGQPYDALEARLRGKFASI FKLSGGSESLVQGEWPAANASKPEASPDPGVGDDGGDDDDFDIDEISDDEADDSGVDV HAGKPADEGGKPQPVEEFSEETFKRFTDTHVRFAHASIRDFLVQHRNISLDAHTGSMP IYVDPRLADLHIANVCMNRIIEFGTNYANTGKRPDFLNYSCQNWSSHLTSANEVGLTD SEKADAVKTIVTLFTDSTALNGLIRGMLVCQYYATLLYFFKDATFVSMLRKYWLATAK QEDFSSEQWEWIQKSIESHKEFFRPLAATAAKLWLMRIGPNDLFYENRVHLMSFSWIP WAWALLDETGSTGAEDLNVNPLFSYQYPVFDETIYEKVVNSFDIEKTQYWYSAHGWFL YAADYFDRGAELFTKALEVDPTCWDALEGFGWCSRRDNFDEATKSLEKAMENVPESLK VSRARLKANLVAFLLEKDDYESVVKWAAEDYEPMPREFNAGAFQMVSVYIWALFALHD YDRVHKILVDMSRLPTNYGMPMFLGLNYMYKEIGIPLWMHHDAEQIVQPWIDAVLNPK WNILETMPWAAVWCAEFMLNFYPTSDAALELLERIAAPSFSGKLSKERRIKFEESRDS IEEYLAGIYTEKARQAHKDGREDKEFVDKLRALAIADEGSSTYKIAPAAVLLGSYLRE TGAAEESTWKACLKPRMLQGIDLLCDDDLGNDLQAYDDLVIALIAAGDLDNARAANVA LGMGFTYPDAADEIAECGYPKETNFFTCDGPCSTDNYQKPGGYKEVWYCTCCYDTQFC EECIEMAKKGELPLRKCNKDHPFMKFLPVPGELKEKAVVWNADNPAVEVNREWLESLR KAWS TSTA_049040 MYAKVWNLLSLLLFLSSSKYRKGGRLSFSPYSVDPSLKCVARSD WLIVSLKGNEYNPEEDKLIVLRYTFMKERKALSKYDTVPSFTAWCTEPPDARYRVKTR KTIQISKRDKSVKMGAIEDAIEYLNFLEEDKQMNSTLTAKMFGVNCSTLSRRYRGVTG SKEEQYNNQRLLNNQQSQKLVQWIDMLCEHGIPPTPSMIANFAHKITSRKPGKSWVSR WLEASKCVDFSLFYGH TSTA_049050 MNFERSPLDFQFLAPDFSSFWRFDKKEFGDVEDEEEGVGQHSAD EEVVVEEPCLRTHEPSDELCNVDGAYRDMLYTTGVLQTKMWHAKRTALGIGAAQIREA FTTAQVPLVIDAYMVGLKAVFAITLAAFGIATLVGFMGHWKRLQMSELKKTAGGAA TSTA_049060 MRRAVTATQLMGLHERRHYRFKVLNDDNGLDPVNIWNCIVSMEN IMFLVLGPPSSTGGTTSNPAMEKVAATSSTTAPSLRNQSSNILPTLIIHATSKILKRN QLYIPQQTFDMTREIDQELIKMTEQLPSSSWRPPSLAGLELDSVQAFWEARRAWDHMY YYTIVNQLHLPYTLCMRHTPRVIYSSMACVNASRKILIRQIAIHFVALIAGMTLILAH LVSHSHDENDKFNSDNVLVHQRSSDRVTLEQALECMK TSTA_049070 MASVLITGTSRGLGLALVEHILTLPSTIIGTIYATTRASSAPEA LSELINSSNGRVHHVQLDVTDASSVAAAVHNVNQLSSGRGIDILINNAGRLGENSGKA SAMTAADLETTFATNVMGVHRVTAAFLPLLREENSSSVPCTAYKISKAALSMLTVQYA AELASERFTVFEISPGWLQTDLGGEHAHLRPDVGAREVVRIVLEAKPERDNGVFRDIC VEGFEGFYTGENPPW TSTA_049080 MPPPSPPQPYPARNPAGSLSQTSQGLFPRDHSFGSIHRPGTSMS ISSMLGSDPDRPSRDHPASLFSRPSVSTSSFGASRNSTGAMSPPTAPARPASSIDHPL MRRSETPDKPFAKETLSRQSSRSDSSGFPDSTKFAFGRSSFSQYPDKSTNSQKSPTTA TSSDPPFSHSRRMSLNSTIQRPSSQPQQEETRVSAYSPRSRTSADSIFGSTRRNPSYL DHDARPRYGGIYSDRAREEQLAREREKERNATLEADRKPAFGFLHGRYGVPPVERDED RPQKRSSWEIGRSQPQSPEARRFTTASESGSGSSFGFGAIQSYTKSLGSQLGTRSAPA APPPNSSFSLHSRQSQPTPPPHESFLGKHQPQPRPLSVTSAPSSSQPSLGGPGPAVTD DQRRKGSDDLMQHRTLLGIGADGKRAGRASPLPQAVQGAQAQFIGSAGESGLKGELGR VFAGIGSGVGTGPSSSTGSGPSTPMTASPFKRDGYGTSENVDEGKGGRGGATLGRKRS AKDEEPAGDIGEQTQATRGGSRRGRHLHHHHHHHHHHRYKNEDEGVIPRMTTGASALG QGPAPLEQVVTGPSMTPAHHHHHHHHHHHAPRNVSTNITSAPSNLPLRDARTLVNVEP LLTSVAHLPRHHLGSTLYSPRIGVPSANSSGESQKFGYTSTPVPIPRFEGKDNCTFTI RVPRFRIDPNHREEICARRAVWGTGVYTDDSDPVAAAIHSGYIRGAWGEDVDISMLDL EIKSDYHHAPQASGETGTTAKLSIPPVPPPNKDLHITLLILPRLERYESTLMFGLKSR AWDGNHDGMSFKIERIEWVDEGSTRGEERSGAARRKRLRNMMQTGRICTGPGLLRMRG AAGSVLGGSSDMVNRQTPASAPVQPVS TSTA_049090 MESRPPSIPHPPFPRPSLFSAAPQHSRQYGSSQQPPSRPEARSQ TTYDSLNRPADEPSRVMPTYNYAAHSYNPDLRSQTRSTSPTRFGAMQSDPAKRSALAS PVKNNGILGKDSAFYRDALNTPYPLLFRLDTSPTSCCFAYTNLSLYKALFLETATYTE TISRAIVLDSGVVFWQICVTRTV TSTA_049100 MSDSSDTDTVFPAMPLPLPGSPSSSWASFRDRFKALFHDADPRV CTAFWFFGLINNVLYVIILSAALDLVGSGFPKGVVLLADVVPSFVTKLTAPYFIHVVP YPIRVLVFVALSVCGMLIIALTPAHTDGRSISTKLAGVVLASLSSGAGELSFLGLTHF YGRFSLAAWSSGTGAAGLVGAGAYAISTTSFGLTVKSTLLASACLPAIMLVSFFGVLP LAPLRHAAREAAHSQDALHRGDEVEPRHEDETHEQDSLLGSGHGRLYVRAVERSKVSQ AWTSFQANLNRSKGLFFPFMLPLLLVYVAEYTINQGVTPTLLFPLKETPFEQYRAFYP AYNAIYQAGVFISRSSIPFFRVHHLYFPSFLQIANLAVLTLHALFNFIPNVYIIFLII FWEGLLGGLVYVNTFAEIADRVPAADREFSLAATTVSDSGGICIAGFLGMAFEVWLCR WQVSQGRKYCTMS TSTA_049110 MAGLVLLSGVLPRILACYLNQKIYKMIDHVLGRPSTQFRKIQVL TVVSFWSLYLFRGNNHGPPGLRNLSTRLRDRLTPWQTMVITLLWLYVARNFSKIMGFE SPEPLANLYSRSYFRATWITTALDAGFWTAMKIRPKWLRDIASMVFSLYYLVAAEQAD EKVRRVRATLTVEHLRVSWNKATTPYLSVLNAAVRPRYTKYAPRQIRIARLRESAYRE PVNAWLYFDGSLAELREQTQLVLDIPGGGYVAMNPRTADDKLLAWAGKTRVPILSLDY KKAPEFPYPKGRCVGLAGHSRPHIVVSGDSAGGNLATGMTIMILQSGRTEARKWLGEV PLPAPDGLVLIYPSLDMNIGSWMTEEQMSLIQDRGMRRTNENVLRRKSEDYSRLTPKS SHSLSSGHHDSSPVKDYFSSRPQPVDKTDPTSQNQPTQIKTRIAVSSMISYFGDRILT PEMMRAMIILYIGPHNRPDFKTDFLLSPILAPDAILAAFPKTYFLTGERDPLVDDTVI FAGRIRQAKLHRFRERQELALEKSRVEFNEKDYVEVNLIPGISHGFINFPGIFPDSWR HIHKCARWIVDLLQLAAERELKASVSSVSSTAPEKSLKTSDPNGQEKRHDTESRRSSL AESSADEDHPLEMNFTPIKNTNIISKDSDKKAISTNTQTKPSDSSNLKDIKQRRPRRP SLSTPNKPLSFPLQLPLLKTINKSANRTGPKEYYDAQDNDDDDANSAVSAENGKTFTR LPSEEDLIGRRMDGLAGGLMGLGEGVRTP TSTA_049120 MVFKALQALILGLITKKYDACNFKLIFNDLGLANLIVRSKEDLT VVGVVDLEWSYIGPAQLFGSAPWWLQDRPEKEECMPENEEKELSSLVKWPQDSGAMWL HMLISFGFNDHRSFPFIQLRRYIRGTKRAQYEEIFDDAEEIDTFAMRKVSQLDEYDEA PDGKSACG TSTA_049130 MAIREKYVQASVATILDTILRPPDDEEAAHHFKCTTEDFMEIEK MLMEDGEFERFPRASVYNEENRNAWPRSFMVLELVYTTMEEILGMQKDFICWRGTGMR LFEGCLAGNRKEMETAFCTPESRWFSNICVESGYSQSYDDLVQDVTLLLEGSRGHIGI VILVKLKPLVGEEEAIQNGFVEV TSTA_049140 MSDTEQEWKPNPRRPQSTMAQAFSLALDSAFMLDNEVNDLTQSI ELKKQRMTIQTRELEALQARIREAEELLQREQAAKDAAAENGDNTLHGTGSAQQEGRD SDTSAATSHDMDDQEDTDKGKPHAS TSTA_049140 MSDTEQEWKPNPRRPQSTMAQAFSLALDSAFMLDNEVNDLTQSI ELKKQRMTIQTRELEALQARIREAEELLQREQAAKDAAAENGDNTLHGTGSAQQEGRG ESDLARALSPTSSTLSDEHQSDEGATDSDTSAATSHDMDDQEDTDKGKPHAS TSTA_049150 MQIDPRHLPYTRILAPINTASLVSGYSDLQWGMPPLSAYTPFES LLFFQCLASLNSRPTNFAAISDTLRKNQFIKEDDAFDTNRLSPQALEDLYTTLLQEGI QDTSDKNGQAQDGGNPKKRKIVSGTAATQSQIAIIPELVSQLYARYKDRVTKEIRDEE KRYRDISQEIERLQKDALRELAVPAPPEQKALAGPTEAVAEQASDKMDLDVKQDAKLV ETSALSVPRVPSQGVVDAQAHPAVPPEQQATPIPPPAATPIPKPDLAPPIPDTSKQQA SLPAALAPKEGTPQQLPPQSPQISQPHPQQLPPEQAIPGGQIPVASTPPVKPAVNGKP PTPLAPHPPVIPQLSSNIQVKVPPPPQPQRLPPQAAPRGAAANRNQPNLPPGSTLAFQ AQQGTSLPATPTSQRQVQPVPAFGRGTPVPVGSPAFSQLPQGQQQFQQWAPHPQAGVF PATPHAPQYNNQPLMPKQGTFFPHTDTTGRQVPFAPQHQGPATPGQYPHPVQTPIQME PQTTPLATPSFSDPKQPRPHRPSMDTAGSLTPWKRTPNLHINIPDTPGSPPRPKPEDI SPISERAPSPIELELPAKEAPPQKKRGRGRKQSVPSDTETATARAENLAAVVETKRAG SIASTWSRARSVASRDEESATELATAQRKVKHEAPPTPAGVSEDVDVETRAGPRRRAV VSAAEEAQAKTRTKRKRGASETLEIDTIQPMPSRSVSSQLVYCTRNFTRTGAPIMNDV AAHKHASIFAKPLTEREAPGYKDLIYRPQDLKSIRSALSQGNRAVAAATEAAAAEGES PLPTSGTPSKNTAWLPKTPDLIPPKAIVNSSQLEKELIRMFANAIMFNPAPEAERGFG PSFRMVKPGESTATTRSASHPWDLDEGGIIRDTREMCDDVEKAVTKWRAAERTTTTDE TSNKSMLSLRGSSGDSNADGTDDHK TSTA_049160 MPRQRPGRRYGSQRKDSSVDGQQMSRHKPRSDNVAATPRKPPRT LNRIPTSITENPDILAAISLLPKNYSFEIPKTIHRIRTLGAKRVALQFPEGLLLFATT ISDILTEFCDGVETLIMGDVTYGACCIDDYTARALGCDLLVHYAHSCLIPVDVTTIKT LYIFVDISIDTSHLIATLERNFQPGKTIATVGTIQFNATLHGLKPVLERAGFKVVIPQ IMPLSKGEILGCTSPQLSDKEIDYLLYLGDGRFHLESAMIHNPKIPAYRYDPYSRVLS RETYEHEEMHTLRRDAIKSASSARKWGIILGSLGRQGNPHTMSLIESHLRRKNIPFVN LLLSEIFPGKLASMPDVECWVQVACPRLSIDWGYAFPRPLLTPYEALVALGGREGWDE GNGGVYPMDFYGKDGLGRTRAEQVSV TSTA_049170 MQKFKLSLRVSSALRTAHPRTTPLRSYSTEARLTTDHVRIVEVG PRDGLQNEKKSISLETKLELIRRLANTGVTTIEAGSFVPAKWVPQMASTSEILQHLLR TPPPSQNQIAYNYLVPNIKGLENLVTILESEGATAGSKDDAKASTTTEISLFAAATES FSKANTNCTIAESIERVRPIVALAKEKDIRVRGYVSVALGCPYEGPDVSPGKVAEITA TLLEMGADEVSVADTTGMGTAPRTLELLHALSAAGIANSDLALHFHDTYGQALVNTIV GLEHGIRIFDSSVGGLGGCPYSKGATGNVSTEDLVHTLHGLGMHTGIDLEEMSRIGAW ISEQLGRANDSRAGKATLARIAGEKTCP TSTA_049180 MRTSITIISRRIAPIHRRAFLSFRRSLTSSYNRRVADDKSPFAS GPAPPRLPKEEQEIFERLQRESTGAFSTPVRTPPKVNQSPDSVATTTPQAQDDSSTQT DEELFPHLRQTVKPEFEGDINPKTGEVGGPKNEPLRWGHEGDWSYNGRREIFSVA TSTA_049190 MTIRAAARRLQSVKTVQPLFAARSFSSTSHVYSLPRDEKARILK ENSLPTPDPGPDSATAAFLRDRTPYMVPTYVRPTPVFVKGQGVYLWDMENRRFLDLTA GIAVNALGHCDPEITKVISEQSETLIHASNLYHNPWTGALSKLLIDETLASGAMRDAS QVFVCNSGTEANEAAIKFARKVGKTLDASGEKVELVSFQGSFHGRTMGALSATPNPKY QQPFAPMIPGFKYGAFNDVEQLETLITEKTCGVIVEPIQGEGGVNVATPEFLIALRER CDQVGAVLIFDEIQCGLSRTGTLWAHANPAFAPKDGSKQPAHPDILTTAKALGNGIPI GATIVTDKVSSAIKTGDHGTTFGGNPLVCRVAHHIVQRLAAPELRNGVEVKSAQFVAG FKQLQEKYPDIISEIRGAGLILGVQLSEKYASKATDVLTAARQRGLLVITAGEGCLRF VPALNITEEQIEAGLKVLRESFEAVFE TSTA_049200 MVVVNDVEYLCDEERRLQEGRARKRYWKKWGPYVAERQWATVRE DYSENGDAWSHFSHDHSRSRAYRWGEDGIAGVCDTHGQLNIAFSFWNEKDDFLKERLF GLSNPQGNHGESIKECHFHLDNTPSHSYMKYLYKYPQKRFPYQDLIDENARRGKQDRE YHLLDTGIFNENRYWDIFIETAKEADDEEELSFRVIAYNRGPEPAPLHIVPQVWFRNT WTWGWEDKSKKPIIKQESPLKVKSSHHALGDRYVTLSPSPSFNGSEEDIQPRMLFTEN DTNNEALYGTKNDQPYVKDAFHRYIVDEEQDAVNPANEGTKFAAWYAFDEGDGVPPGE CAVVRFRFSRKNEDYVNEEQLDNVIEQRRSEADDFYYRINPLPMTEDLRNIQRQALSG MLWTKQYYHFIWDQWANGDPAMIPPPPGRKNVRNQQWRHMYLDDILSMPDAWEYPFFA AWDTAFHCIPLAMVDPEFAKKQLDLLTREWYMHPNGQLPAYEWNFGDVNPPVHAWAVF RTFKIERKMYGRQDLDFLERVFQKLLLNFTWWVNRKDADGKNVFEGGFLGLDNIGLFN RSEPLPTGGVLEQADSTGWMAFYCLSMLNIALELAKHRRTYEDIASKFFEHFILISDA MSFRNQDGLTSLWNDEDSFYYDSISYGGPWSQQLPVRSLVGLIPLYAVSTLEPEIINR FPSFKRRLNWFIENKNDVAERNIASMSHRGKDDRLLLALVSKDRLEKILKRMLDETEF LSDHGIRSLSKYHEKHPFSMDVNGQKFTVGYVPGDSDSGLFGGNSNWRGPIWICVNFL LIESLLRFYMFYGDSFKIECPTGSGDYMHLGHVAEELQHRLQHLYVRDYDGRRAINNG NDLLDFDEHWRDYLWFYEFFDADTGRGLGASHQCGWTGLLAKVIHDTGLNCRLPQTPR TPTSAAAHYFDDVFSRPNRPPKGNRRPGRFLRRSSTSRSIGNRSDFEASIHGGEADDE NEDAVSTNGTVPHSDRMEENFTSYIADQLNRVRNNTTLGAYEDEFVTEADHDGNGTNG TNGH TSTA_049210 MAPTDYTDFDPNGKDRKTIEPCVITRTKIYTDLYDDDLWFTFKD DFGDWTTDNLCKATVPVLGKLRDVLRTNGIYVPKGGHAGRVLANTLTLPEPHEWTKSE VVEHIQLKGTFNSPFIQLKFAATIKRINDAANVTIQNNAQFVQEDTPSPPSTNLHGMV TRMRASAGGLQDMIPHAETAPPTPTPPAPQAPLVQVATPTQTATWQGTGYVPAIRDQE RMYSQVGQFAPLYVNSIAQLRKVYTTDSTKYGDNEDSFDLAHNIFLDLCRQMGLHTAE ARNQAFSVMLKGLALDYYYTWKDQWERMGIDPAVAVKNHFENDEHLRKVQTDWDAINL YTVIVKYPEKSTTECLEMMFRDIQKLYHKLRPELRNEVIWHAKLISATRTHPACHAAT GNPASTIPGLMQSLRGSVSQFEDTKRAAQQHFAGTYNTDPYDVPRTNMTERRFFNNNL RYQPQNRSRFTRKPSRHFRGPRNDKKTCYICKKPGHLSYNHSDEEREAHKREWNKNRS GSYQQFMAEIEGWEYDPESIEELASSGAYFEDDSSDDEPPRTKDSITSKDSANKNAPL QTTSTHFASAFFTTDEKPKGELGKLITTELANRATMHCVKALATKEAQDGDNIENDVE ETIDTSTYVSASRYSEETWRGILIDTGAADFSTAGYSQFLAYRKAVKGAVMDTSTVNS VGIKFGSGDPVRSKGSVDVDTPIGRVRFHILETMTPFLLSIKDLDRLNVYYDNTKDLL IGPKENMTTQVIRRFGHPFLIWQETYELCLMESLDENPCFLTETELRRLHRRFGHPST DRFYRVIERAGHDADREAIEHIRKFCHHCQIHGKSPGRFRFTLQDDIHFNHSIIVDIM YIDGKPVLHIIDEATRFNAARWLPNISSSATWDALRAAWIDTYLGPPDLIATDAGKNF VSKEFSQLATSIGTTVKSVPIEAHWSIGMVERYHAVLRRAYTIISDELPDLHPDMALQ MAVKSVNDTAGPNGLVPTLLVFGAYPRLTQNDAPAISVEQRATALKKATAEVRKLYAQ RQVRDALNTRNGPSTIVIHSLPLNSNVLVFREGNTGYAGKWEGPYKLLEVNNETCTVA LPSGPTQFRSTVVKPYYAEDMPPEDIATTLDHDNAPEPPTQGNALLPPSTVKIPSQRP QRNRQPSARYRDDDFEAYINNKEITQPRADFDEVLEQTRFTDSRKQEVDGLLERGVFH FVHENEVPKGERIFNSRFVDEMKNSGTDKAFEKSRLVVQAYNDEGKDFILTESPTIQR CSQRLILCLTACMVTHSLWLRDVVQAYIQSQTYLNRDIFVRPPLELAILLSPGTLLKV VKPLYGIPESGNHWFNTYHSHHTEKLQMETSTYDPCLLHCTNSSNGFGVVGMQTDDTL ILADEAFANREEKEIKAARIQCKPRERLSPTNPLKFNGGLISETAQGILLNQERTCRL IQIVQEQHANTTSSRGKIRKNVSPKEQYVSQRALGAYIASLTQPEAAFDYAFAAQSTD PQKEDIKLLNRRLQWQIDNPSRGLKFVKLDINSIKLYAFVDAAFANNKDLSSQIGFVI VLADASNNANIVHWSSVKCKRITRSVLASELYAMVNGFDFAASIKATITQILHLENPL PLVICTDSKSLYDCLVKLGTTQEKRLMIDLMCLRQSYERQEITEVKWIDGNSNPADAM TKNKACNALQILVDTNKLHITVDGWVERSTTTPQNRAIKANSVAFANPQ TSTA_049220 MLSARVAARAGLRNFQVPRSVAVGGLRTYAAAAQQDVRPPVALY GVDGTYANALYTASAKTSSLDSIAKSLSQLGEVFKKDAKLTTILNAPTLSVSDKQQII KELQTVAGGDKNDILKNFLSTLAENNRLGLLEGVIEKFQTLMSAHKGEIELSITSAQE LDTKSIQRIEKAVAKSEISQGKKLKVVTKVNPDVLGGLIVEVGDRTIDLSVSSKINRL NKALTDAV TSTA_049230 MACIKEVNRTWVTNSAMLSPLLRPHPDRTDNMKQIKPTPLLRHL RSSFLLLGVLLNDIGIGIAKADNPFVQSMYTADPAPLIYNDRLYVFMDHDENGATTYE MKDWRLFSTTDMANWQDHGSPMSLANFSWANANAWAPQIIARNNQFYFYAPVRHSTGS MAIGVGVSDTITGPYHDALGKPLVENNEIDPTVFVDDDGQAYLYWGNPDLWYVKLNQD MISYSGSPIQIPLTTAGFGTRSNNAQRPTTFEEAPWVYKRNGIYYIAYAADCCSEDIR YSTGTGPTGPWTYRGVIMPTQGGSFTNHEGIIDYKNNSYFFYHNAALPGGSGYQRSVC VERFVYNSDGTIPTIQMTTAGPPQLGTLNPYVRQEAETAAWSSGIQTEVCSEGGIDVA FINNGDYIKVKGVAFGASPGAHSFSARVASGASGGSIQIHLGSTSGTLVGSCSVPGTG GWQTWTTVTCSVSGAVGTQDVYFVFQGSGSGYLFNFDYWQFS TSTA_049240 MKLLTVVGKIILLARTATALNNGLAVTPQMGWDDWNAFGCSLSQ NLVLSTANTILKTGLRDLGYHYIILDDCWSSGRTSSNVLIPDANKFPNGMKYLGDQLH AQGFGFGIYSSAGTKTCAGYPGSLGYETVDANTFASWGVDYLKYDNCNNNGQSGSQAA SSARYNAMEKALAASGRNILYAICNWGQDSPWIWGPSVGNSWRITGDISDNFNTQNSA CPVPNSGGYDCSVTQIMSKQATISQYSAKGGWNDLDMLEVGNGGMSDSEYVAHFSVWA AAKSPLIMGNDMSKLIASDYSILANPAIIAVNQDPLGVAATYRWTRNNVQLWSGPLVS TTGSSVNDQVVVLYNNGGSSTTVSVALSDVFGSSSSVPSSQLEIRDLWGSRLSNSQAQ TILNQGASANPSWLYNATAKSYATGLSQGDSMLLGTSIGSVTGASGTIQQTVSGNGCR VFRLRASKASSTSTTTTTTTSSSGQSLQTQWGQCGGQGWTGPTECQSPYTCQVQNDYY SQCK TSTA_049250 MASFLNTINARTKGPFKPRSSRGTTSYQLRKFAEETLGSGSLRK AVKLPEGEDVNEWLAVNVVDFYNQINLLYGSITEFCSPQSCPEMKATDEFEYLWQDSE NYKRPTKMSAPEYIEHLMAWVQGNIDNEQMFPSRTGVPFPKTFPALIRQMFKRLYRVY AHIYCHHYHVILKLGLEPHLNTSFKHYVLFIDEHTLASGKDFWGPLGDLVESMLKSD TSTA_049250 MASFLNTINARTKGPFKPRSSRGTTSYQLRKFAEETLGSGSLRK AVKLPEGEDVNEWLAVNVVDFYNQINLLYGSITEFCSPQSCPEMKATDEFEYLWQDSE NYKRPTKMSAPEYIEHLMAWVQGNIDNEQMFPSRTGVPFPKTFPALIRQMFKRLYRVY AHIYCHHYHVILKLGLEPHLNTSFKHYVLFIDEHTLASGKDFWGPLGDLVESMLKSD TSTA_049250 MSTLSAGTGTLSHSDSTKTIKARHHYLPIEPPSYLSTAVRGLKG SLHVLTPPSNARTKGPFKPRSSRGTTSYQLRKFAEETLGSGSLRKAVKLPEGEDVNEW LAVNVVDFYNQINLLYGSITEFCSPQSCPEMKATDEFEYLWQDSENYKRPTKMSAPEY IEHLMAWVQGNIDNEQMFPSRTGVPFPKTFPALIRQMFKRLYRVYAHIYCHHYHVILK LGLEPHLNTSFKHYVLFIDEHTLASGKDFWGPLGDLVESMLKSD TSTA_049260 MVAMPSDLQVFVKWKEQTVFAGEDVECTITFKNVAERAEEKSIN SPRQQHQRRVSRPLNTLNINDGYFAPKSSPTFFFNGSRRSVPSSPRRPTLGSHRVSAS LSSPLTGSYSFPPLHSPSPLNNGHSNEHKHKRSVSILSIESDNGSSLEKSPGQTQSSR PRPWGHGRSASLHFPPSRSPGLDEFLANGRHPAKAIPPKESALNPRLDTERKSRTIPI SPGHSSQLRSPRSRPPSFPTNFKFPPSLDPATETDGNASSNGGAPSTSNDGPARQLSK QPPSLTQQAHLAPATRILSNSSVNESNRSSGEFYAASNNSTDTLESEYTNYGGNRSKA GLAKHRRHFSSLEPIGRKNDALTLLMGYAQVSASFTVDGSLINQSAFEEVKRKGVVGG QTSGRSQEKPRRSGGFLGALGWDAIEESISGLLSNGDLEGLRDMRGVASSNSIPLLST PQSLLFVDLRLGPGEEKSYSFSFTLPRGLPASHKGKAIKISYNLVIGTQRPSGPKESQ QVNRINVPFRVFSGVNDQGDVLGHDLMQPYVLLRDEARVQKVSSIPRPPQKDKSISGP TWATAPEFLSYVDEILKKHESQHLRTPGPPLTTPLERRRSSSGLGPLLSCKEAIEFAI LRSNQVTSSNRSANRFDIARNGRRIAVVVLNRPSHRLGETILATIDFTDATLPCFSLR ATLETSEKVDPSLALRSNTSIHRATRRIYASFFENTLFSTRVVFSPAIPISATPTLLT TGIKLDWDLRFEFVTTHSKHDSDGSLSGSGLLELLGQDERGSVYSALENLPSESFEIA IPLTVYGETVREPLAEESEGYSI TSTA_049270 MAVCVASRRLTLLNVIQGLYRTELVSQHAGQSAINTLTVVSSNC WSSKRTFSTSQAYLNGVNEFISDVTTRNTADEDIISELTSTKPGSSSESKKNSKSTSE KSKDRKNKPKEAKKIGIKKSTLPPLNPEKKRRREQWQVQKEALQNKFQEGWHPRKKLP PDSLDTIRHLYATKPDVWTTPVLAEQFKVSPEAIRRILKSKWQPSEEERQRREERWAE RYRKIYSHMEELGLRKPKGEWTAKVSDARRLGLEEKPLRQTVRKQPRSDEKQVNISRP DREPAPKGSTSVE TSTA_049280 MPRSPKSLVETRSIVRAFAAFFGSGAISDIWPRLDRSYMFAIYV YIVFAGPLLASIIGPILIDRYRVTIFPVQITFISFVWGIAVLLMPETYVPVILYWKAR QFRKLTRQLKFKAPIELKRVKFRRRMKHDLNRPFRFLYKDRMLTLVVAYTGLNYIITY QMFSAVPLLYSTDYKFDLLMWVLLSYPPLTLLQQSSGIIGY TSTA_049290 MKISNAILLRAAFARQAAAKAVFAHYMVGGITQHHANTDIQGAI NVGFDAFALNFMSVESWSTDAISELFTAAKGTDFKLFFSFDMGHFTNPSQFLPILKKY VGHDNYYLYDNKPFVSTFDGGRLTFGSSDPNFEWQSTFKDALSDVGYPNKFFHTFTVV DGAFSWESAWPYEDAGFANVSDKVDSAMIAAAHDVNKYRRGESNLYTRMAQILTLKSD LVEVITWNDPGEGHYIGNFWNESLGNTNIATYAGGFDHTGWQQVILPFITAYKKGASD ISEITTSSSVGVIWYRTLLTTTSCKHDFIGLPRSHENAQDTTNYAIILPESGNKYTIN VHSDGKLIGTAAGVTGLNSGSVTGLEAGRADTQYVEVIETGSNGTKTTILAATGTKDV VAETSGVCNYNYEVVAMSGSGTVRTASYKSSSALTAAESTLTSTAGTISGSVTASLVA DSVHLSTTKIVAMEVFAARKGTSIDTLAGG TSTA_049300 MASTPWNTKSKLPGPGTSLKITPSNSPILRPGTRSPNKPSPHQS VLSLQTVIGTTTATPNGFSSHEPSRSFALCVGSAAILADIDRDGTVNQRFFRARPTAT PVNPVVSFYNQPASPTTPDNRIRSVTGRVANSGGISPAGDWADSSGSRTWTSRERIKA VTSVAISPNGRFLAVGETGYNPRVLIFSTAADAPRDIPLTALTEHTFGVRSLAFSSNS QYLATLGDMNDGFLFVWQINLRTGAARLHSTNKCTSFIRDMCWMGQTLITAGVRHVKV WRLESRPGSPSKLRPNNENLSSSFSGNPKALSGRNCLLGSLGEHTFTCIASVSDREAI LCTDSGAICLLDDSEGNQKLTVVKHVPFGLTSVTVAPESGDIWLGGRGRRSLKLRMED VRELTKLRSPSPTSSVDSINSKGKVPTFISIAYLATHIVTVDSSRAIHVCPVNVFGNG DDGGALRDSLVPAHRDQVLGVRSLVTPNVYKADFYTWSCEGSVNFWDMQGRCRASKKV ELEQLASGEDEVANELKVLRVTEDANTFVSGDRYGVLRVLSAEPWKCVNEVRAHGTEI TDIVVNSASGLCLIASSGRDRMVQLFKKTEKSFELIQTMDDHVGAVGQLLFMNDGERL LSCSADRTVIVREKASRDSDTTTVIAFLMSKVITLKVSPLSMTIAPDDPDTLVLSTLD RHIQRFDVASGRHIHSFKATDFETTDAVVMSSLTVATEIPGQSPRILVGVSTTDKSIR VYDFERDALLTKEFGHSEGVSDVILLERKKNDSTHEVGRILVSTGLDGVVMIWDLSIQ QLQAQELSQSNIRDEEETPSKELVAARPPLRRILSRSELAGFRQDALMSTPTPTREQS PPRIRKKTSRYTLTPTMPRTIGRNDSPPPLPNIRRSPVPVLDPRRSPSPPSPKTKLVN GVNRRSSINNLRTSSIDFRSSTRTKNSTPSEFGSLNMSTEQVCRTLRAYRKKLHSSTD YPRGAKELDRELNLTIHALTERAARHNSPSPDTEVDSSEKENNNKDNNNHTGSNGIVL RHKHSAKKQSSSSSSSSSATVTLVPNAKLTKVARRMPSTPLMSTKSRTRQVSRSRSLD ADGEG TSTA_049310 MCFNLEAVLYLEQWLDAGEFIRAVSAIDSDNTRSIMADMILTSE KMPNDYVIRILQELCDSAKGINNNHPSFIRCIFDLCVHHRRSDIHLCEAILDQAQTTA QDMIFTGDNYPDEEIEYLSTKAFNFAVDLYLSNNQPDDQRRVRKAIDLSRSMRDDCGH LTLELQIKYEKWLTYSMDSE TSTA_049320 MLVETTHLLQRAALRTNSVDFDELADPDIQDCVVKYHFLCAWMA LKKGDIKEFEIRFKPLPQEITKSPVSREQYDSMCLFAFRLAVKNGWRGLAESLMPKLF ETFSILKQFHPDVLEYKKMVSIFFYALSTADMEDMSGRFNSLRSELLQTIEQDLEGPL GLFLQLEVMSRREDFDKDAFYQDVCSIYSNYEPKSSPDKAMMTLWLLYRHINVFTQDE HWLQENFVALLRLVPSPPWKHSELLHFLTDLPSALNEILVKPLSTAASNVALIIVWKY VESAWSSCEYLEAQEWCRFCQNNLFQQAAPANKSNTALMDCSFQIGDYAEVKSSWAVL SKQEKEDPTTIHIVYQVALRNSDIDTAANLLASLIELENGEHRYALASVAAAVTVCSQ VRRYALRSVYELIEKYPIVLKKPVNLDLHRALIRLIVYELREDPVDAEAQKTQMYEVL TWGE TSTA_049330 MSQMEDETISSTQFSAWIQEADISETLASTVQILSGISVTRFSD GSLKMLDNSAPGLWNAFMRFLRRIEGGRGDVGSEIVKVPLLVCRMLECCYSEEGGGKF GMRLLDC TSTA_049340 MTCDSERPCTRCIKRNIGHLCHDEPREPAKKNNRTEPEDGAAAI GNGNEFASSHNLATDAGNQSMLSDSSLSIRPSTIDPSQSVQSATIQPAAGQGLDRNNQ HMFGYNAGGAGSGDWGLNGQSNGFQDMHTFHPSYMFNAPEVTNEYNLLGDFLNNSLLD DNGMYGNEELQGMFSDSSLINMSTNINPNAASFAQQQQQQQQLAQLAPQQATNTQIQQ PTSSVSTDKARENYYMTAADPSGMDPPEERMNKLLKAKYEAGMLRPFNYVKGYARLNQ YMESHMKPASRHKILRQLDKFRPKFRERMQSLTDIELVFSEMWFERSLMEYDRVFASM AIPACCWRRTGEIFRGNKEMAELINVPIESLRDGKLALHQIITEDQLVSYWEKFGAIA FDGSQKAMLTSCTLKSPDDDDSAKGIPCCFSFTIRRDPNAIPALIVGNFLPSERRPR TSTA_049350 MATTKRKRTTNPEDTDDHDTTTSLRESKRFAWLKPQVKNVSKHT IKSKWSSLPEPAQGKVRELFRSLERPVIVRQRDERKRIEAQVALGGVVKTLERRLPRM PFPPLTKDAVFDHESVLNEHRVLETQLSTTRNTVDLLKMEIEREEALLAKELKYVEEM EKNAKKAEIERRRQMKNEHPVLRHIDVQNSTSETVSSAPFVIIDRSKDQATLCEMEPD REIQSIVTQLNGHLNSMQNNVAPFAALREAIAEAQAALDFLPLPD TSTA_049360 MHVAGSVNRRASPPPPGPFGYNFLAANDTPYDAGPAPPPGPSLL DDTESNMLESFFTTLNTSQFNVGDAWYQDLSHDKGGGTFGMDWIEGLPPNLEGSTTTL SQSPNLPMHPPKNTNSLMGGPSQDSELLAAASMLWGNGGNTMNFPAQHLFPTNVMSEM AQNHNMSQPRIKQEGMPRHHTNQLDSRHMLPQAQHTPVFNPEQPAVPVDPHTSIEVQQ LRWGSDAGFVDQGYQRPAGMENTDEVTKNLLENMKCLEPQTSTTNTRAPTPTRAFEIP HNGNWNNINGSYMSQPSESVNHDEGESSPRPRKRSKIKIQEEDSDDNGTPPRLKKARG VSGGKARRGSSENASKRPKAQQGSKTRENLTEEQKRTNHILSEQKRRNLIKQGFDELC ALVPELRGGGFSKSAMLIQAADYLEEVLNGNNILRQQLSQLKAVNGFMIPR TSTA_049370 MAVPRERAVHAHLIQPILKAYGLGYLALTTPRLLGFIPLLVSKN ATYRAKLEKLHRILLGGLGLNKFSTFCAVLVGGSTWLPFLFFRYIARSSSRYSSKNVV RIVRFVSTCLSGWAAFNLLNKKSEARGLAESNAIVKHSKKERPLDVPQTQTCRPVLAG RSLDLTLFTFTRAAGVIASLAWTEWERRRKSKGKWSPVETIAPRLADAGLFAGSAAVV MWAWFYAPERLPRSYEKWIGEAAQVDGRLIEALRRARRGQFVYGKDTGQAPLLESMCE DYGWPIHWGDPAVTVPIPCEMVHMGCGPNCEWHALSRFIRSFKFAMLTNLPLQLILRV RAKQPATTLNRAVKDATRSSTFLALFISLIYYGVCLSRTRLGPKIFSSKTVTPMMWDS GLCVGAGCFMCGWSILVETAPRRQEIALFVAPRAAATILPRRYERKYLMREQIAFALS AAVLLTCAQERPDVIRGVFGRLLSSVFV TSTA_049380 MDMRGLRFLGRCRKICRPQSFNQLTTNGTRRFSQQSNLRQQAST NDHAGTKQKNTSTLYYTASIIVGTLALAYGSVPLYKMICQQTGWNGQPVQVHKAGDGD TASRLKPVTDSRRLRITFNGSVSDVLPWKFTPQQREVRVLPGETALAFYTATNKGTSD IIGVATYSVTPAQVAPYFSKIQCFCFEEQKLNAGESVDMPVFFFIDPDFAKDPSMKNI DTITLSYTFFKARYDDNGILRPTA TSTA_049390 MALLVDRLRPRSLDSLTYHPELSARLKSLAQSGDFPHLLVYGPS GAGKKTRIIATLKELYGPGVEKIKIDARVFQTTSNRKLEFNIVASVYHLEITPSDVGN YDRVVVQELLKEVAQTQQVDQSARQRFKVVVINEADHLTRDAQAALRRTMEKYSPNLR LILLANSTSNIIAPIRSRTLLVRVAAPSELDICAVLRSAAQKENWTQSDALNVRIARE SGRNLRRALLMFEAIYAQNEKVSDKTPIPPPDWEALISVVADEILAERSPARILQVRE RLYDLLTHCIPPTTVLKTLTFKLIPKVDDALKPEVIKWSAFYEHRIKLGSKVIFHLEA FVAKFMRIYEGYLMGMDF TSTA_049400 MEPGGESRQYESIREFTNTPPKDKGQLGANQKSTISELKILSRD DENEQRNNDLTSKDPNLNGPQTAGMTIGVSGEVDKDLKPDAEGIDEEARRTRTAQRLG PGTGIGA TSTA_049410 MMLAKPQFGLLRSYNVPSLLQSQISRCFSTTSPAMDWLTPKFAE KSKSPKGRPKMHTGGSVRGTTVVHGDYGLRMKDHDRRVAASSLKIGEDTIRRRLRGMN YTLYKRVSANIGVYTSGNEMRMGKGKGKFDYWAARIPVSRIVFELKGDIHEKIAREAF RLAAHKLPGLWEFVKKGDPPVVGITKLGNGVTLESLKRPRREVPLDVKNSPNPPKTAS TSTSPTQ TSTA_049420 MADIRNFFGAKAGSRTAAPPAKPAPKEDPSAARKKRSRKVVDDS DEDEPQSKPSPKSKPVKKQTKEEAKGEPTTTSDYFASSKKRGRPPKNTEAKDTAATTS DSPVKNGNSTPKAKQNKDTPASTEKKGRSSTSNPVTKVLDDDDNLGGDDIFATEYGKS GRDDDDYVDDKDGSDSDELTVKPATSRKSKLGDDDIEMLDTQPKAKPGRKRKTDAAFE PDEADKKPKKKAEPAVKKQKSAPKLSEPENKEIQDIFDTIPTVRPPTPPPTVPGEKPK FNFAAAARSRTPPAAGSAELPVGAENCLAGLSFVFTGVLDTLGREEGQSLVKRYGGKV TGAPSSKTSYVVLGSDAGPSKLRKIKEMNIKTIGEEGLFELIRRLPANGGSGKAAGQA QAKKEAEEKKIRAMAEEIEQEEKQKAAETKSRSTPKKPSVVTPASSHPDVDDRLWTTK YAPTSMNMICGNKGQVEKLQTWLRNWRLSAKRNFKMPGKEGSGLYRAVMIYGPPGIGK TTAAHLVAKLEGYDVVETNASDTRSKKLVEGGLLGVLDTTSLQGYFSGEGKKVESQKK NLVLIMDEVDGMSAGDRGGVGALAAAAKKTNIPLILICNERSLPKMKPFDHVTYELQF RRPTADMIRARLMTICFREGLKIPPPVLDSLIAGTNADIRQLINMLSTVKLDQKTLDY DQGQQLSKAWEKHIILKPWDIASKILNAQTFSQSSKSTLNDKIELYFNDHEFSYLMLQ ENYLKTQPALASNYSGKERKLKLLELADNAASSISDGDLVDRMIHGSQQQWSLMPTHA AFSFVRPASFVFGNMMERTTFTSWLGNNSKYGKLNRFTKEIQGHMRLRASGDRDEIRQ QYLPALWNRLVRPLMDDGKEAVENVIDLMDSYFITREDWDAIVELGLGPMNDTNVKIE TQTKSAFTRIYNQRSHPLPFMKATNVLAPKKGPKIKPDIEDAIEDSDEDEVLDDAKEE DEDEELDLKKDKYVKVPKAKKGTAKAKKAKAKNDDDLDDEEEEKPKKGRKGKAKAK TSTA_049430 MSLLQSEDFTIWQLRTSYLSTIKDGIGDRLINVNNSALNTPGFR AAGWVPTSGSATTTTQESGSLVKRTYSPPIPTTANVASEYYRFARHTELNDGDGLSID DADEDEGGMVTGGGGGSTYALGIKHHGKSARKNRRRDRQVPVIQRVGEGEDEDSSDLS DESDEEGDFTRAAQQIKFSKMPVRNRAGSLPIEDGRDTPEEVPAAGPDTAFDRGFRRS SMGAVEVAQGRPRGDTVTSSDMSEGDNPALFRRRQIQFSSQHQVIEEPADDGEANSEA QSGNTGDQDEDSAGASVDSDLSSDFGVTAGSASLFAGAALNDTLDSSPAMMHKLPNAS SQGASPRKAKPATPSLQELPPPRPISTLQPVSLLSKELNARKAVPTNPIERFAPLYAA DSNTALNIKIYAPFSEDPETPFNMPIFRESRDQGRTGPVTVAEAIGLALLRYIKEGIK PPIGGSKLNVNRWTLRMVEDGEVDYDFPALGRHLPLADFTSNNNRAVGMRGRSRGKQY DEFALVEATDAEFEENSRLYPKFNPEPVAETNEAASSNPQTPGTTTPQTKAMPSAVRM NPILGQPFSSALNGTTLKPADMPAPTSHATPRLGVQKTLKVRFVNIEGSTQTTTVNTA TDSYIAEILDSVCKRWGLDKGNYLLKIQGTNTVAPLDRTVEVLGNISDLDVVRRRFGA GASFAGSPGSASPNAPLQINNPSLAPSKKGKRGHQMLHPLAQPQKQDLVGGYYRRYYV YRKQSMSFTASNQRVLVLDTDYLHVMPGETAKGVFDTTGKTRSINFNDIIGTKVSRRH PKSFQVVVLRGTDAHEQKRYDFEARNEAEAAEIVGEIKKNMEQYRV TSTA_049440 MPTFGSLLKKKKTLSTGGSKEVPNSSSTGAEPSTTSATSDKPAE QSHRSSVKESNDSSSKKNSAVSTSAETSNQKGNSQDNQMTLTSSTNQQPAQGANTSSS HHHHHLPNIGSIKSIINPTHHQQHENESHAQQQGHTDNSNNNVQASQGQLASLQARQT KGKYSLEDFSLQRTLGTGSFGRVHLVQSRHNHRFYAIKVLKKAQVVKMKQVEHTNDER RMLQRVKHPFLITLWGTFQDSKNLYMVMDFVEGGELFSLLRKSQRFPNPVAKFYAAEV TLALEYLHAQHIIYRDLKPENLLLDRHGHLKITDFGFAKEVPDITWTLCGTPDYLAPE VVSSKGYNKSVDWWSLGILIFEMLCGFTPFWDSGSPVKIYENILRGKIKYPPYMHPDA VDLLSQLITPDLTKRLGNLHGGPEDVKNHPWFAEVTWDRLLRKDIDAPYVPPVRAGQG DASQFDKYPEENEAYGSNGDDPYHSLFTEF TSTA_049450 MSFFGSSSSSKSSEDASSTALKNALMQQVQTEAAVANARTLVSK INENCFDRCIPTPGSSLSSSESTCLSSCMEKYINVWNTTSRAYISRVQKESKRMGAGA DVLGALGGQQ TSTA_049460 MWFSQFAASALALASSAAAGTDTKYWQGNTDVKMLPLRTHSLAP PYLDSDMHSRWWDFGGDTVIRTDQYVRLTSERQSQQGWIFSRVPLTATNWEIEFEFKI HGSGHFHGDGFALWLTKQRATQGPVFGSVDRFEGLGIFFDTYKNNRPGTSFPYVMAMM GDGQTAYDQEHDGKANELAGCSARGLRDAAVPTKARLTYFQDRSLTLDLQYKSDGSWT ECFSLTAPETNIAIPSVTYLGFSGETGEVTDKHDIISVTTHNLYDSSTSASRNRAGAP TARGRSAGRRKKAASSGGWMWFFFKIFLFVGLVAGVYVGYTIYRSSQRQSRF TSTA_049470 MKTKLLDPRNSASSLQNRAQDTAPRITQADMTATADRIQKDTDL TGTGTTIVITARAIPQNAKRPRNHLLRPAATFRESLFDALGDDEGALYWESVYGQPIH TYAIPSVPKGPNGELERMTDEEYAEYVQAKMWERSHEGIMQERERQRQENAKAKQRAE AEQQRAFRDRTQFNEALEESLKRGEKRRRAKLWIAAWEKYMKSWEGLDASSKAPPATS SDTEKPFYIRNYIHWPVESGKRRDISRDAVREFMQHSSESFLNTLKAERIRWHPDKML HRYGSLGLGEEKTLVQSITEVFQILDDLWIEEKGRQSR TSTA_049480 MGLVQYSDSEVSDDEEQPQVTQPITKNTTAETKPDQKFSSIVDR GNPRKIRVALPEIKPENKADDSIEDDEDGPARKKPRTTGGGIFSGFNSLLPAPKRANL PTTSGGNASKNTKADAEWRQEQALDQASMGGSEDDTIPKPGSLRNDPKEGSLLKEENY KKKGNAMVFKPLSVARNAPKKKSAAFVAARAAPAAVNTAQKANDSDTPTERDASIAAT TTTTTAAEASPATKPKPKISLFSFSNEDKTTSTASTSAYESIVYNPEGFDAPSNTGED ISALEQHNQPSATYPTPSTQQQSTLDAIANDLNLSRAQRRQLLGRNPGTVQANSKILT FNTDAEYASNQELLSKTTEEELTAQQHNPVRAIAPGKHSLRQLVQAASSQKDALEESF ATGRRNKKEAGSRYGW TSTA_049490 MEERPVFELKLSEAEGLRRLPNDLAERLRNASGSQYLDALALAA LEPGNTEWIFTTYERLIVDIASRWLDLDPQNYYLNILSAFSRILPFAPYLRHFTHDYA RSHSETFSGSFLNFDGATIRKALLCIFRLSSFDIESFTAVISPIQLQSLFQHADSCVR YLAVRCFCYYMRTGDAATQRMVTTHIGTGVIEGPWENTVIDYRLLGLWEERRWHLFEA DLCSRRSSLTLNTAWDIVDRHRENFSHRTAYIGGVLVPKVRWDRPTPESNLVKTATVT NNLRGIATALLEQKPLLLIGLPNSGKTSLVNDVAREMGQRKERIKCADGFRIIATMKS SLNSKGEEVAQSHGLLGARLWNKVQINSLPLIEVREVLIEKYPVLSARVPTLIDIYDR LCSAFHSSLAARSAQGRTPGLRDLIKLCNRLHKRLLRLGVTTGNEATPESFQDEIFLD TVDAFIRYLPDRDFGHRLSSIVAEELQLSPQRADYCLQERTPPYADYEDKFSVGREVC TKMKVTKTAKSRFNKLTNWFAPTRAALRTMEQIAAAVQVSEPVLLVGETGIGKTAVIQ QLANLTCQKLTVVNLSQQSESSDLLGGFRPVNLRSTAIPLLDEFNQLFEQTFSAKKNQ KFLSSVTKSLTAGNWPRLVNLWHEAVRMSDSLFRAPKGSDQRTEEQPSKKRKLDSPKY AALRDKWESFKVQLSDFEAQASRGDSKFAFAFVQGKIVRALRNGEWVLLDEINLATPD ILENIASLLHHGDEGMPSVLLSEAGDVERVYGHSDFRIFGAMNPATDAGKRDLAPGLR SRFTEIYVHSPDVDFDDLLGLIQTYLGNLSQSDLRLASDIASLYLATKKLMLENKLTD GAGQKPHFSIRTLVRSLVYITDHVHSYGLRRAAYEGFCMSFLTLLSQESEKLVVPLIE KHIFGSTKHAKSLLGQVPRAPEDGSEYVQFKHYWMKKGPFTAEKQPHYIITPFIEKNL KNLLRASSTRRFPILLQGPTSSGKTSMVEYLAKISGNKFVRINNHEHTDLQEYLGSYV STDDGSLTYQEGVLVEALRNGHWIVLDELNLAPSDVLEALNRLLDDNRELFLPETQEV IQPHPNFMLFATQNPAGLYGGRKVLSRAFRNRFLELHFDDIPEDELEYILKERTQIAP SFCSRIVSVYKKLSVLRQSTRLFEQKNSFATLRDLFRWALRQADDRETLAINGFMLLA ERVRNSSERAAVKKVIEDVMKVKIDEDAIYGLAELERRAEGRASLSKNVVWTKAMRRV FVLVSEALRNNEPVLLVGETGCGKTQICQAVAEIYGKEMFIVNAHVNLETGDIVGAQR PLRNRSAIERQLRDDLASVLSTLSKADVDQSSRSLEDLKISFAQLAPETLEAIPAELL DCIKTNITRSQALFEWSDGSLITAMKTGQYFLLDEVSLADDSVLERLNSVLEPHRSLL LAEKGPVDSLVIAQDGYQFLSTMNPGGDYGKRELSAALRNRMTEIWVPQLSEEEDILP ILKDKLQSMDENKTKAMLQFAKWFKQTFQGSSTFSVSIRDLLGWVEFINKCPPSNPEF AIVQGAAMVYIDTLGANPSAMLSTSTDSVAQSRQLCLEKLGSLLEIDAVRIYNENATV STQDGYLCVGPFRLPVSPGSSPDPDFVMDAPTTIANSVRIARGLQTSKPILLEGSPGV GKTTLVASLARALGKPLTRINLSDQTDLTDLFGSDVPVEGGDVGQFAWRDAPFLQAMQ RGDWVLLDEMNLASQSVLEGLNSCLDHRQQVYVAELDQTFKRHPDFALFAAQNPHHQG GGRKGLPASFVNRFTVVYADSFSDTDLNSICSKLFPRIPADQTSKMVEFMSKLNWAID YDREFANIGGPWELNLRDILRWFQLADRGNVQLPSGYFLENIISHRFRTEKDRSLISA LFEESFKIPAPEKSYYHNLTVEKFQVGLAIMPRRQLEQSTTHSDVKILPAHLPILESL MFCIERAWPSILVGPSGCGKTTTIRTLASIQGAELVELALSADTDTMDLIGGFEQIDY RRQTQSLAKDVVNFVHHQIITTVTSESASSSIVHLLEAYGVCSNPEINIETLADVINA LQPHFDSLAFASLIQRCKALVQTSKDANKVGFEWTEGALTEAIQLGHWVVLDNANLCN ASVLDRLNSLMEPNGYLVLNEQRTEDGSARTIRPHPNFRLFLTMDPRNGELSRAMRNR SVEVCFLSEFPAVASSVYTPLFTLESALYRLRYVWNCDPESQSSDVADQLFGIRLDHV EMSDLANFNHSLRQLAISFPDAEQSKAAMEILERYAKLVEGNFQWKALFSLSMEIGDQ GAELVHPLVNEPRLTWHSSQADRIILLSQLQGVKLSLHQLQQRLVHSHQNSQHLKPSQ MTRLERSFASSRISSLMKDDTQAVSSFLTDFVHTSYEFIQRLDNDSGLVNDALSVLKD FTKICWDIYTVTQVKELDEGVFQIYLQIGQQILSSAAESQSALSPLFSALAQLLSRFR STWALKTGLSMRRIWDAWRPVTCTDVEQLNSLLDLEGVVSGFNKIALQTRLNISQLGL VRKSLIEAQNAMLLNNADGKLLVPSLKQQVTELVSATEIYSSPQPPHFLKVFESICEY HDLPILYNESQIQHHGHLNETLPLLAGRPAYSTVPFNEKFPVSSILHRLALYNGSEGA MLAVSPWSSAFSVDLLQHVQRTNEVLLGRLDFFQSELHALVEAVSYSSREISLSQTVL LARVFTRVILEILCLHQDFLNTTSLEVVVSALCDLEKTGTFHGSIPQLEPAMDLPPNH FFREFVNDLSGCIQTLANVRNNSSVSTLYELGHVCVQLSVFCLRLFVPDKPYDPSLGL VVERQRHADQVLQLTAEDEALATFEKEFSGRTSNLRRCLIKEELKRLGSTPSASPVSR PAKSRLMELHGEFMNLHNSVLSRTPETFGVAEFQQYGNLLKDNVRLICARLRSNFRVY DDITVLVTRFLTMLDLGASLCLGGANKSQSKISQIITQTTPFIGGNTYPLMKKPVHAV ASMKNQIKVQIHQLSSLALHHGANSANLDSEAALSHLKEIFQSLHLIWKKQLVEDQKE AAEKSQTYRYKGSFEDSEEVDESELRELFPVYEGTEEEVSEKPRMDINSISLRLTELH AAIFAPGDIEGNLREFIVESTRLLGSLDSGQDSYAPPESYLPGILLVLKDELKSYENV SPRRYNFYLDANIAEAKRLVSLVLSVRNRFVQLQAAWPEHAAIHDVLVCCQEILQFKH LEPVAKYITKLEKLHGLVHEWQLVASKDYSAASLYDQITALTISWRRLELSTWAKLLD LEFEKCEKAVSTWWFVAYEAFIALPLQKAENGEDLKDYTTEALHTLEAFLKSTTIGQF SARLRLIEQFRSLLQLFANHNPTLSCIVSALENFLHHYRPYEPVVNKTLEEKRKSLDK DVKEQIQLASWKDTNITALRESARRSHYKLFKYVRKYREFLSQPTDQILQQGMPDLHE QSLDINFAKPLPVSLWDSSDALMVCERYDPIWANRAPRFKDPSTTAVNMLRVYTSSLS ELDVPSQLSSFVTDVIETVKSFKSETPSTLTEDNKQHVQHLKTQKRRFYAETLRQLHF MGIRRNVSTVFIEEQATINQVLATTPNIIVEHGPAATVAQSADSYLHRFLDVLPHVRK YSREYNEDLNPTEVTRSIGSAEGFLVLIRKQREDLAPVIKHLVGLKSTSADMKVLWTH GPSRLRQDNRSGLTERENSFRRVSWLIPLLGVGKEIVEIHSLYSGSPSVAGTFQLWKD TFARLRNGIERLPHLPEGLTSQVTIDTELEVRSCFAQLKSEFTTLIEDRPELAFVLEQ AISWLEIPAPSDATSAESDMVIDNLNDHYLSAVNKILVALQELKGSLASLPPDMENKD WLSRTDSSLSKALRDLHIGDIARSLNSVLDKLQSLQDSDSSLPLASAIIAGLLPITEQ YYNICEDMIGRYLGVHREICKMAYILSKSFTQIASEGFCSPHEASTEESGSGKVESGT GLGEGEGAEDISKDIQDDEDLSELAQEKDQEKSSKDDIDKSEDAVNMDQEDLEGEFDD EQKETEGEDKEDMSGEEGDDEIDEEVGSVNDLDPNAVDEKLWEGAHDEKQKETENEEG KGQSEKDEQAAANDKKEQDLKDQEEKGDEPMEAEEGEREEAPEDEEETGGREELDVTD PHAKEEQTLDLPEEMQLDGEGPEDAESDMSDDGMDELSDFEPATNGDKAEETVDEDER SATPDPGDIDMEDNHQNEAESGEPEGEQEANIAGEEETLDEELEAEQKENKALEKDEN TAAGENADQSDEIAGGIGLDTDTKEEKGSSGNAQQEGGAEEASSEEQPNGATEEGADQ KKAESSSGGAGEDSQDDPQSEAFKKLGNVLEEWHRRQREIMNSSRDKEKQTLPLDTNM ADAEFEHLADEDDVADTQALGQASEEQAEALDQQKGVEAEKPSVTDDIPPDVMDGDKD NQPDENLLDEAAMDLDVAEVNATEGAQTAKGTLVGETYKERDGEPPMTEELDEVDTHL AAIHLSSANATKTSIDEARRLWAHYEAVTNDLSLSLTEQLRLILAPTLATKLRGDFRT GKRLNIKKIIPYIASQYKRDKIWMRRSIPSKRNYQIMLAVDDSKSMLESGSGQLAFET LALVARSLSMLEAGDLCIVAFGDEEHVRVAHEFGKPFSSEAGTQVFQQFSYQQTGTNV RKLVADSIALFREARGRKSGGGGNSADLWQLELIISDGICEDHETIRRLVRQAQEERI MIVFIIVDAASGSSILDLTQASFEPDDSAMGTGEMKLKMKRYLEGFPFAYYLVVRDVR ELPAVLAMALKQWFAEVVETSS TSTA_049500 MSLATPSSGDVGLSSSRRDVYANESDGDPVSNNERDEAIFADHP FVQNDIEPTKTRISFKRKQKQPARFSLSKVFSASSSSTSHNYTASLNQNANLTDWSNI ADNSRSAAANSDVGAFKEDGPLDWYIEGPGRRLAYDDMTAIDWVFEYTKERQRKRLLY STGQGVLGHLRQLLDGSHVWVVLVATGIAVGLLAAGIDIASDWLSDIKTGYCKGEEGF SQFYLNRGFCCWGHEDFSECVGWIPWSKALRITSAGGGYVLEYIFFIMFAVLFATSAC ILVRTYAPYARHSGIPEIKTVLGGFVMKRFMGGWTLAIKSLGLCLVVASGMWLGKEGP LVHVACCCANILMKPFDTLNNNEARKREVLSAAAAAGISVAFGAPIGGVLFSLEQLSY YFPDKTMWQSFVCASVAAVALQALNPFHTGKIVLYQVTYTRGWHRFEIIPFMILGIVG GLYGGLFIKLNMKVARWRKSRGWSFPLLEVASVALISALVNFPNKFMRAQSSELVYQL FAECATTTDDQLDLCKTGAASFGVIALLLLAAVAGFCLASVSFGLDIPAGIILPSLAI GALFGRALGIAVEMWQAAFPSFVLFESCKPDIPCITPATYAIIGAAAALGGATRMTVS IVVIMFELTGALTYVIPIMIAVMLSKWCGDIFGKRGIYESWIHFNEYPFLDHKDDRPP PDVPVSRLMTNVDDLTIIPAVGHTIESLKSLLAQTRYRGFPVVLDTSNPILLGFITRN ELSYALDSSLSSTTSNLGPETQTYFVHQPFADPSDTLDLRPWMDQTPITLNSHTNFSI VLRMFQRLGLRYVLFVNKGNLRGLLTKKDVWFILNGMENRREKGFEDGPLRETNSSEA LGLLGDEASQGVTEDVGLLEGRNSIQSL TSTA_049510 MSSEKATYSGEKTLTMLGCGNLGLAVLTGILMSISEPSESEEKL SFQTPTKFIACIRSERTAARIRSAVEPYPKFPVKILQNDNVTGVKEADIVILGCKPYM LKDVLDVPGMREALKGKLLISVLAGVSSQQIEDTLYSEGPQPASERCTVVRAMPNTAA MVREAMTTISIPSPALPKEWDDLVSWIFTRIGKIVHLPPSQMDVCTALAGSGPAFVAL MIEGLADGAVAMGLPRAEAQLMACQVLKGTVSMIESGEHPSIVKEKISSPGGCTIGGL LVLEDAGVRGTVARAVREATCVASELGQGTQLVNGTRR TSTA_049520 MADPFEVRMRFTMQLQHLSAAIASSHRAAQYALKYRDMDEDLHS CILEQLERNNMNNRANIMYFIEHFCELASKENHLNYVRMIQRDMLTIVDSVAPADGSG AANVKHVRRVLQGLQDKNFLSSETVTEIDAALKERETNPSNVLDSEVPEDSGQTPSRS SKANGTIRVDKRQIEQRIEEDRERNKRLRESMWTVSGNNKGEFQQCWDDVSDIGDDDF LGAEEECAERKQMVTA TSTA_049530 MFSQVFTRAKGIFSKNNLSANDDSSVTAQASQSDSAGNNTDDSS STIKDMVTATRQGHVGSPRTNGSDTATNTKRKTLESKTNGHSNKRRRLSPEKQDSVIE VLSSQQEAPEDNVTIEVPVVQETEKISNGIETTPKSEGATADEFSKKPSNHIRFGSEE PTLPIQQTKGIETPQPTQAKDDSESDDDEAPEVVDNSAQLLSLKVQAQKQKEAKRRDE ILQKEKRRLQDEKQKAQAKASAAAKAKAEKSQKPQFFDSKLISDDDLVSESTATLQES VRGSGRRALPALLPDEILNAEPVHRLPSPPPEEQQKSNIRRQHKFFKEDKPAKDIRRG DVTIRVLETKKEMLPPKSSAIGKHVKASWQAGHRGRNGSAPGGLKRVGGGPKSFVRR TSTA_049540 MATTETSPLLPQYQHPAANPTTTSPRSDRRTVTFNPLTTISTYN GTSQSDSTVQPLQASSPPVRHTPLGPVGQPMLSALNSKLRRRNSAGSPVTAAAATIIA PKIGPQRTSKNTQKLKLLPDPVTGDDEEIIDDGFPRDVYTQISRIKEPTARRAAARLG KADRDRLPRVTAYCTANSYRLDGVMRFLKSRDKTRGANPKLFDECVYSPFDYHYEKKQ ADLQSQTRNGQYPEGPSQPSQQQPRHTGERRYSDSAVEVEEHGKNIREDLIDFREEEA TRSQSTTSIGKAPVTQDEEGLDLDTTVHTPEVFLFDYGTVVIWGMTPAEEARFLADVA KFATAILSTEDRQVENFNFYYARDYQARIYNDFISLREPRNHMIKLAISHALSQSVKT SLFEDLVSETIAATAPFPAQIAKTGSVNMTRKQINMQIGELFILRINIHLQGSVLDSP ELMWAEPQLEPVYQAVRTYLEMDQRVGLLTERLDVIADLLAVLKDQLSHRHGEYLEWI VIVLIAAEILVAGINIIVDLYAGVE TSTA_049550 MDTSAPSTSATNNTANPPSIELAYKKKCIQLKKRLNEIEAENDL IRARNKRAKYYVAKMRLETCIMLERLATVTGMLDEAGAAAAAGNAAGGQHPGAGAGLI SAELRAKAAAIVTSAHAQNKQSMMDDETEGSSEEQPPTPQERPLRVKRSRKSNIAFEE IEAEAAMQYEASSPEHRANDSSYNNNNLDVDSGRNIGTGDEHHDDDINDRTPSQGVDR ERSALATGGPGGEDRQFSGFRAVNSRVDSQGGVPMDVDEKPRSES TSTA_049560 MDELRFRAAMLCLVLDSRAVVHAEEVLYCNYLRAEMAPIHFGSL VYDYQAMDVIGPFDLIGSGGRFILDAVSFFTDIDRKLIDRAPEFVFHHIGETLDPVRL LTSSLVVNPTDTLDDCPELDYLLVGGPNIKDFKLSPRYAEFIRRHVAAGKIVFTTCTG AAVLASTGVLDGKRATINNLEYEFARKQYPKVKWTKETKWVVDGNIWTGSGAVAGMDM FAHWFKESFGLDLLTEASKGLDYEPRDINGLFKVFPQRYDENGVQLSTLVLP TSTA_049570 MATNEKSLPFGRIEPYSTKYFTSCALGGIIGPTHTAVTPLDLVK CRRQVDPNIYKSNLSAWRSIFAKEGVRGVFFGWAPTFVGYSFQGAGKYGLYEVFKYWY GEQLFPNTNRTLVYLGASASAEFFADMALCPFEAVKVRMQTTLPPYASTLREGWSKVV AKEGMGSLYKGLYPLWARQIPYTMTKFATFEEVVNMIYRSLGGPKESYSRLTQTGVSF AGGYLAGILCAIVSHPADVMVSKLNAERKAGEGAMTAVSRIYSKIGFSGLWNGLPVRI AMLGTLTGFQWLIYDSFKVFLGLPTTGGH TSTA_049580 MVYSGRPSTGCYLCRRRKIKCDEARPGCRNCEIYGKTCPGYRPQ IVLQDKSHHTSRKWRSTLVAGNDAQHKSSDLSREHEHDALALTTKPRWLADVSMDDRA LCYFFDQYTTQRTPDSLPGALESIPLLYVLCRENEVAGSPSSCLRWAVEAAALTSYAN EAHDRHLAFQARQRYGLALQGLKEALSMPVEKMQDSTLATILLLVIFEDINGERTQLE SSHTAGLELVARLHTYRRLDSKYSRCLFNFAYTQLQIQILGLGSQPKLDLHFLVDLFD RSDPLQSLMSIVTKLSQFVLDAPILLSCSNPVSNVQDLGLEYLANSLSRVQTLDEELG IWCRNVPDQWLPRVVYSTTGEALITCVSVSAATLWNFYRCSRVIVQTIIDNIYTRLDR LNEAVFTTTAYNTPDTIMNSPITNRTSASPSSSVLSQSTPYEIVQNMIVDICRSMPFT LGDVDSSGNPLNEKGAEHANTRIKAIEGYELLWPFWHVLTSHFSTPKQRLQAREGLYR LDGMGIKLASKMAGAVDLSSFT TSTA_049590 MAARYTPPRSLGQRLRDADHENGIPRSVPQSLTDMPSRIPAGGK DMSSDSSNTSSHNHHPKPLPTPSKSASPLPNNLLPSAPTSPPTPAPSPTPHHTVSNWQ SSLEGNDRGGGELDEDEEDDLLLKAQIHFSSLGSAQKQKFLVDILNLCDNQQLSFISS FISPRLRKDPFLAFPNEICLRVLSFVDDPKTLARASQVSKRWHELINDDITWKHLCDR HAYVYRRPSDDDRDFVDPFHGSRRLSSRSSTSSEYQNHTTFQHWRRKSTSSAADSVTT MSTDHPLESSWPPPSSPRKRRVQPASYRTHFKKKYMVESAWHKGGRCAQKHVTPDQGV VTSLHLTSKYIVVALDNAKIHVYDTSGGNQKTLEGHVMGVWAMVPWDDLLVSGGCDRE VRVWNMATGACTHLLRGHTSTVRCLKMSDKNTAISGSRDTTLRIWDLVTGNCRGVLVG HQASVRCLGIHGDLVVSGSYDTTARIWSISEGRCLRTLSGHFSQIYAIAFDGRRIATG SLDTSVRIWDPSTGQCHAILQGHTSLVGQLQMRGDTLVTGGSDGSVRVWSLTRMAPIH RLAAHDNSVTSLQFDSTRIVSGGSDGRVKVWDLKTGQLLRELSTPAEAVWRVAFEEEK AVIMASRSGRTVMEVWSFAPPPEELFKNSVAIESASSTPGLRPTEDDQAMHHHHHEPV TTSEPPPPIFLGESGDQAMTDAPTHH TSTA_049600 MEGLFTLLVLSIVMAMTSFVVGALPLSFTLSPSQSRFISSLGMG ILVGTALVIIIPEGVETLYSSQNYRSQSSLQTGGLDVRWQHKSSPAHILPPRTVPEID ALPGPVIPDGASSFGDAAAVPPKTPTKPGEVHALDIDRDMGAGDSHDHDHDHEDEDRA LHAWIGISLVSGFILMYLIDKLPAFASPPKPRRTPYHISLDNLGGSGLRRNSSPTRDG GLLDQDNNNNNNNHAGHNTHSFAMTTGLVIHAAADGIALGASGSNSNLSFIIFLALLV HKAPAAFGLTSVLLKQGLSSRVAGRHLLVFSLAAPVGALLTFIIVHTLGSGFGSDEAS NRWRTGVLLLFSAGTFLYVAMHTIQEITPPSTPSHSNGYADSRETPKHKGSIQDVAIS VVGMILPLFLQIGHAH TSTA_049610 MADSGDWTCDANDAVQLTLIQPGETKPITAEIFHPQFTYPIFGD DEQIFGYKGLIIRLRFATHDLRTHVHISYDEKFRAVGDAAAVDLNKTLREWVPESAFT KLSDYENTVQNDQKAKDFTPPGKLVHSYKTKNRNYEIWAGSLADDAVRALLGRAQGFV PFFIEGGVPLVLDDPEWTLERWIVYFVYEKVTPTTPTASQYSFVGYSTVYRWWFFPEQ HGDNTVKNGPFPYPEEIRFSQLPSRSRIAQFLILPPHQSSGHGSQLYNVIHKACIADK TVVELTVEDPNESFDVLRDSADYHNLYHEFRNQDININPNPYPKETGKRRPRNVPTSS LIPTQKLSDIRTKYKIAPTQFAHILEMYLLSRIPPRKRGGANMARLLIKKHTADDEDD RRYYWWRLLVKQRLYKRSRDILVQLEPQDRIQKLDETIMNVEEGYDQLLTVFDAREKG RATFAPDVDSDADAVMTAAGVTTMGALRNKRKYAIEDEDEEDEDEAELVEGSKQAKRP KI TSTA_049620 MRGGVAQASIFLLSALAPIICHGLPTSTHAVTQDDLVLLWRDSD GIYVQFEASCASCSVHNEDVWPVNLFIGSRDGLCDNATVSVNGRELSHRWEGQSASGS GVIPSSPYNSSTNLRATWHSTCVTAPLSASPRGLNVRVFTFIYDTDRSHTLEDDVGFT ISLGEDDEIEILRLSNFPVSFGDISNQGAWKYTNDNDAIDPDTGSKNSGISLDVKLET ELQRLQVLQYELQQLETSVALQEKKIRQLLRQDCMPLLAKWQQCENFFCYLKSSWQKV PEFYWSIRYRFGLLAPGRITPICSPVSGKPSNPDHDTNGHKPSVLPSHAVPTPSKHGV PTSLGTALPSPSTIATPSTTTPSKPSITHPPPPKSDLPDTTPNHPDPNTTEVVLPIPI RSPTKEFFRSCAILLLVASIIGLFFRIIGHTTAFRRRRRDLASRREELRARRAYRNAA RRLRWRQWWEGRSYFQAASVTSSHSLPEFHHVRGTIDSGEENRLTSRDIDNNDSVSDF SAASNEEEPEQRMMQAEILGLRRVLEYVGQLVGTDGTSTSARRRTRSRSRSVPPPYER INHSNNNNTLEIRRSVTPGVISASGGPGSPRGSTMFSLETGSLVTLETIDTLDSGTAP PSYHT TSTA_049640 MLPLGLLTAAQGHPMLVELKNGETLNGHLVNCDNWMNLTLKEVV QTTPEGDRFFRLPEVYVRGNNIKYLRVPEEILDVVREQQQHQPSNRGGRGGRGDGKDR GRGGRGGRGRGRGRGG TSTA_049650 MRLLPLTVDGLWYCLCPSFRPTYLHRSFRSSNTVRKISRRCLSS ASTTASTRAPLGERHKQGNRSFSQYESSRLTRAPLREDEDLQSQSIGDLEALLEQSSS RRRIDISKTVAILKTLLQKHRVNPTTRHYKAYLLANVDRQHGSAENVRSLLGEMARQG ITADSATLHAALEVLAVHPDYLLRQEVIEALRARWLSLSPVGWHHVIAGLLREDQLEL ALDRLDQMEGKGIPLEQWLHSLLVYKLCDADEFTEALRLIRSRSNKAKSISSAMWLYL LRAAIRNSHYETVRYVWNNAVDLGYQDLSVPDCRDVLGFASDHGDIGFAESVFRHLST LQKHPTSYDYEKLVQVYAQNADVKSAFEIICQMHKSRIPIESECTRPVLQSMETKLSD PTILWSEIRGLRKRGYEIPTTLANIVIQHTEVLFQRGSLSASRAIDLAVYIYKDLFDI CTDGANTETFNSLISLCHQTSKPGICTFFVKEMASLDVSPDQRTLETLILSCLDCGND SSASKYLADLQAQGWQLSDLVKDRIREIQN TSTA_049660 MLRISSIVIATSGPSSKYPKRAYRNPTDALVRFLDSKHGEDWAI WEFRAEGTGYPDSEVYDRIHHFPWPDHHPPPFSLIPAIMGSMRNWLHGETPGDEKSPD NSGEEKKIKKKRVAVVHCKAGKGRSGTVACSYLISQEGWKMEDALQRFTERRMRIGFG EGVSIPSQLRYVRYVNKWANEMGKVYVERPVEILEVHVWGLRDGVKIALEGYVDEGKK IKCFHLFRRKERIVVDDGKNDLALSHNDFIAKERLEKGKKKRNASPSPSSSANSSTII QQPPIVFTSSSTSKNVGDNNKRIAAAILRPEKPVILPTSDVNIDFERRIKAAYTGWAM VTSIAHVWFNAYFEGGDKHDSGIFECDWDGLDGIKGSTSKGTKALERVKIVWRYASKE QLAAEGKETAEKLPEEVVMTLPKPGEPVHESHAADWHGENVVREDEREMEFSEQNPTS SPARHHTRERSVHKPEDADEKGEKISGATNPFIAQASATTVAAVTLAMKRVRRELGLR KQTDASAEVSLASSSAEDLSSSLPKRQEQKRKEEGQDGNDSDSDMEGVKPYLEGEGNG STNTNTNK TSTA_049670 MYYSRNLPSIALAHHTMSTASLRLRWSVPPAFLAAWGSWNYASR DCLRADGSSRAWNQKLAYSTSTLHKSDSDNQSTNTSPSNARPESQTAWTIFTDKFAAA RESFASVELAMIGDNIKNFILPDWARLLPATVQKLQRELSMAPGSLADDIWKEAHDPY INPEIAVEAKVRVGDSLCPEEVQFRRNRQKHVVKALAKYLDLKEEDIHPDDVPVIAMC GSGGGLRALVAGSGSYLASQEAGLWDCVTYTAGVSGSCWLQTLYNSSLGGCDFNRLVA HLKARLGVHIAFPPKALNLLTTAPTNKYLLSGLVEKLKGDPDADFGLVDIYGLLLAAR LLVPRGELDVSDRNLKLSNQKDLVQDGSNPLPIYTAVRHEIPVSDKDDITGRRAVEKV KEEALKEAWFQWFEFTPYELFCEEFSAGIPMWAVGRHFYEGRDQHRDEYPVPELRIPA LMGIWGSAFCATLAHYYKEIRPVVRGLAGFGGIDSLIEGKNDELIKVHPFDPASIPNY ILGMKGELPESCPESALSNPHLQLMDAGMSNNLPIYPLLRPGRDVDIIVAFDASADIK QENWLSVVDGYVHQRGVQGWPLGAGWPPEDIQPEETANMISEMDELSESKSNEKLHEA QEHDPNTKDRTGPLPDTLMRSDSRYDSRSKKSTTSDTDLTYCNVWLGTKQEMTSDKRP PPSKRLFHPSHGDESSSDFHLMQPDAGIAVIYFPLLPNPSAPDYNPPSTDRSQIAQPH KSASDDDEKAASPIPKPNSINPDVDDFLSTWNFVYTPEQIDSVVGLAKANFAEGEAQV KRVVRGVYERRKRDRLQREKLAKEKAADRQMDELKRRHEGYAPIY TSTA_049680 MVGTKNTTYAEESAEVEVLYANLDKLKILTKKIQGSLDRLETSG KVVKDAIGPIYSNTQTLQITNSNIDRVIEAIDKLRKPLDAKGKEEGIIRAGPQSAGLS QYLAALKRIDYALQNLNATNLKSNQQAITEFSSLLNFGCQKLQDLFRSTLKQHVETIE PLHYLTKQLPFPAIPEDTLAELAPIAGAITSAAAQLPQRGSEDPAVTIYAETRGPYIT SSLQNLAMASISTAKRRPVDGPYKQGTNGIGVYSSALEGFIVVEHEGIVRIFTGENQG RALQATCRSSLAEFSKTLRELNQYIKSNLMTDCFLAFEIIEIVTAMSYRIDAKTGELK SLFIEALRPIRETAKSSLSELLEETKRKAAAYTVLPPDGGTVPLVNEVMSSLTTLTGY SGPLASILTSLGDGNWRSRSQSASTTPLDVSPDSQTLFSHFILDMVEALISSLEARGR AFYRSKAVLGVFISNTFCVVDRSIRASSDLSRYLASPDSIARIDAFRKRGTSAYLEAW RETNQYLLDVQYTSRGGPRPSSTAPSDSAQVIKSLSSKDKDAIKEKFKSFNATFDSLV AQHRQLYMEREVRGALGREVQAVLEPLYVRFYDRYHEIDKGRGKYVKYDKAAFSAQLA ALS TSTA_049690 MASNNDVYQTPLNSRYSSNEMKYLFSPRNRFSTWRSLWLWLAEA EKELGLPISDEAISQMKAHLIIQDDEFAVAAEEEKRRRHDVMAHVKAFGDVAPAASGM IHVGATSCFVTDNADLIFLRDGLDILIPKLATVIDKLAAFAKEYKDLPCLGFTHGQPA QLVTVGKRAALWLQDLLMDLRNLERARKDLRFRGVKGTTGTQASFLQIFNGDHAKVEK LDELVTQKAGFESAFIISGQTYSRKIDVDVANALGSFGSTCERIGGDIRHLAMLKEVE EPFEKDQIGSSAMAYKRNPMRSERLCSLGRHLQNLPKDALDTYSAQWFERSLDDSAIR RISLPELYLCADACLILLNNVTSGFVVYPEVIRRRVQEELPFMATENIIMACVAKGLS RQDAHEEIRVLSHQASDNVKKQGKSNDLIDRIRRTEFFAPIIPQLDSLLDPATFIGRA PQQVEKFVATEVEEVLRPYREKLVVDVAELHV TSTA_049700 MNECQREMENLDITGEHDGTNSDSDTTIGPSPRRSSARSNPFDM ELPDDFAPRSLEWFMNPRPDPKKPLSMFWTDPVGYYAAPTASRSSPENEEQSKQDDGK LGTMGSLDQWFLPRKRDKTEIPKWTVGAPLFELPFRRVSITASDISRFQIARPPTPPR KRSPDTMSNKPLPPLPRARRFVSEGHAPLLTHPRSPGVPAEFTLSRWSDSSESDTTQE VPATTESVDTHEAPQELEQATSTMNGASTNGEPAGGNILANGPHLLVRIHHYLDRRMT AINQMETTLSNPHIPQALRKRFIAWSQQLIDLDYPFLTNPPTRHLEQVKIYEKDAHRV VDWAIEVGTMVNVIQDQLNQFMDAADLVAGELREMTARLDMDNGSLLPAEELYHKMTD IVRRKCRSPHLHEALLLRSFLNLYDNLMYNPFQIIKDQVVVVHDEYPHDPVTIPLNIE TPLSLLKRVLDAVYVSHGEYTELTRRIRQEFFVPIEERIPGVASRTEGYLNNVIGPSN VI TSTA_049710 MFSSSRKAPPTWTWPEDLPDSTPGAFPEPSQKEPDATTSAESTQ STSTPNQNPEHRYPPRMCRICLDTVNPTSETESQYLPSMLQSKPRVVYVSPDPELGRL IRPCKCKGSSRYVHEGCLQSWRHADPSYGRRNYFQCPTCGFKYRIQRVIWARWITSSV AQLALTFSILLLTVFILGFIADPIINLYLPPVDTIIDSDFWEETHVLDVPDLGEATTW FEHFLKGLASLGVLSIIKVFLAMSPWGWLHLRSSALFGAGGRTTGRTRVASISWVVIL FGVVTFLVAVFKGVRQLVHRTLRRAGESVLDIQGEDDDKDLDEGSDHVKKED TSTA_049720 MADRYSFSLTTFSPSGKLVQIEYALNAANQGTTALGIKATNGVV LATEKKSSSTLIEPDSLSKISLVTPDIGMVYAGMSPDYRVLVDKARKVSHTGYKRIYN EYPPTRILVQDVARVVQEATQSGGVRPYGVSLLIAGWDEGVEPEVAEQTEEEGPEKKA TGKTGGILKGGPSLYQVDPSGSYFPWKATAIGKSATSAKTFLEKRYTEGLELEDAIHI ALLTLKETIEGEMNGDTVEIGIVGPPADHLLGYQGLEGARGPRFRKLTKEEIEDYLTN L TSTA_049730 MTRGSPGDGSEPLSAMDKPNNIKPRAYQQEMLAESLRQNTIVAM ETGSGKTQIAVLRIQEELSRCSPKKLVWFLTPTVALAEQQYTVISKQLPIYQSRLLLG SDNVDHWSTQQIWDKILLNIRIVVSTPQVLLDAMCHGFVKLSGLSLLVFDEAHRCVKA SPFNGIMRLYHHARKSGLDELPAILGLTATPATKATAEAVKLLEDNLHAICRTPVIER EELLKWTHKPELSIVTYSSQSDQTTEILKGLDDILELTLADIENDPYVKSLRAKKDDK KSQEILIKLLDSGKTFTRKEIMSLAQRAQVINAELGSWAADVFVVTCAEKFIEAAVHR SDNNIFRQWEDAEKIYMMQYLSMLPAIAKTRVWGSVPDHISQKAKSLITTIANTYNPG YRVIVFAEQRATVIMLAHLLSVHPLTKGIVTKYFLGNSNYANRKSNITELSTLVEQKD VLTELRVGKTNVLIATNVLEEGIDVPACNIVICFDPPKDLRSFIQRRGRARDRQSRLV LFIDGNDDDGLAKWESMEEKLKEIYADNMRELKEIKALEDIEEESTEILKVPSTEAVL NHQNAQPFLAHFCATVSYAHTTNQPEYIIERQEVSLGFTQITAKVVLPSYIDPSLRVA FSKSKWRTEKAAKRDAAFQAYIALYDAGMVDDNLMPIHRKKYADAVTTAQEKKPKLIE VSECWNPWNDIAQLRRDDMPLIPTRVYFNQPNPLGIPDMMLLLPTRIPSDCEFVLFWN QKITLKVKICNDRNTRLGFDSEQATRFTHDMFLAAYQNKVVPTKSDYITLFWPSGALE MPVEKWLASITGTMGPFTRHGHLFTKEQMRELGMARTSEKYSRPFFIEQIVETSTDDV EIQDADTSSNAVEPNSEPHFKGTTLPKRTDFLHQIAQSEQLPLAHTSQQLEPVRLCHI DRLPAKFSKFALFIPSITHKIEVLFIAERLARTVLSRVKFENLSHVLTAISASSAQEE HDYQRYEFLGDSLLKLITSIHLVVKKPLWHEGLLSAEKDTIVSNGRLHRTALDLGLDK FILTKSFTGRKWRPNYISQFETVTEEKRQMSTKTLADVVEALLGAAFLDGGYEKLESC AKIFLPEQTWTSISDDMRTLYEVALVSNQASSHPKLGQMEEILGYKFNKPSILFEALT HPCANDGAPTYQRLEFLGDSLLDHFVVQEFFNHPEKISHQDMHLMRTAVVNAHFLGFL CQSLYITEERSEPVSPGKAHISTISTEHKIYLWQLMRHGASWEITNAQQETKARYEAW GPAVHDALMHSNKYPWADLFRINASKFFSDMVESLLGAIFVDSKGSLDASRQFLERIS LLPCLRRIIREEVLILHPFNQLHEAAISDKVQISHSVEKRLPTSPGFEDVVREDGLVF ICSVKVAGEEIVRVENGVNKLEAEARAAGMAADILRARMAAA TSTA_049740 MPTGGSVISWMTFHITKLKRNLSYDLIVRLICMLDVGKFRNAYS GRRNLVWRKWNFEAFVKEIFSEDIQLEHDKIKLGPLFNRVQSRTDNQPVLPSSPKSDP DTEKWYKRQYNTKELDFDILRCGSFPGRIHEYKYWHNRLVILKEAFDKFWPSTMSQWW NDRRDGIQ TSTA_049750 MAGDNDADDTGALDGITGFLNTNNTWLAIFLIFFLLLSIYNALE LVVMILVSFRRFRGLYFWSLVLSALFGLIPYSIGFFLKFFTRVSPWVTCTVLTVGWWV MVTGQAMQVLYMICINAVVLHLPTTILTYGSNNPDASPRFVVGYNIMEKIQLTGFSIQ EAIISGLYLYETFKLLHITHRDKAQRWIQYQLIAVNVLIILMDLTLLVLEYASEYAIQ ICLKGAVYSVKLKLEFAVLGQLVDFIRNKSGNYRHDGHHSGGTDIQMADAGNILSSSK KRYAGRDAGLPSTRADDPHFYGKTTTMILPNEVTNSSEERLNLPSGIIMTKTEFVQRI DHKRDHSDDLE TSTA_049760 MSRRNQKRTLIPVIVHDSNSSIIASSESMASAMPVPSLSAGSRS SVSDISSTESQMISKKAKPRVNVINILEADSTGNLVASPPASSSETVMYHCLFYILPC DHQSDNIETWKTHVLSHFRGTRLPPTAICQWCPLDFKLSTDPNRTWDAMLTHVAHDHF EQGHTLAASRPNFELMKYMYQSKIITEDQLKAIQLCPSPDSPAYHPSQDPVRASIGSA DEPYCSTYSPRREKRLRQQRQGISVV TSTA_049770 MERLPIRENAGSPRQQGQAGSRPVPQGPPQLPPQMFTTAAQLLD LTDKKLVLVLRDGRKLIGVLRSWDQFANLVLQDTVERIYAGNLYAEEHVGLYLVRGEN VLLLGEIDLDKEDDLPESLNQASVKEVRELKAKEEEERKRKDKKSVGKLQNHGFEPEH SGEVLF TSTA_049780 MATLAEKFEKIKSPKLQNQHHTAIVLNAVEDTLRDQKADFSATA YFAALLALLSQALNTTNGIVNKDLATSVVYLLDITAEEVPAPLLRSKFAQILSNLVPA LTLADVEAPLLRPSIGILETLLVAQDANSWALPHTQIGPRRATAGLLNLAVDHRPKVR KRAQDALVKVLKNPPPSPSLDHPAADMCAETALQTLADSVAAAGKIKKNKHSKHDKDG GHHEPAIIHSLHLVKTVAAASGGWPSKKIEPLCELLMNVSRSSNEFITMGAFEVFEVI FEGMADEFSSSKLPRLLESIQELRPAQNDSQLLPPWIAVLSRGYDVSAQINPEDTFEK LPGLFELISSFLSSPSKNIRISASECLISFLANCVPDNVILEPSVYDETTLEKLAKFA SDLLSVKYQAAWAEVFNVCAAMFEAFKWRSSPYLVNIVRTIGELRSNNSFQGKKEADE VLGRAVYAMGPPEILRILPLNIIEQKPGQPGRVWLLPILRDNASNTNLAHFRSEMVPL SEALYQRVLEFGQAEKTVEVKIFETIIQQIWSILPGYCELPLDLETAFDQGFAELLSN VLYKQTELRVDICRALQNLIESNQAIVEVDTGAEGENLVLQRRISKSTAKKNLAHLSG FASNLLAVLFNVYSQTLPHYRGYILQCVNAYLSITPEKELEETFTRVTGMLESELPSE EQAAKQGNLQKGTDNKMPPTSHTLIDLLIAMSIYLPRTSFANLFRIASVVLNRDASDP QLIKKAYKLIPRLASTETGKSALIERNDELQALLLSTADKTPSPARRDRLLAINELVS YLPTSDLHFIPSILPEVILGCKESNDKARTAAFDLLIHLAKRITDTERNPPGTIIRNS LVPHMPNDTPDAAANLEEFFTMVSAGLAGTSPHMVAASVTALSRLFFEYRTELTPETL SNLVETVELFLTSNNREIVRSVLGFAKVAVVCLPEDMLRPRLNSLVPNLMVWSKEHKG RLRTKVKGILDRLVRRFGAPLIEGLVGEADRKLVVNIRKERERKKRKKKEGADDDNEE ADTAKAGFSNEFDRAVYGSDVSSDDDSDSEEEGSKYQKGRGKRTATGEQYIRELSPES NPLDLLAPDALASISTTKPSLRFLDNGVGKNRKRHGAKVDVDGKLILRDADNANEDEE GYQMTGAGEDTGTGGINAYLDAVSGPNAVRRGQKGRLKFGKKDADGMDIDDEDDVVDN DVKRKAGGIAAGRRGLGVPKSHGASTATNKTKGRIEKRRSGSGSGRGFVARSRGRGRR TSTA_049790 MRSTSTYMSPEHDSSSLNPTSITIVDDTTTTTTTPPEDYYTPFA KQPHHHFSSHSPPDPITSTNYYNYYPSSPETRISMSGSSTGSYSIPPQRNGNWDSALA MSSPPLSPPAAVLDPHIEASRIEAGLISPDTYKKGKWSAEVSLSDGGHGHGRNSSRSV VHDAPNTVTVYTTTSDDKEGDRNREPNAVLVLLLLSGPIPFFSLCTSIYTFFAVLLVI FSSPLRLCPPTSFFRSTTFSTQLCKLLAPALRKHDRLAQSSSSQDYYYPHYDNSSSNS TDPFSASGLILVLSLAPFLCMGLWLAVWIAAFFWIFAMILGNPDGTEKKDDGRAAVLG VNRWWQTWLRKSRKSQ TSTA_049800 MAHPQPSPPGGVPPHHGPLAAHPQINGHIPVQSAGQKGPPLTTA QKIAALNEQVWLQIGSLTELMGDLDGALAAYEQALRHNQWSIPAMTAISQIMRTREQF PKAIEYLQQVLKLDPQSGETWGSLGHCYLMMDNLQEAYTSYQQALYHLRDPKEPKLWY GIGILYDRYGSLDHAEEAFSQVMRMAPDFEKANEIYFRLGIIYKQQQKFNQSLECFKY IVGDPPRPLTEEDIYFQIGHVHEQQKDFDAAQAAYRRVLDKDPNHAKVLQQLGWLYHQ QSTSYASQEKAIEYLEKSVSADNNDAQSWYLLGRCYMSQQKYPKAYEAYQQAVYRDGR NPTFWCSIGVLYYQINQYRDALDAYSRAIRLNPYISEVWYDLGTLYESCNNQTADALD AYGRAADLDPTNVHIKARLQLLQGQAQGQTQASAPAPVPQDIHPQAYQGPGVGAPPAP QWGAPAPTGGPPPQAPAPPRQIADWNRGINDIQNPPHPPPQPSAGYEQREGLRGPAPG QHPSPRQDLRSAFPEPRGAPAPRSPAPNAYPQTHTLPQLAAPAPGPHDRPPNGAGFRG GPIGPNGAPAPGAGHPGYARPFTPPTEIRPLRDISDRPSSPGPTYPHQQFHHGPSTQG GAGAGIAGGAPPPASAMTAADAAARDRVEDRPPSAMKRVRDWDSIEGGGPTKKIANEE SRLRQEEINRRGTPPSELHRRSSSEVRREEQRRANENYHPSEAAHHPPTLPSIQHMQQ PGGPSIPPMSEAQPPSNPPSAGADTAASVKEEAARKEPAPLHEPAARKMEVDEDYDDD GEEDKRAGALKGSPRSNGTGSNSNFANGNSSSKSEAA TSTA_049810 MDQITISSSSDSDSSMFTSTTRHPITFPNPSRPSMPTSNNPEKK STTHQARSTIHTSNTILSSSELLLLHSLATNESVPRTRRRFQAQILEPDDVGRAWDIF WDDPSSSSAKRNISSNDKSGIKGGGVGGLQVPTNRFMNVIDVVETDDKNGWEKLRVQS KKSGTSSPRNRKTSSPAGGRKGGGQRVVSGSRDGIMYHTINQTN TSTA_049820 MAFEHTKQLMPTLLEQGAKSTPDRVWAKFPISNTTYEHGFRTAT YSQMLSAVNKVAWILDKSLGKNATFETLPYLGPSDLRYHIVLLAAIKTGYKAFFPSPR NSKVAQMDLLWKLECRLIMTTDPEPPFVSMILKDCLLTKLVIPSLDELLREEDVPPYP YTKSFEEAKDDPIFVLHTSGSTGIPKPMIYTHRFVSTVVAANTLPAPDGLRRVDDYFL KGEFFSFLPAFHIAGIGWGFILPFFSNSIPLFPLPGKPPSTEGFLSAVKHGEFHWAFL LPVILEELSKDTQALDLVASKLQYLFYTGGSLPHLPGEIILSRIPIFSGLGSSECSAF PQLIQTTSDGKEFTETWKYVSIHPAAGAEFRHRLDDQYELVIVKSATTHEAQPVFTVF PKLTEYETRDLFTPHPTLPNLWRHRGRRDDIVVFINGEKTNPISFEQEVLKHPEVRAA LVGGNQRFEACLLIETIEPIEDGREFVDRIWPVVQEANGKCPAHARVDKSKILVLDTS KPMLRAGKGTVQRQGTLQLYADEINALYEQEKVSDPNTEPGNGLSGLTENDIANQLRH IVSEITSFQHFDDDMDFFALGMDSLHTLQLCRAIKARFRLDSTIAPSFVYKHPSLRLL AREILLLENPSGKNTQQDRLETMTTLLKHYEQQVDHIASKQQIKPESNSKPQVIILTG STGAIGSHLLQELLSNPSITHIYCLNRSPDSESVQIRHNRQRGLPYSFPPERVTFLTV NLTKPAFNLEAKIYTQLIEKTTQIIHNAWPVNFNQSLASFQGSLDGVLGLISFAAEAK LAPSLLFLSSVSSMTGYTPSPDSNKIYIPEKVISDLTCPAAMGYGESKYLAERIIDYT ATKLDASNFAIARIGQIAGTSQQGKYRSWRRDEWLPSLIVSSALLGSLPGELGVLDSV DWVPVDELGRIIVEASFSLLLQAEEETGARVFHCRNPRPVTWESLRSIIAQELTTSFP RSDTETKDMEIISLPEWTARLRASATSTETQTHLEQNPAVKLLDFYEQLASDTGTKEF SIKKTLEVSKALRELKSIQPEWIQGWIRDWVPMSHS TSTA_049830 MKFLCLHGRGTNSDIFESQLVNLRSCLSSAHIFDFIDAQFDCPP APGIGDFYPPPYLCWHERYEPKDVQAVHDYINSVIEEDGPYDGIIGFSEGAALAASLL LCEEYTRSGGAAASIKVAIFLNGVVALSPSEKIGYNIGEAIMADQDRYIGLLHGFESE DVDKSNDEKRFSHIYGFSPDDFPCRISIPTLHVIGEGDSFAKHARAIADLCQQEKAEV FLHDGGHELPRSEATIRRCADVFERVVTMASLDGI TSTA_049840 MPKQANIPVELRVQVVTLVMVAKMKPQDVTNLLGLPLSTVYEII KRAKARGFDPDISPRVEHAHVIDLPRSGRPKTITPEIEDSIVNSITKDRAGREKSAEY LSYEAVFE TSTA_049850 MSTSSTLQTPSTSVTGGSEFDDIAVIGLACRFPGGASDAEKFWE LLYNKRSAFCRAPHTRYNVDAFHNSSRNRLNTLSALGGHFLEEDVAAFDAPFFNITAE EAKAMDPTARMLLEVTYEALENAGLPIENLTGSDTSCYVGCFTRDYHEMLMRDAETSP MYAGTGTGFSLLSNRVSWFYDLRGPSMTLDTACSSSLVGMHLACQGLRSKESRIAVVC GANLILSPDLGMWLSNLKMTSIDGLSRSFADGVTGYGRGEGIATVILKPLADALKDGD AIRAVIRGTGVNQDGHTTGITLPNSEAQADLIRATYRAAGLDFSQTSYFEAHGTGTEA GDPLELGAVARTISQTRRSEDKLYVGSVKSNIGHLEGAAGLAGVIKCILMLEKGAILP NIHFDRPSKRIPFDSWNIQVPTDIVPWPQNRLKRASVNSFGYGGTNAHTILDDAEQFL SGYQDWQLVERQVAADERERLFVFSAPDESALRRMIQRFDDYLSIKTSIAELQNAPER NIFLDKLSFTLSNRRSRFSWKASATATTIPELQEALSITNSALIKRTPDSARLAFVFT GQGAQWAKMGMELMSYTVFSDSVHEADNYLRTHLGSEWSVITELQRDKEDSNVHLAKI SQPVCTVLQIALVELLKSWNIQPSGVVGHSSGEIAAAYCYGALSREDAWSVAYWRGKI CSELNKDAPHLKGAMMAVGLSSEVAEEYIGKVKGKIVVACINSPSSVTISGDESGIDE LQKLLSTDAVFCRKLVVENAYHSHHMELIAEKYLQKISTISTSEAATKDNEVKMASSV TGELIRSAAELGPAYWVKNFVSPVRFAEAVAVILKDSNTRRRRRARAGESAFDLLLEV GPHGALKGPLRQILRHHDISTVTYTSLLNRGEKATKSTLYATGELYIHGVPVSISAVN KQASQTPPPTPLVDLPTYAWNHSLRYWAESRLSKSYRFRKYPRHDLLGAPVADFNDRE PRWRNILRANEQPWVRDHVVYSSILYPGSGMITMVLEAVRQLAQERQGKGIESIKLEN VRIGKAIVIPDDQTGVETLLQLRSQESELSSHASYEAWDFTVSSCHEDSKLEENSSGT VTVRYSGNSQGVISQNTGKSLISQVARQEYNTAKLSCTQSINPVDFYDATQAAGLKYG PSFQGLTEITAGSDRSVGIIKIPDWRKYHNVQGSSHLIHPTTLDIIFHSMFAAIVEKS NKGEGKVDFKTAAVPIAFDSLIFYLDNFPPSSPSLDKSAQFSTCCRVIRNADQRDLVA DIYVSDLAWQEPKIVVQGIRCRELPGKDAGTSKGQVKAPLGTLKWKPDIDFMGEVPVR QYIAKFLSTREDLPRNYLENDSSVEKWEKEVSAIADLAAHKNPYLSILQVGKSDSLTR SVFSALSAHDPSNPSLANYIIIDGDSEAIAHSQSTFQNSSDLITFYTGDIESGRQSGN LKDDAFDLVIAVSDLSSADEIDHAMECLHDRGSLVLNETNQEKASGFLSINHVKSLPN TSILNCFSDANHYLARKLGPTYSFEDNAIFIIEPKDRTTEVLEVSHLLSTKLTNHGLQ VKTVQWSSANMSDFHGKYLISLLELETSFLSNISEDDYLILKTIALNSSRLLWVSRGT DPAMQAPVGYLRTLQNENVNLDLRYLLLEGRANRLADEIASTLAELALAPTTDREYME IDGNLCINRWLVDKRLKHFMTSSGEQHGGSTELVALREIGISTLKLHHISDKESKLVW FTVDHESQQRELSADEVEVHVKAVGLKSFLQSHDDTVNSNIKSVKEFSGIVTNVGNSC SRLKDGDRVWTCALTDSYKISFRVKESLCQVIPNSVSFEDAASWAVTHSTAYQTVVQM AKIQPGHRILIQSAANGIGQITIQIALRRGAQVYATVNSKEQSDVVKSMGVPRENILG DNDLDLAAAISQLTHGKGLDVIINQTSTRKSIQQLWNSVAAFGMLIDISNPETTPDEQ PALAMTPFHRGASYRLFNLAKLFEENPSSMEIILQDVLQFGPHRQNIQRPTPWKVFSA TTVDEAFDWTSSHGHEGKGILTFGPDDSIFVDRRALNPLTLDSNYTYLLAGGLGGLGK SLAKLLAQNGARHLAFVSRSGPASKNAVSLTQELAAMGVKSAIYAGNISDQSAVADIL VQCAAEMPPIRGVIQSAAVLEDFIYDNMTYKQWVDATRPKIQGSWLLHHLLLEAKNDL QFFVMLSSIAGVVGNRSQANYAAGNTYQDALANYRRQRGLPAVSVDLGLMLGIGLIAE ERGGTTNLKKWEAVGINEQEFHAIMTAAIAENKSLPAQVICGLPTGGILQSEDLDRPF YFDDPRFSFLRKKDVDETLNKQDGDDAADSPSFQLSRAESMRDAIDIITTAVCQRLAR GLQTAAENIDANKPLHSYGVDSLMAVEIRTWITANLQADISLFDILSGVSVAALAAKI AVKSKVLPDGIN TSTA_049860 MGEDNIKHKLTTLSTRSTPHIRLNSGRHEPFVDMEEDGRERLKH TLSTRSSRPSPVPSVIRHSAAPTTTALTPSGDGLISPPLISPTESSELLFPLPRKVRR SRGSPDRSKSPSVISYQRSNSWDSHSDLSRDSRGDPLDPFADSRAPSHASSEEGYVNT QTVSEKYNIMPTEGLLLFPEDVEKDDYLHNPDPADRDRDCDICNTRGLVNVGGLALLV VGIVALFIIYPVTSFVDGIVQGSRDPCTLDPMCISITRPLLKNIRTGLIDPTTPKSAM TKMSADGTEWQLVFSDEFNTPGRTFYEGDDPFFQGVDLWYGVTEDLEWYDPDAISTSD GVLEIHFDSYENHNLSFRSGMLQSWNQMCFSGGRLEASISLPGRGDTSGFWPGFWAMG NLGRAGYAATTDGMWPYSYDDICDSGITANQSATDGLSFLPGMRLPACTCPGTDHPTP GRSRGAPEIDVIEASVTVLDEMQDRIGVVSQSLQLAPYDLWYLPDYDFTAVYNPSITS INEYRGGPFQQVASGLTNLNNNWYDGKEYQIYAFEYAPGARGNVTWFVGSEKTWTIDA RAIRPNGNVGQRVIPMEPMYPILNFGMSGSFAMLNWTGLAETYPATMRVDYIRIYQNP NEISITCDPAGYTTTEYIKQHPKAYHNSNLTLWSQTGYEWPKNSFVDGC TSTA_049870 MPTSHARYRRANKITDNDLHTLGDGLVEILNVIEIEEWRGLIDV ECHWYLSQKLRKWTISYEEEVARPTAINDQYVRVYVASAISALPSFIRTIVRQMLSAD LDDVMRTSLCIESPSSILVMVLGLVRYFRKLFVRYLALPRPPFLAVKLVHDTPNPETN SYNFEPKSLQSWYVRPTFWSKWGPGALLVRILGGDVPGSRGDRYEPQGYNLMSIGSAP QKEKGLEEMRSDMDIIRARGVATCPLSQANLVTWSDFYLYYAAN TSTA_049880 MTTKEAHAITTQLQELEFLYAFAKARQVALLRRNAGKRAVDTEI LLRETQSKPRDSDRYATAVA TSTA_049890 MRARDFMKLVNAYTEEEKDAEWNNFRAKYSHNVWDTVFEYIKKE WLQEDMAKHFLKCYTNEYLHLNKQASSQVEGAHWIIKRDLGTSTMDLLRATLSIEMTI EKQHQKIWQEIEDERVQIKIDFKNLRLFKHVLKKVSSHALKIIHSIFERYLPESAPDK KPIKPCTGVTRRTLGIPCIHKIKEYYEADTSIELFEFCPHWQLHTDEDLPPMDPRELV LELEVIRPRGRPPGAINWPTTSEQSQSAEDRSTRRDPSAFEHLLTQESSRGRGSGHVR GSCGGGQARSGRGARQRGRGSHGEGQAGRGRGGRQQGGECGSGSAGTSEVSTQSHEND DNEISENRDDKTNENQIRRSK TSTA_049900 MSTLSDQLAVEHISPGCYRSVLPPIRMGDWASFAFGGNTLSVAV NSAYQTVEPSHHLYSICGHFVHAADTDRRLICEVESLKNTRSFQTRHIRVFQEINNGV RQLCLIASADFHILEPRSMVVYSTSPWTPNVQPTEHGLYSHIERLVEIKPIAIAANAG MEFGNQDSTSDPSSPSLKISAENFKIRGTLNTEAERITALAFYMDKGLAYIPASHSGY SLINASACTTLDFSLRLFTHEVDLRDWHLLESRTAVAGNARAFSEGRVWNGKGRLLAS MTQQTLLRPRKGFSPRI TSTA_049910 MVDITESLPTNLQLKTSKSRLNSPRHSHSASGRPRIDAPGVAIL SKERRDQVRRAQKRHLLKQKSTFEDAVSRLSEVEAQLERARGAFSNFYRLAYLLGLDV SHPSPHILVEKLRTVLDTSEPSQSAGKEGLKGNTIPAAVVTSRDRDLCDVLAAPRHRP PNESSAILLIENSGELYSPGFHYSPSETSSDPPFIAQETRDKALTLIDELERPLSGIH HITYSFQEHTFVRKLHRYCLEYAFRLFTDPSSSPSTTYRVFRLVPCIRDKKKKYPYFH RLVTGRAHDTLELPTLPFSCVGGVGTHYPRTDDSGRQIYPSNMRLPKRVLGTLPIITS VTSDDPGEGSQRLFELFGLGGEYFDCTDIEGYLRGRGVDLNQSCLFPLVSILGNEGIP Y TSTA_049920 MLDLSFASSPLALMGAKASIKLRFDTLDHTCFLSLLASNLAEIK SLATTEEDLDALAGKLTSAIQGAY TSTA_049930 MARKGPGTDGPLQTALLESTSTATTRTSKGQKIFSPIAAFLDKH CSQTTSLAPHLLRALTALSDDLAAVAQQHFNAYISGILMTSILPALAALKEVQATKTG FALCPLSPEALLALEAQKEIISAFFVNY TSTA_049940 MADKPAVLPAHPRESRENAQTRIGAPMGRTKEQVAADFADFQRT IPSSDIVIFSDGSRLVDGCAGGGYIGLQAYHQFLRSSLSYGHGKEVFNTEAEAALAGA QAAIAYPTAQFATNL TSTA_049950 MPSDYALKRTHGQGTVIIHAADLYFLDQWKNDRAISDDAQRSAI FSKWLELGSRGRMPYVERLKEMCLNKYDITEDEKNLLARIRSASDRQGVSYTRLVRTF YGEGSEGNLAKLIFEAEYDGTVFDDAALYDAGSNDGLQSLHNILTHIPQIVEQTPEFA AWYNERKRKARDEAIEVGVDKLDYALSIFHLAAKWSHVLIYDKEANDGPGNVLLVYID DRGCVLRYSWLDGAEDLQDADGMLCSGQDFMHAWWEGGRYGEDWEPHQLSKRWDEVDH ENT TSTA_049960 MSKVGKYFYPSDHALVRRLPFGLYLKSCARSGQNEAVALQLVEE YTSVPAPLWIDDYEENGNIILIMTSVRGQPLRSVFHRLSYQEREQLSKDLKTIICELR RIPNQTPYRFANTLGSALFDHRVGKFGPFIQASGFHKHLIPEHTPAETRRAVTPIHSR HHSSFFTHADINWSNIFIDQGRLVALVDWECAGYYPEYWEFTKAMYGIINDEAMEKIV RDTFDQDYTDELEVEEKLWRESPWVKHFNYRYHE TSTA_049970 MEEIKQKVFEAKLWKAPREDGLLAMRNAKIIPLKKLGKDNYALA KLWRPISLLSTLGKILEAVVVERISYAVEEFGLLPTNHFGARKRRSAEQALLLLQEEI YKAWRNKRVLSMISFNVKGTYNGVYKERLLQRLKARGILEISASGGSIAFMDDFTAWV TGPTAKANQSSIKVIINSALNWEKQSGATFEGKKTAIVYFT TSTA_049980 MEDSRLGIPVAFPDLIATILQLPDRVVLVVSVYVEGNSEEALTS TIRLLRSLVADIQGRGGTQTDMLIIGDFNKHDQLWGGDKISSARQGEADNLIDYMSEN SLHSLLPRGTKTCTSINRASRRDDHSAIETEFDISVPDRPVNERLLLKNAPWVEIRSR VASNLQVVPLGGSVQEQMDRLITVITKAVLELTLKAKPSLYVKRWWTTDLI TSTA_049990 MGTNDQLPSQQIVFHDNGDREEIQRELSHILNSIQHDITLQGVL SIGKDGVLRSLTADRKVVDAVGLRPELIKAMLDRMPFDSQNEANYRGVDGTKVSEDQW FHPDKDLLPPPLREERVKGPLSEEQLERNREWLQRRAERKGCPIHPWVAGLKTKRAGS AMEEDPAVMTRVGRVVVPSTRAREALEGADSTDATTATRRTASKVKPTAVRKAANQIE ERQCAQDENQMILRKMC TSTA_050000 MWKASDKLMATIKHYASFPATGVSLRQMVQFGETPSPGTLFRAS QFLSEELPIRLAHRVEELNHLPDGLNEMPSIKKVKDWYAQSFEEIINVPKPSLSDEVK AHLIRPMRNHKKDSKPLSETTWNPSIREGQYRSSPDSAITANGKENRDPNHKGTNGNG KAKLTLSRRYFVPADDYADWPPEIDAYNQRFKRTLQQIKRRHDGVVTTVAQGILEYKR KRQRLQIDSSIQAFLDRFYMSRIGIRMLIGQHIALTEQTHAHHPNYVGIICTKTNIHE VATEAIENARFVCEDHYGLFEAPKVQLICKPDLNFMYVPGHLSHMLFETLKNSLRAVV ETHGAEKEEFPVTKVIVAEGREDITIKISDEGGGIPRSSIPLVWTYMYTTVDQTPSLD PDFDKSDFKAPMAGFGYGLPISRLYARYFGGDLKLISMEGYGTDVYLHLNRLSSSSEP LH TSTA_050010 MWQRRSSQSEPSLSSTTSPSNPAYAYYSSSSYSSQMSRRGPIEG PPGHQLRRRVTWRSSAYKIIAYLYVLGILYIVWLIRDLFWLPFSSTSTHGGFSWVILR QNQRLTLVDLHLVRSRDNRHPSLA TSTA_050020 MPSTFGWDHFPLPADRRHHHHDNFEFVAQQDTLHTAQRHRTNLT AAERARRNLNAKLANPLAGFTHDELKKQGLRFSITHQVGDEEDIRAFEMGAVLAQAPE KFEEIEGLTDEELQVLRQEFTNRWSQPALMYVVIGICSICAAVQGMDETVVNGAQVLY KSQFGIDGNDPRSTWLLGLLNSAPYLCCAIAGCWLTIPFNHWFGRRGTIFITCVISFL ACIWQGFVNTWWHMFVARFVLGFGIGPKSATVPIYAAETSPPAIRGALVMQWQVWTAF GIMFGYAADLAFFKVPDPPHICGFNWRLMMGSACIPAILVCVLVFVCPESPRWYMSKG RYDKAYQTMCRLRYTKLQAARDVYYIYTLLEAETSMKLGQNKVLEMITVPRNRRALIA SEIVMFLQQFCGVNVIAYYSSQIFLEANFSPISAFSASLGWGVVNWLFAIPAIYTIDT FGRRNLLLSTFPMMAISMFFTGFSFYIPESHHTARIGCIALGIFLFGVVYSPGEGPVP FTYSAEAYPLYVRSYGMSLATATTWFFNFILAMTWPSLSSRFTAAGGFAWYGAWNVVG WWLILMFMPETKGKTLEELDQVFSVPTRFHAEWGLRQIPYMIRRYIFRQKGLKPEVLY EKEDPNAPPDTEQHGYRELGMLI TSTA_050030 MKIYLIRHAETVHNVGQAWAGTTDSALTNHGVLQIQRLATHFCS AAVKFEHVFVSDLTRAVLTAEGICNTSTSGILPIVTPLLREQHFGSREGLRIHASTTT ASPPTVEPEPTESEASMRTRANKFLEEYLFPVLLSHSGDHTEVEPALAIVAHGIILRV LWNCLVHAFSPENVSYSPEVATLSTTTGGVLIPFWSNTGFMELSVHRSLPTTSAEVES LLSDWTMKILSVDNKNHLRDLRRTRGGIGSSQHDSKQRSIESFFKR TSTA_050040 MADTSEQTNEQQPWTPTKQSNGVRTTGRAFNSANWRVKSDDSPS TNSPRFNHQSGSNSPRSPFHNKSWQPVPQSITEGRRLYVGNMPYTAKREDVESIFEAG EYSIERIDISIDPFTGRNPSYCFVELATKEQADRAMVELDGKDLQGRPVRIKPGVAKS AQDRSSSTRSPQNSPSRLNDNTGSPSTLVDRWQRRDTSSSNNTKGNDIENSRRLYVGG LPKIMDKQALDADIQGFFKGYNLEAISKLITPHPSKRFEPGDHYYLFVDFATIEDAAA AQTALDGQNGPWGGKLRLGRARGESTKMISDRQKLASQNEVPETATVSA TSTA_050050 MSSGESGRTESASKTFYASSDDTKICVVMVGLPARGKSLIAGKA LRYLAWVGISARIFNVGTYRRKLSPHPLANFFDPHNPEGEKMRWAAAEAAVSDMLKWF KESNGVVGIFDATNSTKQRRAWIHKTCTEAGIETLFVESSCDDEEIIMHNILEVKTTS PDYKGQDPEVAAQDFRNRIRNYEKVYETIDDDEKSYTYVKLINVGSTVIINQIKDYLS SRLVYYIQNLHIKPRSIWLSRHGESEFNLTGKIGGDSDISTRGEAYARALPGLLKQSG VPPGAKLTIWTSTLKRTIQTARHLAAETGYDKLEWKALDELDSGVCDGLTYEEIAEKY PEDFAARDEDKYNYRYRGGESYRDVVIRLEPIIMELERSDNIIIVTHQAVLRCIYSYF HNMSQEQSPWMEVPLHTLIKLTPRAYGTEEQRFKANIPAVSTWRGKGSSAKHQDPVDG TQPNH TSTA_050060 MDKYELALEPLHSLKPEEKRNVALIARTYGVDPSNLRKHFRKVT GPKEAQYNNQRLLNEGQSQALIRWIKHLTEKGLPLRIRCWQILQEIYVVISRYSTGLD MDRKNADKAWKYALYFELLGRKIEQYNLAPEQIYNMDEKGFMLGIMTKEKRIFSRRKY KKGGHKQFLQDGNREWITTIACICANGRAISPSLIYMAKSGNIQDSWLQDFNPKEQRC FFAVSESGWTNNEIGYQWLVDVFDKETKSQASRGWRFLILDGHGSHVNMRFIEYCDRN RILLAIFPAHATHTLQPLDVALFSPLSKAYSEELKQFLNDCQGLTRLTKRLYPFNPEY VIQRFTKSPQSRPSSSGSTASIIQPEDWRHLSRIVKEAVNDVYDKKSKKLSNEVHRIQ AENALLKAQVEGLQRTVVNLKKRQNKKKPLLLDLHSEKEGGAIFFSPSKVQQARDLQL QKDKNTAQGQARKDDKKLQQKLAAQKLERIEKAQIRQKKREQRQQEAAEKERQKEEQK LAKLADLQLQNDVLTTTKPLRIKGTQIRSNPSRKAVMRPKKRLLRRLLLQIVGGGRFG FLYAIAR TSTA_050070 MFPGTISKGLSRRFQCLRLRKSCRYEDENGDQLFTYRNEGTGTR LLLSLEVALSQSRYFLDSGLCQPISWNSIARPSTPDDILCLLGSQEDIDVVYSLFFSG IHQWLPILSPKKIIQRLRDGVSCFDVHFSLLVLSMKVLGTSPNKLPQVIAFSLYLKAK EVAGELETRGLVSLELLQSLTLICVYEIGHGLHPAAFVQVARVARLAMLMGLANRDKK QLFEMPDTWTAREEERRIWWAIMLLDRFLNLGVTGLPLATPKPTNRDLLPCTEDGWDS GQVSINYPLFVDRIEADLPIGLFAHTCQAAHLLGNVIQHRDGDPQFTDRQSILLEAVQ LHQALVSLGTYLNDKILVENDFEKNHIFAFALVCSARFTLYDIYGCNEHDSTSRIAEE ISMQQTSLIGLYEVVDKMAYVSRQILRFASVADDRSLFQVNPLFCQCIYLAITECAWI YREEKSSDRAAQLREMIEILQALGRVWRTVDGFMELLKQDGILFEIGHT TSTA_050080 MASNDNQLTWLITGSSAGLGKILAARALEAGHKVIGTCRSRKKS RAALEPLMGKGLEVIELDTSAQQVEIEANVKKALSIYGKIDILVNNAGYAALGPLERF TDEEVLKQLRTNTLGPLHVAQAVLPNMRSRKSGMIVNVSSYVGMRGDAANGVYAISKF GLEAWSESLSKEVAEFGISVLVAEFGAFRTGFLNTNAFVRAPKDVISGYEGSTAEKAY AGILQADEKQPGDPEKGVDHLFQVIMAGGFLGGRKIFRLPIGADAVEIIGDKVQSVQR DLQVAKVLEESDSTAL TSTA_050090 MSQSYTNDHSQAVLRTHSWRNAPNSASYLLPHLKPTMSILDVGC GPGSITISLAGKVPLGYVVGVENVLDPLNGARELANSEKVSNVSFQIGDIHDLPFPDD TFDIVHAHQVLQPIADPVQAFKEMRRVIKQGGIVAARECVSSTIYPESEGLTAWQQLG DRVRRAKGNHIDAGSHMHVWANEAGFLQEYTKDSDERKYWGGLMEERARSSGFATNAV REGFATQKDMEKMAVAWRDFVQDEDGWVGLLHGQILCWK TSTA_050100 MLSAFKPRPLVELKQRDKSKIESILAYGDRLLVGLNNSNLRVYR VNEATETPKTAEGNGHTPENAAKDEQEESTVKSVDLLREYEKFTRYKVEQFAFIKEAN ILVVLSGGYISLHDLQSYELQEQLTKTKGASTFTVTSNVINDVENDLPSIVSRLAVAV KRKILLWTWKDMELGPDVTEITLVSGIKTLTWISGTKLIAGLGSNYVLVNIETKETND IVGPGSIGGGHGQESSRLGGVGVASMSYIGMGGMVPKPLATRLSEGQVLLAKDINTHF IDSEGKSLGKRQIPWSTAPEALGYSYPYLLALQEPSKGTLEVRNPDTLSLLQSISLPS ASLLHMPQPNISLAHAGKGFLVASDRVIWRMEALSYDDQIDALVEYGYLDEAISLLNM LEDALLTDKAGRLREAQLQKAQKLFDLRKYRDSLDLFAEVSAPPEVVIRLYPKVIAGD LSTVEEDTSQSDDEESTTSKGQNGVQTVTDVLAIETLSPAKTSMYAPSLTSFLRTRGE EGSDDGSIRGKSSEILETDKKLEGKDLKNAVRELQGYLADVRRRFQRFLNPDGSLRTE SLHQDGANNEFLESVRMLLGLSQDVNDVQFGDRLRESAKLVDTTLFRAHMYATPSLAG SLFRIANFCDPDVVMEKLEEQGRDTELIDFYYGKKMHRRALELLLKFGQAEAKDGEEE ENSMTAQLRGSKRTVAYLQHLSSEYIDLILEFAEWPLREDPQLGMDVFLADTENAETL PRHRVVEFLEKIDVALAIRYLEHVIDELNDLSPDLHQKLLHLYLDRLKSYEKTNEEEK ETYILWQTKFLEFLKSSSQYSPAKMLNVLPRDDPNFYEARAIVFSKMGQHRQALEIYV FQLKEHEKAEEYCNHIHITEETSTAEVAPSQRLAPTDADNTKPTIYLTLLSLYLSPPH GYKAQYGPALDILAKHGSRLPANSTLDLIPEDIAVQELEFYFRNRIRAASSIVNEARI VANLKKVQNIKTQAQLLVGEGLPNDNKARSRHVAITDERACGICHKRLGGSVISVFPE YVPFAIIDCNEDDH TSTA_050110 MTTHKPAISAAYSSSQPPHTSYTFRHKIAASLETNSPQQSVAAK KAYLSELREVNVFLTERMEEDKKRAGEGTGKDAEQEAKEEENYGEENVEDDA TSTA_050120 MHQSPASRPDSRGDNARYQSQPPNPQSHPHSGRPGSSDSDASSS SSRVTTLNRPKGPLRSLNTPSSPHPPRTSSIGIINASANSSNPRPTVGANSPLRPPND PISRKPSPRAPLPELQRHRPRQHSQGFFEPSLPTASLADHTMTALTASQIAAQAAMQS TQQQHVRHRSQTVPIEDGLKTRKGGRESPPPVHLAPHTTTSASTTGGGAGAGVGGFYS NAYQNGLIGSSVAAATTAASIAFPRHQAGADAVDQKQKTEKTRKKLFSKPKHIGISRD RDWMGSSKDKALSSPSKLGFGSSSGLSKMVSASTTNLTEVSSNNSSMYNLSNASASTV VPVDKGEKEKEKDKEKIHKHHFLSRQKLKLKDDHHYLPLSSASSNSKPLDPNAPQSLY NFAPSSPGPSSSSFPKAGLDLLHGGRALREKRREEKAMVSTAYDASRDSIDTQWPSGI GSSISGSATPYGNSLGNLGSVISNDSVKETLQGFGLHNMTAEDVWDFLKAKLLVIFDG GDVRIAVEDLNKLVVIHIQLCVQKQMPTIVEDLRELLHTGFSTLNHSLSGIPDEKLVP HLMQIWMLAFGTILPFIQAVFLPLDLEFKGRGSVMNAREAREFWGALPTNGDTEESSL GDELDVRMIVLTAFRDTVILQRFEALNAIFSRLSLDTINATIGALSSTSNSSSSGGRP GTAASLDPTYSSFNSQQSSLNIAGSYSSDSASANRSRATSNTSSNPDQLIFQSFTSPS QRQLQTLRNNQNADSSHVITDTVGRMLQCFSVLASIQSGDEAQERIETLTKTLKHNWL GRGRTGRDRRGFVGTKVKPVMLPRQNSDDTTSSSDRATMTNGNAVWRNSLLASGRNMG TSAVS TSTA_050130 MDDVYQIGIDDIKDTGSLEDCLIRSDSFTSSPSMDNIRKLLKLI EVKNDGDDNNQDEGGLKGLNADTRPLEPSRRTFGPWEFVTLWVITGSFNIGGWTTGSS LIAYGLNVWQAMLTVIIGNVIVGCLCVMTGAPGAKWHIGFPIIQRAPWGVNGFVFVVI QRVFLACIWFSTQVFWGGQCVKLVLTAIWPSFARVNRPLANGTMTTGDFTSFIIFTAL YYPFIWIKPEKYKLPFLISCVTVIPTIVVTWIWFMAKAHGVGTLVTDVSSVSGVTQAT RSHLGWMMVLGICTNINSMSVHVYVQSDYTRYARKPKDQILAQLIMVPMGTIVVALIG IICTSCAAQIFPEQHGTLLWAPYELFAALQAHYENSSCSRAAVAFCGLSFMIAQFGMV VANNGVAAGLDLSGLFPRYFTIRRGMILMSILSFIVQPWTLLNGASKFLSVLGGYGVL IGPMTGVMFSDYFIVRRQILKLSDLYNYNSHGNGIYWYQKGYNWRAFIAWMMGVWVTL PGFVRMVQNSSSDPWAGWSNLYDLSYILGCSLSCGTYYVLHKVFPIQGLGVEDNEDYF GTFVRARVIDGVDSVEEKSVPEIEEKVKSVA TSTA_050140 MSTTITSTDNMPKTIRLTNGKEFTIVSNAAMTEKSIPIIDVSRM HSPELAARQALAEEIRNAAHSIGFFCITNHGINPKLASDVMEQAREFFALPEDKKMEV SSDLIPDEYCGYHGMQRYNPNGWKYRDLYEAFNWNYNPAKDPDYPDLSTPQINLWPKD MSEFEEKLSAYQTEMIRFARQLTRIFALALHVREDFYDEHVKRPEAGLRILHYPQQEA CRDEQNGIGAHTDVEFFTIITSDAEGLEVLSKSGRWIKVKPIPGCFVVNIADCFMRQT NDFFVSTVHRVINESGRERYSLPFFWGIDRRTLLSPVPTCVSDDNPNKYPIMTAGEYY LWRTRRQKRSWLIGENANQTTKE TSTA_050150 MPDSRGSYARLMSMCAVSALRIKNGAVLNERSVPHDLNPRLYFD ETLRALPDNVIEFEEFESLQATGIACLTALHYSDGPLLHQVLGLYHAVVAEHGFCDEK RWPSGLSEVEIEERRRLFWHMYRLEVHTSLVIGHVVRSPELQSSVAYPTMQDADFAES EGCDEQQLEWLSGWNFVTDLYRGIEHVITQFRYRRASTDVNRRCLSTSFVLDYDPQER ILDPLAAEREALPDRFKKAMPVSSNVRLNRCGYQTANIACTYQLLRMVTFSVYHTTTL YEACQTVLELIDEISSIPIDYLRAMGLAMLQEISGFGHILSSFINEGLSKSDYYQLRT VMLCMSELLESLSSCMTSAMEASQRLRAYVEDIDRLLDIPQTSNRNTPVEEYDGQSED HANALELFSQQLMVPLDILQRIPWPAAWEESVGLF TSTA_050180 MQSPDRTASTSSTVPSPFFTPTSETSSQQRDEHQHQHRLEQSNM PANPVTDRQEEQEQPQQRQQQQPESQEENTNTEVTGSTTSSTVAVASNVTSTNGKSHI ELAVAATTPKEDIVKGAEVKAKADSKKSKCKPHKHRAAVKKCASKKSKKKKHAKVESS SEEEKTSSSESESSSSSESEDSDSVDTESETTESESESDRHRRRRNKAKKAKKLKKKR RHRRDEETTDSEMTSSSDEDSLADQRALKEKLARMAKKQRKAKKLAKKYLSDEEDEED VPDSDQLRLRRQLANLKLLQGGNRRRLKIKESKGEKSKKGKSRRASKVAFKRVDELWD STIHNFKLRETMDDPDADEFDQYIFNVRRKFDWENKYVDTLVDIKSKPLRDALATVMD GVKGVSLVAETPVIDPNMLFLYLEETRAYMKTLKKTVKTEKKKKARKLAALKVAHLKV LIKYLDTDYAETKKTLYPLLESNMITFDLLWALFKPNTIAYTTTYGHTDEPRAFKIEY ATKESSFMKGSWYMVEGKYLEYDGKTFGMGTMVADVEFFKGARKITSLACYPLKYHRD SEALRTKLIERGKQFVSLRGMNYRFHKGMAFFKKKRSVIKVNINGRVMIDPALHRRIN PNYPISTVRPKDPDDLESESGEDSDECDCGGSSSGADHGGDLSHDSDPPRPVYKIMRD KKGKAHVVQVEYDENGNEITKKEDLELLENEKQDGETTPEPEFTEEELLIASPVVLGF AFSEKLWLEFSVSGVNEIEWNDGAFDSLVLPDNQKSIVKALVESHTFNAAQNIDDVIQ GKGKGLVAVLHGPPGTGKTLTAEGIAELLKRPLYMVSAGELGTDSRTLEGELNKILDI AHSWGAVLLLDEADVFLEKRTIQDIHRNALVSIFLRLLEYFQGILFLTTNRVETFDDA FQSRIHIALRYGDLTTKAKRSVWKMFLEKVKSIDGVETNTFTDKDLDVLARHNLNGRQ IKNSVRTAQALAVNEKLPLSMSHIKRVLDVAETFDHDLKGGTGYMDAMRSYT TSTA_050190 MFAARQSFGVFQKRAFSASARQASKVTVLGAAGGIGQPLSLLMK LNPRVSQLALYDIRGGPGVAADLSHINTNSTVTGYEPTASGLKEALTDAEIVLIPAGV PRKPGMTRDDLFNTNASIVRDLAKAAADASPNAKILVISNPVNSTVPIVAEVFKSKGV YNPKRLFGVTTLDVVRASRFISQVKKTDPANEEVPVVGGHSGVTIVPLLSQSNHADIE GETRDALVNRIQFGGDEVVKAKDGAGSATLSMAFAGARFAESLLKAAQGVKGVIEPTF VDSPLYKDQGVEFFASRVELGPEGVKEILPVGQVNAYEEKLLEACLGDLKKNIKKGVD FVAQNP TSTA_050200 MNSLFNSALKQSTAIRHDLDAFAESPGTASPALQGQISASLASF SRTIDDYAALAKSELIPEKKEKAIEREKSFRATLLDYRQQFERLRKEREETVSVTNRS ELFGRRPHHAATPENPYADHALPRSSAFGSATASSSAAAGGGLSFGAGPGSYDRETHA LREQSFFAQTNTQLDEFLDRGRAVLQDLGQQRDVLKNTQRRLYSVGNTLGISGDTIRR VERRAREDKGIFWCGVVVFFLFCWLVLHFLR TSTA_050210 MSYAEAAAKGASQPPEEIQANPYSRAPIPPQVEKTESVSTASLI DVDAPSVQSVHSDFLDQEVKTTTQAERLAREAEQAALAQEQAAAAAAASGKKGKKSKA QGLSKNAQNPVYLGNAFIITALSAALGYSAYRKHTEGKLSWEVTGIWAGAVGLFAGAD YFVSKWLIQNKYPKKD TSTA_050220 MHSSRNIYKREIDFRELALTSPEFAKRLKSNDQLDFSDPDSVRQ LTKSLLERDFKLAVDLPDDRLCPPIPNRFNYILWLQDLIDTSSRTGTDQYDPNREVLG LDIGTGCCAIYPLLGCSSRPRWSFIATDIDSKNVSLSQKAVSDNKLDDRIRIMQTNKD DPLIPTDKLDVESLDFVMCNPPFYESEDELLSSAEAKSRPPFSACTGAAVEMITPGGE VAFIESLLTQSLTLKTQVLWYTSMFGKLSSVSIIVQKLLDNGISNWAVTEFVQGKGTR RWAVGWSFSDWRPRSDVSRGIASLPKHLLPFPSDYKFDLQRTQKGSLGQAISKIEAEF SSFRYFRYSWFSAQSCIGYAKEDVWSRKARRKHSREQHDDPLEYKQSMRHDELVFAVE EAALGFRIDLSLQADHSVDVVIRWIKGSDQVLFESFCGMIKRKATEEVHE TSTA_050230 MDSLKEKLHHTKLHDAKVKASHLKHKIGKLENLFNPNHRHDEAH EQEVDQKRTAIAESHRFQSFAPEHDGNKVKWYVDASDYMWAVSVALDRAKETIYIADW WLSPELFMRRPPNRNQEWRLDQILKRRAEAGVQIYVIVYKEVEQALTCNSAHTKHALR SLCPQGSKGAGNIHVLRHPDHNMFENLGDMTFYWAHHEKFVVIDYAIAFIGGIDLCFG RWDNHQHPLADVHPAGIENEIFPGQDFNNNRIMDFQSVQDWQNNELNKTEYGRMPWHD VAVGVIGDCVYDIAEHFALRWNCVKRDKYKRDESVDYLLIEGRTGEDEGLLGVQRPKF PCGEYIQHPLTPLSTKPRGEQGTVHAQIVRSSGDWSSGILTEHSIQNAYSEIIKKAEH FVYIENQFFVTATGDQQKPVENTIGRAIVEAVVRAGKEGRKFRVIIVIPCIPGFAGDL RENAAAGTRAIMDYQYKSILRGDDSIFGQIKAQGVDPTEHIFFFNLRSYDRINKTAEL VEQEQKSGVHYQDIQRANAEQVMGESVHPGIGKEGDFTEHEYGISKEDQEKKIDSLRR FEEQREQAYGKDREIKSSDNIVQNAMLNQRKVSEEYWGGDDPEKEKDNFIQEELYVHG KVCIVDDRVVICGSANINDRSQLGDRDSELAIVLEDTDMIDSTMNGQPYRAARLAATL RRHLWREHLGLLLPQELDGSNNPNAQPPDDCPNSIGEGHEYEFVTDPLSEELWETWTT QATTNTEVFRFLFRADPDDHIKTFEDYDQFAPRKSIKQGHLHDPYMPVSIVRENLDKI RGHLVWMPLDFLKDAEMAEPGLSVNHITVSIYT TSTA_050240 MNEQRQIQPASHDDNDDDDDDAIEWGETAPPLIQDLYRFMIHKE RAIHAFHLWLRLLEHNLMPNVRDSSVHYHTVHSLNDDYNLVRISLNANPDKSLNRKMR IQVLAFSLASSASKNAGVLTPDGDESEDPDERKTTKIPWNLFLRDLRNQHISMHEYGD PMMIGDYDCFIAYKQYARLYTVQQQYTNPEAPIIKECWVNFGLPPLWKDSNDQGPLLE FKTHFALICQLLRAFAWNINIPYVYH TSTA_050250 MRLPNAMLSKGAAFMRRPQTVYRLKDAARPAASALARYYASKSF PPHTIISMPALSPTMTAGNIGTWQKKPGDTLAPGDVLVEIETDKAQMDFEFQEDGVLA KVLKDSGEKDIAVGSPIAVLVEEGTDIAPFESFTLEDAGGDKTPAAPKEEAKEEAPKP APETQDKPEAIEPEVTGERLQPALDREPQISPAAKVLALEKGIPLKALKGTGRNGQIT KEDVEKYKPATTAAAAGPSYEDIPLTSMRKTIATRLQKSTQENPHYFVSATLSVSKLI KLRQALNASADGKYKLSVNDFLIKACAIALRKVPAVNSSWTEENGQAIIRQYNNVDIS VAVATSSGLITPIVKNAHNLGLSSISNTVKDLGKRARENKLKPEEYQGGTFTISNLGM NAAVERFTAVINPPQAAILAVGTTRKVAVPVETEEGTSVEWDDQIIVTASFDHRVIDG AVGGEWIKELKKVVENPLELML TSTA_050260 MADTEHEVAEVTSQAEASAFTEIVSPQPLRADKAQLSTGSEWKE IGGEMESAEQDKSHGVDNHEHAPDDAHLTEEDEVTAASSRTGDTSEEKTDAVDQDESN RHGENQSIADTAPASHDTPAEGNPEPRARSDSRSTTATQATQATQATQSTHRSTPVSS TVFVVTALEAIATSKDAKRNKELEDAVQKALSNIKQADARPLDPEVIFLPLQLATKTF SIPLQVTALDCIGKLITYSYFAFPSSRPNQDSANPADRDLPLIERAIETICDCFENEA TPVEIQQQIIKSLLAAVLNDKIVVHGAGLLKAVRQIYNIFIYSKSSQNQQIAQGSLTQ MLGTVFDRVRMRLDLKEARLREPEGQHETPVESIIVDAGEGSQLSESVEVASTISDQP TQKEPTEKLTLQSFESSKDDTMVTDNAPTMVTRARTTHRSTRSVSNVTDEKEDEGSED EVDEIYVKDAFLVFRAMCKLSHKTLTHDQQMDIKSQNMRSKLLSLHLIHHLINNHITV FTSPLATIRNSNTSSDSITLLQAIKPHLCLSLSRNGSSSVPKVFEVCSEIFWLMLKHM RVMFKKELEVFLKEIYLAILERRSSPAFQKQYFMEILERLSGDSRALVEIYLNYDCDR TALENIFQELIEQISRFVIMPVPVTAQHTSHENRVKSSNTPDWHQRGTLPPNLTTASL SNSAAPSPQNGSLELYMKYHALDCLVEILRSLDSWSSQRLPISANGHRDDVSRKSVEH YRESIDAPSLSALPSPYIDSGGTGTGRSTPAVEDDPNEIEKARQRKAALSHAIQQFNF KPKRGIKLLLKEGFIRSDSPKDIAHFLLRNDRLDKSMIGEYLGEGEPENVAIMHAFVD SMDFTKRRFVDALRQFLQSFRLPGEAQKIDRFMLKFAERYLANNPNSFANADTAYVLA YSVILLNTDQHSSKMKGRRMTKEDFIKNNRGINDNQDLPDEYLISIFDEIAKNEIVLD SEREHAANQGIAPATPAGFASRAGQVFATVGRDLQGEKYAQASEEMANKTEQLYRSLI KAQRRTAVKDELSRFIPATSVRHVGSMFNVTWTSFLSGLSAPVQETQDIEKIRLCMEG IRLAIRISCSFDLETPRVAFVTALAKFTNLGNLREMTSKNLEALKVLLEVAVSEGNHL RDSWREILTCVSQLDRFQLLSDGVDEGTLPDVSRTRVVPSNSNDASRRSTQSTRRRQR STASSLSFRPEIAVESRSAEMVHAVDRIFSNTANLSHEAIVDFVRALSEVSLQEIQSS GQSESPRTYSLQKVVEISYYNMTRVRIEWSRIWEILGQHFNEVGCQSNTNVVFFALDS LRQLSMRFMEIEELPGFKFQKDFLKPFEHIMANSNTVTVKDMVLRCLIQMIQARGHNI RSGWKTMFGVFTVAAREPYEGIVNMAFEHVTQIYNTRFGVVISQGAFADLIICLTEFS KNIKFQKKSLQAIETLKASATKMLKTPECPLSRKHIPSAEVIENTGSNLTHQLNRQSE EEQFWYPVLIAFQDVLMTGDDLEVRSRALNYLFETLIRYGGDFPQEFWDVLWRQLLYP IFVVLQSKSEMSKVPNHEELSVWLSTTMIQALRHMITLFTHYFDALQSMLDRFLGLLT LCICQENDTIARIGSNCLQQLILRNVTKFSDEHWGKIVGAFVELFDKTTAYELFTAAS PLPSRAPTSETPKRNGDATSNGAVSVSENSVAASDDDRGLTINGAQTPVAENGDAGET FNGALHDTTAAAELEDYRPQAQEINQQPAAVTVARRRFFNRIITNCVLQLLMIETVHE LFSNDNVYDEIPSAELLRLMGLLKKSYQFAKKFNEDKDLRMQLWRQGFMKQPPNLLKQ ESGSAATYVNILFRMYSDEREERKSSRSETETALVPLCADIIRSYVRLDEETQHRNIV AWRPVVVDVMEGYVNFPQDSFSQYIDTFYPLTIDLLGRDLSSSEIRHALQSLLRRVGE AKLGLTFPSNSNNEPSSSANSIRTYSRSH TSTA_050270 MKLSADNCFFKTSSSINSLQLRYSLSKMSQKFPEVQGGGSLIVA WQVRNKNVLVVGGGEVASGRILHALNADANVTVVCPSSGLNEEVAYRVAENQVSHIDR NFEPHDLDNADMVLCAIDDPEASTQVWKLCKERRIPANIADVPPECDFYFGSMHRDGP LQIMVSTNGNGPKLANIVRQKIASALPQNTGAAIENVGLLRKKLRAIAPDANEGPKRM KWMSNVCVSWSLEDLVAMTDKDMDGLLTHYQSGDVPSLQDIRAALS TSTA_050280 MIANLLTIAGVDHVITLDLHASQMQGFFAKPVDNLFAEPLIARW IRMNVPSWRDAVVVSKNAGGTKRVTSLADTLKLNFGIVTTDRRRPKNNVSMSDSMVFF DTIERGNHSRRSMGLLAVDQDSAVDDYFLSRSADTLRPETPPSARRPSELEAAEHTTD ERAREVITGRIVQGHLVDEDFVDGSQVATPLPPTGSENGDSVNNDHMTSSFMSVASSH EGHALGGTYDAAESDDDDPAVPAPNHDEKTITLVGDVKDKPVFIIDDMIDRSGSWITA AETVVRGGGAKTVYCIATHGLFGDNCLEEMESSDAIDHIVVTNSFPIPPEKMRLSKKL HVIDVSALLSESIRRHHYGESISALFQLTD TSTA_050280 MIANLLTIAGVDHVITLDLHASQMQGFFAKPVDNLFAEPLIARW IRMNVPSWRDAVVVSKNAGGTKRVTSLADTLKLNFGIVTTDRRRPKNNVSMSDSMVFF DTIERGNHSRRSMGLLAVDQDSAVDDYFLSRSADTLRPETPPSARRPSELEAAEHTTD ERAREVITGRIVQGHLVDEDFVDGSQVATPLPPTGSENGDSVNNDHMTSSFMSVASSH EGHALGGTYDAAESDDDDPAVPAPNHDEKTITLVGDVKDKPVFIIDDMIDRSGSWITA AETVVRGGGAKTVYCIATHGLFGDNCLEEMESSDAIDHIVVTNSFPIPPEKMRLSKKL HVIDVSALLSESIRRHHYGESISALFQLTD TSTA_050290 MLRRNGKPTSCEPCRVAKVRCDHSTPICRRCKDKGITNKCYYHP APLTKPKSPNDGTGPPASKRRNKLKDHVRLCGEEETIAARTLTGIRKRAASPGVAASR ISNNSNYLGTTSYLSVFKETPSWVPRASWNCTLQAEFEHWRSDHTYTCARLVRLLCAI GFHRKQITWYYGRGRFTKIPAPLVLDSLRLVQEHIEKNAWQQERNWGKIYDQITAATS HSLKLTSKTTPAEFYSLFTGENLRWEFVGFIFALCGTTVECRYKPTHVLNLRNGEEMD VDTFTKEMLLASNACIEICRQYEHVNDLMIWMYQCHISLGSEVLGETSERLYSLFGDM VSEIYAMGLHRDHHSTNVPFFLSETRKRILATIHKSDKNIATLFGRPPRLPYQYCDFA LPLDLSDDQLFLDEQCLEVVLNKLDSEGWNCQGQLYPATVIRMRHILSTLGEKMLELS LGSRTTSYHNDLLRTYKLCQWTWDQIPVRFRYCSSCWEDGDVTDCLATAIVYLEYLLS VFQIQRIRRQENPETTKDLLDTSVQLLSVTLDLTKQFQYAEIQRNFGWLFLAFSCPAA GLLVTEVRRHTISNQPLPCSSPRSEIIRNLSYLISWFQSIELPSALTGTLCKELIKVI SRLLDEALDYQQPSPSSSQPIPTNSGATDNFDVNSANNNTIPLQPPEETDISGSQQSL LGLMDGDPIESLWLGMGMEYGVSDDAFHGLGRGLVSDGMNWLDELGLNTDLDDRAGVP GS TSTA_050300 MAQDSPISSTKPAQFTTIYDDDTTKRNFDPIRIRTSDRLLHDPL AQELLNITSENSSPETEALSEGKTSYTNYVAEKVRKHVAESASKDANAQHELLSQILH IGFAALFCFLQSNVTGPPLEFNSAVVVFGETVAGDKGTLNTVRRKMLRDLSIDGEAVY PLTPNIELFCVAKAILVESGVFVADNSPLVARTGRMRVNFLHQKMLSEVSGTLQKAIY DDLDVISATVLGENSDSIGKMKAAFLLERAAVHTHHGLDAKARSDLDRAASASGFEYA LTGKLGKRTKFQDRDITQLVVLAVSSEMESMSEATEAKSEPETSGPKALDLNDDTLLE TIAFAKEGAQPEKPTTVQDESSLPPALVAIDPSNQPKLNPLDSAILLAIASAITNNSP EHGLTREETLPYATRVIESGSNNWQIYTQALLVRSRCEAFKARTVERGVLQMQALVDQ VIADTATSNTAMVTTDTVSNKQQEQPTTFLPRPEESESAPAEERLEYLWTLHFKTRWN LEAELAQKWVSLGGLRTALDIYERLEMWAEVALCYAATEREEKAKAIVRRQLYEPTNP GTIHDDNERYEGSELPELPADAPRLFCILGDIDSEPAMYERAWEVSGQRYARAQRSLA RLYLKAQPPDYEKAEEAYKKSLRINLLNHGAWFALGCVQLNRQKWHEAVEAFTRTVQL EQDDAEAWSNLAAALLHVSPGNNKPSAKEQKDTEVVEGEKAEEETDPYKHTRDALTAL HHAARLKQTDHRIWDNIVTVAASLPPLLIPYKDIVFALRKVIELRSPKRGEKAIDLTV LDMLVEHLINDYKYDDLLITVDGTNQKILRTGTVAGQIITLIDDVVVPLITRSAPLWL LVAKVELWRQRPSKAFAAHEKAWRATISSCTQGAFQMGDEKKWIQIVKATERLVRDGY AKIGGMTKEREDGAIPEGEGEEELVAKDWRFKSRSAVRGILGKGKDWEGTEGWTQLKE LLSEVDG TSTA_050310 MQDYRAIVLLTLVLHGLSVYANPWLASNLDLPLRLRRSDRPLIQ RRTSIDLASHDNDTEIKSNIVSIPTEKLFARAEAAAVPTFDEAAFNQSVMTACTSAVD SYVEANNPSGMVACYNIAIFDNATGVFQTDVRLYQKSTPTGSFEGLTPADIKMSFSIP QATVSKPMLMVSNGTVTADTTAAGQFVMGFQNIGQLSKSLIFSKLSVGDLRILMIPTI SLGANLQSGGVVNTTLSSDTLSYVAGVLVEDNGTPTNITAPQALSLASPIVASASVFV LPGTTIKIAPVGLIVTCIWSGLLMLAVGAGTVGRYQFRVHYRQRLQRATAINKTIPWS N TSTA_050320 MATSSNHRQRPSLPMSYSQGSVGSTNGLPMSQSHLGSFNHSQSV ASTPTPTPPARASQQSAMSYSFPNGALHPSHPSMSNSFNGYDRTNGFGTMTPHQENYK PQIYRAVYSNVSVYEMEVNGIAVMKRRSDSWLNATQILKVAGVVKAKRTKTLEKEIAA GEHEKVQGGYGKYQGTWVSYQRGVELCREYQVEEALRPLLEYDMDDGNSRRASLETPT KEQAMAAQRKRLYSAETRGISQPAQATFFQNMSRTAANAVNAISKARFESPNKRRPSL MRNQSQHMSSQDSLNAPGGTQTSMYSVHSDSGFASQNAHTGRNDTMVDQENTLEPPRK RVRSSSNQALSFGANSTMSAYEPTPTEPNDSFYQQADDSLTTYDVNGRDPLPPAVHPD QFQKMKLIMTVFLDKRMKDFSKHPALVELSGEDLEIPLDEYQNSALHWGAMLARIPLL HALVGKGVNIQRMNAAGETALQKAVSTRNNVDYRSFPRLLEILGSTIDMVDHSGRSIL HHIALMAATGGSGQVCAKHYLEALLEFIVQHGARSQENDKQVTNGENESSQGEIISLG RFVSELVNLRDDQGDTALNIAGRARSILVPQLLEIGADPQIPNHTGLRPADYGVGVDM VNESSQPQQDEEKKDTFINQLARTKKEILDATFAEIRALVEEELGDFDRGLTSDLSKK QAEFDHWHAKIRESARARQIDQNELKSLKSKASDWSNYHRRIENLERDVEDMVASLKK YYGNDFDVSQTCPVGYADSDSGVDMNEFSQVFSNFDPNTELSLEQKKFLTALPSAAAL EARLEAYEGLNKDIHDEITLLKSKNVVLGETYRRVVMACTGFSHDQVDEAAEGLTKHI KDLNENPVSADEAIEILMRDRGQDW TSTA_050330 MSQGSLAPPEVWRHLLRATLLARKYMYKYVVDRYRKKLYDTAAN DQKHKQSHPSSMLQKRPNATSLERQKKLHKSARNILSFLQRGNQGYQQPLNKILMLAY GRIGPKKYTLLSHLLPNTRGEKKSFNSSAEIEEYLEQKKKEPVGDDWQLPEVLQSLIK AQRNSSYARSVHGKRFRASDTLNIPNLNSWMKPVPECRRANIRKRLLNRSKYAALPPP DPNELNILRGLIDGTEPWKPPVRRVKVAPAAEQSSLETLIYAGPQGGLSFRQAYLDGR PHRLTRRFMRTLWERIYKLMPRQGTNLKGQLKFSFPESESRPIVLTMGKEEFQNLFGD DDHGHDAQSTAVNGQQREY TSTA_050340 MQRMAFILRRQSRKALWSWTHNTSWQHSNCSRFPRTLPLLITIS RYASTTTEDIVPPKIKLRDYQEESIQSVLEHLEKGHNRLGLSLATGSGKTVIFTQLIG RVPPRNGGANQTLIIAHRKELVEQAARHCRLAYPDKSIEIEMGANKASGAADITVASI RSLSSKDRIEKFDPSLFKLVLVDEAHHIVAPQYRQALSYFSLTKPSNNAPVLVGVSAT FFRFDGLKLGSAIDHIVYHKDYIDMIGEKWLSDAIFTTVKTHVDLSRVARDSSGDFAT RALSEAVNTATVNDVTVRSWLTHASDRRSTLVFCVDIEHVHQLTEAFRDNGIDARYIT ANTPRQTRNEELEAFKRGEYPVLLNCGLFTEGTDIPNIDCVVLARPTRSKSLLIQMIG RGLRLHPEKENCHIIDMVSTLNTGIMSTPTLFGLDPDEALNTQSVQEIRKQKEQEDIS SPIPDVSYVRPLREDEVDVTFTTYDSVFDLLNDERVDRHIRSISRYAWVRVNADRYIL ADKSGWMTIEKGEQNIDGPWMVELVQKYETAAGMTVHTRPRVIARAPDFEQAVRSADT FASTNFKDFAINSSQIWRRAPASDSQLKVLNSKKILDREITSKDLTRGQAADMLTKLR FGTKNRFQSEQRRKKKEEKMRKEFERQMSQGDVKVGSLSQQDL TSTA_050350 MSAADNEQIADSEDDDIFGPLDNLPVVSSSESWHGSSDPSSESD EAEGIAEDTSEENEVEPEREEAPRSEDEGQEARLVESKRSRISAELRLQHDRRSYLSG PSIRSYKGLLKDMHVDPDSKTIQWTKKENDAFFTALARKGKLGVHEIAQQIKTKSRLE ISDYIEFLEERLQGHRLSEKSLLEVEVPAAAEINEGLERVLDRFAEFVTLEEQKKELL KAKKEGISDFWILNSTKADEVEELFTSAGEDALPDAISLGAALLDVKNWIRLSEKIFM NPGKSRSEDNWKNVALKGETPSLTADALGEFYDLTVDLVIRLMEEAHNTAQDRLAKER RKRRHFVRRGDVHRALTIMGMKHSPFDYYIHLPRRLNLDVAHIMNKKGKQTVNTYVPY DKVERILSKRLGRGAGASSGSSAASSQEDSENDKYDKEDYDENEEDDIDELGLSEDDS ETHIQDDQNTDGIDEHSSDDEDRGPNANSPEKQQPDESEYEEIDDAEHQQLENEQRLE EYNDYLDRQASQMEEARLRELLKCPAMDSLEPVDEKGQGEPPEITEEMRRDIMQNNAA VDWRSDLVYQAEWETYGSKHRDVERDIVANQHKRRRIG TSTA_050360 MDETQNLQTGSGEEHQPQDAVQALGETAHPSATDSNRDASAQST EGVSDNPLDAPASPRPQDNEAEPEDEEMGGIETETKKETDNDNDNVIGADDGQVADAN ADVNADDQSVQEKVALEASARNHLVSQTHAIILPSYASWFDMHQIHPIEKKAMGEFFN GRNRSKTPAVYKDYRDFMINTYRLNPIEYLTVTACRRNLAGDVCAIMRVHSFLEQWGL INYQVDPQTRPSNIGPPFTGHFRIVADTPRGFHAFQPGPNHIVTAGKQLAATDRAASG TPIKTDLNLEIRRNIYDDKGKEITPAADDKEKQTNGDTPAANGTSAESTNKALDAAIR EPKKTFNCWSCGIDCTSMRFHYVKSTPVSANPNATDSKYDVCPNCFLEARLPASHAAA DFVRLEDGEHTRIPDRDAPWSDSETILLLEAIEMFDEDWQQIADHVGTRTREECVMKF LKMGIEDQYVEEVDGSEHATLQALHGRDPISQLDNPVLSVVSFLAQLAEPAVAAAAAG RSVEQIRKDLRDQLNKDSSTEKGKNKEGQEVKAEDSMDIDTAREAESTAGSGEKRESL ATIALAASAARAGALASHEEREMTRLVSAAVNVTLQKFEIKLAQFNEMEEIIEAERRE LELARQQLFVDRLAFKKRVKDVQDALQQISLQGPGEDTSRALHDAADIGMSSRFHFTQ PQSDVSNGIRPLSAQPPADYKTLDL TSTA_050370 MESDFLSSEFDPALYLHSEVSDTVIHPEPELHSFSDDLEALQQR RLEIAEENRRNNIVIQRSWKLSDVFRSDDDSQPSTFVPGQNLEVFYIDPMSVTPTKSS NRPQLDRNLVIDNFLPSTPPREMTPFMSTQSTITPSPSSTESRKRKLDSLLLVEDTKR PKTLIDVSDEENGISMDSPLGGNGSKGPRTIGGFIDEDDDDEDALAEIQDGGYLTHLA QSTTTEKETVASQHNPSGQKIRGPFIEDDDDEDAMDALRGTYTKDDAKLLQMMSQPSA TEKSQPHETSTVPSVDCSSLSAETHSTIINPIFERKQPVTVKTCAGREHRVFHRQAAS KTSYERLVASRSKTAEGKAQRSYYGIEIHRLLDDASSELKDNATRKVEPIHIERSIET PVIEKSGKKNSNPMWTEKYRARRFAELIGDERTHRSVLRWLKSWDHIVFPGIAKSKHA KNGTEGEWAHRKILMLTGPPGLGKTTLAHVCAQQAGYEVLEINASDERSRDVVKGRIR DAVGTENVKSISAGSDSSKANKSGRPVCVVVDEVDGVVGGSGSGGEGGFIKALIDLVM MDQKTAAARSDQNSFSGRKKKNDNFRLLRPIILICNDAYHASLRPLRTSNIAEIIHVR QVPMENVIQRLKLILTKECIQFDADGVRRLCEASWGMSAKRDRTEKNRGVSEGDIRSI LVSAEWVARKLQAESTTTPRLTKAWLEDNILNDSESGGGSRGLGRGGVRDITDRVFIE GAGFPTAPVSSNSFSDPFDRERTKTSVGVAELRRRHAAAKLREMIDASGEYDRCATDC FIEYPTRTYQDDTFLSKPNAAYDWLHFHDMISSKIFSNQDWELNPYMSQSTLAFHHLF AGNASSSNKRKFDDDQTEEEEHPFSGPRADYAAFEALKQNKSVLVGFQSTFSAPLLRL FRSLDSIATDLVPNLLRMLSPEVKPVIVRGSEQASVASVRKESERALVRAAVRVMSGL NVTFEKVRVGNDGVHAGWAYRMEPPIDTLVGFSKTKGTILDAGSSGPVRYAIRQVLDQ EYRKETLRKQGETLLGLSGSSNKASKGKQSSKDEDKENANGSRPKLGGPDAAGVKRDF FGRIIRNNETAPASTTSNKARQPAHKRNSSGNDHDRKVWVTFHEGFSNAVRKPITIAE LLSEL TSTA_050380 MPSARRGEKWLQQEALVAEQARFQREAEEQAPLQREIEERTATE SSEQERLQREEERVEAEQERQTEELAAAEAAEQERLRQEALIAEQARLQREVEENAAA EDARRRKEAEEWAARVAEQERLQQEAPERFRLEREAEERAAADVAEQERVQKEEEDRV VVETIE TSTA_050390 MRHYLSMSKDPESDDLLAKPANEKADETIVYNMAALAQKLGFTP PAQCLLKARKPDSYEYSTDDFEQSIRRIVEVLHCGHAM TSTA_050400 MPPIRNKNRKNLDEQEGRILLAISDLQNGRIQRVAQAARIYEIP RTTLQDRLNGIQQRSLVRANSHKLTQYEEESLVKWVLDLDRRGLPPRHSLVREMANYI LSQHGKPQVGKNWITKLIKRRPEIDSKFARKYNYERAKCEDPKIIQEHFDRVQAAISE YGILPEDIFNFDETGFAMGLCATAKVITGSDRYAQPKLLQPGNREWVTAIEATNSTGW AVPSYVIFKAKKNVREGWFDDLPDDWRINISDNGWTTDQIGLEWLKTHFIPYINGRTV GKYRMLILDGHGSHLTPEFDHIFCMPPHSSHLLQPLDVGCFAVLKRHYGQLVEQRMRL GFNHIDKMDFLTAFPQARTVAYKAQTIRNSFAATGLVPFNPDRVIQQLNIRLKTPTPP PSRSSNTASSCLQTPQNIRQFIRQSTTINKRINERTESNQNQEINQAVVRLSKAYEMI ANDVLLVRKENYDLRAAHEKEKQKRQKSKKQISIEQGVTKEEVQALVQGQVEASHAVT TTPAEPELPASQAVVRRQYRCSGCNVMGHRINQCPSRISS TSTA_050410 MAFLRSISNASLSSSSELSYSEFSSVESESEDPLAQLAKEVKQQ PYTTNESLQKSLERRQRALQQDQTRDQHLVFTRFFFNAETRILIAKVMPNPAHELAII SFDSLISLELRAMNVHRDVRPFGSTTTTVGNWKKEADCCWAPASTNARLSFVVEVGLL ESERQLALDARSWLETYSSSVKLVVTISIKRENPDITFHRWELVPQAYGVATRSSPLS ARHTAILKLSRTNNTTSVTGESYINSTTTTTTQLDLSFDKVVGRPPHRPLERDLVIPE QELRSFAEDIWSEQSLL TSTA_050420 MPYTVAFFGATGGCTNACLTHLLLSSDYKAIALVRTPQKLIDSL KRQPGITDAILAQKLSIVQGDATDVATVKRTLLFQGDGHANSTPKLVDAIISGIGAYP RQQTTTTLVSALREIYAEQQQQENKPVVTVISTTGLASPAGAKEDVPIGLRTLYHSLL AEPHKDKKRMEEILSDKENSALFRGVVIVRPTLLKGDGIVQSKDASSKTVRAGTEFEP VTGYTISRADVGRWIWKNMLLSEDRGEKWIGRRVSLAY TSTA_050430 MMAPRIHIKQKQSRCPACQLHILIVGAGLAGLGSAISCALAGHG VHVLEAAAEIKEIGAGIQVLPNSSRVLQYWGLEEVLTPHMTHPSVCNFNGWKGNKISH LDFHESASHYPGTWYRDFHRADLQRCLMERALELGVQITCNARIRTVRVSNDGATATA IAVDGRQWESDLVIGADGVFGKLTEELLGRSDPPVKTGDLAYRLLLSTEDMRKDPELA PFVDKPQVNYWLGPDAHAVNYVLRGGHLFNMVLLVPDDIPETGASTIEGNVEEMCALF KGWDPRIKKLLKLCQSVQKWRLCIRFGDFDWSHPSGSWVMLGDAVHATLPYLASGAGM AFEDGAVLGECLSRLPNRPNIMKTSPEFLNAKKHALSVFQQCRKERTKMVVDRGNIQQ YLYHLHDGPEQQERDRKMQMIPTPEGEALAWRDPGLAPKLLGYDHIADYLGLSSKAAE KEPPRGIPASWYRSEAMYHLERRAIFSKHWILLTHSSRFTKQGDFLSFTVANYSFFLI KDRDGSINGFHNICRHRAFPIVQTRSGSTSILSCKYHGWSYGLKGNLSKAPRFETVPE FDKSQHELLPIHVHIDNAGFIWVNLQAGEPDVKWEDEFQRIDEQPRMQDFDFAGDYTY DHYWDMELEANWKGVIENYNECYHCATSHPLISQVSDLPRYRVEPTAGYMEHHIFNKE QIDAQFKRAITYFFPTTSVTVTGKFFYIQRMIPVSATKSKIENEVYRHKNATDKEFDE INTFYRQVLEEDKELCVGAQSNLGGGVFVNGELHPNKEKGPIYFQNRVKEIVMQHRGK EEQQGEQEIWPAVPKLPGTMTEKLVEEEQFCSQVESASCMTRPELAW TSTA_050440 MSKFSLQGRTALVTGGARGCGLAFARGLAEAGANVAIFDVIDPN PAFYDIEKVCSVRTAYYKVDVSSQESLENGFAQFQKDFDNALDICVPCAGINRHLPFL EFTYKEHHDLISINVLGLYFTAQLAAKQMIANGTKHGSIVLVASMASHIAVRSQLCSA YCGTKGAVRSMCPAIAKELAEYGIRVNSVSPGYVRTEMTAAFPHLVQGWKSEAMNGRI AEPEDIMGACVFLASDASAYMTGQDIVVDGGVTRW TSTA_050450 MIAKMAVDVSTTVVVVGAGPSGLMLACNLARFGVDVRILDDRPD KTSTGKADGLQPKTIETFRQMRLADPLLRNAARVYDISFWQSTAEIPLRRTGRQTHYP DNLVGASDPYILLAHQGMVEEVLIDDMESRGVFVTRSSRFVSCSRESGTGKLNITYED MSSKTIKTIRADYLVGCDGARSKVREFIPDAQLEGETTNASWGVLDGVLDTNFPDLWS KVAVRSHVAGSILWIPRERNMTRLYVQLSETDGERVERSKATAEYVMQRARDAMYPFH LEWKTIEWFGNYVVGQRAAKHFMDSDLQIFIAGDAGHCHSALAAQGANTSMHDSFNLA WKLNLVIRGLAAPSLLATYEEERQKIAYDLINFDAEHCKAFSKDDSALTKNFDDNIRF ISGVGAEYSEGMLTRNRHTILRPLQSGALQIPGRVTRYIDANPVDIQLDIPLLGQFRI YFFVPDVPKGLGFLSVICEGFGNASAMGTLSSRARQSYAKKPPTAVPSDEFLQPLRYT SASRAFTYAMVTQSCRSEFEIIDLPKALQDSRWTLYLDNVDTPRCTDKWFGTLQREQV GIVIVRPDGYVGAIDTWGLTEGKVAGKRIEDYFAFMM TSTA_050460 MGSIDIVGPLFQPLRLGAVTLSHRVVQAPTTRMRSTKESDGVSV PNDLNVEYYTQRASPGGLMITEATPISRLAAGYPGVPGIFTPSQVAGWKKVTSAVHAK GAYIYCQLWHVGRATVPSFIEGKRALSATDVPISGKAMDGNEYATTPPRPMTVEEIQE TVKEYAAASKRAIEAGFDGVEIHAGNGYLLDQFLHDNVNNRTDDYGGSIEKRSRIVLE VLQAAAEAIGAERVGIRLSPYNYFQDTRDSNPNVHWLWLCSQIAALPAKLRPAYVHMV EPRFDEVLDEDAKMVSLAGGKPSLDVFRPTLKKAGIAFLAAGNFNSQNAGPKLLEDGA DAVAFGRLFIANPDLPRRLKEGLPLNQYDRSTFYGADPPEKGYTDYGFYSI TSTA_050470 MKNHACEYPGCEKSFTRAEHLRRHALNHEQPHNGLTCERCSVHF RRPDLLARHMMRHEKRDKEAGGPGLGVLNTRKRARRARDGTIVMRPSQREMRAARSTS APSYSDSDFVEEEGEEQHVGEAPLSPPNSGSEPTSISIDEIDPFLAPMVPGGPFEPYA EPIPGQSDAADGSFNVGLDGTIDSFGMDTATDFNLPFAATCNYNWLFDVASLDDAFHH FDFPLGFDTEPFPNALDTGYIQPIDNYDGPSALLEVASRMNKGQESQVTLSRVAPEIL KLDWMSGPSFLGPNLRPQLPQLCENSRQGILSLVMQTSPMGIDGQPLTVDSPLLTLGA LQEYCDLFFSRFNVTYPLVHQPTFNPDIVDPVFLGAILFMGATYSTREAHQLAVGVHD KLRNQLLCHQDFSPQPALWVLQTMLLIDCFGKMRAGPKQRESAQLFHCVLIKLIRRSN CCNIQDLPHLPRSDGLEQAWRQAMDAEQRKRLAMHCFMWDTQHAVLFSQSLCMSAFEI RSCLPCSAAAWEASSAQKWEDLAVGEINRPFLTVLKGYITPGSVSRPRELNAFSRTFV LHGLMSVSADLKRRDQITLRSETPERAGAWIPRMSRSYDLWKVDFDADCLAMKLAQTA DPRRFTALKMEAHALYHAACLALYVEVLDLQIVAGAMQILGRAVTPADQSRSQRNILR WLHEDFGSSTAAARHASHLLQDAVLSLHDWDQKDAFHFSWCLYLATLACWVFHRGMDF SSSEGRLSVDLSSLIVMMTNCPSTTELTALSGKYDPKPLVAAMAQQLASVRWAVVHDA MKVLVGLS TSTA_050480 MEKPPHVEENIGQGSIYSDPEAERTYRRKVDFLVLPMLCLMYFF DCMDRSNLANAKTDGLDKDLHLQGNDYSLLILLFYIPFGLFDLPWSLLIKRYSGRVML SFMSIVWGILALCQCAAKDFGSMLAIRMILGVFEAGFFAGTTFYLTLFYTRGEMGFRL AIVQSFAVLASAFSGLISFGVFQINSPSVKGWQYLFIIEGGMTLLIGIMGIFVLPHSP QTAWFLNARERESATARLLRDSSSEVATSFNLKECFQSWKDWQFPIWCIITFTYPVAY ATAMNFFPLIVQRLGYSVVKTNLWTVAPNLVGAVVLLCVAKSSDYFRERTFHIVFSLT LSLVGMVILAAIDVIQHKAVAYFACFLMASGAYIPSCLVHAWHNNNTVHENSRAANTG LFVGLGNMAGVLSAGTFRTEYAPK TSTA_050490 MTTAMAPPILDFSPFYGSDSEAKARLIDDTRKCCLYNGFFQIKG HRVPLDLQRAVMKCAKRFFDLPLEEKMQIDKNLNTFNRGYELLRSQMLEVGTGPELKE GLYIGEEISEDHPYFKQKKLNSGPNQWPQTIPDKEKFQKTTMEYYHAVFELAKDVLSL IALTLGVDSSFFEPLTEGAVATMRYLHYPAQPKDEDEKLNRGIGAHTDFGCVTLLLQD EVGGLQVLDMPTEQWLDVTPVEGAYVVNLGDLFMRMANDKYKSNIHRVINKSGRERYS IPFFFSGNPDYLCECLPNCREAGEPAKYSPITVQDMVTGAYKKSYGRAEEYKKKMEIK KLDSTPALATIEV TSTA_050500 MDEIRSDEKRSQAVQPVYSDEGVGKVIALDDGEVEQFYGSSTTQ AYRLKSELVGKCMEEIGMGKRVYRTLVYMRITAHRVRFQWKLFVVTGFGWIVDNFASQ GIGSIQPPIRQEFSDIVHVSYSSVAYYTGLILGASFWGISSDLIGRRPAFNCTLLIAS IFLCAAAGSMNFITFSALWAVIGTAAGGNVPVDSMIFLEFVPGSHQYLLTALSAWWNL SQLIVSLLSWAFLANFSCPTDATPATCSRTKNMGWRYILITLGSMSLVFTIVRIFIFK LPETPRFLLSQGRDQDAVDAVNYVARQNSKPEPLTIDMLREIDSRLGTTSGEEGGHSG LSIKEIVAENLQAFRGEHYRGLFATRKLSRHTVLIWVIWLTIGIGYPLYFNFLPSYLE TKFIENSSLYLTYRNYCITSAVGIVGPLSAAALINTRLGRRYMMGISAIVTAVFLFAY VGVNSSTSSLAFSCITSLLGNFEYAIMYAFTPESFPAPHRGTGTGTAAALLRFGGLVA SLISSQAGFTSAPIYASAAMWVAVGVLCFGLPFETHGHDAI TSTA_050510 MGKKRILVGYGVDIDAVAGWLGSYGGEDSVSDISRGLWAGHIGT TRLLKLFEKYNIKASWFIPGHSLETFPEECAQIRDAGHEIGLHGYSHENPASMTKEQQ RDILDKTYRMLWDFCGKPPRGIVAPWWETSAEMVDMLLAYGLEYDHSMSHEDCQMYWL RKGDSWTKIDYSQKAETWMKPLVRGETTGLVEIPGSWYIDDLPPMMFIKNSANSHGWV NPRDIEDIWKDHFDYFYREYDEFVFPMTIHPDVSGRPHVLLMHERIIEHINKHEGVEW VTFEEMCDEFKKTNQPPPGAILPATPGSILEKSSSFQL TSTA_050520 MSQFEPSTPATQETTTSQILNYLIGWICILPSEYYEAVKMFDEI YDSTSIVRGRDDRNDYDIGRIGNHLVVMNCPAAGTKGQIRAARIATDMRSTFPAIRFM LLVGIGGGSPSRRDVRLGDVVLGTEVVPYGSGKYTDHGLEITGHIGSPPPILQSAITR LGSKLRQGFNVEETIQSLGQNTVHRPEQDNLYSSNYMHTSRCDCLKMEPQAFGSICAR TPRNDNLVQVHEGVVGSADHVMKNVSYRDELALKFGIICYEMEAFGIMDTISCLTVRG ISDYSDGHKNDEWHSYASLSAAVCTKELLSTITERALSQCPFEVTLDEIERWVRGGVL QFNYSIHPLPEPQNEYQIAERSLDTIIDRYGLVQELIVPQLYKLVEQTDSENDQQLQD SVSSLEALQKELQECLNKLRSRVKKQAKRRDMSDSMRKRWKQLKKGIDDRMSWVNDVS KTTHQILGYTPRRLFLAVGRKGNKAIQNTGQSLQHAAHQAFERLKHLMDEYKLRFRAN KKQQSRSPPQDGGPLESPDSREHIPSYSHTDARPAKDSELTSSYPKSIRIDDPDMKHP IQMNHTSSPRSNHSSNPSDLSTATSQSPAPPPLPERPPPEPLIQRPPVQSTSPSPQVR LTKTPSQVSSRGHPPPVPRKKEILRSRALKNANSSSRSSFERPRQWAECRSIIPQQDH TLSPPRPLFKRPSTPTPGADRRSGPDLTGASAPPLLPSEETRVTSPSGSVQNLVSVFQ DKGGKSEDAIELGTEE TSTA_050530 MMKIDSATTTWEEIVSEKRLLRDQALKPYVVGDIDRRSPRTHSV DGRSRLDCDSTIQEITDISNVSTLLDLFGQGKLTAERVTLAYIKRAVVAHQITNSITE PNFDDALAQARELDHDFNKTGKLKGPLHGIPITLKDQFNIKGLDTTLGYVGRSFKPAM DDSVLVQMLKDMGAVIIAKTNLPQSIMWAETENPLWGLTTNPRNPDFTPGGSTGGEAA LLALHGSILGFGTDIGGSIRIPQAVMGLYGFKPSSPRFPYNGVAVSTEGQEHVPSSIG PMARDLESICYITRLVADSMPCNFDPRCLPLPWNEFAFREIQSRPMVIGLILDDGVVK PHPPIERALLALSNKLQAQGHEVILWDTSDHLQYIQLMDLYYSADGCEDIIRDVNAAC EPFIPHVSSLISRGNAISVYEYWQLNKRKFELQKRYLDKWNTTCSSSGRPVDVLLSPT LPHTAVSHRAIRWVGYTKIWNLLDYPAITFPVDEVRRNIDRLPDVPYCPRNENDSWNW SQYDLEIMEGHPVNLQVIGKRLEEEKVLGAAAVIENILRDGKL TSTA_050540 MCMATYLTLIRDTAFKIIIEFGSLLMGAGFLFTHRIKLEFSQPP KNPAKDFSFGRNLRQCDVVLDAGHGSRISNVHFYVTFDHHRNIILIDESTHGTAVTYS NQISREMRRNFEWILDLNREGDRLWEVEVYVPNLKGLSLNLVFSDHSTCKEEYSARVD RFLANCLSTLPPLHLIGLDSPTADASTAMTPRKQPIYLRERKTGSGALARVYLVIDVS TGKQYAQKEFHSMPQQATLREIEILK TSTA_050550 MPAVDVSSVPAISGKETANSVAVVESLIQNLSISKTPDEANTHA NNLAALLNGPIEERTVPLKAVEALKKQLANKKDANARERAVEAIRAIAEHSSVAPGVE PYLVTLLGPTLNAVGDKMTNVKNAAQVAAISLVKAINPNATKAALPSIINSILSAQKW PEKLTGLQCIEALVETAPAQLAFLVPDLIPVVSESMWDTKPEVKKAAYGTMEKVCGLI VNKDIERFIPELIKCISKPENVPETVHLLGATTFVTDVHEPTLAIMVPLLERGLAERE TAIKRKSAVIVDNMCKLVEDPQIVASFLPKLMPALNKNYETLADPEAREKTKQALDTL IRVGDVKDGKIPEVSHAGDISTVSAILKEILEPKYKAQIANADATIDYISAISGQLID EKVVEVVSWTENTTPYLAALISEADAKSVAETLRKRASPAASEEEEVNSDEEEGEDLC NCTFNLAYGAKILLNQTHLRLKRGQRYGLLGPNGSGKSTLMRAINNEQVEGFPKKNEV KTVFVEHDLDAADTEQTVIGWTQKKLESVGINLPQKEIEAKLLEFGFLQEQFENPITS LSGGWKMKLALARAVFENPDILLLDEPTNHLDVKNVAWLEQYLINSPCTSIIVSHDSK FLDNVIQHVIHYERFKLRRYRGTLSEFVKKVPSARSYYELGASEMEFKFPEPGFLEGV KTKAKAIVRVSNMSFQYPGTPKPQIRDITFQVSLGSRIAVIGPNGAGKSTLVNVLTGE LIPTSGEVYQHENIRIAYIKQHAFAHIDNHLDKTPSEYIQWRFQTGEDRETMDRANKI VTEEDEKAMDKIYKIEGTSRRVIGIHSRRKFKNSYEYECSFALGENIGMKNEKWTPMS TQDNAWIPRNEILASHAKMVAEVDQKEALASGQFRPLVRREIELHCANFGLDAELVSH SRMRGLSGGQRVKVVLAACSWQRPHLIVLDEPTNYLDRDSLGALSKAIKTFEGGVVII THSREFTENLTEEVWAVMDGRMTPSGHNWVSGQGSGPRLTEKVDDTEKFDAMGNKIEE TKKKAKLSAGELRKKRKERMARRKRGEERWLNIGKSLLIGYFMVSGV TSTA_050560 MPPIRNKNKKDLAEQKGRILLAISDLQNGRILRVAQAARIYSIP RATLQDRLNGTQQRSQVRANSHKLTQCEEELLVKWILDLDKRGLPPRHSLVREMADYL LSQRGNQQVGENWVYNLVKRRPEIESKFSRKYNYERAKCEDPKIIQEYFDRVREVISD YGILPEDIYNFDETGFAMGLCATAKPGNREWVTAIEAVNSIGWALPSYIIFKAKKYTR LG TSTA_050570 MAHKAQAVWNSFIATGLVPFNPDRSSSLQTPQNPRQFKRQMTTI KKRISRHTRSSSEAIDEVFTRASKAYEMSINKLTIAQKELHDLRAAHEKEKQKRQRSK QQVSHKQGITREEAQALVQGQIEASQAVTTALANLNSQSLIHLYDVNFAAVVVALQDI K TSTA_050580 MADIKEARTAQPAEEVSFPEENMSVGRYLATRITSLRPPMHKAP NPFKALALLNRQQWLFFLVAFWGWTWDAFDFFSVSISLTSLAKYFDRPNSEITWGITL VLMLRSVGAIIFGILSDRYGRKWPFVANNALFIVLELGTGFCKTYKQFLACRALFGIA MGGLYGNIAATALEDCPPQARGIISGMLQQGYAFGYLLVTAFARGLVGTTSHGWRPLF WFGACPPVLFIILRLCLPETQAYQSRERVRKSLAGGVAGNFISEGKVAIKNHWKLLIY LVLLMAGFNFMSHGSQDLYPTMLSAQFGFSPNAVTVTQVVANLGAMSGGTLCGWASQI FGRRFSIIVISIVGGALLYPYDFVTDKSVMAVAFFEQFCVQGAWGVIPIHLMELSPGP IRTFAVGTAYQLGNLVSSASSTIESTIGERFPLPPTPKGVKRYDYGKVICIFMGCVYA YVIIVTFFGPEKLGREFDAEHDSDLNEVAARQVFDKDLEKANVQHAE TSTA_050590 MLQWFTQLRYPNIVSKECFSAEDSFYALCEDLPLILEHLIVYRA YPTEAQLALIVKHNPKCPWRWQSLSSPALLNQGHKSIEKMDGAGGIDSEVVNHILFKG FWIAVLWRNSTNQTHGPGTKLNSERPTYKTYLSGGNLSSMTEASPEKPAILIGLDETV GMFVGVKIDKYDLIGNTSLYKGEDREEALVLKEYSKTLLAASTIYIMCLACAKIALLL FYYSLLHVIQFWKYFMHVVIGIIAVYTIAIFFSLIFACHSIGKSWDPTPQTSHMSYCV DRLGLYLANAILNTVSDIILTLIPVPIVWSLHVPVGQKLGIAAIFAIGCL TSTA_050600 MHKDYTIAWICALPVEVAAARAMLNKIHNPLPKPSTDPNAYELG KLNGHYVVITCLTYGVYGTVAAANVVSRMRATYPRLQYGLMVGIGGGVPGKDHDIRLS DVVVSKPAGEHSEVIQYDYGKAVGGGKLERTGTLNKPPHSLLSHMNQLEAKRMMGSEN DAQKIVEEVLERNPHIKEKFSPPEQLTDFLFESSYNHAAGEGTCEKCDKNQLVKRKPR ETRTPYVHYGLIASGDQVIKDSETRDRLAQQYGILCFEMEAAGLMDDLPTLVIRGICN YCDFHKQKQWQGYAALTAAAYAKALLSIIPISRPDVDLMKRKNMRHWMVSFPRNLKFV GRQEEIMKLEGLITLQDGTRRIAITGLGGVGKTQVALELAYCIRDRNKECSIFWIPCT SHAMIDQTFLRIAQKLGLHDVNPAEVKEQIKIYLSSERAGKWLLVFDNADDAEMWFAA SHTAPLLENFIPESEHGCILFTSRNRKLAMKLAPLYVISIPDMDKDIAVKILDKTLAH EDLLRDTTITASLLEQLAFLPLAITQASAYILENGIDLSMYLALLQEHEQDAVEVLSE DFRDPGRYNDIQNPVITTWLISFQQIQYQDPLAADYLSFIACIDPRNIPQSILPQPKS RKRKVDALGLLNAYSFTNAQERDIGMHRLVYIATRNWLRKNALFNHWIQRVAENLQNV FPDDHHTNRGLWRQYLPHALTLVYENEFVIQEEIYLDLTEKIADCLFSDGRYQEAEVL YRKLMTISQEKFGPQARSTLSSMANLASTYRNQGRWNEAEKLEVQVMETTMTVLGAEH PHTLTSMANLASTYRNQGRWNEAEKLEVQVMEARKTVLGAEHPDTLTSMNSLAYTWRS QEKLQDALNLTRQCFTLRSKILGPNHPHSMSSSRALNDLLAEYNALIDQTPLTEEKCG QPQREVSSRYSDAVVTTLSTCEGRVHQLYPPRRPAATLFLGNHPLIIAARTPSPASEN QNIQDVD TSTA_050610 MQRRSSDNSDGTDLSLKTRPSLRLSTDYGHGASSATTATTASNT DQVLQQSQIVSTPIVHSDPDRRFNNNSNSVVSPRSRNSSAVRTSFSSLTSTSHASPTP TPSSKPAAAMMPLATRQLSFEGSPETYQRTRHLRLRSPWSISILALSTALAGVFFLLF IAHSFVHRPVGADGCQVPVMSPTYLRMVGFDAEHTRFASKYNLYLYREEGVDHYSQEN IGLSGAPVLFLPGNAGSYKQVRSLAAEASRHFRDVISYDKDYLDSGTRSLDFFMVDFN EDLAAFHGQTILDQAEYVNEALAYILSLYHDPNRSHRDPSLPDPSSVILVGHSMGGVV ARTVLTMANYQANSVNTIITMSAPHAKPPVSFDSDIVHTYKQINDYWRGAYSQEWANN NPLWHVTLISIAGGARDTVVPSDYASLASLVPETHGFTVFTSSIPDVWVGTDHLSITW CDQFRKVVIKSLYDVVDVHRPSQTKPRAERMRIFKKWFLTGLESTAERTLTQQESNYL LTLESSTNNILPQGERLVLRELGNHQDSEVHLMPVPPQGVPGKKFTLLTDQPFDQPDG KLEVLFCTVFPMQAGRGAALFSMKMDLSRGGSGSTRLACKNAAPDRIHLPASTSSSQK AFSDSRPFSYLQYELEELAEHQFVAVVDKAQTRTNGWVIAEFSDSSGSIVPTRVGLGR LLSAGLHMKLPANRPMLTEVKIPSLHSSLLAYKLSVHSAGCSDSSPLFTPLLRQSIPE PHESKFFVNVHDVDVNLHGVAPYMPPPLREEAEYGVTFHLWSDPTCASSVELSLKVNI YHSFGELVMRYRTVFAAFPLLVVALVLRKQFKVYDDTGHFITFMESLNLCLRSSLPIV IGVLSLLASSLASKRQLPSNDDPFHWRRNSTETAVDFTKYDLLLGAQDAFFWFLVPLF GLISIGACVAINYTSLLILSVLSSLYGIFRTKTGYIRREDRNGPIFSHPSPRRRMINA SILLVLVATVIPYQFAYMVACMVQVATCVRALWHVRETRLTINASFFNYAHSILTLML WVLPINILVLVVWVHNLAVHWLTPFSSHHNVLSIMPFLILVETMTSGLMIPRISSRAK HITYILLFCLAGYSALYGVSYAYLLHHVANIFSAWLVIIYLVSGGFSVQRFIRILETD DYLNTSTKSGATNSDVSENTGKHHIKKKP TSTA_050630 MFGLDVPKITRAEFDGSDPQLQLAQRYAASQKSRSTSAVSSARV SVDDETYSISSSEKKVSSPSAAEKKQKKRLRRKRTENGNNKK TSTA_050620 MTGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISAMIYEETRGVLKSFLESVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G TSTA_050640 MPYTSPLQMSPTAPLPPHLTQTPVTPVTAEAMHTHSSPSETAPA SSSHFLSHAHPRSTLPRSSFSSQSYLRRHRRSPSYSKVSLPNAENTSTDRTSLSMTVD PHASLRQSPPPRNNAIIPSGAVISPPESTGNSSDEEASPTDVRHVDLIDAAIRTLNAQ KKNEGLEQEKKEVSSVPALTPEARKISHSRSSTEGSLPLITDMIETSSESDRDEDGDE GPKPPMVRKKSGELVRPALRSRRRPSSMPGTPTFSKNVHFDAQLEHIRHFLQLDKPLA VSANTSPVETYNEEHEYPFNRKSQPSVEWEIKLPNFPSNPAARKQQPIRLERVFFSPD HKNLVGVVSVANLAFQKHVVARFTVDYWKTVSEVTAEYNHDIRKRQAHDGYDRFDFSI KLSDITDLESKVLQVCIRYSVNGQEYWDNNDNMNYQIQFVKSEKTLNNESAQQAQPRD RARALPRSRHSPSTTARPRSMPPASFDDFGPSFDGSDRHDDGLGFRLSTVDSYDFLEA PKPREKPNRQAFGNRYDFGLSLSAARSSGATEDRTTLSAKAKSMSSRGESLATAHAHP KDSFQVSAIVSSKPHLESPTYKELVDKYCFFGSPKVEGSLNSSKSNSGSVSPDQMPRV DSGVAMNLATGNSSSVGAFNGSSDFLNSLYREHLPRSSFLSESQPAAILG TSTA_050640 MPYTSPLQMSPTAPLPPHLTQTPVTPVTAEAMHTHSSPSETAPA SSSHFLSHAHPRSTLPRSSFSSQSYLRRHRRSPSYSKVSLPNAENTSTDRTSLSMTVD PHASLRQSPPPRNNAIIPSGAVISPPESTGNSSDEEASPTDVRHVDLIDAAIRTLNAQ KKNEGLEQEKKEVSSVPALTPEARKISHSRSSTEGSLPLITDMIETSSESDRDEDGDE GPKPPMVRKKSGELVRPALRSRRRPSSMPGTPTFSKNVHFDAQLEHIRHFLQLDKPLA VSANTSPVETYNEEHEYPFNRKSQPSVEWEIKLPNFPSNPAARKQQPIRLERVFFSPD HKNLVGVVSVANLAFQKHVVARFTVDYWKTVSEVTAEYNHDIRKRQAHDGYDRFDFSI KLSDITDLESKVLQVCIRYSVNGQEYWDNNDNMNYQIQFVKSEKTLNNESAQQAQPRD RARALPRSRHSPSTTARPRSMPPASFDDFGPSFDGSDRHDDGLGFRLSTVDSYDFLEA PKPREKPNRQAFGNRYDFGLSLSAARSSGATEDRTTLSAKAKSMSSRGESLATAHAHP KDSFQVSAIVSSKPHLESPTYKELVDKYCFWKAV TSTA_050650 MPERRKVRNEAIKYERQAKAQMGLKSLTSRSNKKRGYDREKGSL SPLICGWSDTAPSSRQSSFSPSHHPTTGGKTISLEYYNRLKRSRVAEEDGDTDDEDGQ DGDEDIGSNSEEAEQKTYGGKTIKQGDASQVSQYDVKNVLWVVKEKTSLAETKSSDES DDTESSSNTDSEVENGDEKGNRHGSAEARIGIEDNSGNAMKGAKEMKKNLSQSISDTD SEVENDNEDVVSSGNAPTEDGNEDGNGDEHHDEKPDGSGNAETGKDGGKEN TSTA_050660 MSSSKPLLFGFPAHTLGLFDDYIDEEDETLHIDPVLIDLTEDTY NNGESAVKSVDNDAGDRKYDDDKVGVFAPQNTGSVSPRVLTLTQQNRRLPKHLRTGHH RTQEDIIMERESYTDLDTTPTSPGWRSSSYASVHSTPSSISSTTAIPTGGGGFIYTNS FMKSTQRKGMEHLGKLEEGKADLEVYITKCPTDVKLLVKLRAKLLHLDHFSVDYKEMK KRKNNSESVSRLA TSTA_050670 MVDEARPQMEKIRERSPKMYQCETPRKESLGVKDVEVIDYGTCF GEIASGHRDDGHEATRMCNVCALSRLHIMSCGNHGFTAISNFSSRMVGDQAAFNSLSK RKNLRGRQEQINAWRSFCINPAVFRCATIQVIDMFANDIESSSPEAQGCGLVLCQGCR TLMDEHGSDIDWIIAAISNSMEKRADADFLVHKAI TSTA_050680 MPDDDRTFYETRLDAFELYHNYEYPERPKPNVDWKAAALRGPAL PALGHAVAGAAGSAVSNVATYPLKLIVTRLQIQRLAARKKQPRSSDKADRQTGYSSIQ DAAQKIYSNEGGIRGFFTGVGDDTWKTIADSFLFFLAYTILRQRRLNSKVTNNGKKRA VLPILDELVIGVLAGAFSKLWTTPLANIVTRKQTAALENQAKPLSTKQVTAQIKAEKG LIGFWSGYSESLVLTLNPSITFFLNELLKYLLLPRQKRQTPSATVTFLLAAISKAIAS AITYPVSLAKTQVQADSSSSQNQKSPKAFAFSLFTTLQTIAAQEGINALYDGLFGEVI KGFLSHGITMLTKEIVHSSIVHTYYTLLILMKKYPSPEELIVRARLQAEEYAEVAREG AKEVAETVKDGVSAVTSPNASIDMSSNGPPEPEWSPYEDTNELAEIVGEYVEDDAVEW RSFYHWFWSRIKG TSTA_050690 MEWHPASFFRTDTIPKNPVAILILNQPINEKALDAVRRHACYTL LADGGANRYYDLMKSRSIENIDLPSCILGDLDSIHPHVRTHYETHKVPILHDPDQYST DFTKCIRFLRAHAHAIVSQENPTVGMGDNHDLYMTHVSSSAPTTTPKGEKTHQLDILV LGGLGGRVDQGFSQVHHLYVDYEEKKKSKQLGQGDLYLLSEESLSFILSPGKNIIHTP FTHCPNLPESEETKGKEVFSENVGIIPLSGSTVISLRGFEWDVTDWRTEIGGQLSTSN HVRSDKLGIDVDVDANRAVLFTIELGERFKRVISS TSTA_050700 MSSTGPYLRALRKTELAELAEISDLKDYADLKKAELETALDNHL RANSSIFSGEKRLADYYKRLSQPPRLSSPVKKEPKSESSGISVGEEKRSSRSRRKAST EADESESEKTPSSTAVATRTPAPARSPLSFASLPPSPAVVTDAIDRQTTIVREKVSDA WTKSGISERSDALRATLSSVKSIETIFALAELYGLLRELIPLRYLTTVPAVETISIPE ISVKIPDLFVLITGTFWAPFLLWGLTSLALPLTFAYFFNLSFHAQSSTHSHNTRRASS AAQQAPSFDPLVYNIVKALISYFVYANHFTFWSTFSHFSIEKVNVAIPGQWPGVLTGS GIGILISLYDAVLKK TSTA_050710 MLAGGIGGSSGDMLMHSLDTVKTRQQGDPHMPPRYGSTSASYLK IFREEGFRRGLYSGVSPALMGSFPGTVIFFGTYEWSKRHMIDAGINPTISYLSAGFIA DLAASVVYVPSEVLKTRLQLQGRYNNPYFKSGYNYRGTVDAFRTIVRTEGFGAMFSGY KATIFRDLPFSALQFAFYEQEQEWAKNWVGSRDIGLTLEILTATTAGGMAGVLTCPLD VVKTRIQTQQNPDASPQKSSQASVSSKKDSRPHGPSQHTSSSSSSPSSSSSKTHSRPI STSSPSTSTPQPGAPILRTSSVFTGLRLIYKTEGFAGWFRGVGPRAVWTSVQSGTMLV MYQYLLKKLESLQESETAPII TSTA_050720 MAPPPPATLPLSERIKALAQTLQFGWFVGHLTLLLSVFRYGLSY VFFNYYSRWAKISYRLAFISAAATYGIVVYKQYIARGKLSGSPLQIAVKLLSDENVQY LGMALVWLYSRQIPLALLPFSVYSVFHVATYTRTYLLPTLQPSPQGATGPASPGGSRP AAKQSPLAESISKFVKQYYDSSMYLVASLELGLLFRLVLSALTFSKGSWVLLVIYLAF FRARYSQSSFVQSAVSRFTARVDTLVSHQNTPPQVRQGWEAFKGVTFQIYEASDVKRY LGGGPAAAGKKPQ TSTA_050730 MAAAAVNGSATAPGEKPTSPIPSASSKAASPYVERSNPMGGAST AQTVSSKDPKAVAQAATDMRNVVRRKLTGYVGFANLPNQWHRKSVRKGFNFNVMVVGE SGLGKSTLINTLFNTSLYPPKERKGPSHDIIPKTVSIQGISADIEENGVRLRLTVVDT PGFGDFVNNDDSWRPIVENIEQRFDAYLEAENKVNRMNIVDNRVHACVYFIQPTGHSL KPLDIEVMRRLHTKVNLIPVIAKADTLTDEEIALFKQRILADIQYHSIQIFEGPRYEL DDEETIAENQEIMSKVPFAVVGANAEVTTPDGRKVRGRRYPWGVIEVDNEEHCDFVKL RQMLIRTHMEELKEHTNNVLYENYRSDKLTQMGVAQDPSVFKEVNPAVKQEEERALHE QKLAKMEAEMKMVFEQKVREKESKLKQSEDELYARHREMKDQLERQRQDLEEKKARLE SGRPLEKESKRKGFSLR TSTA_050740 MFRQSATRRATLLLRSSTKPSTTTTNSITNRIVAQRRFASTEGG NAFVPNPPSQKRRSWRNRLVRLGLAGGVIYFYNTSIVFAEEPTLSLRPQPLDSEDAPT LSTLGEKVGLKSHTEPTPNSTDVQVQQQQEEGGLEGLENQAHNEGAFNPETGEINWDC PCLGGMAYGPCGQEFREAFSCFVYSQEEPKGMDCIEKFKGMQDCFRLHPEVYGSELQE DEVDEQLAEQIAQRDREEQAIKAQKEQQQPQTQRDVGLADPAVSTLNEAEKHAETTEV RKSTEAAHAASQKEEELVPRECHESDGETKTEK TSTA_050750 MDQERKRDLRDLNERAWAGESDILEVSKSLDSSLKKNTAFIKRL RTGITASALATFLADIRTLSLHKYLSEIISACYEGLCKLKSPGEIAAGVEIVSALHQR FGPHEFTKQIGWLLGRGLSSPEKSQLKALSQDVREREEKERLSRHRVLLKVVTELWLV GVLRTLDDIERPDDLGAKNKDASSSAGGKSVDGPSRVRSAAKGDPEKESDPYPLEVLK DLLGHDRDHANLPLAVLFVKSFSWDVLGVKAAEEGRKNVDAEGTTTAVPNGGNFPGEI NGDGTTEAPLASEKLQMRFKNILTRYLEDVKAHVVRDQKALSAQSRRNAEAYVKSGEI FEDRQANFEKQTKAHERLVANTQAMCEILGVEMPSLVDKEAADASISGGIGLVKTAEY LRGQGDGTGIWEDEDERRFYENLADLKGKVPAVLLEDGKKKKGDTDEQAKGQETTEKT DTDVSGPDAKPATEADNESVAVVNKTVGAQVDALLVKLPDLQTKDQVDQLALDFCFLN SKASRNRLIKALQDIPKGRTDLLPLYSRLVATLGQHMPDVPQGLITYLDEEFRSLQRR KQKEFLGHVRMINIRYLAELTKFGVVPEHVIFHCLKVCMDDFSRTNIEIMGNLLENCG RYLLRNPETSPRMSSFLETVGRKKAATHLGQQERMLIENAMYYVDPPERPAIQQKERT PMELYIRKLLYLDMNKRNYTKILKSLRKLHWEESEVVDILERVFSRPHKVKYGNIHLL AILASALYRYHQEFVIGVVDNVLESITLGLELNDFKFNQKRVAEVKYLGELYNYKMID SPVIFDALYRIVAFGHENGTPSPSKINILDMPDDFFRVRLVCTILDTCGVCFDRGSAK KKLDFFLTFFQYYIFTKDPLPMDVDFLVQDTYSLVRPQWKIASDIEEAGRLFSEAIAQ NYKIQDGDKGAEVEDDDVESISSDANGEEGLDDDVVPEIEDGQSSGEEAEPFSQNVDL DGEGVDTDSEDEKIFVARQEEERDPEAEAEFDRAFEKMMAESMEGRRFERKALFDIPL PMRPSRREPTASDETATETAQQPSNTMAFSLMTKKGNRQQTRTIELPSDSSFAIAMKT QQQADREEQQRIKNLVLSYDPGDTEQPEEKRPSRDNRMDKSNRPGFRSRKLQLSDVDW T TSTA_050760 MESSPPRATMNGGGPAAGVKRPASLLPAFEPLSSSPALPRPQKR MARDAGDYPTPMPTSSTHIMSSSPPHMSMAQPKLQRSVFPSSTTERAPLSTVPTLMLP ESGESLLMGRSSASCHHQLSANRLISRVHVKATYKAAGNPFDRDRVEIVCTGWNGIKL HCQGKTYDLAKGKTFTSDIKDADIMIDVHDARVLVQWPRMERKDSSSTEPGLAWDANS LQQNKSRHDVQQSPIKEPPRLVSPTSPSPLARNRIPLSSPLLSPSRLHSTISIYEDEP LSPTPANGREAKTLTASHMSTFDDSLNDSHNSALNQLSETFEDYSDHDEENDPIVHSF GPFGENLLPRMAQFRAGGSPTRVARPRTQPEPLRSVHSLQQPAAVKREEAQEKRKEKE EEAEQKPKIDERRAAAIAAIQNHAANQLAFSRLSSTPLSTILQNLPASLWKAEANAAE SFTHHEVRVILEDTKCIGEVIREGKDAAGKPLESEFYYIPDFDEDEMRRQAVVNDLRK PGLRNCRKQHKQYYWRKPK TSTA_050770 MKLSATIAIAAAGTLAAAQGHRHAHHHAHKRDTVATSTVDEVVT VYQLDGTPVPVADVCQGIKNGEYKWADGNAPSGACATSTSTSPTVKAAEFIQTSTSVS TSTSSSSIVTTSAVPTTSATPTTSSTSTTPTTTPATSTTPSATPAPTSGSGGATGVDA PFPDGELDCDTFPSQYGAVPLDYHNIGGWSGIQYPTFNSLATLVENIVTAVQGQSCTE GAFCSYQCPEGYLKSQWPSTQGATGQSVGGIRCSNGKLVRTNTNYDTLCIPGVPALSV KNTLSEMVCLCRTDYPGTESETLPSCVNPGQTIQLANPDGNSYYEWEGKSTSAQYYIN PAGFDETQACIWGSPDPNPIGNFAPMNLGLGYKNGVTFASIFPNKPTTSEILQYNVRY DGVSTECAWDSNAKAYKGGASADGGCTVSIPEGSSATLVLY TSTA_050780 MVAATEVLTTKVASGTPYQLDNKQVLRASSALLRHIKSEQEEKE ETSTKKTLIGDDDESDGEGSPATNEIVWLVMTTKKHVVDKNRLKPSKISIPHSLNKSS SLNICLITADPQRSVKDIVADPAFPSDLSARITKVIGLTKLKDRYKSFESRRQLLSEH DVFLADDRIIMRLVETLGKIFYQSSKRPIPIRIEQIEKADGKRVKKDPKAKPSKEERK ASFASPQVVAKEIEKALNCAPVYLAPSTTTSVRVGSSKFTPEQLAENVKAVVNGLTEK FISKGWRNVKAIHIKGATTMALPIWLADELWLDETDVLEKEEDEKKAFDNDKSGNKRR NPGDDDSSRGQGKKTKKIKAATDEDDISLKEKLQKRKAQALEEGKAPVKSTKKK TSTA_050790 MISVARPSVLRAARSQPHLSRPIFDVRLSALTRLLSTLAVLEQR DGKLSSASLSAIAAAQKLGGSVTGFVAGDGVKSSAAVEAAKIKGLEKVVAVENAAYEK GLPENYAPLLVDNIKSGGYTHVIAGHSAFGKGLLPRVAALLDVQQISDITAIENEDTF VRPIYAGNAILTVQSEDPIKVITVRGTAFQGVETEGGSAEIVDGVDPKAESITEWVSE DLAKSDRPDLATASRVVSGGRGLKSKEEFDRVMIPLADALGAAIGASRAAVDSGYADN SLQVGQTGKNVAPQLYLAAGISGAIQHLAGMKDSKVIAAINKDPEAPIFQVADVGLVG DLFEKVPELTEKLKK TSTA_050800 MPFSFALGTALYKNEGVNATTGRTRDGLMRDAIDNLLSNTARGM TNWLRSFFASPFLGQNEISEVYIVVRWPYLIFLGDTGHLVHYIPHLDRPRFESA TSTA_050810 MVQLPAATTFSNWPGNSPDLNTIEPTWIWLKRRTTVRGAPREKK TAREAWLKAWNDLPQNQIQDWIEHLIRHVQEVIRLEGGNEYCEGRNEKDKRRNWKGYQ LKGKLSRRQDLGDEEWEDIM TSTA_050820 MKGTYHPVSVRAQAVALMAHGIDINEVMADTGMSRTAILFWVKK AKERGFNPNINRHVLWMTKDCAGREKSVEFLGYEAGLTDEMKKKRLDFYLKLQDWGLE KLKDIISTGIVLGHRRGSQKVWRTFADVSNPTVIRRHWKGVTEFMVWACFTYDKEGPI YIWKTETAQDKKLADIEIAKLNEELEPIKKTEWELNTGLTRVQLRSGKTPGRVPQWRW NKKNRKLVRESKGGIDWWRYQKVELFKYLADSITY TSTA_050830 MALLISSFPGLSSAATGVALHHLLFRQGEWDSSAPTLLSSYVVI FAGLNVLKLGAPEFQNLNTYYVLACHLLGLFSSIIVYRMLFHRLRKFPGPVLAGITSW YANCLSAKKLHKFEEIGRLHRQYGDYVRVGPRELSIANPRALPIIYGHASQTTKGPFY DGAKPYISVHSTRDKRDHARRRKMWDRAFSSKSLRDYEQRVSRYAAQLLSVIADNVGK PIDMSRWFNYYSFDVIGDLIFGKSFDMLITGKDAYMLKTLHKDMQSMGPFLHSMWILE IFKLIPGLNSSLLVYFEWVKEQIENRMKNEPENPDIFTQLEADFRNTKQSKKDQLHFH GEVNVGLVAGSDSTASTLTNLFYELANAPEFTCLLQAEIDSVKERTYQELSQMQLLNA AIDETMRLHPAIPSGMQRLTSQKGVMIDDVYIPGNCLVQIPLYSIFRDERSFAQPNEF IPQRWTDRPDLVKDPSAYAPFGLGPFACAGRQLAYMEIRRITTELLSRYDISLAKDQT REAFYEGQRDSFTVVCGKLQLEFTERGTVKV TSTA_050840 MQMTGAYSIPRKIDEARLSLVTSPPELPVKVPSQERKDSSAAGY VLTSVDQIVNWARQNSLWALSFVLACCTIEMMQVSMPQYDQDRLGIIFSASPRQADVM VVAGTVTSKMAPALRQCYDQMSEPRWLITMGSCANGGGYYHYIYSVVRGVDRIIPVDV YIPGCSPTPEAFLYGTFQLQNKIRTTKVTRMWYWR TSTA_050850 MDGNTTNVPSDIAYAWSIGNIPPEITLATLLDSRDGPNKIGIYV VFVLAFLFLFIRCYSRIFIVRKFGLDDWLACLTFILYIPLVPLCVLLINNGNGRRSAY VTYVVSLDDERMNHGELLDIIIHFLYIIALFTCRLSGLAFYSRLSDAHAKLYMSIRIC TIVFAVFFLAQFFLLLFHCIPVTGKWPYSWQSDYHVYKCVSWGAVYITISCLSFACDV VMFIIPSMLIHLLHVPMRRKLELGCVMFPGVLVLIISGARIVLVCLGQWSSDNTWYYD PQLAIEMAEIGATLIALSVPALKPLFGVYILTRIRSNPSDNGSDRPSQPIHLKKISNF TLRALGYAPNLEAVILTTARLVYRPSPAELRGSATHPAARSRVSRQSTGNTGQPALCA MEPLDNQQGFRYVNEYPIDNHAGPMLIHAKQNTFCGICGMCITLEDEQAVSFAVNPYT TTGPDAVQHPDAGNEEFEELQQGEDESLDCTAANERKFGVMPRPGWTGMYRVMVSQTR YRRGEDEPNYYISGVGRYHLWDSDPKARKMYNKCTYKAKEPIFTVPRDKDDSLIDRRH FYNKELTQKEKKSTTQTPLVKRVNVYPCHYDDAENWRLFPHGFPIHDNCWKMAVNVIG AENLENELKYFIWALQDRWTNPNTLKESLNITDWIVNERGYRGTTYSAHRTEYDVYQI LVSMHDPIHVDEVEEIIAESARRYTAMKEKPESGLFTALDESVVNVLDELHHSEVESF LNSTEIAVPSSYWKRRAPRDIIWELDSIDENVTPLDWQYLCLRAERLCEESLGIINRS RICNILRDARYHMCKSLGRDFSEDERADSDGSSTPTLTANAGGSTEKETVDVSEWEDA FMEDENATEK TSTA_050860 MSVIQAPVAYKERNGAIPRRKSCKACAKAKRRCDLLLPVCSRCS QRRLDCEYPMLEASNLSYSWDCLSDFNINPSIATTLFQQPPPPLPIIPSSYENVYKVD ENSLSPPLNVNPLFSGRMSRLQFTLSVLQNAHRTMVLENQTPWCHPLLYKNGMPRVMQ DAYACCSLYMNRNATNSKTILSIIENRAAELLASDQPDNAWEYLARLQALILYQTIRI FDDDASALVAADLTMPALRAILTHFVANVPLEDTLLNSENLPINCLINEPTSDTKDHW HSWIFEESTRRTMFLSHLLISIWEILQYLNQQQIIASTTTPYTGISRKKPTCDGRLGL SNCWWYWYLSAHLWEAPTRYDFALAYAEKSRFLIKDLDFTEFLALGQPDDVDMLGKMA LSAWMGNEALQEWFFVRGGVLTMPVSSM TSTA_050870 MTASTFFVTGATGCQGGAVARQLLSHGHMVHAITRSPDSPASQT LKTLGVKLFTGNLDNEAALQSGMQDCVGLFLVIPPADHNTTIKYTTTILSAAKATGTI NNVVVSTTMGTDRPDRLAAWNPETAMEQLVRTAGFKYYTILRPGNFMANFIGPKIRVV NPDLAITGVYRTSYTRDTLLPMTDEADTAKFCAAAFVCPERFSGRAIAVASELLTVEE IMEGLSRVSGKSISAYYLSDQEIDEAAAKNKPESWHKNLRDMDKLVDMEEVKAWGIGL NTFMDFLQRQCDIVKDTFSQVAYS TSTA_050880 MRPLTYSSGEERKQEGETEAGFSNMAPPTYAGMSGKRLSLSIST IGTMGFLLFGYDQGVMSGIISDKAFNDIFTATRDDSTMQALVTAVYELGCLAGAMFAL FRGDVIGRRRMIIIGASVMILGVIIQVTAFPGHVPLAQFMIGRIITGIGNGMNTSTIP TYQAECSRTTNRGLLICIEGGTIAIGTLIAYWIDYGAHFGPPDLVWRFPIAFQIFFGI IIIVGMYYLPDSPRYLITRNQISDGEYVLAALIGREVHDHETQIQKQLVLDSVRASGA MGEDVRYRDLLTGGPTQHSRRMLIGASSQIFQQLSGCNAVIYYLPVLLQQSLGQSTNQ ALLIGGINMICYAVFATFSWFFIEKIGRRKLFLGGTFGQLTAMIITFGCLIPDDKSVA NGAVFGLFLYMCFFGATWLPLPWLYPAEISPIKTRAKANAVSTCCNWLFNFTVVMITP VMVSSIHWGTYLFFAAMNAAFIPFIWLFYPETAGRSLEEIDIIFAKGYTEKKSYVTAA RELPKLTDDEVDAQAAEFGLARSSDEETEKHIEERRSSEGAPGAVNPMIYP TSTA_050890 MSPKGDRQSIKKLRKSDISASPPRLARPSRLMRFISSSSVLYRH RLGTSITKAEGFPAFRQAFRTALSPPKQQKQHLVRMSSTDTNTGYRQAKDWNATQYLK FEQERTRPVHDLLAQIPISSPRRVVDLGCGPGNSTEVLAARWPNAQVSGMDSSPDMIE KARGRLPDTKFELGDLNTWAPTDNKEKVDVFFSNAVFQWVPSEKRLPLIARFIEMQAP GGVFAFQVPDNFLEPSHAMMRETASDADKPWFPVLQNRQPALARFQSTQEIYDALIPL CRSLNIWHTHYYHILESHEAVVEWVKGTGLRPFIDPLSEEDKKGFVAAYLERLKKVYS VSVDGRVLYKFPRLFVVAVRK TSTA_050900 MAKPKVCLAYSGGLDTSVILKWLIEQGYDVVAFMADVGQNEDFA AAEKKALAIGAVKFVVEDLKREFVEELCFRAIQCNAIFEDQYLLGTSLARPVIARAQM RVAQQNECVAVSHGCTGKGNDQVRFELAFYAIQPTIKVIAPWRLPEFFERFAGRNDLL DYSAKVGIPVTSTKAKPWSMDENLAHCSYEAGILEDPNITPPADMWKLTDSPLNAPNT PEEFSLSFEKGIPTKLVTPSGETVTGSLDIFIALNAIGRKHGIGRVDIVENRFIGIKS RGCYDSPAMTILRAAHVDLEGLVLDREVRSLRDQFVTFTWSKLLYNGLYFSPEREFIE KSVIASQDTVNGTVRLCAYKGSVSILGRFSETEKLYSAEESSMDTLTDFSPIDTTGFI GISKIRLAKYGQAKAEAGESLSRA TSTA_050910 MTRFLKHLAKASISLPLTSKTTFPVRSLILRPQRTDLSPQLVSL PTYGIRAMASDSDYMAFLDKANRQRDAGSNEAHTESSTLPSKQVRTETVESGVKVPGP LKKVDAFYVSETDEPFEPVALSWKGASSGKWPENDEFASLIASGSKSDIANSIETLSE KSFDPRNQYSSVIKAVRAAVAASDSTINESDVEVKIYRVEVGHSRVEYWIIALDGAEE KIVGLRAKAIES TSTA_050920 MVNEADILKAISDLKAQKKPQYAKIARKYNVDRTTLMRRYKGQT PLDVGIFSSLASAYSYGIDQVIQSSFGFLRVTKQVFWRLFRAAWQSALSFQNIRSAFA SPAIRRTIRAIQQEGDLTQATKLVMKAAQKLIIQNEILEHQYKGLVNALVNEKNRQRR GRPLGLIDKENPGEAQFFSPSKKDQDKINAVILHTQKVLERERRDRENQEKQESRIQG SEEVFFKETENRGDLFGPRN TSTA_050930 MFERSFTQNMGMKDIKVRPATVEDATSISQIHYEALGKFHKFYS GFLANNPREIIPITTATALKDPKSAFLVAVDTATDRIVGFIRYWIVKEEKNEAEMTAK KSQLDRSQPEFTNLFAPKEHVKKLYEEFSVRDDEMDACYEEVAKGQRHYYIKHLMIDP AQQRRGIGQKLLSAVLAKSDAENLPTFLTSSTEAHPLYVKLGFVDIGPEFRIDNEAWA SRIMELEREIGVDERMRLKDECVGLYEVENCMVRWASR TSTA_050940 MRTTLRHLCQRFNPNKPTMSKLITVFGATGNQGGSVIRSVLADP VLSKEYKLRGITRDISKESAKKLSSQGVEMVTADMSSPSSLQDAIKGSHTVFLVTNFW DTMSKDTEVAQGKAVADACKETGVKHLIFSSLRSISEVTGGKLPNVLHFEGKAEVEQY IRDSGIPATFVLAGLFMTGLSGSMIQKRDDIWTLALPVNPEKAKIPVFDVEEDTGKFV KAVITHFPDTIGKRILMATDYLTPKQVTDELAETFGVKAAAVQIPEETFKSFLPGPIA QEMLENMLVLEKEGYFGGEGLDQSHALLEDGVTSWKDHILRRSSTFT TSTA_050950 MATPEPTSPPRATSAAALEPSDSRQNSARLNRDERIRVLTLRDA GFTYLQISQQLQISYRQVQYTCQSQQATPKKARGNPPKLSEAEVDHIIEWITSSKRTR QLNLSIGKHALARALKKRGYTQCKALNKPPLSDKHRQVRLAWALEHLNWTTEQWNRIL WSDETWVTSGFHTRIWVTRKAGEELEETCIRSSPARKRGWMFWATFHGNNKGPCLFWE REWGTINSERYCQRVIPIIDGYIRLLRDDIWLQFMQDGAPGHASKETLEELHSRGIYP IYWPAFSPDLNPIEAVWNWMKDWIQEQYPDDEQLSYDRLREVVRAAWDALPEQFLKEL IDSMHARCQAVIDARGGHTKY TSTA_050960 MGEVPPVSHRKYEAPRHGSLAYLPRKRAARHRGKVKSFPKDDPK KPVHLTASMGYKAGMTTIVRDLDRPGAKMHKKEVVEAVTVIETPPLVAVGVVGYIETP RGLRSLTTVWAEHLSDELKRRFYKNWYKSKKKAFTRYAKKHTESSAASVTRELERIKK YCTVVRVLAHTQIRKTPLKQKKAHLMEIQVNGGSVADKVDFAHGLFEKTIDIDTIFEQ NEVIDVIAVTKGHGFNGVTSRWGTKKLPRKTHKGLRKVACIGAWHPNHVQWTVARAGQ MGYHHRTSVNHKIYRIGKGSDEGNASTEYDVSKKTITPLGGFVRYGEVKNDFVIVKGS VPGVKKRVMTLRKTLYPQVSRKATEKIDLKWIDTSSEFGHGAYQTPAEKRAFMGTLKK DLVTTV TSTA_050970 MSTSMKSLMILFLAAMATARPLSRREVPQEHSHQKFIATVAASL AANNPDNIGDPVFGLLGNKAAAQGAGSIKDLDCLQQAIADQAFTNAKAAGDVNGMTAA LVYRTLERNTGSVGLASVKCTSVKAKNPEIAALQQHQDPASSGAAATNKQIALELAKQ IASIGGDPSTAIQSGTFAPGQIGDTTGKGKTCDDQNDPTGCIFTQNLLVPDVTQDEIN AAVGSAGGSAAAAPSASAAVAASSSAGIAAAPTATAASSSNQASTGTCSSSTGASDSA TGANAATNNNASTSTTPSTSSLDLGSCKNASPFIKFGAGFDGRKENSFEPADKATFNH GSALNIGVVANFICDRLNDPCKASQTTLDTCKSAASAASKLSGQAAADAFNKALS TSTA_050980 MDSRYDFRVLIVGGGTSGLALAHGLQQGSIRYTLFERDPEEVYW NKSRDWGMQLHWGKEYLFSVIPNHIQSRLREALVDPHYDAYGPFPHINGNTGEVIAEV HMPGIVRVSREKLRKLLGWETELNMMFEKKLVSIAHGEDGLITASFSDGSEETGNLLV GCDGSRSKVREHLVGEELGKPTDIGMTMINHAAGGYTAEQALLLRKYHPIGKIAYHPD YYGNFLLAALDCSNRENPEEWKFQIQHAWWGPPYVDDLKDQKTRLEFYKTRCSKMCEP FRTAGVALPKNEILPIDPSQQWAPIEWDNRHGAVTLAGDAAHSMLPHRGQGLNNAMQD VAELFRAIKQVVSGQLSLETAITSYETAMRPRGAKDVELSLESAKKMHLSHLKESPFL KIGFHKQDTWGEIIKEN TSTA_050990 MSIPPDFAPGIADPIEITISNINRLEDITPELIHSVRCGIARPL AIPRFPVTLDEYLEWEARQSQENLQGFDFDPRQERFVLRPRLMLPARGGMRGIVLWLR TALEHLGDNLKGWSLVQNKPYMLTGNYEGIVKRPQTALIKANKSWPSVVVYAETNEAE TEVLNNVKQWLYGSNGEVQLVIVIITQEPDIPPLEGSWLEGLDFRLWHNPYQLAEHIY NIEKNKERPTIVGQITSTVWLLARKNCHEDAERLPSSPFYTFKCDLSQALYQGSASNT FTGVPYVDTHHYFHLENVAVPFPFHTYNDSIKRSVMQSIQDRAKTIAIEVWNDRQFVI WQEKLIKAGFGPQDLWETPEDRQYMLECMFLRF TSTA_051000 MMTLTIESNTVANSSGHFLDLYSYTCRERTFMRNIDIFAIEHPT LITAKMRPFSIFALLLGVISLVQAQVSGLEIKSPKEGDRIDIQQGLPISWIVDDTDNL FANVTIYLFLGYNFYLELTRGESVPIIDAGYMFQYTPDKGFIAADTNYIIRLRNGPDS DHVTGKFEIFNTSSFVTSVPVSAPKPTATRKAPSKSPSASASASVTVVSTPIPIRHHT PTASSAAAGLTMDGIPTGAMGLVLLEFLLFMA TSTA_051010 MFSTTKLQRTALLLTSFIAVLSPSLSAVALAKPTCYYPDGSIAQ NDMPCGNGTDVACCGAGGICLSNGLCMDVGQPNTLARSSCTDKSWNSRNCPNYCLQDT SFNHSGCSIILFSYLEGTAEYCCNNMDAINNTPICAFNYSTFQVPDSSIVPGFALLSD YTTISNASSSTSSGSNNNHVVAVGVGVGVGVGVPLALIALASIGWALRERRKSNRYGV ETVASAKTSPYSDAGHQQQSYNMTPMNPNTYVQPQQTYNNQYNSAPLSEMETRGPVEL DSRRK TSTA_051020 MKGVTLVAAACPVILGTGVAAYNLQWDVNSSNFLDYFVFDTETD PTKGFVNYVDQSTASNDGLYKTNNNQIHLGVDSTTMLDSSATGRNSVRVYSQDTFSSG ILITDFAHLPVSVCGIWPAYWTINNQANPYGEIDILEAYDDVAGAYVSLHTGNTCTLS NSGFTGTDVRTDCTLSNGGGCGVQSTSNQFGAGFNAAGGGVWALSLEDSLQLWVFTRD EIPADITSGNPDPSSWDTPLFKFDSNNGCDVASNFIDQTVIFNLDFCGDNGAGGQEWS DWTDCASSTGQSTCNAYVAANPSAYSETYFSINSIKLYQ TSTA_051030 MYDINKIRRKEYPGLNKTCYLDYGGATPYAKSLIDISAKLWKSD LLGNPHSKSASSLRSTEYVNQARQHVLDFFRADPDDFDIVFVANATAAIKLVANCFQE KGFWYGYHTDAHTSLVGVRELADKGYHCFSSDKSLDEWIESPHLHEDDDFYLPNDLIR KDNTNRPVLDSGFIKLIGYPAQSNMNGHRTPKKWAKRIRQKGHANREGLYTLLDAAAF CSSAQLDLSDPDAAPDFVSVSFYKIFGMPDLGALIVRRKSSEILLSRQYFGGGTVDMV TAFDHFHAKKIHHVHEVLEDGTLPFHNLVMLDTGIVLHHRLFHSMDEISNHASHLALQ LYTDLSRLKHANGKSVCKIYKDENSIYGDSDSQGPTVAFTVRKSNGAWVHYDYFEALA SACNIQIRTGGVCNPGGIAEHLELASWELRRNYCEGYRCGEPFRVRGGKPSGIIRASL GAMSNRRDVETLVAFVKHFFVDYTRPQGYEKFTGRFPEKEQQPWFVKKLQIFPIQHFP SCSLPISSSWELNYSRLALDGEWCLVDLKSNKVRKECGIASGLMVEINSELTLLRLAR SASSQVSSMELDLWELPTGNWVTDSLEQTFQGAYRLARTFTSKTLEEFFTSAFGQPTT LARFHEFKGELPVDVCVEKVEHRRPSGGIVVQFSGDVPLSNVHMVLGRTPSVVKSNED LPQQLQFGSQLLFHSPATKLEEYNMYRCNIARYCRAPDADEDLVSQNTTINPIDLVLE SHSSLTKDRQVDNRDYHFCPVVGCHEKNVDYFMLLHHLRGHASSFMKSRRRRCWFRRK TSTA_051040 MADKFIFVNAPTIVNAGPRDARRHLRSQLMRRVYLKKYKVSPPS AEEDGVGTRNKDLSDSPEQCHCSPPSVSTRPSSPDDGRRKKNKAGKKKRAVKSRIPPE SKLPTPPIDDDELDVCETCGGISHESQQDPSDTLTEVVSAKDIITDRQRGDGDPKMNI GASVFDPFEQNFGKKDCPNSNVLIQHFFQVLIPALRNGREWSPKIVSEYIQLTPDPLI FHVLCLSSAVHRDKLMLWSGADSDRHQREMEKSHYRYTALRELRRAVSTYKIGTPAFD AILVSVCLLSVSDPMGELPSSITERDYNPFHHVLQPLGGLNIYGYQPVHRVHWKGLLA LLDQHGGFDKIQLYGAKWKIAYTALKYALHTGTKPVFPMCNHLGESLIELEPLDILGL TPSDLPPLTSSGFTSLDMFSIRDSIQQVFVEISQVAQSMNMLVPQWDDKAVRDLMADA RNLVQYRFLNLPTLSDDPSLIADLSLLEGSKQATAYARSIMEVASSVYGMCWLVTYLF TTHVTFPVPSSRRFRVKIVFQIRDAISNCGYALQHNRWVLKLQLWCVVIAGIAAEDVD ADLRQWMALKARDLYTELGLQGWEEVLDVMTSFAWMEVACAHGARKFWAQVQSYDD TSTA_051050 MERKHLDVAVAETRVVAENSHPSDRNSVLEQPNINDQDKPPLPF RRRLKHIVWDTLDYTPAERRFVSKIDFFILTWAGFSYFSKNLNSNNLSNAYVSGMKEE INVVGNQYQTFITMWTIGYVISQIPSQVICTRVRPSIWCPSWELLWVIVTFASSSVKT PHQLYACRFFVGLAEGTFYPAVHTVLGAWYTKREIAKRACIFFGSAFVGSMFSGYLQA ALYTGMDGVGGLSGWRWLFIFDGVITFPMAVWGYIALPDLPTNTRVFWLKPHEKELAV RRIKEAGKAVGEPVTWAGIKRVLGKWHFWVYTAYYTFFICSENIGSYMNLWLKSLHKY SVAEINTYPTVTNAITIVTSLAYGWTSDYIKLRSPIVFFSLCVCFFAAVNLAVWDGVP FGLKWASYYLTGFAQGSGPVFLTMVNEVCAGDSLERIIILGSTNSIAYAFNAWIPLLS YNTTYAPRFLVGNSITVALIICAAMTLALAVYLERRDNARKYDVGEEDATSSPIVASQ SEEWNAGKSGREGLAGAFAKEIGEIRFSEC TSTA_051060 MVSNSASSVLKPYTPPPQTTENLSWADLEGLDISLLDKPGGKEV LAGQVLRFINTNGFFYVTNHGLTDEQINRQYAIADALFELPLEEKMKYLSNTAAGDFR GYKPRATGELSSRDNDERYNIPKFTKEHEREHPQLIKDYYEEIKEFSLHIHDKILLPL LRLFAYVLEVDDEALVQRHRYEENGLEYLRYMKYHPRSAEEDAKVGNIWAKGHTDYNT LTFLFHQPVAGLQVLQNPDDNNSWRYVKSEPGAIIVNIADALEYLSGGFLKSTVHRVV RPPVDQAEKPRLSLIYFARPEAAVRMEPLQSPLFQRLGIQVPEHYLKALEGVTAEEWA RARIAKDHRFRTGIVNEPEREILAGVPQKYYD TSTA_051070 MHQNYAIIRNEKSNSSVLTFSTAAMAVNSTPSQTNAADLSNFVS VQHSDVYPAIDPRSNKLLQPFTAVILGASGAVGSGLVRSYAQAGATGLVLAARRLDVV GSVAYEAKTINPTLQTLVLKCDVSLASDIAAVADATRAQFGATVGAVVVNAGYSGPIV SDIAQERIEDFQNAFNINTLSAAYAAQSFIPILNESAASTSFKGAFITISSMSAPTVI GPVAHVHYCASKFAQTRVIEMLYEQQQVANGGEDGIFFASVHPGGIKSDFAKQARVPQ SMLPFLTESPDLAGAFIVWLTRNQDRIRGLSGRFLSAKWDVDELLAKMDEIVDRDLLR ARFAV TSTA_051080 MAGQRFPTIVSVFAIVTFMGIITSLFFRRGISTVSEMTLTNGTK VPLAPAICVSHGGGPMPILGEPSHKNMVKSWENRVRKLLKVGTPEAPKAIILVTAHWS TQRPTISSGAKHELYYDYYNFPPETYKIKYDAPGSPSIAKEIYTRLQKAGLSPKLDET RGWDHGVFIPMKLIVPDESIPIVQMSVLESEDPEEHYRIGQVLSELRKENIAILGSGF ATFHNMRLFPRGSNFPPDLASKLREWSGVLNEAVLTEDANERLAKLKRWREFPHAYTM HPQHGAEHFLPLIVTAGAGGSSKGALYGDEFRGTDMFSFYWE TSTA_051090 MPRKVDRFVIQSAVFLGVLLLILYINRPQSAANKLLAWTEIRYK STTNEQPDSRGACPSLAGSKKPALVVARVAADGDASWIDPLSKKYHPCIYTVDSPVDH ASKYLQVPANRGHEAIVYLTFIIDNYYHIPEAGVVFVHGSRWAWHNDEPTYDNAALLK ALDVHASLETWGYHNLRCDWSAMISPWDARAVSDFALPAAFETLFGKGQSNSKLGKHD TVRSQCCAQFIVGRKNILQHSLQEYIALRQWLLDTSHDAAPSDDRVAGRILSYLWHIL FIKKEEIEENGAISLDKLNSAACPRAADCYCRLYGICNLDNCNDGHCYGRYEIPPDFR IPDDWAATHS TSTA_051100 MASQDAHIALWQRFLNTNVNTEFVFVQFMGYNANILMRAIPVAR FAELIKKNQTLSLTRAIFYLLPHDYLAEGGDPLGIFYLKPDLSTLHPHPENSGRTYVI ADAVQEDGVTAIPECPRSRLKHLNEFMVQETGCYPVVGFEVEVVFMKRVKDADGQVTA YQLVNKDHSFQSLTTDDEQYLTMMEIIAKTLLAAEIEIEQFHAEAAPGQWEFVLPPSR PVEAVDTLIRARGIISRVAEMHQLRATLFPRPSESHAGNGAHVHISCNANGSSPVPLT NMGHQTKESFFAGILEHLPAILAFNLPQQESYARVQSGIWSGGEYAAWGWENKEVPLR RIESNHFELKLMDGFANPYLALCAILAAGIDGMKRGINLTAGPCPKGANLLSPEEREQ LGIRTLLPKSLTESLDSLVSDTTICESVGQAIIQPYVAIKRGEIEQVKTWTGVERRNY LISRYYRYQLGQNLEIPQSGNTDKVAE TSTA_051110 MSAPELREWLQGDLSKASGWHKSDSSDTETIGHESGRKIVSILE HNPDKDPSQYDSDDLQHMRRVVAYCKRHLAQEETAKRNTNSKSYKSLKNWGHDPLKT TSTA_051120 MTGAVGFENERISSELSDTVVQKETKRKWTSYVWDTLDKSPEER RLLFKLDTALLTFASLGYFIKYLDQINLNNAFVSGMQEDLGLYGNQLNYMTTCWTVGY VIGQIPSNMALTRIPPRYWIPTAEVIWSVLTMGLSRASSARQIYVMRFFIGLAESTFY PGMQYIIGSWYRKDELAKRSCIFHTSSGLGSMISGYLMAAVYHLGGRGGFKGWQWLFI IDGVISLPVALVGFFILPDVPEISNPWYLTKKEVAIAQRRMELEGREPRQPYTKAKFK KIFSSWHIYFLTVLYISFNNCNGGQPVLAQWLKHSKDPKYTIPQINAYATTPYAVQVI TTLIYAWTSDTIFKGRRWPPILFGAISNIVCGASLLAWDIPRGWKWFCYIYSGAGYGL SGLCMAWAHEICTEDNEERALTVGSMNEMAYVFQAWLPLIVWQQVDAPKYHKGWITMI TMSCILITTTFIVRFLHKREK TSTA_051130 MTGPLNQIDRVAVIGAGISGVVTAAHLLNAGLEVTVFERNKEVG GVWLFDDRQPVESIYPSIRPSEAEKTGDYEEIQETDRIVLEHAPPGPCYVGLRNNVST PLMRVTLNAWPDKTPDFVSHRVMNEYIIETSRKSGVHAVTLFGAKVTNIEKVNEKLKW RVSWTELEAGDEVGKVKEQNKDDLFDAVVVASGHYHAPRIPDIPGLADIKRLWPSRVF HSKGYRRPDSYAGKNVLLIGGGVSSTDIARELGPVAKSIYQSTRNGPFDLGEKMLPEN GTRVAEIASFDFASGRSIEEPLTAESHLPVKVQLKSTDQGTTIDDVDYVIVCTGYHFT LPFLRRLHEDDTAPTDASDTVLVTDGTQLHNLHKDIFYIPDPTLAFIGVPFYTATFTL FEFQAIALAEVFAGIARLPSGSNMREEYRAKVDQKGLGRNFHSLRGEEEGYVEELLDW INFFRDKVRLPPIAGYTETWHEAKEIQRQRIEELFGITR TSTA_051140 MAPAPISPSITDFVVQTKQDNHGLEIPPATIARLTKAGIDLSKG YPYNPAKPLYLDDVYKIRNQPREYVEAGSRADPDKKALLGAAKEVIHLTRHIGTEIVG LQLKDLTNQQRDELALLIAERSVVFFRDQDLSPQQQKELGEHFGEVEVHPQVGQVPGV PGVTVLWPALQAVEREPNFRKTGGASAWHTDLVHENQPAGITHLHNDTIPSVGGDTLW ASGYSAYEKLSPSFRKFIDGKTAIYRSAHTYLDRKNPEAGPQYIEREHPLVRTHPATG WRALWVNRAMTVRIVGLDKAESDLILGYLYDVYERNPDIQVRFKWSPRTSALWDNRIT IHNASWDYEGLEPRHGTRVTSLAEKPFFDPKSPTRRQALGLLGPSEIKELQEQA TSTA_051150 MKQEFPNDCSRTTPGEKSWSILLYDRYPTTSTPLITSFAGSLAR AGKVKAATPKVDKQEKPKQPKGRARKRLVYTRRFVNVTLTGGKRKMNPNPGS TSTA_051160 MVLHCHLLYNPLIAAGLKYKSDQDHNLSSGALIVDNIGLSPLLL GAAGILHEARYARHAIRNHKVEWLVVLQYHMLVSAGLALIAVSISNLVKPDSSGSGLG LLKGGFAVLFISWVILFLATVFSMQRPASFNQLANDESFRNGALLLNAALLSLPMTLL RAVYGAINLFGSGKSVDTAAFSSNIPADVCMSLIPPMLATFAFVGVGLITPRS TSTA_051170 MDLTPVKPDNYFDLGSYHRPVTTDSESAQIWFNRGLIWTFGFNH EEAVTCFKNAIRDDPKCAIAYWGLAYAIGPNYNKPWDTFDGKDLHTSLREAHRAAIHA KNVAVDSVTSAERALIDAITHRYPTETIENIENERNERIFAGWNLGYAESMGIAYRNH TDDLDIAALYADALMNLTPWKLWDLKTGQPNPGSRAPEVKRVLEHAISLDGDLQHPGI LHMYIHLMEMSTTPERALVIADHLRGLVPDAGHLNHMPSHLDILCGDYRQAVQSNTRA IQADEKYFATTGSLRFYTVYRVHDFHFRLYAAMFAGQSRVALDTVEELERSIPEQLLR VESPPMADWLEGFMAMRVHALIRFGRWEEILTLKLPIDQTLYTVTTTMLHYAKGVANA ALGNVHDAERERELFKQSLMHVQPSRMLFNNSCLHILQVADAMLNGEIEYRKNNFDQA FAHLRDAIKLDQELPYDEPWGWMQPPRHAYGALLLEQGHFEEALDVYTTDLGFNDVLP RAMQHPNNVWSLHGLVECLITLERDDEARLLKKQLDLAVAVADNATEDFNLISARI TSTA_051180 MTVMGEQSSPSSVSSGCGKTLTLHSGTYTTTVNGQQRQYTLTLP QGYNPSEPYKLIFGYHWLGGTMQDVVSGSYYGIQPLAGNSAVFVAPQGLNNGWGNSGG EDITFTDQMLSTLEDALCIDKTQVYSMGWSYGGAMSYALACARPNVFRAVAVMSGANL SGCSPGTQPVAYYAQHGVSDSVLPFTLGEQIRDTFVKDNACTATNPPAPAAGSGTHIK TEYSGCSSGHPVWWVAFDGPHEPLATDAGASSSWTPGQIWSFFSQFSLFKYASEIFKE VLMGVERMENLGILAIWSLNTLYL TSTA_051190 MQSLLLIVGVLLFSCVDAINIYVLPEGGNDTSPLQYGLMFEDIN HAGDGGLYAELIRNRAFQGSTVYPANLDGYEPVNGAVLSLKNLSNPLSSSMPSSLNVA KGVQNGIIGFANTGWWGIEVKPHNYAGSFYVKGDYDGDFSVSLQSITTGDVLATARVK ARCGVHDWTEHRYNLRPTRQASDTNNTLSITFDTKDLKDESLDFNLISLFPPTWNNRP NGLRIDLVEALSELDAKFLRFPGGSDIEGLLSPYWYKWNETVGPLKDRMSRPSAWGYE ESNGIGLIEYMNWCDDLGLEPILAVWDGHYLSGEVINEADLQPYIEDTLNQLEFLMGS VETTYGSWRASLGYPKPWKINYVEIGNEDNLYGGLDTYIAYRFKAYFDAITAKYPDIT VMESLTGMPSPQRAASDYHQYTTPDGFVSQFSYFDQFPIDNRTLNGEVATVYPNNPSN SVAWGSPFPLYPWWIGSVAEAVFLIGEERNSPKIIGASYAPTFRNINSWAWSPTLIAF DADSSHTSLSTSWHVIKLLSRNRIAHNLPTTSSEGGIGPIYWVAGQGDKPESYLFKAA VYNSTGDMSVAVTFSGSRAKSATLTVLSSQDPNASNYPGGPEVVQTNVSSVVAKSEGV FQFTLPNLSVAVLTTE TSTA_051200 MEVYINQIQSSSGAYEESCTQCELLDGAATLQCYCTGTFANESG NSTLNLEEYIANYDGHLLSSLEGTPSVPSDSSLAVPSNVVLSLNAFVGTGTSCPSNEG AYLNFVGPEPCWGLYVSPEPVVWSSFRATSNPGWSISVYNVSTCTGTPIVTFDQDSVN DCIAVGQDGGIYLSIMPLWNWD TSTA_051210 MARKGPGTDGPLQTALLESTSAATTRASEGQKIFRPIAVFLDKH RSQTTGLAPHLLRALTALSDDLASVAQQHFNAYISGISTTSILPALSPSPSSSPTLNP LLPSPPPSRPPSGLNQSTYATITQYAPVKSTPTTHPKASIKKPMPLSTISTKLK TSTA_051220 MPQQAAAEQVKPRKLSQPCQRCDLNLLHNRWQPSLQRSPTTFVE PFINWLDLQGLVLISDIDCPTHERGNVLDLSFALSPLALAGAKASIASHLDATSDHQP LITTVPWDQRYKEIAQKLRFDTLDHTSFLSLLASNLADIESSAATEEDLDALAEKLTS AIQGAYRGSAKRTITQGIE TSTA_051230 MSKWHKSTGIFRSPPLKDPLRPNSLPAVTIHEKRDVLVRNLLQN SAEAGDIPLDSPAVPSTSLYFPDISMLQVEESVLQAGNTAPGADKIPTCILKVAWPLI KDKVLMLYQGPIALLSVLGKGLERLVAQNIAWISIHYKVLARQQFGALPLRSATDLTT CLTHDVEQALNQGMTASLLTLDVKGAFDSVLPGRLIRRLREQGWPTNLVLWIASFATG RSVQIRLDGEIGPSTDIACGLPQGSPVSGILFMLYIAPLFRLGNPRNRFGYADNAANL AISTSLATNCEALSDSL TSTA_051240 MAFQRTIPSSDIFLRSSLSYGHGKEVFDVEVEAALAGAQAAIAY PTAQFTTNLWICLDNLEVAICLLSPSTGSSQEIFESFRTLAAVWPLRKRLPHTKSGSI QIRWVPGHAKIPENEAADLAAKEGAASIPPAPYKSSYASLKRYAKTQSLSAAQSQWQK VAP TSTA_051250 MTTIRNTIKKEQERINQRSKTRSGAPAKLTEEDKQKLIDLTTQN PHIKYEELRNAVDNKVTIRTIQNMFQQIHKRKWKQPWALRYETYTPREWQRILWSDEC TVERGKGGQLIWTWHSLSEQLQEHDIREIRTGMSIKKM TSTA_051260 MPSRRQHLKSRHGCLTCKSRKVKRPVCSHCSRRKERCRYTEDAP NLSHNRLSHAYRRNAMYSSEGHLPAPLFTSLRNLEQILLHHFSSSVSLTLSDRSDFQE VWSHHVPRDSYDYPHLMHSILAVSALHLSQTANPENLADIRFYAALATNHHVTALSLL TPHVTGVTINNFDAMYATAMLVFLYALMTLSDSSCLSQHIVALSELAKGILAVRREGE ERCEIKKSYLLRDYCAWDHPPPLPDGLHRTVRNIEHLVASLPETKEKTENKTEYQQAI RILRCTLNAVNLNREHPAMVFMWLTLVNRRYIELVESKDTMALMILGHYGICMLQVKD KWWSAKCGAYLVSAVHRILDN TSTA_051260 MHQTYPIIDSHMHTVEMLCTRPKAIYQLLYSPVYGISNRFCCII SHLADSYDYPHLMHSILAVSALHLSQTANPENLADIRFYAALATNHHVTALSLLTPHV TGVTINNFDAMYATAMLVFLYALMTLSDSSCLSQHIVALSELAKGILAVRREGEERCE IKKSYLLRDYCAWDHPPPLPDGLHRTVRNIEHLVASLPETKEKTENKTEYQQAIRILR CTLNAVNLNREHPAMVFMWLTLVNRRYIELVESKDTMALMILGHYGICMLQVKDKWWS AKCGAYLVSAVHRILDN TSTA_051260 MYSSEGHLPAPLFTSLRNLEQILLHHFSSSVSLTLSDRSDFQEV WSHHVPRDSYDYPHLMHSILAVSALHLSQTANPENLADIRFYAALATNHHVTALSLLT PHVTGVTINNFDAMYATAMLVFLYALMTLSDSSCLSQHIVALSELAKGILAVRREGEE RCEIKKSYLLRDYCAWDHPPPLPDGLHRTVRNIEHLVASLPETKEKTENKTEYQQAIR ILRCTLNAVNLNREHPAMVFMWLTLVNRRYIELVESKDTMALMILGHYGICMLQVKDK WWSAKCGAYLVSAVHRILDN TSTA_051270 MKYLALTGFLAAHAAALRNVMYVDQWHISSLPSSDLVSSVTHAI MAFAPSENFNSGSTFTPFESIDTFRARFPSTTKIMVAIGGWGDNAGFSTAAVSETSRS TYAKNVAAMLESTGLDGVDLDWEYPGGNGADYKTVPNSQRAAEVNTLPLLVRAIRDAI GDDKILSIATPGLKRDMIGYTAENGPTVFGAVDMVNIMSYDLMNRRDNVTKHHTSIKD SLETVNNYLDIGMNATKGNLGIAFYAKYFTTDPSSDCATNPVGCATVELEDANGQDTG KSGAVTFETSPEVSSSSITSSWSKAKANGITDEDAGGQYYWDSDAQLFWTWDTPDLIT QKFADIIAATGLGGVMAWSLGEDSLDWSELKAINSGVAAAP TSTA_051280 MPNLEQFVNFDPEKDIPNLDGKVIFITGGTSGLGRVSVTSLAKH NPAHIYFTGRNHQAAEKLIHEVQIEKPSVRLTFVKMDMTSLSSVKTACKEFIHDRLDV LMCNAGVMFIPAGVSSDGFELHFAINHLAHAMIIQELLPLMKKTASLPGSDVRVLCLT STAWMSHPKNGITFSTLRTPQKGFMGSSFRYGQSKLANIIYAAELARQCANTNIKFIS VHPGAVNTHLTMSIPWHLRLLTTIVLWFLGVTFMEEAQGRLSQLWAAAGCTKDELVNG GFYMPVGRLSNDRLDKTALSPELALELWVYTQNILSKF TSTA_051290 MLPAEARIRDDDWTGTTDPVERRRRQNRLHQRAWRRRKKLEHKS ESQEKATTNTPVPSRVDKSLLQKVVLNHISGHQRMPITLHQLRNWQAFGAILNEALGD PEATFAVWAELRAWRKWELIYGLSPSDLCHSLAFHPPPSLDTRSSEIESVSSPSNVDS LLFLSEKYFLHGSEFDIDFPMSLDHKLFVLIQHNTLRGILTNMAILIRLSGRDFEVWD DFYTEDLSSPPENSPPCLQFTYLQRTTPHESWIDVVPSATMRDNIIRYQDRIDADDLC SDFLGGFFEGENNVYKRGMILWGDPWRSNAWELSENFIGKWWFLLQGCADMLMSTNTW RAARGEKKLAIKL TSTA_051300 MLFSFKFCIAGALVGLLATAQLLDASCKSWEAPSGAKAIYILNN GDSNSVAAIPIAHNGTLFGGVTRATGGNGGSTVKATGGFNGPDALGSQSSLTVVDSVS KTDPTDLKLVNKPIAVPGQFPVTVAASSKNSLVCVGTTGAQAGVSCASYTPGGLGSMS PLTVFSLNQTTPPSRPLNGVAHTFFSDDEDRLITTVKGDPTTNNTGFVSVLPVENFYS YPGAHDTRSSLNGTAVLFGAAVIPGTSTFLATDASFGAAILQVNSFTNEVLISSKQTI PGQKATCWAAYSKQRGSVFVTDPLVNRLVELSALDSQVITTIDLTNGDSGLIDLKVSG RYVYALSPGNGTTPAAVTVVDSFVGKQIQHFLVGGLGASKSSQGVAVLE TSTA_051310 MKKTLTFISGHWSAKDNDSEHISSSRSQSAYSKRREQVRKAQRS RVYSSHRERKAHYHSTLESEVLRLRTNEASFLKKISDLEAQLYSLQEVIKINGIELPT VEKYGRHATELDTNAALMLPIVGDPNVENTVHDIPAPRQRSKNANSTTDITQTLSSPS PKLGQPAEAGSAMDKTLLAHGMEFVLTLEKPCLGHINVDLNNSSEPSGHVLTASMPLL STHHYPHSFNDGSPSLEASRTIFERLTTLSSELVHDEEFSPIQLWNYIMDQPLAYKLD ITQLRVLAESLVHHVRCYGFGAVLEKEVCVKMVARILTSN TSTA_051320 ASDLLFLQIFSPIGKSALIVNIYNAPAGSIRAGEAAKALTILPE AYFPQAMILAGNLNLLHNRWQPSLHRSPTPSAEPFINWLDLQGLVLISDIDCPTHERG NMLDLSFASSPLALAGAKTSIASHLDAISDHQPLITT TSTA_051330 MVLVSTGLVKALPQATASRPTPIVSGATSAATTRASEGQKIFSP IAAFLDKHRSQTTGLAPHLLRALTALSDDLASVAQRHFSAYISGLEQSTYATITQYAL VKSTPITHSKAHVKKSMPLVKQPLPDNRLFVHLPANHAARKMEVYAIYFSLWSQLNSN SSALKEVQAIKTGFALCPSSLEAFLTLEAQKEIISAFFVNCQIERSS TSTA_051340 MTASLLTLDVKGAFDSVLPGRLIRRLREQGWPTNLVLWIASFAT GRSVQIRLDGEIGPSTDITCGLPQGSPVSGILFMLYIAPLFRLGNPRNRFGYADDAAN LAISTSLTTNCEALSDSLQEALNWGAAEGITFAPDKYELLHFSRHKADQDPTRTPSLT FKWYVRETASKALTVANALRFLGNTVRGVKPDLLQQAVSACVLHKAYYGAETWWPGRT RPGPSQILNRVREYFEKLTKVILTGARAVLPVFRTTPKPVLYRESGFSPPEIELDRIA LLATVRLWRLDPYHPLRRCAEQIASNGRQISRFARRTLALPNSEQINPLQYAPWYPRE PRGNAQARIGAPMGRTKEQAAANFMAFQRTIPSSDIFLRSSLSYGHGKEVFDAEAEAA LAGAQAVIALPYTKSGSIQIRWVPGHAKIPENEAADLAAKEGAASIPPDPHKSSYASL KRYAKTQSLSAAQSQWEKVAPQSYQDLEITTSPKRPGELQLNRLDLGHVIAACTDHGD FADYYERFNHDDAYLLYQCGARKAPLHFFFCHIAKRRAPRPPGPPSEVISFLLGTAKG AQKLASWLAETHFYEDICPRQPLLST TSTA_051350 DIFDMSKWHKSTGIFRSPPLKDPLRPNSLPAVTVHEKRDILVRN LLQNSAEAGDIPLDSPTVPSTSLYFPDISMLQVEESVLQAGNTAPGADEIPTCILKVA WPLIKDK TSTA_051360 MLKIASTRAELPGVQPLTRLNAPGNTMACKGPGTDGPLQTALLE STSAATTRASEGQKIFSPIAAFLDKHRSQTTGLAPHLLRALTALSDDLASVAQQHFNA YISGISTTSILPALSPSPSFSPILNPLPPSPPPSRPPSGLNQSTYATITQYAPVKLTP TTHSKSPVKKPMPLVKQPLPDNRLFVRLLADHAARKMEAYAIYSSLRSQLNSNSAVLK EVQATKTGFTLCPSSPEALLALEAQKETISAFFVNCQIERSSRWVSYRVTNVPRKIGQ ILDGRYSLIPINPTLLSLEISETTGLKPISISETATSAANPNTLSSSWFVNFPEGTKS PLPI TSTA_051370 MSAAGNFVRRLLSIFQRQVIKYPSNMLQQRAQRRRKAIPNEWKA ALRAQHRINHHLTHQNLRKWFEDTYNQPIDRATVTRILSSKYAFIDELQEYQLKDKRR RFEQWPELEKAVMDWIRLAETEAPISQEAIRYKAQQYWGHLYPHDPTPSFSNGWLFGF QTRNNIKSRKHHGEAASLSIDAADQMIKIRQLLASYPPQDIFNCDESGLYWKLFPDRG LSTRSLPGRKKDKARISILFACNSDGSERIPLWVIGNAKKPRAFTHAHIEPHNLGIHW RSNGKAWMTSDIFKEWLYAFDAQMAGRHVVLLMDNFSAHEAAVKEVTADLKHTVVIWL PPNLTTKYQPLDQGIIRAWKALWKRQWVRYIINEFDRGIDPLSTMTILRAVRWAVNIW EDQVTSTTITNCFKKALHDETEEEFESALLIHNLENSLQDLKLTNRVQDVMDINQFLN PPDEQVNDTVMDIDNIVLSQFELPQTDELEEDVVEEPIPLITSQEALQGLYTLRLFEE QRDKADTSFIRVLTRYENLLTKEKVATQRQTDIRRFFR TSTA_051380 MSDLVGRKATCMTAVIIFTAFSGGCGATQTMTQLIVCRAFQGIG GSGIYSISMVMIYELVPPPKYPLYTASAIALVALGNAIGPIFGGLITENTTWRWVFLL NIPIGVLSGGLLLFTVPRDFPYQGNNEPRQRPRLENVDFLGALLMLSAVALIVSGFEQ AASLLSWRTAKALGPLCASAVAWIAFFASQYWHDNRLQNPIQPVFPWRFCQNRVILGL IISSFMFGAVSITFVFQLPIRYQNAVDLSPLQAGLRLLPFSLTGPVGSIIAASLSKYL RIPPIYLMICGSILQILGIIFASRAPTGKLDWNGLYGLEVVVGLGFGFCLGAATLLTP FVLEKRDLAVGTAATVQFRFFGGAVVVSIVTAVGNSWIKNSLLGSLEPQEIMSIFRST DFIRTLPENLQATVREDFAESFNLQMRVVLGFAVAGTLTTLLMWQKSQVRVH TSTA_051390 MEFSEWEVQRRDIDKIFEWMKQTVYYSYLKTYVHVTHSWKEAYN NLKMQVGQGSREIQFLEAIRPLDEAWVTSFEHLIDSKIDDKSLTYKDLLNGF TSTA_051400 MSTGGVKPRGSLVQEATTKEGPRGALDGVGTVRSRGLRAEFGDR PRGSPNLRDSMVGLREAHGRHILEDGPRGSLEKNGVGFREAPVKTVAAALTTQRGELN PWRFAFLVGTQHRQYEVNTVKFDHLFEEAERDHLKSYDPSGSWTTVPISKAKGKQILN FKCKARFVVRGDQEKRDDTRDTYAATLAARSFRIFIAIAARFNLELKQYDAVNAFVNA ILDEEIFMRMAPRYHHHSYDDIVITYKTSHQLEADSVMNQLCAKYKISGGGDLEWFLE LLLYEGMTTYKISTQPDVAFAISRLSQFLLNPGPKHHQAADKVLCYLECHRAYALRLE GGEDYSVSTDASFADNTLDHKSSQAYVMTLFGGTIGWQANKQDMVTTSTTEAKLLALA QGVKEGKYVLQLLLELEIYFRTPTLYIFYDNQQTLGLLEKDAPRLQVQKGDIQVHYMP TKDMIANGLTKALSKQEHQKFLNQIGVEDINSYLTPQQKDMENLDIEELLSLNDVPDN L TSTA_051410 MESITIARPFTGIYLWPHFSKAFEFIAGYPADNFEFVVGQTPLS TFKETFLFVVFYYVIIFGGRELMRDRTPFQLSSLFLAHNFCLAAISGVLLALYIEAIV PTLIRKGVLFAICDIDGGWTQHLVVLYYLTLLTKYLEFLDTVFLILKKRPLTFLHCYH HGATAVLCYTQLIGHTAVSWVVITLNLVVHIVMYWYYFQSTRSIRVWWKEWVTRLQVI QFMIDLGFVYFASYTYFASTYFPWMPHMGSCAGEEFAALIGIGVLTSYLVLFIGFYFA TYRKGVRPRSSRGTLRRMSQAEAITSSGVEATKLAKGNLSMRAREM TSTA_051420 MHMRAVRVAWRVTLQRFYDPQEGPTVRVMARSTCQSQQATPKKA YCNPSKLSEAEVDYIIEWITSLKHMRCMPYYKVIEELNLSVGKNALA TSTA_051430 MDENKGTVILKSLNEWRRWLEQLRTKATKERVWDYVNPSPLRTH EVEPAPEKPVKPPFPDSIMPNQNKDPEVEKLALMRFQMELQLYEQHYQRYKDEKARYE KHQERLDAELQEEFALQPEQEHELINERYRDLLTPKRGMKPKDWISKWENLLLDMQLT DFNEIPEKRMSRDFIRSSAFIAPKFAESWTTTLIELDTGLEVLHRKIGLDSVPGIRDM IKIFEQWVKAQRNVMDPTRRDASFAMLGGKSDQPEKEEEQKGTQQSNQQTNHQSRSQQ KGQSRNRERTCLCGAKHNFEDCPYVNEGKRSKDWKEDEDITRKFKDVERSNTSLAKAL KAVKGKLKLTNSTNKKESDDGKKDNEPERSNFVYDEDEVQISIGPCFERSNMAIQVQT IATATDSDKDLKDAVILDNGTTTNIFNDLRRLRNMGNEERICLVGNGSVKMYGPGETI IYLTNPISRQAKKGILVKEAWYVPGMHTNIISQGMAEEYGLFFNGLTRRLVTKKQDIC GLKKEGRLYLIEWDENRKPRSSLGNDLALSSFERKVLKDPGNVWYKRLGHISEQAVEK LQEATEGALVTSPRALGRNEEGFKEKFPNTPEQNGPSERAGGLITTRTRSAIQEANLP TGLWPYVMQAMVYIINRTPTKAIGYKTPYEMAYGKKLTLEICTCLDQRQGPRGTKVIR ARDVVFDETKKYNPEHPFAREIIRNGVTKITESLDIPNLEDFNEERVVESVDEYMNLQ QSSSMKFPLEIPVLASGNSQTVTTQQHIPESMEINDQPVNEPTQSVVIHSDSHLIPSP SASSSTESMQGHQMSTSKELLLENRISEQNQDDKMEIDDVHGQQDNQLVRFDDTKNEV TLYGDESQFGESGRVTGEDSGEEEAQQDEGAERENMALTAGTTTSPSGQIPQIGNEQT PLLQLTNEESRNPDVTLPELLLSTTPQQRSAPKASEIGADLSEGNIVTGPRRRIPSKR ARSPEIATSKAERKRHRAFFARIKLLQESSAYKVFLAAAEKLDGYEPLHEDIPPEPRN WIGVKRHRFARQFEEAGRTEMESLKRKGTFEVVDRPEGKQILPLTWVFKYKSDKFRKI AKFKARICVRGDLQKGMDLETRAATLAARIFRMMMALAAVFDLEIVQLDAVNAFVNSD LDEEVYVYFLDGFRIPGKVIRLRKALYGLRQSPRLWQKELTGTLLELGFSQIPDEECL FVKDGVFLLFFVDDILIFYDRKNRQSLFEEIVKKLTSKYEIRQMEKFEWFLNIRIVRD RKQRKIWICQDLYITKIAKKFGLTQNNTKTPISIDLQPSESEAMNEDIHLYQELVGSA MYAAVMTRPDVTKPVNELAKFTTNPSKDHIRQIKRVIEYLYNTQFLAIEFSPPENSDS DVAICASDASFGDNADRTSSEAYIFSLYGGPVDWRATKQRLVTTSTTEAELRAATEAA MKLYVWKRVFKAIGFKTDRELSIQCDNKQTVLLLTREDPHFRTNLQHIDIYHYWLRQE VRCGRLHIEWVPTKEMIADGLTKVLKGQQFLDWRKHQGLTDIAHLVQE TSTA_051440 MRFLCLHGWGTNSQFVEGHLASEMFPELREVTSSTDEFFSYADI EDMDSCLRALELLDSYVTAEGPFDGVLAFSQGAIIAASYLARRRQLGQLSKKSALPFK CAIFFSAPAVYRIENGQLRAMTRDVDGEMINIPTAHIWGSNDTTINGQAISDLCASDT REIYVHEGGHEVPGARMNSAVKSSVQIIRRVISMASYEQ TSTA_051450 MTSLLSDSLSNSLEPSPQTLWELMATGKCVASQFPSDRISATRY HVVDDSRPGTIRPQKACFLERDISAFDAKLFGMTPDEAAGTDPQQRIILETTYRALEN AGIPMQKANGSDTSVHTGCFTADYTLASAKDLENAPRYAGTGMAASMLSNRISTFFNL RGPSMTIDTACSSSLVALDMACQDLRHQRTSMGIVAGCNLLLGPDFFITLSSLGFVSP DGVSHAFDNRANGYGRGEGFGVLILKTVEDAIKDGDTIRAIIRVTKTNQNGRTSLAQP SKEMQASLIQETYRDAELKTSLTRFFEAHGTGTAIGDPLEAMAIGSTFGPGRDSDDPV IVGAVKANIGHLEGAAGIAGIIKTILVLERGLIPPIAELQDLNDKIDAEFLKLKFPRA LTPWPREGLRRASINSFGFGGTNAHVVLDDALHYLKGRGLVANHCTNATPLEHKVIEQ KHLPKPDMETKALATMKGPRLFVWSGHEKSSVTQMVELYRVYLKKLQSQNIDGLDTYH DMLAYTLAQRRSLHNWRSFAVADSVCQLVERLVTPRLPSQSRTNPKSGFVFTGQGAQW AGMGKELIVFPAFRNSILAADKFLASIGSVWKPSDFFFDDDEIRARINEPRFSQILCT ILQIALVELLRTFHIHPTVVAGHSSGEIAAAYAVGGISRQSAWKIAYFRGLFCSALAE SSGTTPHGAMMAVGLSESSVQPYIDEILQDSPGRGVLVAACMNSPKNTTVSGDKCLIE QLQAKLLADAIFARVLKVPVAYHSPHMHRIAAPYREVIGKLDRGETPPVFATMVSSVT GDIIPISELVKSEYWVRNLVSPVQFSAALGRIFQDSAKKVQKKFDLSHRSVASVSDLI EIGPHSAMEGPIREIKEATASPAKDGISYTATLDRGRAATETILEAAGKLHCVGFDVN LGNVNAPFLERDPFDHAHTYWHESRISKNIRLNPQPYNAFLGLPVPDWNPLEPRWRNI VRLSSMPWLEDHKVNGEILFPAAGMMVMAMEAIKQISTEQTITGFEFREIGILNALMI SQDDEGVEVLFHLKPSFDSISKTNSWATFSLYAYRGENFIEVSRGSIKALSASQIQSD MEHAQNSHVHELLSSAKSSCLTETSSADLYADLEKNGYQYGPAFQGIESARRDNCGQA LGRVSTNKFLHADTSLDANIPDIHPCTLDSILQVCIPAVAWGNNNRTATWVPTFIKKG WLARSGFKASEQNDMLYVQSSTTSRGTRLAEADLNVVDTSGSNLLGQAEGIEITLVAD EDQVHGGNSSSTVRRLCWDMIYKPDPTLLGTEQLSQYAIESLKPETGPTELIQALKLY ILASISRAINEVAGSDIPTHHMHLQKQYAWSQTMIDTANRQPPSDISYTWHDYIEDTQ YNNLCDHVKEIGGRLGDIYVHFGSHLTDMLRGRVDALQVLVPDNRLKDYYELSNNLGQ FFNPMQRYVDAMAHKNPGMKILEVGAGTGATTRFMLDTLASRTPNGVYSRFSRYDFTD VTGSFIESAENEFGSIPKMNFGLFDVEEDPVGQGYEEGSYDLIVAANVVHATKCLRKT LSNIRKLLRDDGKLILIEITATTTYPLTQYLFGFLPGWWLSTEPWRQDGPCVTLERWS EELEASGFNKYHLALHDFEVEDNQVTSLLISSVKPPVNNSTGKYAAVIDKTALVITGW EEPSLESFLSELVKSRMEEMGMRSVRPSSFREVGGLVDLEDKLVLIVQDRAWLSLEHL DPREYYLFNTTLARARNILWVSEASPPTAAHVSPIGPVTGIARALRSEKQNILFGTVT LCTSSSPTVLSLNVERAVQNFLQGTSSQTYERELVQVGDYLCIPRIYENGELNQKVHD FTFASFKPQQRFGEQNLKLRVRRPGLLDSVYFEEVPEAGPLGSDEIEVEVKAIGVNFR DCLIALGRIDQDILGTECAGLVRRVGSACQLRPGDRVMVGDVDTYHGVIRCKEILAVK MPEDMSLVDAASVPTNFVTVYHAFVRVSNLPPGESVLIHSGAGGTGQAAIQVAQYCGA EIYTTVGSSSKKRLLMEMYGIPEDHIFNSRDLSFVAEIKRSTQNRGVDVVLNSLAGDA LVASWECIAPFGRFIEIGKKDIFSHNKLPMFQFARNVSFSAIDLASMAQERPDLIQSG LLGITNLFRRKILRMPSPMKSFPISDVEGAFRYLQSGMNPGKVVLEIDQEAIVPAMVK TRSDWNFSPEETFILGGGLGAQGQMISEWMVSKGARYLVLLSRRGINNNAVLESFVSK LQSTGAVVYCPKCDVSDPESLAAVVKYCRTNMPPIKGCIQAAMELRDSVFENIDHAAW SACLRPKVDGSWNLHEQLPRDLDFFILFSSIAGVVGSQGQANYAAGNTFQDELAKYRL SRGEKAISLNLSMIADHGYALEHEEAARRFAKSRFVLEMTQPEVLAMLEHYCDKRLQL DPAHSQIANGLELPEDISNRGMDIMGWMHEPIFTILHQMGSSVGMANNSIDSKDKGPS LIKQLEEAPSIAEAADVVANGIAAKLCKALSISPDSFDISQPLHIYGVDSLIAVEMRN WFMQVLRADVAVFEILGGATASTLGRAVAEKVRAVV TSTA_051460 MSGVAEIVGAAGDRQRALLSISGRILGGEKRANHHKLTQSEEDS LVKWVLDLDRRGLPPRHSLVREMVNYLLLQHGKPQVGKNWVTNLIKRRPEIDSKFARK YNYERAKCEDPKIIQEHFDRVRDAISKYGILPEDIYNFDETGFAMGLCATAKVITGSD RYARPKLLQPGNREWVTAIEATNSTGWAIPSYIIFKAKKNVRLGWFDDLPSDWMINIS ENGWTTDQIGLEWLKTHFIPYINSRSMGSYRMLILDGHGSHLTAEFDRTCTENNIIPI CMPPHSSHLLQRLDVGCFAVLKRHYGQVVEQRMRDGFNHIDKLDFLMAFPEARTVAYK ARTIQNSFAATGLVPFNPDRVIQQLNIQLKTPTPTPSRSSNTQSSCLQTPQNIRQFVR QSTTITKRISERTGSPNQVIDQAVMRMSKAYETTMNDLLLVRKENHDLRAAHEKEKQK HQKSKKQISIEHGITSEEAQALVQGQVKASQAVTIAPAEPELPASQQVVRRQFRCSGC GVEGHRINRCPNQTSS TSTA_051470 MLLTKCYAILNAIMHASFANNTLDHKLKLTDEKAGALKLNPSLT F TSTA_051480 MLIQATETCSPYLDHATYVSPVCIKATRSHGRDLFTTKEAKAGD FLLRKKAFAFSFVTQEESVGDLVLMINSETNEQTMPGQAALLETLVQMLRQIEASEVD GSPVIDTQLVSWNSNIHLLHEQSVKAAEFAVKTLHSLGFEVKGSDIPHVPGTALTVDK RGLMVEDVINFLMTLSHAYHLVARNLVPQALAFAKIAYKICIGEDETFAKTYEENSS TSTA_051490 MARERMARAVEPPPVYSSADDTCNLQHTSTEYSNQVLPGPFEPF DRPELPTDDTMILLDISGSMDFDPVRPIYDQYLITKYVRSCQPKNKARSVMRRFTEAL SHGDQHHMDGYDLVTFANEANYLGTINQRNYDEVWRGITFGGGTRVMAGWQQVKELHF QKHSQSASYHPVYGWQAGPETPMLRLLLLLDGEAIDMDEFELDLLSLDWAHVTIFLIG VDGCPHHHRHANELQRISDFNRHVSFVDAQGNVPERYATHELLKRHLGRDILIDDFRR MEGLPSYTEM TSTA_051500 MNYQHVLSALRDLRPLGDDELEQIITYTNSLDDTEAARHLENLL GDSPRSLDLISSFLGGRSILSTEVAESTVNSIDTSHGASSGMNFKEIVHDTESHPPPY AMILDSRNVSRLTTGRHTNAFIEASRLRARDEVRYVRSVIIIDELRQNMIQLLQQVQL ENGIYNSEIEPEHEVDYPCACSIHQYKLRKLGRLALQERWSKAVMYPVQMNTSLESGL RMVIDSKFLLREECL TSTA_051510 MTGQETEEQRQYEEEILAHQHYVRQSDDSEAEDEKQSRKHGFSA KIRTFWDKKVKPAFPEAIADISLVKDVFTTESLIHKDIQDATRYPEINKVAHVRQGLE LGLEEKAFLAKRKMHVRDHFAKYMGLDPEQVHPDDIPVIGFGGSGGGYRAMIGYIGYM TEMKMAGLWDLITYVAGVSGTCWSIAAYYTFSDATTEHIIDHFKSRLSPYHPLSDPAI RTTLTAINGPYNTLGPLILKQISGLHVVLMDLYSVFTTGYLFLHHSQESEGIRYKPNG DRGWWKWSDAHKWLNDGQEPLPIMTAIRHERPWKDWVDKEHPFKDDSPLSQEHAETVD AWYQWFEMTPYEIGCDELESWVPTWGFGRPFDEGKSTMQLPEQSLALLLGLCTSAPAG PLDAYLATIKRNLPSGSLGNAVHELALKITHMWGKQRTKVFQQHHPLHACNEHNFMFH MTPVPKGQARPPGIENSPRVHLLDSGLDNNCPTYVLLRPEREVDIIIHMDASSDVHKD VFQERVDQIGSRRGIRYTKRTSNVASETSSTPVHSREHKEKRSDDAYGQIYDGNLFSR PAHVIDSYGHTVTNPPAPICYKDNTIIYMPLLENEDAAPGFDPSTAKWSGSYNLVWTC EQVETLIKVSAANFVKAQANIRKVLLEVYEKKKSTREATHP TSTA_051530 MYIGMKQDTRAKETTTEEARHVNSVSEIAQSTNGTRSFQGTISK TRVYGNGHWMTLFAMVEDLSILEPVGEVYGKVFQRSNYDPTDDIAKAFNNCKQLARDL KRQRPSRRRLPAAIYLSFPDPQVMEELIRLYFNMFESCYRIIYQPSFIMEYRRCVNQL EIADSSFLLQLILVMTAAGRLHGDITIRNDIAAKAQTWIHIAQTWISAPLEKDRLTLK SIQVQCLLLLCRQIYQVGADLVWISAGSLIRMAMHMGLHQDPNNLEDMNELQKQIRRR LWYTILEMNVQAALDSGMSPMIRDADYNTQPPSDASDSHLLQVAKQQQRPHQEEGGEE ETEADELHEGSTRSSLQAILVKSLPLRLEVTRIINSLQEEPTYEHILTIGNKLAFACG EVVAMFDQAASTIVDLPARRFAQSYCIHLIRRFPLCLHFRYAVKSRKNFLYSHSQKVS LEAALDIISLLDDHVYGRLLLSGSGMFRDIVTRVAVLIFLELCPDPEAEVSNAAKRRY RERQTLLLQDAQRLVRYAEDRIREGETSVKTYVFLRLMMAQAEARFNGSSLTESNTTK VLKHALDKCEKMLNDRLAATKINDHEADSGHFLETWTLNGMSASHSEFEIENFNEIDF DFLSEQFPSQWVDQTWF TSTA_051540 MSALSVQRKQTVETTQLHQRKMVLDSAEYLSTSDLKNQMMPLKI LICGAGITGNALAFWLSKIGHKITVIEHFPDLRATGLQLDLRGPGIQVLRKMGLEETF RRSTVKEQGMKLVDKKGRSWGYFSANRSGEGLQSLTTDFEIMRGDLCRLLYDATKVHV TYKFGMHAISIEQNTYFAEVTFSNGAQERFDIVIGADGQGSRTRKMMLDSEVTDGTLR ADPFQTLGAFAGYFTVQEDIRKDEGYDATMYMTTKSRGIMTRRHDPHKYQAYLFCSTD SSERLKSSKKGDIIKEKEGFKEVFHGTGWRSEEILKALETSDDFYCERIGVIVLDHWS PGRIALVGDAAYCPSVMTGMGTSCGMTGAYVLAGEIARHYGNQSKAGTESNAITAAFN SYERKLRPLMTQVQKGLTNNPRYMESLPSSEWGVGMVYVLFWFASLLRLDVLARWVLR EDTNGWKLPEYPEMVDEKRLE TSTA_051550 MKPTLEALRDLYLNSFDTALCIIPPTHLAQDINRLRELYDKAYE KWPPHVNLIYPFVDPESLPQAAEFIRSTLAQNCTEPFQFSLDKAGFFTHKNYHTVYTA CSGKCREEIEAIRRHILSALGQPDTHFEPHLTIGQSEANDGSGTDYLISKVNLLPPVE WQVSEIFILRREKSTTFSSTFNHMRVWGSLRLNGGCILAVPSTTPFMKNIDHTSNSSQ LKASYQFFPGSPDYLEPQWRLARQTTSPGEARVTRLSFKVSSYNVLVDSAHPLSTERH PVLLENLLESSSTADILLLQEVSDNMLSFLLQDDSIRSQYPFCSHGPPRQRDIAPLPS FRNIVVLSRWRFQWEWLKFEKPHKGSVVLQVQDIGTFQGTEFLPLIVAGVHLTCGLTD ASISAKQAQVRTVIGHLRSSYPENNWIIAGDFNIPSSSYTIDAALKRKAITARGVAIL SSLDTLFAESKLTDCYFGSRATGSYPVAGLVHSTDKLRSVYEGEEGATYDPLENSLAA ENSEKSFHCRPQRYDRILIKGDGFQATGFNLFGLPNEERGYSLGSDHWGIRATLNLDD SSVKNTSSENNVAINVIKAPTSLTIDVQFKTLLYGLNMIPSEEEFAHRHQMFKLIESA VHQRVFDATVETRLNISFVVVPVGSYGLGVWDTSSDIDCLVIGTISPRTFMALMVQKL RRPEWQEIHILRKIKAASGTMLELQAGGVGFDLQYCAATSVAESWPKALELPSSDSTF DLPMQSLLKLNAVRDLEYLQRTIPDLATFRKAYRFIKAWAKCRGIYSSKLGYLGGIHI TLLLALVCKLSFRESGATHESDILCTFFSYYAHFDWKNDLVYDTTYYTVEPKYHRSSR EPLVILSIHPPKVNVAHASTVPSVKTLEEEFDRANRLISKAGVSWEDIAGQTGIYQFL NAYPSYIKVDVQYWAKAVAKGRALFGWLEFRCVSLLVDINRRFANVHARIWPARFTNM KEEDTEDMEEYQGCFLIGLARNEQPSTESATESDRKSAHVSLLSLLKKFAEQIRADET YFDQSCSWVDVSLAKASDLQALRVDKSHWSYTDATQAEDFDSDDDVEEFYDSFSVNHT AENTGLIEQTNPQVRSSRSALGVQVVEGPRLRPAVDVLNRLRWDASFDSADYVVGYID RFIGEKEIPIDRWKSEQTHEEFIPMHRVLYFKRKSDGERVWDREKRIDLIFNTGAAYN SV TSTA_051560 MSSFKLGLTTLVFVTCTILGTHAQVPQHFNFFDYGQRGPLLGSH FGPPATNATFDYVIAGGGNTGLTIATRLAQTGASVAVIEAGGFYEVDNGNLSIVPGYA TFFTGSDLDNYSPLVDWGFAKTPQPELGNRRLHYARGKTLGGSSARNYMLYQRVTVDS MQQWADEVDDQSYTWEAFLPYYQKSINYTPPGQKLYTNSSNKQDPNAFSCTGGPLKVS FSNFVDPFGTWAQQAFIKVNMTEIEGLDSGKLLGSAYATLTIDSRNAWRETSESSFLM HALNAGLPVTIYKSSLARKIIFDSNNTATGVQVFAACFSGTQSMNFTLTARKEVIVSA GVFQSPQLLMVSGIGPCDELADFGITCISNLIGVGQNMQDHPIFGSAHRVNVHTASAS LNNATLSALSVQSYINNATGPLSIFGPGIYGWEKLPEPYRSQLSNQSRGVLDIGAYNG YNLNKETADPRDGHNYATLNTALVAPLSRGTVKIQSNSMTEPPIINPNWLADQTDRDL AIQSFKRQREIWDILAHLGSNYTTDSQIKQITAESMNTVYHASATCKMGKKGDPMAVL DSHARVYGVQNLRVVDASSFPYLPPGHPQALVYALAEKVADLIRAQYLHFSAAF TSTA_051570 MVGLRLAAVQYFGHGIHAVDLPQETVIKFNKSFIVVQMLYFADV VTTKAAFVGKIAIPTAFLFLYYRIFGVMFGSGARYISLRSYLSRSSLLARPSPWPDVI PYRTTGINISLGNGIANIILNFVIFCLPVPMTLRLNTTVRQKLIISSIFILGFFVYVV SNIRIIAFSGSNPKDMTWSTVPTATWSSIEQAVSIICTCLPTLRPLFTSFYGSTSSNS KKRK TSTA_051580 MMIDRGSRTTVRPTIHITAPYWINDPCAPGYDPKSKRYHLFYQC NPRGTEWGNMSWGHVTSPDLLQWNRSTYQPTLKPDQEYDKEGVFTGCFVPPEGTIERH LTVFYSSVCHLPFHWSTPPYPRGAAGLAMAVSSDNGRTWSKPSENPILKGEPDGVQVT GFRDPYIAAWPAMDELQGRDSLYAIVSGGVQGAGPTVFLYAVCPDNLTHWEYLGPLVS LPERFQQSKNWSGNYGINWECANFMTLEIESTTRQCLILGAEGDVERDHIKDHRQPLG GPTRTVRSLLWMFGDLTMQHNGVEFHYKLGGYLDHGSLYAANSFIDPTSGRRIMHGWI PEEDITCDYASQKGWNGAMCIPRELFLLCLDNVTGALCTELSEISNVEIMNYPGGSTS LYTFGIRPISEFMLLRDDCSHTYQRGSFCLPRFPLRHDEICTIQTPCWELEAIISVGC NCDTVGFCIESEKNSLVRLSIIFSIVEGAIIVDRSASTANPEISTFPEKGKFTLFYTQ PDKMVDESQLEKLRLRAIFDADIVEIYANDRFALTTTVYSGYYRSGRKVSAFATGREH SASFEEVRVWDGLYRCRLLNIELRFATRTKTPAIRTLGNKRTSTEANIIPIPITITPK DLEVTRDVTQLRYYLHHEKLGSQVGTLLASRGKITSALSKLHEYALEVYRAVLGRE TSTA_051590 MVKARKRLILACDTCRSKRTKCDGLRPSCNPCQVRGLDCFYKEL PSSPANKIESELAIVNQRLDYITSLLTKQPKPVQEDQEDAAALSSHEDSPFRLLATRS IMVVLDLEPDFPQNLIRLERINFLMGSTNSSDARFFFVSHQQTVTALAAFSERIHAYY PILASNFTEEYFRVLSEPLKPSSRACLVLLVAAVGCAVAHDPTSDRENPFFATALSSL PLVVAECSLVTVQCLIFLSIYYACLLKPCQAHDYCLVASFKIQNLFKSGLQGTDPEML ELTYRSYWAVLLLESELSVQLDVAKSVIWDLDNYVPLPNCLHTWQFPSEELSTSPGSH SPASANSARSTRPDQAQSFFLAEIAMRRMLHRCNSAVTLGPTGQSAYAPGVAIELERQ LDEWYDYLPDSIRFPRDSSQHCANRIGSLSNFLRVQYYCCKLSIYWPAVYQAMQDGVV EGQLLSHCQRFFDSYIQLMPSITFAFHNCLIHKWTLFLSIFITTMVVLKATENSCLTN TSPDLLHECLFSVTTAGWGSEINSPSLTIMQQTLSQRLLDYKNCKEYDCEVT TSTA_051600 MNSPSGNHLLADITTRRISRSARVSSWDQSGLNEDAFVVLPGET MLLADLEGPGAITHLWFVQTCRQILGPGLIPYSKSGVAMMEIHNALGLNYEVNDPDYY RKVLIKMYWDDSETPNVLAPIGDFFCLGHSMAANFQSLPFTVSVKPSEEKKFGGAAAF NCYLTMPFNKRARIEIENQNDEAYIQYFYIDYELYAKPLPKDTLYFHAHWRRENPTNG WAPSGIQTNSLETQVKNLDGKDNYIILETEGAGHYIGCNHSVLHFQGTWWGEGDDMIF IDDDTWPPSMHGTGGEDYFSQGWGMQKNAYPFCGTIIHEEDVPNYQVSYRWHLADPVR FSKKIKVTLESGHANHLRDDWSTTAYWYQTLPGPKLEILPVASRLPRKPEIIPPAPDL SELEISKLSLEKIEKLAQHRERYDMFVKDRKEWLERRAKDSRERAMNNIKAVS TSTA_051610 MAREEYSVEIRAQIVSLVMIAKMKPQDVATLLNIPRVSVYQIIQ RAKEHGYDPTVNPRIEHEHVANKECSGRPKVVTEAIEASIIASVTKDRAGREKSAEYL AYEADFKNIIWTDEISVVLGHRRGSNCVWRRSFECYDPTVIRRRYKGACEFMFWVAFP MMPRVPVTFIKRRMQQQQKKAEKELEVINREREKVAREEWELNGAFSRLQLRPRPGRK PTFRFTAKNGKLVRNSKGGIDWYRYQKEVLVPKLLPFAQECKRSRLDTIRLLWLGNSP DLNAIEPTWFWLKKRTTLRGAPGDRKTAVGVWEKAWERLPQHRIQAWIERIPFHIQEI IRLEGKNEYPEGRPQGDVRSRCRRKGMLSFRAYLEDEWEGLEQS TSTA_051620 MAHAPFAKAVLLVVGVVMQGFDIVAGGQLAALPEFQRRFGYSQP DGSFLIPAHYLSAWSSIAPACEIVATFIFAPLLERFGRKPGILVASIISTAGVILQQL ATDWRVHLAGRGVNGIAIGIMFTISPLWIGETCRPELRGFFLCFFNTSIVFGQFAIAV VARGSSHLSGKWQWWLPIVAMYFFPAILLSGWFFFPESPYWLVRQRKNREALISLQTI YGFKNEAFYTAEVRRMESENEREISTRDSRAEGSYRFLGIDVSTEAECFNRANLKRTL TAVFAASGQQMIGATFVIGYATYFLELIGIKDYFDASVALYVVMLLSSMLAFPLSETV GRRTMIVNPQFFLCFALLLIGLLGCIPNPEKASWGIVVLIYIWAIIYQLSIGAVGFVL ASEIATARLRSTTQGLVTITNALWGLIMQFTVPYMISTDAGHLGGKVGFIFFVTGSIA ATGGWYLYPETKGISFEKMDELYASKTAPRLFSRAVAAAQGDITPHTSKVEGVSEHVE VTNFSS TSTA_051630 MPHGTFIFVPEQAYWWYLASTAIGLIISWSLHNVIAWMKNKAFM ARWLSLAYIGTVFLAQPYWGVEIFANFAYFNNIDAAFYESCDHWKHYFGGQDAL TSTA_051640 MSLKRHLPRNGQRHRSPLACNTCRERRTKCDGQRPKCSFCMHRS KDCFYEGNPDPPSLSAIELSRIWKQLDHITRLIEPRAYERACLIKEQLQGDLFDDQDP LTGFPIMTIKNQSFLALLKLDQSLPARLEQMERSRQLYHSPPCSVPAVMIDFSQALEF LNAFAEHIHIWYPILDADYMDEFLQAISTPLQPSVDSCLALLVLAIGTLARQESIAHA QKKLPEIIYIQAAEDMLPCLLADSSTRSIQCLLLLSVYYLCHAQPCRAHDLVAIASHK IQDSLINEITVQLNLVDSGIWSMDSVTTVPVSYETWIWHPSLPPVRATGDETESIIHE QALRHHDLSYFMAEIAMRKMLQRCTSSFQRLSQAKFSYAPVIAAELERQLQEWYDLLP DELSLRRDNSVPIPPSGSAQAEFLHTQCYAFKVSIYWPAVYQAMVAGEASDDLLLHCR GFFDSYIEFIASAAVSVSICKPNIWTLYASVFTISMAALTASVAPCLLSAVSSRVLQS LEKAVQLFGSVTEVSPSLAIMGTILKERTFHQASPPS TSTA_051650 MGFLFENPKESAGKVWPAILISGFVAFGGILYGYDTGTISGVIA MPYWSQTFSTGYRDSTGKLTITSSQASAIVSILSAGTFFGALSAAPMGDIIGRRWGLI VSNGIFVFGVALQTAATAIPLFLAGRFFAGFGVGLISALVPLYQSETAPKWIRGFIVG SYQFAITVGLLLASVVNNATHDRNDSGSYRIPIAIQFAWAIILVIGVLFLPETPRYLI KKDNYKGAVKSLARLRRLPEDDPALREELGEIQANHQYEISLGNAGYRECVRGNLAKR LLTGCLLQALQQLSGINFIIYYGTQFFKNSGVKNEFVINLIINCVNVGSTIPGLYTID KWGRRPVLLLGAVGMTVSQLLVAILGTTTTSQDSVGDIIVNNAAAQKAAIAFICIYIF FFAASWGPIAWVVTGEIFPLKTRAKSLSMTTATNWLLNWALSFSTPYLVNYGPGNANL QSKIFFVWFACCFLCIAFVYFMIYETKGLTLEEVDELYSEVKDARKSSSWKPSITFME MTGKAQAFEHKEATEEQREFDNNHEAFP TSTA_051660 MNAHRSAFFACEQCRERKRRCDKAKPKCSSCTYLDVECFYKPAS DPRPSQLVQELSSIRERLDYIAPLVENLGNPPTFWKRTEYLHPQPQAPPISIKSQSLM QTIGLRSDFAAFLYQLEKAAPYTPVTSMELSLLRLQEDKVTEIIRVFTERVHVWYPVI HLSITDHLVETNSSCFSPSTKSCLSLLVISIAFILSTAQSESIHFEVALSMLPIVLQE DSVISIQCLVLLSIYFACRMQPRQSYGYIRIASFRVQSLLRSEISMHLNLSAAYSQPR PGRSHSPIPFPTKHKYWNILPELFPSRSWSTPSSDPPSHLQHDSLHFFQELHLQQLID KCIDSATGASCTAYPTGTSSVEYGISLQRLLDSLPPIPHFSVLSMSQDMATRHQHAAL LRAKCHAYEISSYWPAIYRAIVLKCVDSELLSYASLFIESIVNFLDTASFAVRVCAPK SWSLSASLFIVSMVAIWTVDNLHLQSPLPLSLRRYLKDTLDTLKQFSELSPLVKHMAE VLENRLQEIDVP TSTA_051670 MKAMKFGMQLEVLLLGAFVFHVDAVQSKESFTEDLTHWKEQPVA FLARGYPNNSTEMFFSGNVVIDEHNTSGFGKGHAPWVAMYTSFYPSHQVLPSGKQVRD QQQAQSIAYSLDHGATWTTYDDGNPIILDPPSPYQDQYLDFRDPNIFWYEPIEKWVAI SVSQNFISSSYIHPQSLKQWNLESEFGPFNAVGGNWECPNLFTLHVDGDDSKVEWVAI VGVNPGGPPGTYSWRWPSDGSIVFEDFEGNRSFADRGWVATGDLIGTSPVAGTLPGQN PVTGFLGNQLVNTFLNVDSTTGILTSPSFDISYNYINLLVGGGDNINQTAIRLKIDGN IVHATTGSNSEHLPGKTGIAVIEIIDLATGDWGHINVGEISFANSRATNDNANWLDWG PDFYAAQSYNGIPQYQRTIISWMNNWQYGAAIPTSPWRSAMAIPPSEDFSQHDSTTQQ VFIDRTKSGDVSFDSTLASVYYAPLSPALNNTVTLHIFVDWSSVEVFGGQGQTTITTQ IFPPKMPRMRSFSTGETTDNVQLRKSKVRPTWTSELKCMIEIVLCSPYAPKLDLGFSQ SSFFPED TSTA_051680 MIFQKSTVTIKTLSLALALVGPAVADDYRPAFHFCPAENWMNEP NGLIKIDSTWHLFYQADPTANVWGNECWGHATSSDLLHWDHLPVAIPVENGIESFTGT SYYDGDNTSGLGTSSNPPYLAFFTGYTSLNETQDQRLAYSTDLGTTWFKFPGNPIISA PQEAPHDTTGGRESRDPKVFFHVSSGKWVMVLAHGGQDKLTFWTSLDAKSWTWVSELL SSQIEGFSNSISGWEVPDIWVVIFTPAQGSPPGGNGVVALTGSFDGETFVADPVDSST LWLDYGRDFDGALSWENVPASDGRRIIAAVMNSYGSNPPTNIWKGMLSFPRTLTLKRI GSKQYFLQQPVAELSTIDSTLTSIQNQTIAPNQTLLSSIRGTSLDVRIVFRIDSGATL SLAVRKGGSEQTVIRYSQLNSTLSVDRTASGDISYDPAAKGVHSAQLAQENTELVHIW VLVDTCSVEVFGGQGEAVISDLIFPSNSSDGLSLEVFGGTATFQSVTVSSVSL TSTA_051690 RANTKKKPNFSKSSREYGVSRKKLSRRWHGLPSPSRSTRPPTRR LLSLDQEKALILWIDYLDNIGAPPTNQQIEESANYLLGKDFRGQGEPPLAGKNWVHDF IKRLPK TSTA_051700 MVGKIVGFGLTILALLPTIKSISPPSSVQKLRRYVNKIEKSLDG IKDILDESSPGLIRRIKTVNQGSLIMADLGELHRENFTQIRDTAKRKAAKRTKRQVKA VGALYVKDANRLIKHRHDGDLMKIHKRYILGERQQGEEEEAPAPQTPGFFIDTTGNR TSTA_051710 MTAEQYKKEEELITKAVHAIGPVGEPRRAGKDWVYEYLKRLPKE YQRIVQQPQEVDRTAAEHYGQIERWFIDLKIAMNNLKITPANLWNFDETGFIVGQGKK EAVVTAYPKTLKRISSLSSRESLTVVESINAEGKVIPPLIIPKGVVHLEE TSTA_051720 MAAITIANKRAGVLLHKLILLELILAMAHGTFTFVPEPAYGWYL ASTATGLIISWSLHNVISWMKNRLFMGRRLSLFYIGAIILVQPYWAIEIYANFAYFNN INVLVYEKIRPWEALFRDPWWIYTTCNLFWVVKTYYNFGILELVQECPRFGLMLGSMC LSIACMGADIASVTGALRSAMPLGTNPFWKKLNYRAHPTFGAEHPFQNTRDGPISYEY LMVGLNFGKPGLCTPSISEQKAIQANSQSEVGHAFHRGLSKASGCSHLRDLSSEAYKM RRPAM TSTA_051730 MGILLRQPEGTAGKAWPAILISGFVAFGGILFGYDTGTISGILA MPYWDKTFSTGYRDTNGNLTITSSQSSAIVSILSAGTFFGALGAAPMGDFVGRRWGLI ASTGVFSFGVALQTAATAIPLFLAGRFFAGFGVGLVSALIPLYQSETAPKWIRGFIVG SYQFAITVGLLLAAVVNNSTHSRDDTGSYRIPIAVQFAWAIVLVVGMLILPETPRYLI KRDNHQAAVRSLAKLRRLPETDPALLDELAEIQANHEYELSIGSAGYFECFKGNLGKR LLTGCFLQALQQLTGINFIFYYGTQFFKNSGFKNEFVISLITSCVNVGSTIPGLYAID KWGRRPVLLTGAIGMTVSQLLVAVLGTTTTSQDALGNIIVHNQDAQKAAIAFICIYIF FFAASWGPIAWVVTGEIFPLKVRAKSLSMTTATNWLLNWALSFSTPYLVNYGPGNANL QSKIFFIWFGCCFLCIAFVYTMIYETKGLTLEEVDELYSEVSSARKSVGWKPTITWTE KKEKPNAFGEKGLDGEHREFHDQALADA TSTA_051740 MAIMMKVLLLGLSAFQLVSAGNSSYTELYRPQYHFTPSINWMND PNGLLYCKGIYHLFYQYNPGGTTWGAMSWGHATSRDLTHWEHHPIALEARGFPNNITE MFFSGSAVADVDNTSGFGHNGKTPLVAMYTSYYPIAQTLPSGKKVQKDQQAQSIAYSL DDGMTWITYDVANPVILDPPAPYSDQFLDFRDPNVFWHEPTKKWIAVLSLAKVHKLLI YASKDLKNWTLASEFGPVNAVGGVWECPNLFPLPVDGNKNNVKWVALLGLNPGGPPGT IGSGSQYIVGNFDGTTFTADSDSIYSGTTAPPSDSIIFADFEGTGTFTDLGWTPSGDL IGQSPVSGTLPGQQSVSGYLGKRLVNTFLDGDATTGTLTSRSFTISKRYINFLIGGGH DFNNTAVYLKIDGEIVRSATGTNSETLSWQSWDVSSFLNQTAVIQIIDTATSGWDHIN VDEISFSDTMARSQVANWVDWGPDFYAAQVYNGLPIGERVAIGWMNNWQYGGLIPTSP WRSAMSIPRDLSLKTVGGKVTLVQSPRENWGSIVSRKCFDQSWSFVKEGTQSLGSVGM ALKIDLSFSDLEPAASGSSQFGIAVRATPDSKQQTRVGYDFATKELFIDRTQSGDISF DGTFPDIYYAPLAADDKGQINLEIYVDWSSVEVFGGQGETTITTQIFPNDIASYAQIF STGGSTQNVRVSINNISSAWDD TSTA_051750 MGLCATAKVITGSGRYARPKLLQPGNREWVTAIEATNSTGWVLP SYIIFKAKQYTRLGWFEDLPDDWRINISNNGWTTDKIGHTNPRDLWSSLKAGCDQRTT LPLITQYELFHSNKWEPKNTISTYTSYFRNIFLSLENTSYKIHQDIAIHILVDQLPDC YKTEVTYLLANIKDSSSEGDNTSGQALVTRGRRPNRRTSSRNLRNEGNNSNSNRREQS NRNSRNKRLICNWYKREGHYERDCHIRTWQLYRVGSLSEP TSTA_051760 MPPIRKKDPLKSAQIEGKIQLAISDLKNGRISNIREATRIYDIP RTTLRDRLKGIEYKGEKRANHHKLTQSEEDSLVKWVLDLDRRGLPPRHSLVREMVNYL LQQHGKSQVGKNWVTNLIKRRPEIDSKFARKYNYERAKCEDPKIIQEHFDRVRAAISE YGILPEDIYNFDETGFAMGLCASAKPGNREWVTAIEATNSTGWALPSYIIFKAKKNVR LGWFEDLPDDWRINISDNGWTTDQIGLEWLKTHFIPNINDRTMGKYRMLILDGHGSHL TPEFDRTCTENNIIPICMPPHSSHLLQPLDVGCFAVLKRYYGQVVEQRMRLGFNHIDK MDFLTAFPQARTVAYKAQSIQNSFAATGLVPFNPDRVLQYLNIQLKTPTPPPSRSSNT ASSCLQTPQNIRQFVRQSTTINKHINERTGNQNQEINQAVIRLSKAYEILANDTLLVR KENHDLRAANEKEKQKRQKSKKQISIEHGITSEEAQALVQGQVEASQAVTAAPVEPEL PASQAVVRRQFRCSGCGVEGHKINRCPNRTTN TSTA_051770 MQNPGEAQFFLPSKVEAAKQRIQDIESQKEQDKINAAILRTQEA LERERRDRKNQEKRESRIREREAKKQQKELEKEQRRIARETKRQAKDD TSTA_051780 MQRLVDFLLSRQLPPRPAPTPVTITGIRFPADGSKAQLVSLTTT TDDVRDGLDGSWGHVPDLREFWKTARAWQWRDIETFRLENQPISNCNGFYVLFYSFDL ESLPENRNFPKAIFGRERAFAGDAFVVKLKGNEIGHDMGDDGWAAWDNFWQYDL TSTA_051790 MTPKLYKEEEELIAKALSACQHEIKPNFSKLSREYGVSRKKLSR RWHGLPSRSTRPPTRRLLSLDQEKALILWIEYLDNIGAPPTNQQIEESANYLLGKDFS GPGEAPRAGKNWVHDFIKRLPKQYVRIVQKPQEKERTVAEHYGEVERWFIDLELAIQQ YKIRPQNLWNFDETGFIVGQGKDEVVVTAYPKTSKRYRHIKDDDWLVAPASNGFITDE IAFKWLHTLTTSLGLGPSRIGGYFLWIII TSTA_051800 MLQPEEAFEALVAEGDALKIYGEADDTIPSSPTTKSISPPSTAV KLRRYVNKIEKSIDGIKDILDEVSPGLSRHIKVVNQGSLTLAELGDLHRESFAKRQVK ASGALYAKDANRLIKRRHDGDLLKIYKSHVVGVPQPMEEVASTEPQNSGFFFDTQGDR TSTA_051810 MPRVSQLPEEVLANLREDYLLSYMEEFDKADLEAIFEKTYTEEE FVKEAEENPYYLFANEAAAAHNEMVENLDALGGVNTNQNQNQKEELTQLKKELKEAYR EAKQNADNIVELQEEHN TSTA_051820 MDYAKKQLLWPEEVSLKNEIVTKGYMQLPQQILKNPKAYKLVEL DITIIRGNGFHHLVLYEIDKIIKDKKILEEKLEEEEIRKKLSAYLKDFVDIFSKHELD KLPPHRPYNYKIELEKPNELSYNSLYKMSRDELEAAQEYIIDNLNKGFLEPSSLLFAA PIIIALKLGEGLRFCVNYRKLNQLTKKDWYPLPLINKVFKCLS TSTA_051830 MALRYFMTTKRLTARQARWCKLVNQYYFIIKHHPGKENTLADTL TWRESTPVNHKKGCMQLMLPKKCLGPSLVKDSLSVVEKEEDVISRVITINTRSPECKQ FQELMRTGDKN TSTA_051840 MRQLQWKRVPWKQEMLTALDAGIEKLKAYYNDTQEIHGTVKKKP CDFWKEMEDKYPTLARVAWDIFSIPATGAGVERLFNSARDICYYQRGSLNSTTIQDLM MFQCISKFNIKVEDDREDINIPLEDRQQKDEAREAELQDIVPDPISDHEESDSEENDG EENNGEEVIQLTEVVEEATTVSNPTTINKKSNALPQPSKRALRKRRIILVEEHTDDEA LLGTSN TSTA_051850 MSQLSRFSDAGPSSFLGSDIPDDFTSYSMTTPATSLAQDQPAIS FKSFLSTTDTGSPIPESLQLVGPDRRKSWVLWSEMNKDDFIRDPQVMCKQCGKTLPHP QKTSNGTNSMKRHLSGAKCVKAAFDTTQQQNIQESLQFATIKVFNDEDWTQTQIELIA NSHLPFQFLAHKGLINLIQYACLAPTMPNLLSPTTARRQLGLQVKRRQAEILSYLPPG AKISVALDCWTSPFQQAFIAITRYFIDKNWQYREILLGFEPLYDRHTSINLSAVLLET LQQHDLVDRVLALTTDNASNNKTLLRAFNDAIESPDIPEELRLVRIPCLAHVIQLSLK DLLCLMKVNLKNDNPDQAWSDEEAERLRQIRREKGISYTLAKIRGLAIFINASP TSTA_051860 MAPNTNPNEFDPEGKNRTQRDTYVEGKLKKYKEAEDVVLWAIFK QDFEKWSLNHLWQTSFLLLSKLITLLKSNGMYVDDTKGYLITENVATAAAQREPHEWT KTEVIAHLRKGSGDSFKRKLKIFYGYCRQNGLPNTPKSYREALPHMLRDAALSYYWDN INLWIVQGKDPAEEIITRFKGPEHQ TSTA_051870 MGLLIDTGAAEILTAGYAQYLAYRKVAKNITIDTLTTRAASIRF SAGEPLQSLDSIDIKTPISTVQFHIVKAMTPFLLCIKDLDHLKVYYNNTKNLLVRNEP PLTKTNAFSQPPNYVIYTADLDILLLDNYIKPYYAQATIRIPK TSTA_051880 MYLGSPDFIITDAGKNFLASSVSMITVNVPVEAHWSISIVERYH TVLCRSYEIISEEVPELAPEMALQMAIKAVNNTVGLDGYVSTLLVFGVYPRMTDYSPP ASTVVQHAATVKKAMTEVQRLHTVRQVNNALNIRNGPSSTLTCLYSVKKGLYKLISID REMCTVELPNGPTKFRLTVVKLYYKDNDLEHKDDEQLAPEEVPPIAPSNTPTPTPQVA TPPSKPNNAKA TSTA_051890 MMKLNRKFYIQILPELCYFFPSYKFLKVVWPLYGIPEAGNHWFH TYHKHYTKQLGMETSTYNLCLLHCCDLEQEFEDAQGITLTQERTCKNIRLVQDHPSDT VSSRGKVRKNASPHEQYVAQRALGAYIASVSQPEASFDLSFAAQATQPGKEDIKALNK RLQWQIDNSTRGLRFVKIDLRTAKLYAFVDASFANNKDSSSQIGHVIVLADARNNANI LHWSSTKCKRITRSVLASEMYGMANGFDAAAAIKSTLTQLLHLSEPLPLVLCTDSKSL YECLVKLGTTREKRLMIDLMCLRQSYERQEITEVRWINGNSNPADAMTKSKPCRALQE LIDTNKLRIDVDGWVEITLVFLFVYLAELKANKKNKDVGTGCWTGKSRLAANLEHR TSTA_051900 MAALSVNLRPVFDSEGAAQVIDITMFFTTYAKTKGDILFNYTLK RANVPTTQFTAQSVRLTDSHKSVIRLYTVDTERSTHREFRVEEDISTGERLTVQYQAT PRQVNAYTSCGPPVAMEKDNGGLSGAGLALLLIPSAGDGNSDVVHDILQELRAHLGKG SGLLCMKDYLFFPSVFLLVARYPAAAVDSPFRIYWLSEPPFNAYTLGKQLQKLVPRMA MFFGDDEKLFQVFIRRNEYKCASGLVCTRDEDIVQDFLMHEIVHNWPRLGFTTGGPED LIDGWFNEGIAEYYSLILPYIFSILTEDQFIQRFNWRISGYYTNPDRAVHNKDVQDRF WLPGRVHRIPYQRGFMYFVQLAYKLHNLGKRPLDDLIRKMIKLRAANQPHGIHVWLSL IEIELGSMALEDYKDMSNGKQMILSPDVAGVWISNSKWKLEPVAQEEFYLGFPEENLS SESRVINGLDLQSRAAEAGLQDGDEITLEYGFLVDADVSPKLFTMNVRRSNQETLRKI TWWPRSWHTVQSYQFA TSTA_051910 MLPACLGNLPTSSLRFKLVDLQAKLAVGLNVELMYPLTNCQVAF SLVALAILLTAAFVPLIPSAHEHFIQSLCDLQVPKTLQLSPDRSSVLYSTELSWGHRK GKHPVSTIWLASTGRADSSRQLTRGLFRDYAPAWSPDGNAVAFVSDRADAGSKWAIYL LSLQEGCEAYPITPETSASPIEAFAFSPDGSRIAFLSADEDIQLASAGDGPPDVQPWG ETWRFARLRVVDLRTKQVRCAKLDRHVTSVCWSPDGTRIGFSSCLSPDIEESHITGTT VSAIDVDLSSVEDVCHFPKMVVDLSWAADGSLYFCGGVPPHKMFAGYGVYRTNPATES HHYEWVGFGVEDDSIGLVQRGSGTIFVKVQHRLESRVCLLDGTVLYSRKEELEAFDSA IETDGKFVLAVATSNINHPTEVFTTLSSGGDAMTRMSNHGQEFAGHRFGTCSFLTCTS ADGEVELDALYLTPASSSDGANRHPLATVVLIHGGPNTRLTNAFNTYYYMLTPYLLSL GYGILLPNYRGSSGRGRYFASYSIGGLGVYDYSDVIALTQCAIDQGYADKERLLVGGW SQGGFLTNLCCVRNDLHYSGWRFRAAVSGASICDIDAMALTSDLGCAFEPELSRGGVA WNMDYDDTRNRAPSALWAFKDAARRASLKNAPVVPPMLILHGEADARCPVSQAWGLRR ALRSYGLPFELVTYPSQGHFFEEQKYWVDMALRIGRWCQKYIGDALA TSTA_051920 MAGNRENSSPFSRGLFRQFIACLGLSKGRDSQVKPYPPSQANAE EQLEAREKYIITPGRGCTCNETISSRHRKTKNMQHTPCSTTGLSIGAEDTNREVRAPY TPIQHEGGSNVCAHLQDMRVTKVISIHYKECDLKTATLCIELDVNGWRRLYWQEYQDL IETPGAKITIERFRPSWRY TSTA_051930 MSYTAPKEKAALFREVLHPEPPEADLSDIRPQYRYPKPYTMPPI TLDEVRTAVTNVKPDKAPGPDGIPNLVLQRLLPTIEAYLSTTVILCKPGKPDYSDPKA YRPIALLSTIGKALESVLARRLSYLVEQYNLLPKQHIGGRRGRSCELAIHLLLEETHS AWREGSRVASGLTLDTAGAFNNVNHIRLIHDLRKRQVPDDLIGWIESFLSNRRTSITL LEGNMGEFLVNTGIPQGSPLSPILFLFFNADLIEQILAECPDMIILGYIDDIFIMTYG TSAAANCHTLTKVHQVAERWERTHASKFAPAKYQLTHFWRKHQMVPKPSGRLDVPLII KGVEIKPTDSIKYLGVYLDTHLTGEVHVQEMRKKAAKLVAGLSSITGSTWGTPLVHLR KIYMAVLQPQIMYACSTWYIRGGRGFTGAQRAAEQAIRSIQDQALHQISGAFKRELEG INAALEILLRSQPCDDNPHEATIYTDNQAAIRATCQPGRSSGQYILRRIVRHLGLLRD NRSRWRVRLQWVPGHEGVPGNEKADQLAKLAAVEATRHTQENARIARISAPNQTTPHA ARMSYIPNQSTILMAVCRQRLHAGFAKRWKEQWEHANHGRHLYQIIKAPTKMVLQLHE GLRRAWSSVLIQLQTDKLALRSFLASVQIEDSPQCECGLGDQDTAHVLIRCPTHINLR METLWKEARETDYRKLLSEPQWVRQSIEFIMRTGLLTQFHHVTPLTTTRSQ TSTA_051940 ANCWKSRDKVQMHLFSESKVQNYDILAIQEPYINKHTDPLTTYS LALKGSFHILLQPTPKEEYKKRPQVCFYINRGLDPATWEVQYHNRDLSTLTLHTATHG TIHIHNVYNPGVNSNEESIISALQTAMAPRAQHIATKLINLMDEHGLKQLLPLGTITY ERVNTKSTIDLVWASHNLANRVVSCDTKPEWWYGADHVSISTQFNLTAICVPPLIHKQ WNVTDWDLFLKLMDIYNWYPRELNDNEAINEAIRYLVEAINQAAEQATPTK TSTA_051950 MGPKRGKKGTPWPESRFADDPDIRAYVAAAIKDLEALKKHQEAL EIGMPRELSTSVVDAFLYLAQRVKNTPTNEQLAQQLAKVKLHVEKTQKEVSQASREIT TTKSNTNRLVEAICHPTSPGTYTVKNSPSFSHVTTSSESYVQAWGRKVPSNPPTVPSV GLSSRGSLPSTPYPSQEDLEVYLEHTDPNILNPIYRFPDKVVEKANLVIHSTQDTTIA HQRIAAACTVSARSTARTTLDLMIQRIALHRKRKNTLNVLIAPQKIQPGAISPNDPTP AEVANTEQSPRAPARTATTRRSANSRSKSAAAAQKRVAERSEPEPISPTSGDPTNRSS KKPIRAQWDKDLVIDADPNPEPKTGPETQIKYTYNTRARQNTKPPPGTLVLQSDIAPL EISHMQAVRTVHQSKSVCTILDDDLSEDELTQPSIHEAPQDPIKPAQEADTLMTTNLE DST TSTA_051960 MGPKRGKKGTPWPEPWFADDPDIRAYVAAAIKDLEALKKHQEAL EIGMPRELSTSVVDAFLYLARRVKNTPTNEQLVQRLAKVELHVEKTQKEQHEPPGGGN MLPNIPRDTYREKFSKLQSCDN TSTA_051970 ASHNLANWVVSCDTKPEWELNDNKAINEVIRYLVEAINQAAEQA TPTKQISIYSRVGYTSEMAKLKHHATESIDGFWRIARWVRNRGKPRATFTPTLHYNNT TSTA_052070 MDDQLLPNPLFLPTEGQKSTWDDVITTAKNLSHQQELEQNNDEA LHQLQQEMDKQTLAFCLKIIQQSVSLRAFNSILVSFAALLFWIPDKKQWMTVSNYTSF LSQLIYNCQIWILALSILEQQNHPTQDLGDIIIRHRDRWLLNDTKGPVAELLENRLYA SGCXXXRTLSYAWLQHQVQTVHSSTSTPLCDYLWASTTKPWSDNHLTRTVIWTGEQIL GKKIHIRAWRQITVGIAIKKFRTLASQFIEDSLDNEDDLIEDHNGSMAAVFHYQAAHT PHTGNQIYGGTINFRAGLTDAGLQEFCQASEIWHQLIKQPSQYSTPSLLKRRLPAVFT QSLQPANVNIEWEWDESPSKRVRSEATESTLVQRFHRCHEPRQSQQRWTMEQAQTILK CMYGPEAQYRTSNQQQALQYIIQGFSQVVAVLRTNEGKSLLYLLPCQLPGAQTTVIVL PLLVLKQDMLLRCQNAGIEVTIWNQQDESRHLGSSPLILVSAEQAVHINFRTFLLRLQ LANQLDRVVFDECHLTLTASSYRKGLALLPTLRDIQCQMVFLTGTLPPVMMAEFEQTM LLSQARLIRSLTTHRDLSYQVVSCPIDQDFFKFAIPWIQQERTQLDSEERAILYCQTQ AITEKVATILECPFYHADSRTREEKAQTLETWRNGNPNWIVATSAFGMGIDHPRVRLV IHLGAPSSLIEFTQEVSRLGRDQQGGRSITLLPSSWSITKSSRPGHMISSDVQAMHAV LDQPNCRVAAMSSFLDRAAVACSAPDPLCEQCRFRQENPESSSTDPTTTCSPNPEENV DCDLTIGSQMRIQQIQQESQQLQQYGDSLQALRGTCVICRILPSSSANTKKHSFINCW NPRRQDFLEAKKRAQQEGKQFQGWMQRYAGCFRCYNPQAVCSQQGQGTCLYPDLVMQA CWAIYQIKAWTEGLLPGLGGEHVQSNEAAYMLWLGQKRTTFGVEGSNAAWVAYHVFQQ LLEPAKGSV TSTA_052080 MLLTSADPLDKLPIAEGAEFDSYADQHEDFCLPGTRTELLSQIF EWAESSDGKCIFWLNGMAGTGKSTIARTVVESFKEKGQLGATFFFKRGEADRSNAKYL ISTITKQLVTKHQPLVPAVLKAIEKNPNVSSKSLSQQFDTLLLQPLLGLKVDQPATVM LIIDALDECDRENDLRTILQLLFRLQEVKSVCLRIFLTSRPELPIRLGFRQSNNHQDL VLHELPKPVIERDIRLYLEDKFSTIREERSFASDWPGDEVINELVRMSTPLFIFAATA YRFIHGGRHPKRQLQKFLASQVATSASQMDKIYLPVLNQLIHSDEDDPTEVLKEFQDI IGTIILLATPLSIISLARLLHLPVEDITELLDPLHSVLNIPTNPGVPVRILHLSFRDF LINTTSIFHVNEKETHQKIFLHCLRIMNTGLKQNICGLSSNGIQRTNIDNEVINEHLS TDLQYCCRYWVHHFEHSHSHITRPRAFDFVKKHFLHWLEALSLMGVISEAVAMIDILQ SGARGDVDAEFSDFLYDARRFILKNTYMASLSPLQLYCSGVVFIPMRSTIRKIFLDSR PKQIHMLPQVEDDWSPVLQTLEGHSDSVNSVAFSNSGQTVASGSNDRTIKLWDTFKGH SKWVNSVAFSHDGQTVASGSSDNTIKLWDTMTGSELQTLKGHLNWVNSVAFSHDGQMV ASGSYDNTIKLWDAKTSSELQTFKGHSDWVNSVAFSHDSQIIVSGSRDNTIKLWNVKT GSELQTFKGHPDSVNSVAFSHDGQMMASGSRDSTIKLWDAKTGSESQTLKGHSDSVNS VAFSNDGQTVASGSYDNTIKLWDTKTGSGLQMLKGHSDSVNSVALSNSVVSALHAEEL TSIKPASIPQRRDNCRPTSHNFNFQVSLSDNWIALAGENILWLPIEHRRFTASAVKEA TLALGYGDGRVSIIGFHTL TSTA_052090 METQQPPTPAQIDNWHALGFIYGVEDGIATEAPTDILLESPTSP PSTIPFDEDSPPRQLPSEHVPSGEEVGEAAGSPPPVIEISDDEENHHTRPRRRRRVRP DYAYRDYQDTMEHAISAPPLGKRKREDSDVVDFRSKIKRFVKEITEPYEALKKENKRL KLERDEWKKKEKQFQNQIQFLKRQNEEQRRRNILKCALCHRTFNDSWKVLGRGHTLCE DCVKDIKSKGFVFEYPCPYPECKKPIQSCQDFYPNVVEA TSTA_052100 MAATLIQNTPNPLLLPEIVENVVDNICMGDLVSCACVNSLWNVI ALKKLYKGSLNDMQYRTPHIGRLGNLLIASRERFSQNMGFVKHLLLAPKTPTIDDAAR PNTNRLACSEEFLPLRRRLDAERLLRPQGSGLVSLTIPFEIDEDWSLISDLLLSPTIE YLAVDNFYCELLMASSNKSAEPITPADKFSKLKALTIYRSESSRDNKLLFHLIRSCNL HFFHFEERSRDQMTPIEIAELLISLQRQQNLKALVLIIPGSSCSAAIRMARRKQSKLW PNLKALYLGMGDEHWLEQIPDFKELQILSIQEFVSGPRIINSDVISKIGKCQELRVID VYFRECNDIEALLDIAHGCPLLQMLRVLHRRLGGRLDPMNTKFSDLLRALPHLEFLEL NLKFQINGAHIQDLAVHCPRLTVLRLRETWLCLSIAQMRTVDPLRQLELVQFKEVLFE NPQRLMEPHIFSTLVAEWRRIFPKLREMPCHADIYGLDMKKGDLEEISERDVVSLSSY EEIPLSVLEDTEEYHSSETTESDMLTLSSDEEISSDESEDAGEEEEEEEEEEEAVFPG EQELNYNYFGSDWVFLRIKLWRELRYGPSQTAYDRFTSIWRTNLEIEKIGWPVMPLEA YSDPESY TSTA_052110 MDVISEPSKSNLEISIIVVGGTDDTGEWFDKDKDSFANDFPTAR LILCRWSRGQSDENDDVGRDLLDLRLGIFHQFNPIIFFVYSSGYPAFEKAIGYFEKRG LPLLSNIFGVAFIPETDEDIPLCAFPLLQNKFKIFSGTRRQHDSATIRQPTIMTGIIC DNLTLNENTGSKYRQIRSSFLQWAREVDSPRQAASERPYPTFGKIQFNGAISGTNVYN NIRIPPGSNINLTFK TSTA_052120 MTASLLTLDVKGAFDSVLPGRLIRRLREQGWPTNLVLWIASFAT GRSVQIQLDGEIGPSTDITCGLPQGSPQAVSACVLHKAYYGAETWWPGRTRPGPSQIS NRVGEHLEKLTKVILTGARAVLPVFRTTPKPVLYRESGFSPPEIELDRIALLATVRLW HLDPYHPLQRRAEQIASNGRQISRFARHTLALPNSEQINPLQYAPWYPREPRGNAQAR IGASMGRTKEQAAANFMAFQRTIPSLDIVIFSDGSRLVDGRAGGGYIRL TSTA_052130 MIYHYPTQKLNVGHAPDAHEIALTLAYISDIDIILIQEPYTFKD LSRQITKKHLSYECFSPTDSWAISGRPRVLTYVRKKKGIRTFQLRPFTTDTKEASDLL FLQIFSSTGKSALIVNIYNTPAGSIRAGEAAKALTTLPEAYLPQATILAGNLNLLHNR WQLSLYCSPTPFGLVLISDIDCPTHERGNVLDLSFASSPLALAGAKASIASHLDATSD HQPLITTVLWDQRYKETAQKLRFNTLDHTSFLSLLASNLAGTESSAATEEDLDAFAEK LTSAIQGAYRGSAKRTMTQGIG TSTA_052140 MARKGPGTDGPLQTALLESTSAATTRASEGQKIFSPIAAFLDKH RSQTTGLAPHLLRALTTLSDDLASVAQQHFNAYISGISTTFILPALSPSPSSSPILNP LPSSPPPSRPPSGLNQSTYATITQYAPVKSTPTTHSKSPVKKPMPLVKQPLPDNRLFL EKWKPTPSTLAYGLN TSTA_052150 MNNYKEVAYQLENLEDESAPFLTMGRRFKFTKRSVPPRPPPPRL VPKPELPLRDESLGSSMSENDVQEVLHSAATEFKPISTTYGPFDLFAAIDPVTGDSVV HRAASVGNTQFLSGLLGCWGRRCGNDKKPLGSFWVLMTHQNLAGDTALHAAARHGSLQ GAKAVYRLLHCGFVHDEEHDEENPPPEEWEWDFQEDLIFVYKAVIFVCAKNKDGLDAA ALAQESGNNALIKWFEDLLRRIDPDGKRNDDSYIKGAWTMVLKHYGYYIKDIVS TSTA_052160 MWSFLYATVIVGLVASIAAQNIKPVVELFQVDFTTNNQGGCNYV AQANMQQILQDAYDLGTIGSQLVSDYKNNVVEARRLLDSFFQVQNPPMNENELEDISG AYKQVSDWILNGGPYDNESRDNTPFLFCFHNWLQKKTMNDPAQDENGETYPNVESGGA PFLIRDSEVYRNMQQKETEEAELDTPAPPYWSYKHHAYIFDRSYGGPNTGMCSRRKYA GGTQHVLNPSSITLCPFFFGTTNARSGGIKYVARRSVAPNPIPRASVKTGLKNAQTLS DVTPTATTLLHELFHLVSGNELTTPPPPGEVYGLLKGEGGNPNKIVGLDFDNASENPE SFALAAVAYDYTLNWPPDNAGNRIEFEAGYTTQG TSTA_052170 MKLGILLTSADPLDKLLIADGAEFDSYADQHEYFCLPGTRVELL SQISEWAESSNGKCIFWLNGMAGTGKSTIARTVAESFKNKDQLGATFFFKRGEANRSN ARYLIPTITKQLVTKHQRLIPAVSKVIEDNPNISSKSLSEQFDKLLLQPLVRLNMNQP ATIVVVIDALDECDREDDIQVILRLLPRLQNVQSVCLRIFLTSRPELPIRLSFKQNSN HQDLVLHGLPQPVIEHDIHLFLEHKLSEIRDEHLLPPEWPGNKNMEKLVKMAVPLFIF AATICRFVGDRDWLPEERLTAVLQDEAATSTSDIERTYLPVLNQLIVSRNKRDCEQFM EEFQNIVGVIILLATPLSVIALARLTGIPQRTISNRLNRFHSVLNIPDEPHQPVRILH LSFRDFLISTTSTFHVDEKETHRKIVLHCFRVMGTGLKQNICSLSSYGIERADIKSQT VNQHLSTDLQYSCQYWVYHLQQSRGYVSEFPILPFLKTHLLHWFEVLSLMGILSEAVG NIDMLQAVVAKNTNTEISEFLYDARRFILKNMFMTSIAPLQLYHSGLVFSPKQSVVRK MYSDNIPKWICPLPQVEATWSSNLQTLTGHSESVNSVAFSSDGLTLASGSSDQTIKLW NVKTGQELQTLTGHSGWVRSVAFSSDGSTLASGSYDQTIKLWDVKTGQELQTLTGHSD LINSVAFSSDGSTLASGSYDKTIKLWDMKTGQELQTLTGHSESVNSVAFSFDGSTLAS GSHDRTIKLWNVKTGQELQTLTGHSDLINSVAFSFDGSTLASGSHYGTIKLWDVKTGQ ELQTLTGHSESVNSVTFSSDGSTLASGSHDRTIKLWNVKTGQELQTLTGHSDLINSVA FSSDGLTLASGSDDRTIKLWDVKTGQEPQTLTGHSGWVNSVVFSSDGSTLASGSDDQT IKLWDVKTGQELQTLTGHSESVNSVAFSSDGLTLASGSSDQTVKLWNVKTGQELQTLT GHLSWVRSVAFSSDGSTLASGSDDQTIKLWDVKTGQELQTLTGHSDLINSVAFSSDGS TLASGSIDKTIILWDVKTGQELQTLTGHLGWVRSVAFSSDGSTLASGSSDKTIKLWNV KTGQELQTLTGHSDSERSVAFSSEDYLIPILHMNSNPNLSHLDHQLSLSNNWVSMAGE KLMYLPPEYRLYICLAVKGVTIALGYSDGRVIAMGFHIQ TSTA_052180 MYPEMPSIRVTALRLRLEGNTGYAGEWKGPYKLLSVDGETCTIQ FPNGPKQFRITVVRPYYKAPDENDQNTDSEHTNEGPEVPSGTNSTPPTPQDDESDTPT PQARPAQRPQRNRQLLAWYRDDLIQSVFAQFDQSQEKEINGLLENGVFEVVKVDDIPE GTRIFNSWFVNEIKNPGTDKAFEKSRLVVQAYNDKGKEIILTQSPTIQRCSQRLLLCL TTCITNTHLFLRDVTQAYVQSTTPLARNNFYIRTPPELVHLFPPGTILKVVKPLYGIP EAGNHWFRTYHVHHTDKLNMTTSTYDPCLLHCIDQSQGFGIVGMQTDDTLILADNAFA NREENEIKRANILCKLREKLTPSNPLKFNGGLIAEDAQGITLTQERTCKLIRSVQDRH ADTTSSRGKVRKDVSPQEQYVAQRALGAYIASVSQPEASFDLSFAAQITNPEKDDIKS LNKRLQWQLDNAERGLRFVRLDLDSLRLVIFVDSCFANNKDFSSQIGFVIVLSDAANN ANIVHWSSIKCKRVTRSVLASELYAMVHGFDSAASIKSNQQQRSFYISLSPFPLLSAL TRRAYTNASSN TSTA_052190 MDELPKSWNPRRNNLWNYSKAKKARGGLKKSKDLTNQKPTPVPL ASTSLYNSAFSTAQIPVVNTSESTTRRGPWIAPSTTTTCIKLYLSHLKDDPQPYNDQV NHDLSASVLATVPKVVDDFAHYQVTRDSTTTLSLYMQPRSIEGSWVADSGAANNIADD NATFLKYRKYSPSDVKPTFTCSNGTISTTQAVSPILQHRRLAHLGHKRTKLAEKLGIV EPSDDQHEFYEVCQLDKARQIISRANMPKAMKVGGIIYVDIQHIKPTAHDGSNYATFI LNNYSRMLEARFHINKDGASKALIDYCKEFHNSAGCWPVLIAKDLGREFNVFNNWAKN LGILLRHSLPRTKEPNRAIERLQFFIVQISQVMIIDTGLPPNLWPFAIDTTIYIHSRL PLVDRKEALIQIWRRGLLLLNPTLYLEHLRVWGCKAYVYIPIENRVKAEKVKPKALIG RLVGYVGDHGHVYRIWYPDTGKTEGDEDGTLDIVNDVQSMPKAGWGKPISIKVFDANT SSNRSERLLLTGEPSHEDIFTILGQDQETTFNYHENQYAQCHLVTLSPSPENLASDTP IESVESDHKPTSDIQDIQIHDSPPENNNKDLSPDQMQLLQETAATQPAEPQRLELRRS SCSTKGQRSIASFKEEQTTQAEQERLRRAQKKVKFAIETEVNDDPNESTNPNALIAIA ATGLTKRK TSTA_052200 MFQEPYVKEILNRFDIDHCSGHKAPIEPGSKLTFTGESPDPGFL EIYQKIVGSLNWLEFKTRPDICFAVRFLQWKQKEPIKDDMEHTKGVLRYLRAHSNLGL TLNKDPKRGLQLFTDSSFTDHPDSKSSQGWCLFWAGCPIAWDTAKQTCVAPSSTAAEY ICFSDGMKHALYAKRILIILRSKEGKPTITLYTDSNNAMDALKKRSTPAVRWLANRYH FVRDILEKGEVVVERVDTDDNVADGFTKPKNPVKFTEFLKQLGLEPIDIKVDEE TSTA_052210 MGEPLSANAIRLLNSIKDKIDKNDAQLVGTAFNWGQRYGGGEVD KLIKEMETELRTELRKKYNVFTVAPMKFACASRMEKDTLVAPMETFVFTPREGEKYTV EKGSIIYMNDDPEVSAPSASQSSVDGFLIITKHVSQV TSTA_052220 MGFMHETRTFGYKHRIHTEDFGIDDSGILAGHYSSHTAAFAADS ANEHPGATPHTIPNASIDISNFSPSRSPKSSPNTRPNGNLASTSSAANGNSDTESNIQ HNSRSKDSTSSVQSSNGSSLTNLTEDDEVVPPNPKRPCHRRQIPSNELFCDQNEGTTR DANRDDNRIGPTPDSAACDGDGVPMSQNSPLTEHPYEEIHITAQTAQQVNSEKSPTPQ VDSRELLNDLSVGSLYQVHQVNSSDLLDDISARNTYSAPRVDSRELLDDLDVGLYQVQ QASSSDISARNTYSAPRVDSRELLDDLDVGLYQVQQASSSDISARNTYSAPQVDSREL LDDLSVGLYQVQQVDSDELLGDIRRARAIDIDIDDILREADNFTKSYDWLALGADTSG SSFM TSTA_052220 MGFMHETRTFGYKHRIHTEDFGIDDSGILAGHYSSHTAAFAADS ANEHPGATPHTIPNASIDISNFSPSRSPKSSPNTRPNGNLASTSSAANGNSDTESNIQ HNSRSKDSTSSVQSSNGSSLTNLTEDDEVVPPNPKRPCHRRQIPSNELFCDQNEGTTR DANRDDNRIGPTPDSAACDGDGVPMSQNSPLTEHPYEEIHITAQTAQQVNSEKSPTPQ VDSRELLNDLSVGSLYQVHQVNSSDLLDDISARNTYSAPRVDSRELLDDLDVGLYQVQ QASSSDISARNTYSAPRVDSRELLDDLDVGLYQVQQASSSDISARNTYSAPQVDSREL LDDLSVGLYQVQQVDSDELLGDIRRARAIDIDIDDILREADNFTKSCEKSSYPFTIPA THVS TSTA_052230 MDYKRAISLEMSTTIPRAKRPETPPRPFLSIPFLRDPDFVDRGT ILDQLHSRCAAPGSRTALVGLGGVGKSQLAIQYAYEAHERSSETWIFWIHASNAARFE QSYREIADTAKLFGRRDPKANIFKLVHDWLRDSKNGKWILVLDNVDDAHFLLDRPDST QAQASHENGGADRPLREYLPQSPNGSILITSRSREATLKLVDQRDVIVVEPMDEAHAR VLFDKKLGKQDEKQDKDQDIPELAAALEFIPLAMVQAAAYISDPDRGCSVRQYLNEFQ KNDRKKIRLLDHKDGQFRRDWEAKNSVLMTWQISFDSIRQNRQSAADLLSLMSFFDRQ GIPKSLLRDHGRQRNTELNESDDADDSQSESSIVDEFQDDILILRRYSLISINVDRTT FNMHSLVQLATRRWLEVNGELEKWKGQYVQNLNAQFPMGEYENWAQCQVLFPHVKSAA LQRPQKRDSLLEWATVLYKAAWYDWLKGNGAKGEQLSVKSMKARKKLLGLEHEETLAS MQMVGSIYLIKGRWNEAEELFVQHEQPGVNIQGSRTVERGGKAERAGDGDKRVLGAEH PDTLTSMANLASTYRNQGRWKEAEELLVQVMETMTRVLGVEHPYTLSSMANLASTLKE QGRRAEAIDLLRNCERLRMKILGVGHPDTLSIAAALISWQTEKLEIDVSAANGTHGSC TLRAV TSTA_052240 MATPEPTSPPRATSAAALEPSDSRQNSARLNRDERIRVLTLRDA GFTYLQISQQLQISYRQVQYTCQSQQATPKKARGNPPKLSEAEVDHIIEWITSSKRTR QLNLSIGKHALARALKKRGYTRCKALNKPPLSDKHKQVRLAWALEHLNWTTEQWNRIL WSDETWVTSGFHTRIWVTRKAGEELEETCIRSSPARKRGWMFWATFHGNNKGPCLFWE KEWGTINSERYCQRVIPIIDGYIRLLRDDIWLQFMQDGAPGHASKETLEELHSRGIYP IYWPAFSPDLNPIEAVWNWMKDWIQEQYPDDEQLSYDRLREIVRAAWDALPEQFLKEL IDSMHARCQAVIDARGGHTKY TSTA_052250 MRLYLFYLTIPEDMDPFSITVGALGITGFALSSIDHLRGLIGSL ADAKEVVQDIASSLEAIQRPLTALEQLKISDSATYAEAKSDLEKTGVVEAVNKCGQAC ADFTNQLQQWTKHSSNTKLSLRDRLSVGVWNKEKIHTFRTQVQSCQAIVQFAIGSTQL LVQFRSEYTSKTNREELKTGFQNLEKAIKEHITLTKELQTEALERKEELQKEPEDEED GGAQRTLAMKEVEEQSRLLEVNRTASEVVASKLRDTLLGQRGGNTYSATFSGSHNSGM QIGYSSGPITWNSNSKDNQK TSTA_052260 MTSLTHNDYTIAWICALPLEVAAACVMLDKMHSGLPQPFNDSNA YQLGELNGHYIVIACLPAGVYGTVSAATVISRMRSTFPRLQYGLMVGIGGGIPSKNND ILLGDIVVSKPVGKYGSVIQYDYGKAIQSGQFEPTGTLNKPPQVLLTHMSQMEAKQTT GGENDILKIVEDVLERNPDINERFSSPEQHMDLLFHPSYHHVTGEDTCEDCDKEQLIK RHPRETRTPYIHYGLITSGDKVVKDSETRDRLAQQHGILCFEMEAAGLMDKLPTLVIR GICDYCNSHKQKQWQGYAALAAAAYAKLLLSVIPNNRTDMDLMKCKKMQQWMVSLDRN PRFVGREDELTKLEEFITLNDGPRRIAITGLGGVGKTQVALELAYRIRDRDTECSIFW IPCTSYAMIEQAFLHIAQILGPPDVDPAEVKERIQTYLSTEIAGKWLLIFDNADDTEM WLAGNNTSALEDFLPQSEHGHILFTTRNGELAVDLTYSNMIHMPDVDRKAACDILESL LSQKSLLDDHSMTANLLEQLAFLPIAIAQASAYINKKRLSLLEYVTLLQEQEQDAVEL LSEDFRDPGRYKDIQNPVITTWLISFKQIQHHDQLAADYLSFMACINPRNIPQSLLPQ PTSRKQKTDALGLLNAYSFISGRDLDISMHRLVRMATRNWLRKNALFSHWIQQVAEQM QRVFPDNHHTKRGLWREYLPHALALVHKNEFVVQENNYLDLTGKVANCLASDGRYQEA EHTSTLTSMANLASTYRNQGRWKEAEKLEVQVMETSKTVLGAEHPSTLTGMANLASTY RNQGRWNEAEKLEVQVMETSKTVLGAEHPDTLTGMANLASTFWNQGRWNEAEKLDVQV METSKTVLGAEHPDTLTSMANLAYTWKSQGKMQDALTLMKQCSYQRNKVLGPSHPDSM SSFRALNNWMDEYNALIDKAPLTGNSCLQPLREVAAGSRAAVQTTQSTRGAHINLPYA PAVKSFLGNHPLIIAARTPSPRAEGQDIQDVD TSTA_052270 MAHTLPDTAIAASNNGSNINVYFQVTDGSIVEHLYRFIPGSDSA SWIPNPDPVVKAGEAKFFTPLAAHVGFTKHFAPQRHVFFVDKKNYLREVYLSGEDNWT AGDLDTLQIEVAPYSQIAMVSSKLYYQKADGYIYTVTLSEGVWTRDSIALSTANKPML GCGIAAASGEWFTQSNSLAIEMGGSQTTIQSVLPSPSSHTSLAAVGDGTEYKCIFYTT NESKLHECRITKDGQQSTYIACVPPRSYFAAIRQRPGDGHQDVISIFVQTGQGNKISQ YKYTYPKGWQLAVDAI TSTA_052280 MSPTLDLPTFSGPLTAVALNEWMQKCFVKATHYMNDNKIAEKNE FLVAHIGDKISDDGDTHDLADWYQSSGYLIRSWEDFREAVMTQALGSQWLARAIGDLY RTKQGGRSGEAYFRDLKEKLSVLEQSFGASNTATRRKLPLDENHFKYLLLFNADPTVL EQIINSRFNILDANVQTMKEEIVTASQTTMSASKPNNEDAPTASSKPQVQSLLNARWT AHDFHHFSDRVQCVAFTPDNSVMAVSCSTKTLWFVDLRSGGVHFKVDLPKLVTRLKFA GNDYLVLPLEYELRVWKWRTTSFESGSQIILPETDHFRQFAISQNAQRVAVQTPNNVI FYSLITFSQELSVPNVGGEQVAFSPDCNLVANRRPPYIYIYDVAQRAVIETIHTPGKF GTFSLAFSPTGKHLALATNEPCIRVWSLNNKGKVNRGEGNQGEVIHLQLPTVTWLVYS PDGKIFACLSAENIVRIRDAETATELTSFEGSEGLRYGYGVVFSPDGRRLATFPPAND STVVIYKATWEGVS TSTA_052290 MSVSCFAAELSDQINGSRGNVPIRAVLTDGAVTVEPTNVTKATS AELALQRISSQPDHGTPDFLFNAGNSRGGETLFRWGGFRMSLVLRWGPQAITAAATVL DDNEALINGLADLFPQTDTIHLSPHLPEISRQTESKMTTLFTPLKVGRVQLSHRIALA PLTRFRNDEITLAPIVPLVKEYYAQRASEPGTLLISEATLISPQAGAYYYVPGIWSEE QIAAWKQITDAVHEKGSYIYAQLWALGRAADPKAMRAQPGAENFEIVSSSPVPLDEGY ETPRALTEDEIQQYIKDYAQAAKNAVERAGFDGVELHGANGFLIDQFTQTCVNQRTDR WGGSVENRSRFALEVTKAVIEAVGADRTAIRFSPFSNYQNMGMPDAERESTFAYLARE LAKLHVSFVHLIEPRVEGNMDVENPQGSLQFFLDAYADASPVVLAGGYKADNAKEAVE VRYKNRPVIIAFGRAFIANPDLPYRIKKGVEFTPYDRDTFYNAKEARGYTDYPFSEGF VVGVKA TSTA_052300 MPQGRLDVPLTIKGVEIKPMDSIKYPGFYLDTHLTGEVHVQEEM REKAAKLVAGLSSIAGSTWGTSLVHLRKIYMAVLQPQIIGFAGAQRAAEQAIRSIQDQ ALHRISGAFKRTSRQALEICLHVPPAELTLAKLAEEACLWIMTSPLRSTPYHVRGQAH RNDPYTSPLHRLETVINRKLGRDTTQRIETIYPFVVPPWWEPPEARIDNTREEAIMNV NEEVVAAARGITTGLEFGVDPTTNR TSTA_052310 MQTDNYLERSAHTEIGTDDLNQHLEDAALDGDEVTVRSTSRGAT SDTTDNDGFTPLHRASYRGHRDVVKLLLENGAEIDLLDEGGQSALHLASSEGRTDVVE LLLENGANIDLQSQSGRSALHFASFERRADVVEVLLRNGAKIDVTDEDGESALHIASS EGRTDVVELLLENGANIDLANKQGRSPLHLASFEGRADVVEVLLRNGAKTDVTDEEGR SALHIASSEGRTDVVELLLKNGAKIDLQSQSSGSALHFASYRGGTDIVEVLLRNGAKI DLTDEDGQSALHIASCKRRTGIVELLLQNGANIDLADKQGRSPLHLASFEGWKDVVEL LLQRNAKVNLEHSTGWTALHLASTGGREEVAELLIQSGAKLDLTDEEGHSALHMASSV GRKGMVELLLRNRAKIDLPDKEGQTALHLSSSEGRTDIVELLLRNGAIIDLLNSEGQS ALHLASSEGRKEIVQLLLQNGANIDLANKKRWTALHLAIFKGRTDVIKLLLQNRARID LTDENGQSALHLASSQGSREIVELLLLNGANIDLADNEGASALDLASSRGVKEAIEPL IQDRATSDFRVHSGSDSAIYEGRNGVAGLIFRDKTERSIRRVETPRTSALVDTRNSLW GGVHRHNGDGFTLAKYDNHSIFFEAKLEFIRFSRQDEDNMHAVHLKLNFMRPFAESHR IRYAKVDIMLSAGDSGKEPNIRAIRPQADRVQVSEQEITTGQKLTFGAAGSGGPSSLN INMEASKGRKTTFQGVRIVHGVIKDRTHACWSLYEEPGSKSGLPEVIRLLVLVHCEAE FDLRLSMSVKASHSLALGLRRTLKAKESVPYRVPDLKTISELEDSLKVRQILDVADRA ASLVTEAKRLETAFSQTFQDHERRALIIEAGAKQSYVKQWTEIVNSSKAGNFRGLTER LVESEEAKHQKEAERTSRNNVSDNRFAHYPPAPTGPMPPSHGPVPAHPQFNQPPPTGP MPPSHGPVLAYPQFNQPPPIGPMPPSHGLIPVHPQFNQPSLTGPMPLSHGTIPPPPIF NQRPFLSAIPRESLDVNDQTTDNILDSFSAVGPAYTVSRLA TSTA_052320 MTASLLTLDVKGAFDAVLPGRLIRRLREQGWPTNLVLWIASFAT GRSVQIRLDGEIGPSTDIACGLPQGSPVSGILFMLYIAPLFRLGNSRNKFSYADDAAN LAISTSLATNCEALSDSLQEALNWGAAEGITFALDKYELLHFSRRKADQDPTCTPSLG ILYDKKLTFKWHVGKIASKALTVANALRSLGNTARGVKPYLLQQAVLACVLHKAYYGA ETWWPGRTRPGPTQTSNRVGEYLKKLTKVVLTGARAVLPVFRTTPISVLYWESGFSPP EIELDRIALLITVRLWRLDPYHPLRRRAEQIASNSRQTSRFARRILALPNSEQINPLQ HAPWHPRETRENAQA TSTA_052330 MSKWHKSIGTFRSPSLKDPLRPSSLPAVTIHEKRDVLVRNLLQN SAEAGDIPLDSPAVPITSLYFPDISMSQVEESILQAGNTAPGADEIPTCILKVAWLLI KDKIIQTDRSPISSWQRTRAPSGTEYGMDLYTLQSISETI TSTA_052340 IRTSQLRPFTSDTEEASDLLFLQIFSPTGKSALIVNIYNAPAGC IRAGEAAKALITLPEAYFPQITILAGDLNLLHNRCPTTFAEPFINWLDLQGLVLISDI DCPTHERGNMLDLSFASSPLALAGAKASIASHLDVTSDHQPLITTVPWDQRYKETAQK LRFDTLDHTSFLSLLASNLAGTESSAATEEDLDAFAEKLTSAIQGAYRGSAKRTLT TSTA_052350 MEAYAIYSSLRSQLNSNSAALKEVQTTKTGFALCPSSPEALLAL EAQKEIISAFFVNCQIERSSQWVSYRVTNVPRKIGQILNGQYSLIPVNPTLLSSEISE TTGLKPTSISETTSSAVNPDTPSSSWFMNFPEGMKTPLPIQLHLFGTITNAHPVPAPL DAGYVAPQSILKRAMPIAAQPWNLINALLDAYTVMDHIQLISQNASYALEAILNILKL NKQRSANPAPQTSQKLKLKKDVALSSL TSTA_052360 MSDKPERVFSGSRRRVPWDRTKTSVRLLEASECAKDWAAQGILD TLLARPLTRLNAPGNTMARKGSGTDGPLQTALLESTFAATTRASEGQKIFSPIAAFLD KHRSQTAGLAPHLLRALTTLSDDLAS TSTA_052370 MASQTLDNSRQPLLSNDPEVFGSATSHRSEAESEPTRIPDDDFM TETGFNTVTGHKKIDPTLWEKDAGPGDSVEAAMYELPLVITLTMNYSNGFMITSKNGL WSIHKLDRTMRSKLKDFLQIRGVYLDHRGKKNISEGLVELLQMDIPPRWPDDMIAGKK FDSRSRMALGQQAQLTPRSDTTPLAKRIENHNRDHLIGLPYSDKEKEETTLGRDPERR INNNPLQLETHVRDLDSHTPLTGANAVPIGTPAPSPIKISTTPPPRPSTSLTSARQLD EYMRLPPTEYEQEDIDPSLAAKFSKAWDKAESYSGERYDILDDKVLAFLRVCRLIGVQ LTQCWILFPEMLSGRVKTYYMHYIGQDASFTDAYKAIKAYFDMDSNHRVYYQD TSTA_052380 MSTTLKDVLCNNPSKTLVEAVEILIEKLHLCQRALGNAACQDSP EMSDALSDPATNFETLVSRLRARAAVVQGKESASQYLTRVKANSAPNGIGEDNPMTLY TDRKFLGRTNQNNRQTPRQGYRRQGRDDRNSRQQGDRKCWICHRSDYRSFKHSDEERR RAREQFNDYRRVDGRRSASDRIYRAFVMDFKKGCIIESDSEEDDVEEEDDIEDDATTY FMINELQDRSFIHWISGYHDDIDQEGFHHKLEVRELDQSERKDGLLEPASQFVLEHHE GEIFQGILPDTGAAKVSTVGRRQLAALQRSYPEITVDRTRAGEHSIRFGQGESVHSEG AVTITTPIGDVDFHIMNTPTPFLLCLDDMDKHGAYLDNIANCMVKGDVRVPIIRKRGH PWFFLDKKQAPVTFLTEIEMRRLHRRFGHPAVDRLHKLLKRAGHDDVDYNNLAEIEKF CHHCQMNRQAPRRFKFTLNDDREFNYEIVVDVMYLDGKPVLHVVDWATSFQAARFLKS LSAKDTWEALRAVWIDTYLGPPDVISHDAGTNFAALEFKTEAKMIGIQCHQVPVEAHN AIGKVERYHAPLRRAYNIILAELGASVDKDMILQMAIKAVNDTVGPDGIVPTVLVFGA YPRITTDSPPSALTARRAEAMRKAMAELRRAMAER TSTA_052390 MPALPRHRGRPRGSKNKPKAYAKVFISKKERDDLELAVKLQRED KIATNGAPFELSGKTEIDSLIANGTFKILHRADMDLRGIRIFNSRLVNEIKGKNEIPY EKSRLVIQGYNDAGKNKILTQAPTIQRASQRLLVSLIPTLIEMGMVVEIWDITQAYTQ AKTKLERLIIVNLPIEMQDKYPPDSLLLVEGPLYGIPEAGVHWFGTYQAHHLNKLNME TSTYDPCLLISKLGDDEFGLVGMQTDDTLLIYTEKFSRGEQAVLQEASFKAKPKTRLS ETKPLEFNDARITLQNGIVNLQQKGQAARIQPVGIEERAQKYIEQRARGAYLASICQP EAAYDLAVATQLQEKDRSDSDYEALNKRLIYTAKMITSRLDLPAMPVIICTDSFSLYE CLGKLGTTKEKRLMIDIMALRQSYEKHEIHEIRWIHGDDNPADAFTKSSPNKALRDLV DSNKLTVRVEGFVERTGSD TSTA_052400 MEEIERKVFEAKPWKAPGEDGLLAMMWRQLWLVVKERIIPLKKP AKDNYSLAKSWRPISLLSTLGKILEVVIAERISYAAETFSLLPTNHFGARKRRSAEQA ILLLQEEIYKAWRNRKVLSLVSFDVAGAYNGVFKERLLQRLKARGIPDKMVQWIDAFC SGRTATITVNGQISEQRELLQAGLP TSTA_052410 LTRTQIDIDIPTHEPPRRRNWAAIDIKVLQELLSQLIVPRLVNA LKSHIKLATVAFTAAIRKAVDQSVLWARLSAWSNPDFTRKCKEAVQTCRQLRCQLSNT HNPWIWRAYLQARNKKKCLQAIEQGPLGLWKLAKWAHSRNGVYKSGITSTLQDLDSHM AETVEAKTQLLREAFFPPISVTIEFPEILCHKIEHVICSIPPDKVLGEDGIPNSFWHK IIGILVIIDTLYEIFNACHLITIVLCKDGNRDYHIPKAYRPVALLNTLGKFLKAIIAR HISYAMESEGLLPSSHLDGRKGISMDHAIQIILDRIRGA TSTA_052420 MYTCSTWYIRGGRGFTGAQRAAEQAIRSIQDQALHQISGAFKRT SRQALEVCLHVPPAELTLAKLAEEACLRIMTSPLRSTLYQIRGQAHCNDPYTSPLYRL ETAIDRNWGTIYPFVVPPWWEPPEARIDDTREEAIKAIEAISGTDTTIQFFTDGSGFD NGIGAAVYSSIGQAYKPVGSSDTHTVYAGELEGIDAALEILLRSQPCDDNPHEATIYT DNQAAIRATCQPGRSSGQYILRRIVRHLGLLRDNRSRWRVRLQWVPGHEGVPGNEKAD QLAKLAAVEATRRTQENAHIARISAPNQTTPHAARISYIPNQSTILMAVCRQRLHAGF AKRWKEQWEHANHGRHLYRIIKAPTKMVLQLHEGLRRAWSSVLIQLQTGKSALRSFLA SVRIEDSPQCECGLGDQDTAHVLIRCPTHINLRMETLWKEARETDYRKLLSEPQWVRQ SIEFMMRTGLLTQFHHVTPLTTTRSQ TSTA_052430 MGPKRGKKGTPWPEPRFADDPDIRAYVAAAIKDLEALKKHQEAL EIGMPQSYVQAWGRKVPSNPPTVPSVGLSSGGSLPSTPYPSQEDLEVYLEHTDPNILN PIRRFPDKVVEKANLAIRSTQDTTIAHRRIAAARILPSGDIILLLGTVDDVDQLTRKK DWIRAFGNEARIRKRTWGVVVHGVNTNINPKQPQFITTLTSENAPVFAQLPASMNVTH TGWLLSEYKIKEQKLTNAHLVVIFDDERIANFAIQRGLIIKGRQHNVSIYNKAANLQQ CFKCQMYKHIARHCQRQICCAYCAGSHDTGDCPTPKEKEYAKCANCTAENVHIKDPAK RLNTKHFAYARECPIRATCLAEAHQRRTYGPQYHTPVIRPGNSQPGAISPNDPTPAEA ANTERSPRAPARTATTRRSANSRNPXTRAQHIVLGTSTTSPAVGGPAVPTRDEEATKL INLMDEHGLEQLLPPGTITYERVNAKSTIDLVWASHNLANRVYGADHVLISTQFDLTA IRVPPLVRKQWNATDWDLFLKLMDIYNWHPRELNDNEAINEAIRYLVEAINQAAEQAT PTKQISIYSRAGYTPEMAKLKHHVSRCRRHARRINTDQAWEDYAEARKEMKRRTNELA RDLHRQRIEQATESIDGFWRIARWVRNRGKPRATFTPTLHYNNTSYTAPKEKAALFRE VLHPEPPEADLSDIGPQYRYPKLYTMPPITLDEVRTAVTNVKPDKAPGPDGIPNLVLQ RLLPTIEAYLVNLFNACLRQQYCPDHFRKSTTVILRKPGKPDYSDPKAYRPIALLSTI GKALESVLARHLSYLVEQYNLLPKQHIGGRRGRSCELAIHLLLEETHSAWREGSRVAS GLALDAAGAFDNVNHIRLIHDLRKRQVPDDLIGWIESFLSNRRTSITLLEGNMGEFLV NTGIPQGSPLSPILFLFFNADLIEQILAECPDVIVLGYIDDIFIMTYGTSAAANCHTL TKVHQVAERWERTHASKFAPAKYQLTHFWRKHQMVPKPSGRLDVPLIIKGVEIKPTDS IKYLGVYLDTHLTGEVHVQEMRKKAAKLVAGLSSIAGSTWGTPLVHLRKIYTAVL TSTA_052440 MEFSEWEVQRRDIDKIFEWMKRTVCHSYLKTCVHVTHSWKEAYN NLKTQVGQGSREIQRRIRDDYNQHMKPFRGTPRDLEAWLIKWEEIMLQGKKKSMFLEA IRPLDEAWVTSFEHSIDPKIDDKSLTYKDLSNGFRRLIPRLRTKRQSRIIKGSFATHL SKSDDSEEENEESLQRGRAVERGRRRSRSQSFEGGQRSGFAPDKRGGPKPTCPACGGM HFIDFCFYIFGYKAPQEFEPRLWLQQRADRWLNSNAPLAKENRDKLLLKGKNDLALEV DPKSDENL TSTA_052450 MDNEIFLHRLEVKEWLITKHVTIEPSTVNNQGQNGAAERSGGVI KDKARAMRNGAKLPTDLWIWNPLTGRIVRARDVIFNEDEVFSGDIQDIKDDLLHVSVE ELTILLNKIDIRVQSGEVEDNANFGDEMEDLVFDRNRHNDERTTTTGSVTGSGFEDSS QLDSDYPSGPSLTPGEGLLEGIDKYAYPTPPDTPPSALLAASITIVHENDLNLRQSSE HAAGTSTGGVRPRGSLVQEATTKEGPRGALDGVGTVRSRGSRAEFGDRPRGSPNLRDS MVGLREAHGRHILEDGPRGSLEKNGVGFREAPVKTVAAALTTQRGELNPWRFAFLAGT RHRQYEVNTVKFDHVSLQRRLRSGRRLQSVLVRDLPPAPKSHREVEKHPLGWLFEEAE RDHLKSHDPSGSWTTVPIESRLVKCKARLVVRGDQEKRDDTRDTYAATLAARSFRTFM AIAARFNLELKQYDAVNAFVNAILDEEIFMRMAPGYRESGKIYRLNKALYGLRRSPLL WQKELTSTLVELGFKTVPHEPCCMLKSGIILFFYVDDIVVAYKKSHQPEADSVMNQLR AKYKISGGGDLEWFLGMRVIRDRSNKVIWLSQATYIDKIAKLADTRQTDDTPMAREEL LPYEGMATYKSNHQYQRKVGSIMYVAVSTRPDVAFAVSRLSRFLSNPGPKHHQAADKV LCYLERHRAYALRLGGGEDYSVSTDASFADNTLDRKSSQAYVMTLFGGTIGWQANKQD TVTTSTTEAELLALAQGVKEGKYVLRLLLELEIRFRTPTLHVFCDNQQTLGLLEKDAP RLRTKLRHVDIHNHWVRQEVQKGDIQVHYMPTKDMIANGLTKALSKQEHQKFLNQIGV EDIDSYLTPQQKDMENPDIEELLSLNDVPDNL TSTA_052460 MGRSSYTANQYSKGEGISRCAGCVHGHHADTPSAKESNSGRYNE SSQATHENYELRNPFAEGAFRWVAKGRYVSGPRKGQQCVSKWFKTGAVFSSDYFTLDI KAVDKALELVNRFNQLNIINKVIKINVPAVWTFTDDSHEDWAGQKVLCEPFINNYQKF NSNSGWNDDALPWGKVMQALSHFSYHVSGGFYVLCDLQGGIYQHEVVLSDPVILSRRR EYGVTDLGPDGISSFFSQHECNNFCRPNWTKPANPVQHFRPVPGTTMIRRTVPTGYSR PHGTRH TSTA_052470 MAGATSVSASNGSSTSGSLPGSTNKVLPPLIISVKPDSGPTPGG NTVSIIGLGFTNATAVAFGPTPATSFTVASYETINAVVPPGPRGDGTVSVRVTGSQGI SNTMSYTYKAHPVIDNATPNNGPPTGGNTVIITGSGFANATSVTFGSISATYFTRVSD TQIDAIVPPGSSSSTVSVHVNGPGGISNSIPYIYAIPVINAISPTSGRVAGGNTVVIT GRGLLDVTSVTFGSNHASFTIVSDTLIDAVAPPRLNGIGTVSVYVTGPGGITSNGIPY SYNQIGPVIGTISPTSGPIAGGNTVVITGFGFTNITAVTFGSNPQIPTPFTIVSSTVI NAVVPPGPSGGGTIPVYVIGSISPTSGPVAGGNTTVITSSGFTNATAMTFNLTSTSFT ITSNTVINAIVPPGPSGGGTVSVHVTGSSGTGTVTYTYTLAPIVTRLTPAAGPIAGGN TVTITGSNFSNATAVTFDGILASSFAILQPTQIVAVAPPTTAVKVASVVVTTPGGSST PGPGSEYGYTTAPSTISVSPALGSTAGGDTVTIISSGFRGTTSVDFGTTSATSFTVFS NTEINAITPAHSIGTVPIVITSSGGTNSTLSFSFVMPPVLTLIAPTSRSAAGGTVVSI TGQNLASSLNVNFGHTAVVPTSIIDDNTVTAISPAGTAGVIAVTVTTAAGTSNGLPFI YVM TSTA_052480 MFWGALSYDYKGLCHIYDPENVAAKKKVLQDLEIVNQGREERAR EEWELNNAFSRLRLEPRKSRRPTFKFTAKNGKLERKGKGGVDWYRYQKEVLLPKLLPF AARCKESRPHTLVMKDGAPAHAHFHQKEVYDLHEIQRLLWPGNSPDLNAIEPAWFWLK RRTTLQGAPADREPAKKAWLKAWEELPQDRIRAWIERIPFHIEEIIRLEGGNEYEEGR PRGDSKKRCRQKGVLSFRAYLASEPAANTEYCERNTKASHMASRETRFFEDIRPRQPL LSTYWQTSKGHIEVDRPILTPEAYPSAAYVTIGIGGKPADKALWYLQRHRAYALKLGG GEIFSVSTDASFADNTPDRKSSQAYVMTLRRNDRMASQQTGYGNNINYGSRITLGLLE KDAPRLRTKLRHVDIHNLWARQDVQKGDIQVHYMLPKDMIANGLTKALSKQEHQIFPN QIGVENIDCHLASQQKDMENPDIEELPSLNDMPDNI TSTA_052490 MVKSSEYSIAQRSQVITLVFLANMKPPDVANLLQMPKKSVYNII NRAKSAGYDPAVKPLVDDCHIVDKPRSGRPKVVTPEIECSILASLTKDRSGREKSAEV LAFEAEKTRLRPEPSALDSRGLEE TSTA_052500 MDSDAPIGGNIPQEQNRQLQAQAKVNKRAQELAFAFQQTRPRAT TRLYSKPQAKWGVWCKEQGFSDGELVTENKMVTFLDEWVLHRPIRSSCYKRACTDENG DLVTQTLGVSSLKQYAAGLVDLWKFQKTLGQSYSTAGPDGKIQMSKAKDHRLRNPTFI TSTA_052510 MADYLLSQRGNQQVGEKWVYNLIRRRPELESKFSRKYNYERAKC EDPKIIQEYFDRVREVVSEHGILQEDIYNFDETGFAMGLCATARVITGIDRYNRPNLL QPGDREWVTAIEAVNSIGWALPSYIIFKAKKYTRLGWFEDLPDDWKINISDNGWTTDK IGLEWLKTHFIPLIDGRTLGKYRMLILDGHGSHLTPEFNRTCTENNIIPVCMPPHSSH LLRPLDVGCFAVLKRHYGQLVERRMRLGFNHIDKLDFLTAFPKARTMAYKAQTVRTSF TAIGLVTFNPDRVYQQLTIRLKTPTPPPSRSSDTQSSCLQTPQNPRQFKRQMTTTKKR ISRHTRSSSEAIGEVFTRTSKAYEMSINKLTIAQKELHDLRAAHEKEKQKRRRSKQQI SHEQGITREEAQALVQGQIEASQAVTTAPAEPELPVSHPPKFMFKINIERVLGKK TSTA_052520 MPPIRKKDPLKSTQDEGKIELAISDLKNGRIRSIREAARIYMVA RTTLQDRMKGVPYRQITRANNHKLSQSEEDSLVKWVLDLTKRGLPPRHFLVRDMANYL LSQHGDQRVGDKWVYNLVQRRPEIESKFSRKYNYERAKCEDPKIIQGHFDRVRDIISE YGILPEDIYNFDETGFAMGLCATAKVITGSDRYAQPKLLQPGNREWVTAIEATNSTGW ALPSYVIFKAKKNVRLGWFDELPDDWRINISDNGWTTDQIGLEWLKTHFIPLTSGRTL GTYSMLILDGHGSYLTAEFDRTCTDHKIIPVSFSQARTVAYKAQTIRNSFAATGLVPF NPDRVLQHLNIQLKTPTPPPSRSSNTASSCLQTPQNIRQFVRQSTTINKRINKRTGSL NQNEEINQAVIRLSKAYEILANDALLVRKENRDLRAAHEKEKQKCKRSNKQISIEQGI TREEAQALVQGQVEASHAVTTTLAEPELPASQAVVRRQFRCSGCNVMGHRINQCPSRT SS TSTA_052520 MPPIRKKDPLKSTQDEGKIELAISDLKNGRIRSIREAARIYMVA RTTLQDRMKGVPYRQITRANNHKLSQSEEDSLVKWVLDLTKRGLPPRHFLVRDMANYL LSQHGDQRVGDKWVYNLVQRRPEIESKFSRKYNYERAKCEDPKIIQGHFDRVRDIISE YGILPEDIYNFDETGFAMGLCATAKVITGSDRYAQPKLLQPGNREWVTAIEATNSTGW ALPSYVIFKAKKNVRLGWFDELPDDWRINISDNGWTTDQIGLEWLKTHFIPLTSGRTL GTYSMLILDGHGSYLTAEFDRTCTDHKIIPVFLKRYYGQLVEQRMRLGFNYIDKIDFL TAFSQARTVAYKAQTIRNSFAATGLVPFNPDRVLQHLNIQLKTPTPPPSRSSNTASSC LQTPQNIRQFVRQSTTINKRINKRTGSLNQNEEINQAVIRLSKAYEILANDALLVRKE NRDLRAAHEKEKQKCKRSNKQISIEQGITREEAQALVQGQVEASHAVTTTLAEPELPA SQAVVRRQFRCSGCNVMGHRINQCPSRTSS TSTA_052530 MSFGFSPGDIALFLGFATKVVKALKEAGGSRSEYCHAQQQCEGF LMVMDDVQRLDLSNVSDSFRSRIEEYSAHTQEFVKDFKRTIVKYEKSMGKSSHRGFIT SAPKKVQWAFSAADDLEKFRQSLSSQVNLVQFTISNAILSIVAKSNQPQQLLLGPARR DALSKAIYPDRHEQGYLDWNYNLIDSLDMLGRVNDITDLVYERLLTRRPGLLLADHGR IYTIPDDVSISVSYPPLNTISHGRTLPSTGHLNEVSVLNQHHVQLAHERTYSVQQNTL ASEINEYLRSLNLEELSEREAEQVNQMSSQRLLLNEPEEESSEPLPQKTENNRDQPQP SGSDEKQRRKSKFHHRMSSFGFPMDALSGASLAIGITQFIASAAKQRLGWDIIQESQV AIREVENILNILTYRTETGWQKYMLIMRIMIWESNKKRVLSLLDEIESLKSTFSIVLQ THQIQISERRFAEIQYAREIQMKTLETQLVMSQS TSTA_052540 MRTIQDAYQMLQLLELTQKSIHQIIGEWATEYSTEPSRASKLGT EKKDSSLPSRELYEARRTLLAVTGKLVELVADPSERLLEVSSSYNEARCLHIAASLRI ADIIHAGGEAGASIETLSKQTGVEAAKLGRIMRCLCSNHVFTEIKDNWFANNHISQAL VDNEPLRAYIVMFSFDLYTASDSLPRTLMHSDFANSYSVSETSFQKALGISKERWNWL EEEISPTDVQNGGPGKYPGCFGPEYSSAIAQIHSNHTIKRPEHEIFGLAMLGGGKITG VAHLYDFPWSSLGKATVVDVGGGVGGFCLQLSHIYPELNFVVLDRAPVLQQAQNTVWP KENQSALDEGRVKFIPHSFFEENPIKGADVYWLRYILHDWSDDYCVQILEAIKSSMSP KSHILICDQVMNTTAGYDSLVSAPSPLPANYGYYTRYSHQRDIAMMSIINGIERTPAE FKNIIERAGLEMSRIWDCRSQVSLVECTLPRVEETNGVEGH TSTA_052540 MRTIQDAYQMLQLLELTQKSIHQIIGEWATEYSTEPSRASKLGT EKKDSSLPSRELYEARRTLLAVTGKLVELVADPSERLLEVSSSYNEARCLHIAASLRI ADIIHAGGEAGASIETLSKQTGVEAAKLGRIMRCLCSNHVFTEIKDNWFANNHISQAL VDNEPLRAYIVMFSFDLYTASDSLPRTLMHSDFANSYSVSETSFQKALGISKERWNWL EEEISPTDVQNGGPGKYPGCFGPEYSSAIAQIHSNHTIKRPEHEIFGLAMLGGGKITG VAHLYDFPWSSLGKATVVDVGGGVGGFCLQLSHIYPELNFVVLDRAPVLQQAQNTVWP KENQSALDEGRVKFIPHSFFEENPIKGADVYWLRYILYIQSLPLNPSITQCGCNTDMN YQNIQA TSTA_052550 MTTSLLLRETAFGRVLASISPGSLSSTISKPSSKIENGLSALQT TTPNGVESSSSTEKNTSDATQYLVDWSGPDDPSMPVNWPLATKLLVLTNVILVNLSFY TAPGIYTASIPSIEKKFGVSEEVGILGLALFVIAYGIGPLILSPISNVPSFGRTPVYV LGSLAFCLFCIGCALAKNIETILILRFFGGIVGTSPTCIGGTTLAEVFGPREVPYAMA MYVFSGLCGPVFGPLLGTSVILRWNTWAAALWLIAGMSALSTVFLFFFLPETLPANIL LRRAKQLRETTGDSRYHSPSETKNKKGNVFVEMIEQIAVDFRLSFIDPIILFVNIHTM LIYGILYLWFEFFPYVFEDIYGFTQLEQCYAFFGILVGAMISVGSYMLWMRLYFQPHL QKKQDEGQTVDPEEHIIPGAIGAICIPICMFIFAWGSRKSVHWIVPVIGTAFFAPGFH LTFQTVLNYLGQSYPRHVAGVFAGNAFFRSSFGGALPLAATRMIQTLGIGWAASTLGF IAVAMIPPLFVLQRYGKRWRSFSSYAN TSTA_052560 MRGKEASAVLGLLALVIPGTNAATTLSQCCSLLSQLYPENIFIQ SSSTYDYENHHFWSATEVLDPRCVFLPDTIQKVADAVALFAEHECQFAIKGGGHSAIP GAANIHDGILMPMERIDTLEVNFADDYIRVGAGATLGSVYAALDPHNKSAVIGRYRKV GLGLALGAGFSYFSNRDGLAIDNVLRYEVVLANGTVVLADLDNHPDLFWALKGGNNNF GVVTHFNLRIFDTPGRVLGGLMYYPESSLDELADVIYDHHVHQAVDDVLTHTLPQYGF DGATNTTINFSPVVYNADVHELPEIMQGWNATPHYKSTVHNRVYTDLANELNDGFPDG QFQVQRIMTVYADRDLYKDIWWEYRKWMQKYRDVPGFYGLHCNMPITPRQIEQGMLRG GNALGLEGAGNQTLGVLYFGVTLTAESDVQRVFEAHDEFVQNMQKLAKSRGLLHPYLM LPYSGWNQPVIQSYGKERLSKLWQVQKAYDPTHVFQRLVPGGQKLPSPGEVSFVEAAN EFQQGLMQSLLQFPAEFVLPLGSLTSLYQRMKACLVSR TSTA_052570 MKFSTPLILLSVQGHLLGALATKHSASSYPGLTDTDCQIRCPQS TNPSKVILPAIVNIQNATGDWDNALECWEVDTISSSLPGIDNAYRLDWEDGFDAGYQY IFYGESFMQAHSTPEPSLIVVGGGIGDLRMPSGRCLRVQGGDNFFSFGTKGKMTAWWA PGTVVSDFYFKDGVIPEHVVVPELEESQYGRLLKHQQERLDL TSTA_052580 MTLKSLGLLLAIEAKPKTGFNTVTGHKKIDPTLWEKDAGPGDSV EVLVNYTIRAMYEAAVSHHFDRELFEWLHDYFEEWTLVEFNKLDRTTRSKLKDFLQIR GVYLDHRGKKNISEGLVELLQMDIPPRWPDDMIAGKKFDSRSRMALGQQAQLTPRSDT TPPAKRIKNHNRDHLIGLPYSDKEKEETTLRRDPERRINNNPLQLETHVRDLDSRTPL TGANAVPIGTPAPSPIKISTTPPPRPSTSLTSARQLDEYMRLPPTEYEQEDIDPSLAA KFSKAWDKAESYSGERYDILDDKVLAFLRVCRLIGVQLTQCWILFPEMLSGRAKTYYM HYISQDASFTDAYKAIKAKTLVEAVEILIEKLHLCQCALGNAYKGQEHLVAAVTRACQ DSPEMSDALSDPATNFETLVSRLRARAAVVQGKESASQYLTRVKANSAPNGIGEDNPM TLYTDRKFLGRTNQNNRQTPRQGYRRQGRDDRNSRQQGDRKCWICHRSDCRSFKYSDE ERRRARERFNDYQHVDGRRSASDRTYRAFVMDFEKGCMIESDSEEDDVEEEDDIEDDA TAYFMINELQDRSFIHWISGYHDDINQEGFHHKLEVRELDQSERKDGLLEPASQFVLE HHEGEIFQGILPDTGAAKVSTVGQRQLAALQRSYPEITVDRTRAGEHSIRFGQGESVH SKGAVTITTPIDDVDFHIMNTPTPFLLCLDDMDKHGAYLDNIANCMVKGDVRVPIIRK RGHPWFFLDKKQAPVTFLTEIEMRRLHRRFGHPAVDRLHKLLKRAGHDDMDYNNLAEI EKFCHHCQMNRQAPRHFKFTLNDDREFNYEIVVDVMYLDGKPVLHVVDWATSFQAARF LKSLSAKDTWEALRAVWIDTYLGPPDVISHDAGTNFAALEFKTEAKIMGIQCHQVPVE AHNAIGKVERYHAPLRRAYNIISAELGASVDKDVILQMAIKAVNDTVGPDGIVPTVLV FGAYPRITTDSPPSALTARRAEAMRKAMAELRRAVAERRVNDALNTRNGPIITETLNL APGSEVKVWREGDGWSGPYKVISVNGHDVTVDLGNGAITFRVTSVQQYLRDSKDESDR LIRLPLSPPQEDLNRQDGRSQVDFDQTPRTRARTGGAHAPQTPEMPALPRRRGRPRGS KNKPKAYAEVFISKKERDDLELAIKLRREGKIATNGAPFELSGKTEIDSLIENGTFKI LHRADMDLRGIRIFNSRLVNEIKGKNEIPMRNLDLLYKI TSTA_052590 METSTYDPCLLILKLGDDEFGLVGMQTDDTLLICIEKFSRGEQA ALQEASFKAKPKTRLSETKPLEFNGARITLQNGIKYVEQRARGAYLASICQPEAAYDL AVAAQLQEKDRSDSDYEALNKRLIWQAQNPERGLRYVPLNLAKARIMVFTDGSFANNR DLTSQIGFLITMVNEDFSQQGRFVATGNILHWQSAKCKRVIRSVLASEVYGLTAGFDH AFTIASTTKMITSRLDLPAMPVIICTDSFSLYECLGKLGTTKEKRLMIDIMALRQSYE KHEIHEIRWIHGDDNPADAFTKSSPNKALRDLVDSNKLTVRVEGFVERTGSD TSTA_052600 MFLYYLATHQPVLCLQSCKIMRLLALLYAAQGLGALASPQYVLS KPLCHDFDVSTSVQRTTQHSFVNRDYDADVLISLANKEILVSNTYQMSSRLCEPSRDN AHSDTLQLLIHGASFNKNMWESQYEPETYNWVQRMNREGYYTLAVDLVGNGNSTFPDG LLEAQTQTYVETTHDLIRQIRNGAIGGKKWKKIVLVGFSIGAIVANSLAQQFPEDLDG IVFHGISWDPSWIYPAFLSGLQAPAQQIDPEKWGHIQPYYQTQSSREGRKVACFSGAY DEEILEYDWNARDFDSLGAAMTFVYHLVNAPQYKGPVFLGIGDRDSTFCGGQFCGSQP YALYDKFPQAVDHVIKVYPETGHLILFHHSAPALIRDTLRFLAEHGF TSTA_052610 MARLWLITGCSSGFGREIAIAAARIGDTVIAASRSPEKLEDLKK AGNIIPQALHVRASDEQVKSTVSDILARFGHIDILVNNVGYILEGAIEECSSQEIEAI FDTNVFSQMRLLRAVLPSMRARRSGVVANMGSIGGWSGTPAAGLYCASKAAIAIYTES LRGELAPFDIQVVCIEPGYFRTNFLSGNHKISVQKPLPELEPATSGTRSALAAYNQHQ PGDPVKGAAIIVEALTGTGRCQGRQLPPRLALGRDAIAAITSAIDRNRDSLEQWKDVV MATDLCSRRERKGLIGHVTSGPLYILIVHRFSQNTQKPQVTLNIMAPAKVWLITGASS GIGLELAKVAAARGDSVIAATRSPKKIEALGLSKNIKAAHLDHNEPLPQIKTAMQDIL SIFGTVDIVVNNAAYVQTGMLEELSPEESLQQFQVNVLGPMNIYRAILPHLREKGSGT LVTIGSMAAWYPMPGCNAYNASKAAIRWLGIGLAGEVAQFGIRHTLVEPGFFRTELLN PNANFATTSKTQRLPAYAELNATTDKNFVNFHGAQLGNPVKGAEILYDVVTSSGVAAG KELPDFLPLGSDASDEISKAASKTIATIDEWRDISAMSDFTAGK TSTA_052620 MSNTFNSAHPQTRPVWLIIGASSGIGQEIARKVQPFATVIAVGR NQSALEGLAKIGCKTLQLDLTSSDAIIRRAIDDIIRQEGFVDFVVNVAGYLLEGGVEE HGDMEIQHVFETHVFGPLRVFRAVLPYMREERRGVIAHLAGIGGLQGAPNAGVFCASK AAAATVIEAFQKEVAPLGIRMCLIQLGHFRTPFLSRGHRRKVQARITDYNPILEPIRH IFDKFDGAQQGDPIKAANVIVEALTMDPNNVPLLLPVGADVPAVELKAHEVRVENMSA LRALTDGTDL TSTA_052630 MPQPPNRWTPEEDQLLRQEVLAQLSQGGVRDWQNIAAKLPGRTN KDCRKRWHNVVAGGMNKGHWTDAEDKLLIDAVNTHGKSWTVVADVVKTRNAEQCSKRW KQSLDPQLNRSQWTEEENKLLLEAYKVKGSQWKEIQVEYFPTRSRNTIKNQFTILCRR GQKGTRANKNDQGEQVSDEFEAALNDQNEVDGISLSDDDLSDVDPMFEILTSEDDREM STHPVQTWNLDSGMNSSHSSTNMELATMNNPSMNTFSNIAQTQASADMASWISLEQLF SNYPHTVSDTQHTSYGFENLPPDMMDFSACSATQERLLTSDLTSARSMKDSVQRPPTA DSMDYDSSPEKVTLTIYNPNSETVECLIRIAMTNKSGFRLERS TSTA_052640 MPPILNKNKKDLAEQEGRILLAISDLKNERILRVAQAARIYKIP RTTLQRRLSGTQQRSQIRANSHKLTQFEEESLVKWILYLDKHGLPPRHSLVGEKWVYN LVKRRPEIELKFSRKYNYERAKCEDSNIIQEYFHRVREVILEYGILPEDIYNFDETGF AMGLCATAKVITGSD TSTA_052650 MAYRAETRANGHKLTQSEEELLVRWILDLDKRGLPPRHSLVREM ADYLLSQRGNQYVGENWVYNLVKRRPEIESKFSRKYNYERAKCEDPKIIQEYFDRVRE VILEYGILPEDIYNFDETGFAMGLCATARVITGSDRYNRPNLLQPGDREWVTAIEAVN SIGWALPSYIIFKAKKYTRLGWFEDLPDDWKINISDNGWTTDKIGLEWLKTHFIPLID GRTLGKYRMLILDGHGSHLTAEFDRTCTENNIIPVFMPPHSSHLLQPLDVGCFAVLKR HYGQLVEQQMRLGFNHIDKLDFLTAFLKALTGLVTFNPDRVYQQLTIRLKTPTPPPSR SSDTQSSCLQTPQNARQFKRQMTTTKKRISRHTRSSSEAIGEVFTRASKAYEMSINKL TIAQKELHDLRAAHEKEKQKRQKSKKQISHDHGITREEAHVLVQGQIEASQAVTTAPA EPELPVSHPPVRRQFRCSGCGIEGHKITGCPNRTRS TSTA_052660 MPRVNMYGKGVGLQLAITVTCQLSFILLGYDQGVLSGIVGNEDF LNVVNHPSAAILGIIVSIFDLGCFAGSIISFFINDKLGPRRCIWIAMVWIIIGATLQC SSFSRTQLLVARFITGMGTGLETTTVPVYQSELCEATHRGKLVCSELLFVALGVVVSY WFDYGMSFVQGSISWRLPLACQMIFTVIIIGSLFGLPESPRFLYRKGRNEEAIQVLCD VYNLQPNNEKILSESRGIMEAMSLENMSGESKWTDVLRGAQTRKRVLLAYGMQFMNQM GGINFVVYYMPSVLQFNVGVSRNLSLLLGGAIEVMLAIGSLYPTFYSDRVGRRKLMIW GCSGLCICMMMISILLSFKGTSVEKTTASASIAFLFLYMLIFGASVNCVPWVYTPEIL PLQVRAKGQAISIAGNWTWNFFVVMIAPTLIDRLAWKAYLIFMAINFAFVPIVYFFYP ETANLTLEEIDFLFPNSRETETSNAADEESSI TSTA_052670 MPSETSGVQNPIQKHVFRRPLFQVSREFPTSQTQLKTLPDLIDF NYEHNAERLFAIQEMRSAGKPPFSTSITFKDLKKAVIACTDLLNNSHRDAEEKIISTG PELQSQKKPVALFMESDVTLFVYLAALLYLDVPVMLLSIRLGPIAIRHLLKSTAVRAI IVSSNTKDSLTRSLPTDEEIEIQIATPYQDLLDTADGKAIDSFKGPLHTQDQLGAIIL HSSGTTGLPKPIPLSHRYMLAYAACHLLEPKQCENRLNVSTLPLYHGFGFLAPCISLS TGLTCCFPSSGVIPSATSVVEFIKYCKASSLMTVPTILEEIVADKDYLESLKGLDFVA VGGGAIKQVTGEILVSNGAKLLNHYGATELGPIAPIFCPEDDYDWKYLRLRNDMEFEL REVSQNGNSGQTLYQLVGYPFGQNECFVVQDFLERRPGTEKLEVRILGRGDDTIVLSI GEKVLPSRLEDSLLACGLAKAVVMFGQYRSEVGVIVDPLNSIEENDRSAFIDAIWGFI QQTNPLLDRHARVSSKSMIIIKPSNKEFPRSDKGSIMRKQTFELFEKEISDAYATTET STERRILSTERTRLHLDLRKLIQECVQDRIPDVSEWADDDDLYTKGMDSLETTRLARI LNCVSNQYAFPGIGNGAVKPGLIYQHPTIRALADVLLSGVNSDRMDEENRVIDLMNEL RSQFSPFADVESKRHTRWTILLTGSTGHLGTYLLQQLLRHPQVDKIICLIRSRQVNLS STSSTVTAKELHARQLEANLKRGISLDKNSWNKIQFLPANNMDEENLGLTQSEYNQVQ NTVTHIIHNAWPMDFQQALTSFKSQIKALRNLIDFAADCHNAQKLSSFTNTRLLFVSS IAVCAQYSLQKTVPEAPISDPNIPASFGYPQAKWVCENILADAVECYKDSVKPIILRL GQVVGSTDTGVWNPNEHFPAILKASQSVGTLPDLTGTYSWIPVDVAAKSLLEILFSDQ VSMDSKIVYHVENPIRQPWKSLLPALAVKLNLKNRTPLPFDVWLDKISEAQNNAEEME SLRHLKQFIQSDFRKLSGELILDTTRARQISRSLRTTNGITLDLLDKYIAYWRKCGQL S TSTA_052680 MASQQVIFFGGQGGRSSGYAPSTITLKGNENSHCLTLLLSACHS IFLEEAFKARKGSNAPAWARFDVFPSPERLLVLSPEESTNPILQGVSLCLNQLLTYLN YDCDLQNPEPVAIMGFCSGMLPAVVVACSESLDEYIAYSKEAIRAAFWVGYRAAELSS NIGGRQWNELPWAMSVSGKTREVLMEEIVIFNQTSKKTTAHEVIILANIFGEKGFSIV GPGYLLEQFRSRYHSENTAFALIPVHALYHAGENSASALEAVLTDLNTDRCAFPSRDK LKRPLWSCHNGGILNAAGPDQNSLLQCILTCILVEQADLLTTWNNMVKEMELSNSSWS AITIGDGARALLSTVNKDVHSSSKHSFIDLPRLPMNSNSGSCNEFAVVGMSVNFPSGL GKAQFWNMLELGLNAAQEIPGTRFCVDDYQVGKGQHGSKREMKIQHGNFINNPFSFDH EFFNISPRESKSMDPQQKLLLQGAVSALDDAGYVPYSTPSYNPETMACYVGIATEDYV QNLNSEIDVYYSTGTLRAFLSGKISYAFGWSGPSVVIDTACSSSTVAILNACRALAAG DCSAALAGGANVITSPDMYIGLSRAHFLSSTGQCRPFDAGADGYCRSEGCGLFVIKRL EDAVREHDRIYGVIKGIEINQSGNATSITHPHAETQQKLFQKVLTSSGIDPATISVVE AHGTGTQAGDGTEASSLMSVFGGLSSIQSVYLTSIKGNIGHAEAASGAASLAKLLLML QNRTIPPQVGLKRLNPKLERLMAQNFHISTQAIEWKPPRNIPRRALLNNFGAAGSNAT LIVEEYERRTPMKTRHEQRSTYMFILSAKTEQALRTLINRHKEFLQKNDQYDSIANIC YTVTARRRLYVWRLSLAVSSSKDLLKQLNDEHSINQCVESIGSPVTFVFSGQGGFYAG MAKSLLSTSSLFRDKVTECDQILKLFNLPSVIPILEGLSSPDSKLDFILWSQIASFVL EYAVAFLWLSWGVKPDLVIGHSLGEYAAMAFTEALSLKDSLGMIVRRAQLMVELCQCG QSGMLACESSVSFIGKIFASDGFQNLSIACDNGPTSCVVSGPNQDLDRLAQILRSQAV RCKSLDVAIGFHSDALEPIMKPLEEHCRDFVFHAPKFPMGSCLHGRLLDSSELEPSYI VQQTRGTVRFKRLLESLREARMNSGIFIEIGPHPITLPMINSMFRDDGCLSLASIAKN EDAWATLCSSLREISDRGIPIRWREVFDGTDATVTDVPEYPFKSHSHYIPFSEMRHQT DDIAKQVISAKPNSFELLDSILYEMKSELNVPSKFSTELASLSKYILGHVVGEFPLCP ASVYIECVLEAAHWDDKFNKEFVLTVHNIDFGLPLLYSEERLSNSIDLTFENGVPTYD QISETRITRRKFTFTQSVSSEPSHSPKKNSEALCSGWAEWENVKDIEYLFSRSSAYIR RQIAHLQGRETNTNVFRPKALYQLVFPRVVSYGEEFQTIKELKVLEDGLEAHGTFKIP PISSKGGILTPVFVDTLLHAAGFVANSYIQKTEACICAKVESIKVFYQDLDLHQTFQV YTSLLECNNGVLLGEAYAVTLDGTVVGTVEGMHFKKLNLKSFKAHLSRQLLHDTPNQV SLQVKGNQQKPNKQKDDDFVVTRKEPQPKQDMNVPKIVLETICQICERPLNSIRGSST LLSLGIDSMMRIELGNLLAKKLTNVDLDMDHMTEIDTISQLQEYIIEKAAKSEPYTSS ASDIAHDSSIGNSSTPADTPFSGLSSQSQTPPVAEGLHDLYHIINQTCEIPLSKISPE IALESLGMDSLMAIELQEALQQHFGQSLPENNPVSNWTVQDLIIHLGLNKHEDYIKSL QDINQDTSFSMLAVTPVLTHLQNGDPSLPPLILFHDGSGTMEYYKKLKNIGSNVYAIM NPILKEDHWAKSLTDMAHQYASAISTTIEGPFILGGWSFGGVLSHAVAQCLERLDEKV LAVIMIDSPCPENLEPLPSAIVKYVLGQKNLSYSTELVITAQFQKHAQFLAEYSSQRS ATQGFIAKERKYFMIYCQDTLNTSKICGVDHPWLSDKNCREQALNQWEQILGRSLTVL RIPGNHFQVFDSAYVDVVSQQLQQAYTAAI TSTA_052690 MAHSILPCHFRDGFRQPATEPHWTIRDEDDGITYEPPPSTEIVT STCHNDLGINVLRTWPTLYDGTASPHGIPEWWNPPSKVDVLIVGAGPSGLEAALSLIR QGLTFRIIDKAPHPLIAGRADGVQPRFLETLATWGLAQEVHEEGPLIERTAIYYNGEL LHHGRSHQSDSRYRGLHIITQGQIERIYVRDLLRHRMLVERNTTLKEFYVDPELSKTV SPNAYPVRAVIENSVNGKQENIEAKYLVGSDGASSSIRKRLGIPFDGVSTNIYWGIMD CVFETDYPHAWIFGSVVSSEHGGCVIIPRENGYIRLYTQLDVSSTGSLAQSRQERDAT FAEAGGQVNIHSITPEEVLEQANRIFSPYKLKFGAPLSWFAIWKISERVARSFSSDDL RVHLAGDAAHVHSVMGAFGLNASILDAANLAWKLGMSVKNQAQANQLLRTYDQERRLH AAHIIETSGKYLRFVCNSALPTARLYHLGADLGINGSSGFEKFFSTSENKPNGSDYHS EDMNHLNDKKSNGTLMKDLNGTTQNGVDGSEQHATYSKKIFFESPKKAKEFIHQFFAR HGPFLLGVDSPYGISCINPGETKLASPKGSAVQVRNGVRAPNPRVCFDVGHTGYLYDK FEGSSRFHLVVFGWDALGVVRQQLSKFSQALSSSASDSFFNRYGGRELFDIVFVVKGV LWQVEKQLAADESMTDLKHHSTVVFDDRPPDEDAHNTWGVNNRTGAVVVVRPDLWVGF SCAPQDVDELNRYFDGFLVPK TSTA_052700 MLSNVATEYKLNSPEDWDNWENELLNQARANDLLPILQGTEKPI KKPVQPKEHVRTLLNRPSTMNEDTVDGCAEQRARNDFNLCLNNYKMEFSECEVQHRDI DKIFEWMKQTVCHSYLKTCVYVTRSWKEAYNNLKAQVGQGSREIQQHIQDDYNQHMKP FRGTPRDPETWIVKWEEIMLQGSKKFMFFTQDTEDWASQFLRTIRPLDEVWVMSFEHS INPKIDDGSLTYKDLSNSFRRPIPQLYIKQ TSTA_052710 MTTKSHSQQPDLIFVDGPSLNCTPTDNKSQSARSALMRRVYTDR LSRYRSEQALKLNQMLQAQRAVSTTRTTCQQDVGNEMVLRAHDTIRRNIRTLRPKGCI SVSVTNECGSTVRGPRDPAANAATIPSPQSLISTGRLDPFMPNTIALGPAYNELTYHM IKVIWPAFRDVGHARRCYMSWMAEPDREILTCAYLFASSVHRDGMRIIYGPENTDFES KEQLVYKGMTLRLLRERLSGHLSSGVSDSLIMGILYLAAHPDPKAASTKRDLSPFTPP FIDLHALNIYGTCPTHPSHWRMVHQCVQLRGGIQTLKLYRLGWLLSLCDLMNAANTLT KPTYPLYDLEGRPFIRSPPLLMLRVPELARHLTYNQGFSQLRLLNPPVKSCITSVFLH LCQLSQALHTVHTSDSSMLQNLGDCRNLVHHQLLSLPSQNDPPESILDEADTSMREIN QSRDIYLMCRYSALLYAIHVTFPLPRSLKNREILLSAVRTSLSRVIGSVTELTPLELL LWPSIIAAISAGDRNSNRRWFVSMVQRLCQELAIWNWAHLLGILRSFAWVDAAGNQGA FRVWTEVILGLSSCNKRVDYTEYKLPMRRMWLYGMINENDNIIDRTGRTCIHTGRCKI FEELSRRKVSIKYSSSSSSKFITPEAPSLKGSNTLFVLHCIDCGHGLMSRGKAVSAAK TPLAHTLCLEHSLLVVLNASLATTPDSKRRTGESKRGRTGRAGELLLAKSGEYRLVAG RQTTRRLVDAAEGVDVFL TSTA_052720 MLDDGLKAKAQINQFISLQTDIPSFTDSNWERLSQIHRILTKFN ELTLFVSKRRPQISLAIPVYYELHDLLSEGSELQGSFKELDPDIASALKEGLKKGLRW KYWAYSWKLGCWTPK TSTA_052730 MGMELAFQMTDALPNEYGMNVVKGILWIVFEVILSLSPFWYPAD HAPVQTAKRRGENRARILEAFESIPETILTINFSCSLLKPTAEDEKLRQDFHRELVKR MPELIDILLGRAPWFQRVKGYMTLKVSETAKIGEILSEWNQYITTLKNRVMQMRDRLQ SEIAYHSAEAHQMGVQTYNKLGTFDSRFDEIQSIIVGLGPAIIAQMQQEFGKRLQQLE RSVQARDGKFDFTANYPVGFDINSPQNNPDLERQEYDFAGSQLYTQPTHGESYAIITQ LELLGLLRVGPSTALEDLEFILQQSNRTTELSLQQVWWLNRMDEFITWYREPCSSLLL VDGHLDTTPSDRISPLSIFDASFVLNLVQSPSRITFFFFAGLVDGEDEEKDANINDPC GLIRSLISQLLSHESLPQPDLRFLTQEWIEQCEMNNIKALCDLFKCLVFQVPADMQVF CILDGLVVYECEPLWRDEIDYMATLFQHMTLKTGFNGIPVVKTLFTFANRSLQISDRV DMYPDIWKHATLAAGHIDVMPLMI TSTA_052740 MLSKHEYDILELPPDDHIRFSLKYRKALYFISQAAIWAFQLYFL VRLLLVITTPQQTWQIWLMLLVEYIFARIPRHDQFLTVSASKSGQSRPRDRLRLLGND HLPRVNVLIPCCGEPTDVVLDTVHAACSMDYPTIHFRVLLLDDGASNALRDAVASLRS QWPHLSYHTRGQQSGRVFAKAGNLNYALFELQPEAQPEFCAVLDADCVPKSDFLRATL PHLLRDPQAALLTTRQYYYNLPDGDSLQQSRAHFYTCHNSELDRMAAAIDAGSGAVFR RKAIVDVGGYPTFSFSEDWQLSLMLQGLGYRTLQVLEPLQFGLVPSSLDGHIAQRNRW QLGHSQQPSVLFSDANQAIPRHLQWSITFNGVLIILGLVGYMVGFAAVPILFASGNLI PASSSLIVQIQVVLAVLHVALTWTHGLVQAAHTGFRIAPFAHLENSWLASTHILAIIR FHCVSNKPKGSFVTGSSANSWNRMMELSKYKKLRKDLLNNGLLYSVFLFFAILSTFLL SFYGALSSDYAAGSSTTPQTLLMTLLTTVAWPPMLHIAYLALSNLWIPVAYLLQRPEH PERRSRMVTHATGIFLPREDVQMKLLQHSLPPLGYLNHYILVPVVLITIFTIAVTM TSTA_052750 MALALQLPLQLEANTNDELTQYLRSSPTKPCSPRVFWENEHNFL VLAHLA TSTA_052760 MAARLSVLAGTREMGWREAIFGLTSRSRFEALRKAWSKSIQVGS PPGPTNSVSEPPRKSNRKGAFSHFARSRRNDMVAVLSVQTAFRHLEKLITQLARKKTK WKATMLSALRHAKQKLSDYYMETDKVFDNIYAIAMIIAPYYKLQFFTGKD TSTA_052770 MGRRKILGTVTLQSGGSYTGWSASGVCSREVLQQKFDQALTETR ATTLYAETTKCHIERMEKYWTEYCAIFALEPHETLRECQTARIENFLHWIVTTCTIKK TSTVTTYWRQLSQLHITWWQCRVSPQTLKEVFVFIEGSLTKEYGLDNTESEKPLLEAE EFIEVIQYHWAADINVFPNERQRVQVAAILLLAAYTGSRPGALLNITYRDLRLYVEKH RKTGKHELKLAVTLTKIKSGQKRKRP TSTA_052780 MISIAFEDEAYNAPKDFSPRHLFALRAKKGPCQIVPWKQKMLDI PVFRRAIKTKDGVETSKDAPLPYNQYHGWLVLLGVALGFIYTLTTYCLRRALGNAING KTRNDDPNSNAAVRNLVLDHGTGSEIFERNYISRTIRYFTQDQFWGRSSDHESARTAS QIGLLRDPDRPRKLSAEQGQQVRQDPEVRELAAVRGRLRAQIEEVFGVIEMAKGEPIY NDYQAVKASLAATIRKKERALLKRIQEEYDLNAPVLAIQQQLNGEQSDDDDDDDKGGI PTETVPIRIAERRYIAECAVRDPSVLRDQKGYAFHVEFSTNLIALCKRRDRRQVTTRC SPELASVKTTLDSPRLPEREPIVKRDNPLKCQDWQCLFCLASYDLPLEERKRKYKRKY TLQKHVDRCRLEYYGPDDPIPCPDGHACVD TSTA_052790 MSEREYQTLHVLAPDISTYATITQYAPVKSTPTTHSKAHVXXTQ GTDLVTQTTQLTWQSQHLLLLTAKHYQTHYKKL TSTA_052800 MLPGTLAAADFTAFQRTIPSSDIVIFSDGSRLIDGRAGGGYIGF QAHHQFLRSSLSYGHGKEVFDTEAEAALAGAQAAITYPTAQFATNLWICLDNLEVATR LLSPSTGSSQEVFESFRTLAAAWPLRERLPHTKSGSIQIRWVPGHAKIPETKRLISLP RGAASPLLLSEILIRLAXXXSSYASLKRHAKTQSLSTAQSQWQKVAPQSYQDLEITTS PKRPGELQLNRLDLGRIIAAHTGHGDFADYHERFNHDDAYLLCRCRTRKAPLHFFFCH IAKRRAPRPPGPPSEEEKSTYLTPRLRLIPQFFDDGDDILDGDEDQGLQDVVEDGAGA AEGAGHGPEREFDRAERGGW TSTA_052810 MAGQSGNDTDQAMTEKKTLDATVKAKIKEYTNTYDSRLLRAFKQ DFNKWTLNNFNTVSVTELGKLDNEAEKLYTLLSTEKYRPWTKEEVIRHLRRGNTIESK VLNDQFETVINTYNQPSNYGTNEAQNQTGEGPLTRSRSQQQIQYLSPPATRHDTPQDQ SQWVQIEPEPSNEVQQAPNLAIFAPST TSTA_052820 MLKGQALDFYYDNKEIWEASDCDPVEGIRAYFEGPEYHRTILDK WSGISLQNIVDENPEKTLKVTRTHPACQLATSKQQDTVPGLTRDLQSGVSQYEDMIKA TNGRRTANPSTNAYFTD TSTA_052830 ERADSKRHYLDHFNKRIDQYIADYEGTGDDNDEELPEELLLAAD DLILTDDYESRPIHDASSTLFTATFFTTHKDNNTNYGPSITMELANCSTSHWIASLFL KPNLETNSYKTNEVTLKVLTPESSHVYLNEGRYSSESFKGIVINTGAAQLSTAGYGQY LAYKRIVRNIDINTTMAGTATVQFGPGDPYQSIGSIDVPIPISTIWFYILTTTTLFLI SLYELDRLKLYFDNTCNLLVNKKMGKTTPVICQFGHPFL TSTA_052840 DYSYHTHLLTSFDYNPCLLTDTKLRRLHRRFGHPSTDRLRRVLT RAGHETNKEAIEHIRKFCHHCQMYNKSPGRFQFILHEDVDFNHSIIINIMYLDGDPVL HIVDKATHFNAAAWLLNISTKVV TSTA_052850 MVEYNPPAPTIIQCAATLKKAMTEVQKLHAEQQVRDALNTRNGP ASTAVHLLPINSDIRVWREGNTGYAGEWKGPYKLLSAEGETCTIQFPDEPKQFRTTIH INEEPKTSLGTNSTPPTPQDDEPDTSTPQPRPAQRPQRNRQLPARYRDDLIQSVFAQF DQSQEKEINGLLENGVFEVIKVDDIPKGTRIFNSRFVNEIKNQGTDKAFEKSRLVVQA YNNKGKEISTTPLARNFYIQLPPKLVHLFPPGTILKVVKPLYGIPEAGNHWFRTYHIH HMDKLNMTTSTYDPCLLHYINQSQGFGILTPSNPLKFNGGLITEDVQGITLTQERTCK LIQPVQDRYADTTSSRGKVRKDVSPQEQYVAQRALGAYIASVSQPEASFDLSFAAQIT NPGKTTSSHLINASNGNLIMLNADYAFFVIVLADAANNANIVHWSSIKYKRVTRSVLT SELYAMVHGFDSAASIKSTTTQLLHLTKPLPLVICTDSKSLYECLVKLGTTQEKRLMI DLMCLRQSYKRQEIAEIKWIDGESNPADAITKSKPCRALQALIDTNKLNINVDGWVER STTPPTKAIPISLLCQSCTARRPIPIS TSTA_052860 MPDFIQISSGEGLYVPGFGTSCIHTKKDNSIHTILLVTSSYHSC KQLFRIGLELTHQSDTCSGCLAEKPLEQFKSKTGNRTFKTCSTCREKRQDQRTAKRKN NEADVSEPPVEDTHTIEESQQASTSSPAPSFHFRGAHSQDTSQVADARRRREQDRRAH RAARRAGEDVPPTQDLDTYLLSKSKKFPLLLNNHSHQLLGWRVKFCLHQIPSLSRSST SSLPPYRGRGSHLSFGPQMTPRRTLLSNHFICTACHKPRHPSRRIAEGVDTCQYCQDL TIPEDQQYQVCVSGDHEPPKSAFIDDHGIEHDISNSCLTQFVTPMDTDPSFHPISSAA SSQPRRPRETNRRASRTIPRHDLNQPDPPYVPGDPGSLSQPALTETDWGYITAFHNAL QQHRMERCIVCDEKWFNMRLTSDNICARCVNADRNQDTPLYGVANNLNPGDMPDLPEL SQTEEMLIARVHVFVEVRRVRGQQYKYSGHVVNFLRDTARVYNTLPLLPRNLEIILLR PANASTDPRLQRQFIHDFRVRRERVLKWLSFLRQNHPGYRDIEISTAALELLPLDGDV VDQVINKSLDPVQISDSADTEVIEPPEVCAVPDLLAQEDEMSAIRNQLQPEESCQQHM EFPPFRSTPIAEFTRSQPLLSWAFPALFPRGEGEYIHPRQRTVSFADYAKHLMKFHDG RFARHPRFRYVVFNTMMRQQANTKASFFVKQKTKDGREITADDLRLAFEDDAPEGEAL LNSITRRSGTLRGTRPFWTSKHQHLKAMVKNIGPSHLFVTLSAADLHWADLMRHLPDF EQWKAGTSSERIQIARDNLRDNPHIVAQWFSFDTIHFEKRFWTKDSMSLRTGIASNGK DVARYITMVYTGLTVLPIQRSNLCPSNFVKPSLTFGENTVRNCSATANRVERHICSEK YGLRKEKGSDVISCRFHFPHELRTEPFVDRAPGHQYYRFYPIRNDAMINAWNPCILMG WLANIGIAPCTGSKALLDYIAKYASKAEKKTESYKDTMKGFLPKLNPQNPFLLLRICI NVDCRPEEVHSAAFVPVEPEIEGDETVQRGLSPLEKYKRRFPLFEDLSYFTFLREFDF RNWRQIYKRDAPARVLNYFPLYDSDKQPEDYARVKLMMHHPFPEIKDLLEIDGDIFES YAAAYEFCSYAHVHEDDFYDEVLTHPEATHEDSDSEDEEMPQSWEALARQLPNRDDAT RVEDPDNIGDRTVDREMDWTPHVGRHSELEDNFWMTLKADNPADMTVESSASYEGLEI KQRQIYDVVCGHYQMQLNGEDPPHTLINLDGRAGTGKSHVLMFISATLDRIAEDAGLE KSPVIRAAPTGVAAYKHHWLYTSFAIPSSNRCRLKGYLTPENRQALQAIFRGVSWLII DEKSMIGLKQVYYMNQRLQEIFPASDSESVKPFGGLNIILAGDFYQLPPVGQRALYYN KKLDNLEEIHGRALYHDFRSTIELDVIRRQEGADPTSIAFRDALDHLRIDQLTFKDWE LLCTRIQAMIPTEAVNFKDDIRLYSKKAEVREYNHKQLRDIGNPVLRILATHQGLKAD KASTEEAGNLHSEIHVNIGCRVMLLENIWTQCGLVNGAIGTVMDVIWHSNVTNPRETP PFALLIHFDTYKGPEFCTVDGKKVVTIFRSKRDFAISNINCSRTQFPITVAYAITVHK SQGITVPKAVLNISERDFAVGLTYVALSRAKNLDGIMFEEPFDFEKFKRSKPNPTMVM RHEDAKRRAEEHHDYIPLPPQPPSVPQSQVLTIDLPIRTSSPQRETSVIPWASSQTPP DDETDDELA TSTA_052870 MPPIRKKDPLKSTQIEGKIQLAISDLKNGRISNIREATRIYDIP RTTLRDRLKGIEYKGEKRTNHHKLTQSEEDSLVKWVLDLDRRGLFPRHSLVREMVNYL LQQHGKSQVGKNWVTNLIKRRPEIDSKFARKYNYERAKCEDPKIIQEHFDRVRAAISE YGILPEDIYNFDETGFAMGLCASAKVITGSDRYAQPKLLQPGNREWVTAIEATNSLVG RYLRWFEDLPDDWRINISDNGWTTDQIGLEWLKTHFIPNINDRTMGKYRMLILDGHGS HLTPEFDRTCTENNIIPICMPPHSSHLLQPLDVGCFAVLKRYYGQVVEQRMRLGFNHI DKMDFLTAFPQARTVAYKAQSIQNSFAATGLVPFNPDRVLQYLNIQLKTPTPPPSRSS NTASSCLQTPQNIRQFVRQSTTINKHINERTGNQNQEINQAVIRLSKAYEILANDTLL VRKENHDLRAANEKEKQKRQKSKKQISIEHGITSEEAQALVQGQVEASQAVTAAPVEP ELPASQAVRVIFRGGGRVAWVGGSLGNYASFRKASWTSSGREGDDGSDGNGSSGGGGA GRDFG TSTA_052880 MSKRITFVSLTDTKRLKSEMAAGDGTPSLGKRELPNPDNIENGY LELTTPEGLPSPRLDRVIVNFGKNSPRGFYGIELGYISRPVAFVKRVVVPDIDMRRNA LRKTCHPNLVNLTDVFINQETVYFTYEKSGLL TSTA_052890 MPALTIAAFAVLSLFLVTFALIISLHLILSSSQHSAVPKLRRRG TPTHVLIVLGSGGHTAEMLSMLRRMPLDPNTYTFRTYLVTSGDSFSASKAAEFEATLH AQYKDSKHTQNQDYEIITVPRARRVHQSYLTAPFSTIQCFWACLQVLRGRHSDQRSSK RDLASPYPDLILTNGPATAVCVVLGAKLLRFWIALSALLLLKSSQTSSPPTSALRTVF IESWARVTTLSLSGKILLPFVDRFLVQWPNLEGKQAWKGMRKAEYVGTIID TSTA_052900 MANNQQKYRLLRHNSLEHESDDQTRQSGNSAGPRSTLIILSIVL AVSLCVNGLFMIYTYAYRGKDTQHCDGRSYYAGLERDTPIPIYPSTEFVSDDNNITEV AQLWEELSGDPGVVALPQEFVSKNGLPHAMRFPWDTDKGVYLLQGFHNLHCLRTLFRY VWAAERGLPQRIAFIHIQHCLDQLRQDVICNADDTPRYAGFQDPPGTGAGQIRMCRNW AKLEQWALERTACFKHEDEVPGRMVDRFKFCPDGRVLWPTEDTRR TSTA_052910 MQIRVLLLLVAAVRAIPLATSGDVTDADEAVAYPAQVDQSWVDT TRRSVDADEAVAYPAQVDQSWVDSDA TSTA_052920 MRSSNIKAIYQALANSEDEDSSSISPGKNRLAMDKHLLCLMVII LFTCVGYFLGQATLSRGCNETYSGPTIPLAIQRKVFQYNRTFGADPGIDSNTQAAWDS LVPLGQGTVRYPAGSKQLYTLSVVHQLHCLWSIHQNYYRSTHMHMDPERLDDDDDDDD YAEHTMSHMRHCFDYLRQSLMCAADSSLEPVDSMLGGVTGWGNERFCRDYAALVTWAE NRRVSNIGGFS TSTA_052930 MDGVKEIERREPLLGEDCIEEKYKEPTHGLHWIWLLHGTVIVTY SIFLSGVFLLNVNRSASCEKGRQSQSYLPLPSREGLQWEDRRFETNIVDNPFAGPPRE ELENAWHDLLKNDNIRVPIGYLDEKNLTTVYTKDHAEGIASLSIYHSLHCLKKIKRMM FKEHYYANKDETSMAREEKHADHCVEYIRESLMCQPDLSMVTFRWINNTAQHQDPAEF YPTNFDVDIHRCADWQHLDQWAGERAFDLFQVDLLDRPE TSTA_052930 MDCIGYGSYTARASCEKGRQSQSYLPLPSREGLQWEDRRFETNI VDNPFAGPPREELENAWHDLLKNDNIRVPIGYLDEKNLTTVYTKDHAEGIASLSIYHS LHCLKKIKRMMFKEHYYANKDETSMAREEKHADHCVEYIRESLMCQPDLSMVTFRWIN NTAQHQDPAEFYPTNFDVDIHRCADWQHLDQWAGERAFDLFQVDLLDRPE TSTA_052940 MTVNGKSKNEDPASIIDKLHSLSVRLRNGNDNEARKECLRLSKK LTSQLEQSENVAVDMAFSPMIAVAARVAVDLDLFRHVVNEGPVTSTRLAELCGAEELL IIRLMRPLSATHFVEETGPRTWKATQITAAMATEEIAAGHRMISQLIVPAMQKAPDYL VKHGYSCPIDPRDGLVQHAFLTKATTFERITSSPSLLKDFNTFMGNTMGARSYWVDWY PVQTQILDNADPEKPLIVDVGAGKGHDLLAFHARFPDHAGKLVLQDLPPVIEVLDALN PVIEKVAYDFFTEQPVKGARVYFYHHILHDWSDSYCLDILRRVVSAMTPGYSKLLLHE MIVLEEGAPQFQAQLDMTMMAFNSGMERTAKQWSELLESVGLRVVRVWEPIEEGADGI VEAIKD TSTA_052940 MIAVAARVAVDLDLFRHVVNEGPVTSTRLAELCGAEELLIIRLM RPLSATHFVEETGPRTWKATQITAAMATEEIAAGHRMISQLIVPAMQKAPDYLVKHGY SCPIDPRDGLVQHAFLTKATTFERITSSPSLLKDFNTFMGNTMGARSYWVDWYPVQTQ ILDNADPEKPLIVDVGAGKGHDLLAFHARFPDHAGKLVLQDLPPVIEVLDALNPVIEK VAYDFFTEQPVKGARVYFYHHILHDWSDSYCLDILRRVVSAMTPGYSKLLLHEMIVLE EGAPQFQAQLDMTMMAFNSGMERTAKQWSELLESVGLRVVRVWEPIEEGADGIVEAIK D TSTA_052950 MNFISRCIYSSQIFIFAVEQTTNIGKEMVSTLGKAVLAIAWVFN GVAALVVAARYYARIKILKRFTIDDALILLTLLLAVGNSVFLTISVHWGLGTHMAALS DIQIQNTVKWVFLCEFFSILSPGIGRIAYAFLLLGLVPPVQWRTRFLWSIIAIQFVVD IVTIIISFAQCRPLSTFWNHDVSGTCWSPSVQQDTGFFQGSVCSAVDLTLAVFPASMF WNLNMERKKKIFLSCLMGLGVFAMTASIIKTIELRAITAAADLTYAMAQLAIWWTLEA NLVLIATSIPTLGPIIRRQHRHPSPVPDGSSRSSKGGGAQATRPWHALFYAKRSKTER GSSEDLWPGVPKAYALEESVSREQKRGIKKTTTIGVGYEDVDAAPLGTIY TSTA_052950 MAALSDIQIQNTVKWVFLCEFFSILSPGIGRIAYAFLLLGLVPP VQWRTRFLWSIIAIQFVVDIVTIIISFAQCRPLSTFWNHDVSGTCWSPSVQQDTGFFQ GSVCSAVDLTLAVFPASMFWNLNMERKKKIFLSCLMGLGVFAMTASIIKTIELRAITA AADLTYAMAQLAIWWTLEANLVLIATSIPTLGPIIRRQHRHPSPVPDGSSRSSKGGGA QATRPWHALFYAKRSKTERGSSEDLWPGVPKAYALEESVSREQKRGIKKTTTIGVGYE DVDAAPLGTIY TSTA_052960 MEQANIFELPDPVSREAGGDAEKSSHITTSSPPAKSAPAENWFA WLQVLGAFSLNLNTWGLMNAFGVFQTFYQLDLLSSKSSADISWIGSTQSFLMFLVSVI AGPIVDAGHLKSLLALGSLLTILGMFMTSLCSTYWQVLLAQAVTMGLGFGCLYVPAPT IVSQYFHARTALAMGASSAGSALGGIIYPIIFTHLQPSIGFGWATRIIAFIILATLIP PLVLMKARSPPTSNYRLLDTSAFKDTPYILLNLGLVFGFMGFYIIFYYIQLYALQETS ISSLVASYLLVIINAGSLPGRLIPGYYADRIGSINVQTTVALVGAILTFCLIANKNSA GLIVYSVLYGFSAGAFMGLPAAGVVNLTSDKSRIGTRLGMTLACVGCGVLVSSPIAGA ILNGKGGWVGLVVWCGVLLTASCIAMAASRVCKVGWRAGRVI TSTA_052970 MGYSLKNRNVLVTAGSRGLGATIAEKFAAEGANVVINYVSSEDR AKETEAKINSQYAVKTAIVQGDLGIKEDCVRVVKTAIEVLGGLDIVISNAGWTKFANF ADLDALDENDWDKCWAVNVKGHMHTFREALKTFNANPDGGAFIITASIAGMMPGGSSM PYSVTKAALLHLTKCLAKSQGPKVRVNAVCPGLLLTEWGSQFSEEYVKNHINQTALKR VAELDDTADLYISLAKNASITGQSLAVDGGYAIL TSTA_052980 MSTYMYSALLILRTQARCNRANGRRWYSDKPIDPLRILFCGSDE FSIASLKALHAEQLQAPQSIKSIDVVCRPGKRVGRGLKQIREVPIKSTASELGLRIHE LDTFRGWTPPDGPIDLIVAVSFGLFVPSRLLTAAKYGGVNVHPSLLPDLRGPAPLHHT LLSGKTTTGITLQTLHHKHFDQGMILDQTPAPGITIPNPDSCTVPELLGLLAPKGAEM LVNGIRHRVFVPPLKGVKSQFKESDAKDLTHAAKITPQDSHIK TSTA_052990 MIDHESLSVIPAQVGFLTIYNPSLGTTDETLQDQIVFYYSSSQQ ASRSSNSANALSTSPDPEQQRSKDDTNERLRKIGLAQGMVNFAKNFSNGQPVDHVETE KSRIVLNELKSDWWILASINLTRISFPNTVNASSKTSPSVEYSSREIYPPRSLIQQLR RAHAIFLLHHGDSLSTLYQRIGRDSFCKLLGRFWNRFVRHWLVLLHGNPAVDLFNGVK LAVGGELGIGIHFLQLRKIHGI TSTA_053000 MALGAVVTPLSGENLVLQGVHQLHKAAIKPIKQRINKILKIRIT RIEQLEQMADLMLQQIQSSPKDALNELLLRGFVQQIKTFASSQGERIDVLTDLKQLLE SVQQDTTAIHIKTEHASKSSVITGLSSDSAILWKTYQA TSTA_053010 MDKERSLAGTLAFIWSIRDDTDHIPAVCVVEEPNSNCMGILLAV NRVGFNDGTNILRDLKQGFDKIFHILAGRLDGERDASSTENEVFTAIVSMCTSRILSR LGLIPGRKRSRKSVKDSLSEAIDCTRRLDNSRNPHKNSCHVVRNGSEGIDQAYKFLVQ PPDYGTTRKPC TSTA_053020 MDNIIFEDPSKRVSRKNKVGSNVALPVARCDMAIEQAPQPIDPY FSHDTNFNVYIHGKEPVSLFDMFSLSGDEELGNEDLTNESPQALSQGNINGQREWSVS VSREPAEEVIPIDPAILGKESVSEKNADENVALDCSGSSYPTSPKSLALHRHAQIVED SAPVSDANSDTRRLPSKRRLAMKLIATPPANDAESRKGKSWEPDEIKLLVQLKEAGSP WSVIAKRFQERFPGRSKGSIQVYWSTKLQYLH TSTA_053030 MPPIRNKNPKDLVEQEGRILLAISDFQNGKISTIAQAARIYNIP RTTLRNRLHGTQQRSLVRANNHKLTQFEEESLVKWVPDLDRRGLPPRHSLVREVANHL LLQRGNQQVGENWVYNLIQRRPEIESKFSRKYNYERAKCEDPKIIQEHFDRVREVISE YGILPEDIYNFDETGFAMGLCATAKVITGSDRYARPKLLQPGNREWVTAIEATNSTGW ALPSYVIFKAKQYTRLGWFEDLPSDWRINISENGWTTDKIGLEWLKIHFIPLTNGRTM GNYRMLILDGHGSHLTAEFDRTCTENKIIPPLDVGCFAVLKRHYGQLVEQRMRLGFNH IDKIDFLAAFPEARTMAYKAQTIRNSFAATGLVPFNPDRVLQQLDIRLKTPTPSPSRS SNTQSSCLQTPQNPRQFKRQMTIFKKRMSRRTRSPSQVTDEVVMRISKAYEMTVNDLL LVRKENHDLRAAHEKEKQKRQRSKKQISSKQGITREEAQALVQSQVEVSQAVTTTPGE PELPASQPVVRRQFRCSGCGVEGHK TSTA_053040 MAPEDQGKQFVFVGGPMLIGKSKDGLRSKLAREGMREKRLEARK IAMAEINRLLTATTTTTTTKCSCRRESRIDGPAVRCSFCSRRNIRPYYYPWFTGDGDS SSVYDLASGLLDPMMPINELTSRLKVGSIFSFACRHIFPNLRSLDMAGIYQTWAFPFD DDELKLYAFLWSSKYHENLIRLTYGAPEDPAALKEELILKGLTLRALRKEVGNYTGQK PIDSIIRCMLVLAVNEKDSERIYREPSPFAPVFTGLHGLEVYGGRDFSPLHWMVMYKL LQKQGGIKKLRLFALAWHVSASDLTNAAHTLRKPLYPIVDVYGQKLDLDPPLLLFAPY GCGHSWGQRKTPGSGFNELLFMEQPVHGELVIVFCHIGELSYVIDHMSTQSCDAQLLD LLGDSRDLVHHRLFSQPNEDDTSDKILQRLDNGPSIGDTHKGCLELYLTCRLAMLLYS AHVTFPVPRSIAVRQRLLRSLCPKLQSFAVQGFSSPLLLWCTSVALIAAYGTEHFDEV LLLFKKLCCALQVMSLEKLLEILCSFAWVHATVQHHYPKMKGYFLANYEDT TSTA_053050 MGLLGRGPSTLRHVALGRAKYCCWFAEDQGSTAISPSVSDCLAS FQKKANERDQLSGMSDLQVINIEGLFTYELKPCGYTWSCSFKERYTPPQTEISSHEEH LAPGEDNPLENNEVSQRVEIPVSSKGNEYTPAEDKLIVLLHTFMEERKALFKYDTTNL GKILRIQLAVANIAYGSHVNLRIVIKVFRYIYESKDIRQP TSTA_053060 MISFITLLAASAALASPIERQAKTAVLPLKRVSNFTSVKNLVSK GQARLNRVNGVAAISAVSEVSSGSVTNEDVSYVAPVSIGGTTYDLIVDTGSSNTWCGA QSSCEPSSTGKSTGGTVEVSYGSGSFSGKEYKDKVSFGGLTVSSQSIGAATSSSGFSG VDGIIGFGPVVLTEGTVSNANTVPTFLDNLYSQGSISEEVLGVSFSPESGSDTDDTNG ELTLGGADSSKYSGSLTYFPKLTSGDASYYWGISISSFTFGSTTLASSASGIVDTGTT LIYIPTSAYNKFLSAAGGKTDSSSGLAVFTTKPTSNFGITFGSTRYSLTPSQYLIPTA QYSYYGLSSGKYYAWISDGGSSGVDTIIGQKFLENYYSVFDTTNSRIGFATGI TSTA_053070 MPRLKRALSGVDPNSESNIPVPQESSSKKRKATVTRGAMETSSS NSAASNALSNGRDEINAAALKFIAVPRPIWDIDAEARDNEDHQSDSRDVGDTEESETL RDQGKSVTDLESPEWPWIMSSLALDKYHELEKQAENRDQELHGVYMYNDFTAYGINEV IENQLKDFNKEVSRRVISPYAVWAQLEALSWFLQLDYIDIWFQGDDCHGFAETIALIG KALLTGLNILKTNQLFKPYHPEEGGGIRDIPIVLALFVRFARSWTPDILHSN TSTA_053080 MIIHSHSRPIWIKARIQNWGPESQDLHSLLSNIRDNRYPNDNLL KDKKRVSSGKGRSSLLLTFASESTPQDSNTLRPFTLGRWAHVSLLRAEGTADTFVSAS LVDLGTTESDVPSVSELSLTNGKVPAVDELYPEDSANTAPQSELLQESGDLETQRGHV ECKAEGAVPWFIVKRYLSAMGSQWYWAAVLPMFMTQQIASLVTNLWIKNRALQYDNSE ARVNAWYHITIYVAFVYSLCSCHRAPRSHNLSRITQSVIQDIRSTSQ TSTA_053090 MALYINGARDLKRIEAVEGPPLYQQFSETLAGFVSIQTYSRTSD FIAQNLKLVDRLNQPACLEGYQFPYSSWRAYRSRGRMGAGKSSLLLALIQVLEADSGC IEINEIDIAAVSLEQLRQAITIVPQNPKLFDGTLRDNLDPLHRATNEEIITALNTVHL FDNLRISEPVRGIDLLQHSTNALSQGPRQLLCIARALLRRSRILILDEASASIDYATD AAIQAGLRASISKGTSVLTVAHRLRTIADYD TSTA_053100 MAPRLAVSQLILIRDMLVSGEPFTASQIAVAAGCSERYLAVSEH LQIVSVADEASRP TSTA_053110 MALVQISQFHRGQRYQILPAYAQDGIVLTRVFQGSTDASVFGDF TEQLLQSVLVMDNASFHHTDRIYQICTDAEVKLIYLPPYSQDLNPIEEFFAELKAFIK RNWRLYEEVPEQGFDAFLEWCVDLVGTREESAKGHFRHAGVIIEDSSKEPTVACVKDQ PPPGMGELNKYTVGWICAITTEYVAARAFLDKEHESLEYGFANDNNIYALGEMGKHNV VIARLPKGEYGIAAAASVARDMVRSFPNIRIGLMVGIGGGAPSKWHDIRLGDIVVSAP HNGKGGLFQYDFGKTIQDQEFRTTGFLDQPPGLLRAAIGDIEAEYEMNGHRLDEAIDS VLKKRPKLRKKYKRPEPGTDRLY TSTA_053120 MRPDRSDEDDNPAIHYGLIASANQLMKDALVRDKLSAEKDVLCF EMEAAGLMNHFPCLVIRGICDYSDSHKNKEWQGYAAMAAAAYAKDLLSRILPIKIEAE KRIGDVLSSEFIVEKNIIKEVHNTAQQTNTAIDILDSDRRREKVIAKLPYAKGSTFDS FDGALDSRCHPETRIDLRRQIKEWAENGQGKSIFWLKGMAGTGKSTISRTVAESLEKE GKLGASFFFKRGEADRSSVAMLFTTICAQLLVKILLLIAHVETAIDADLNISDKSMGE QFEKLICQPLSQIRGHLPLASKLIIIIDALDECARDGDTFLRLLSQTRNKWSPSLQIF ITSRPEQQIRSGFAEVPEEIRENIELHEIPQPIIKQDITTFLEHRFAQIQKKYTKDGR SLPSDWPGLEAMLALVDMAVPLFIFAATLCRFIEDEVYSNPTDQLKKVLKYRKMKSDS EMDKLDVTYSPILKQLIDGRPEKAQKSLVKRFQIIVGTIVHLAEPLSRSSLATLLDID NQQIEGQLSSLHSVLSVPSSAESPIRMLHLSFRDFLVDADRRDTNLFWVDEAETHKMI MTKCLDRMSQRGYLQENICNLPDHGTLRAEIDNRIITNYLPPDVQYACRFWVYHLKES QSYINDKDPVHRFLLDHFLHWLESLSLLGRIMESVHLIRTLQTLVHDKNMEISKLLSD AMRFARRHSSIIDRAPLQVYSSALIFSPMTSIIRNFYINKIPHWIEKLPEVESAWSAV LQTLEGHSDSVSTVAFSPDGTLLASCSRDDTTVKLWDPATGSLQQTLEDHSRSVSTVA FSADGKFLVTDQ TSTA_053130 MGSTVDWSQRPTYSPEQLDKYFDRIKLPAKYWQSPIVQNGASSD NEAALSFLKVLERYQVAAVPFENLNLHYSVQRHISIDAQKLFEKIVDSKSERGGYCME NSTLFGTVLRSLGYQVTSVGGRVNEAAQPMSASKNWRGPKYDGWNHMVNIVSIGEQKY LIDVGFGSNGPHQPVPLTWDFEFHNVGDQSGRLRYGPITQHTNKTEQQHLWQYEIRNG NTDWIPAYCFTETEFIPEDFTMMNYYMSTSRDSWFTFHIVCVRMLLDEAGENVVGDLT LFNNSLKRRIGATSEVMQIFSTDEERVSALDKTFNIRIGPADRDSIRHTISEIL TSTA_053140 MSATIVLWSILGLVVLRVIYERIRDRSLPPGPRRLPLIGNFHQA PTELPWITYRNWIQEYGPITSAQFGGNTVIFIGDASIARDLLDKRGNIYSDRPRMVMA GENLTKGMHLLLRRYDERYKLHQRLDAPVLSPRASKTYYPLQDLESKQLLFDFLSTNH FRKVYERYAASLVYSLAYGIRLQTGAEPMLKHAHQIQENFGYAGRVGTWIVDALPFLN ILPSCLAPWKKTAEAFYHLEKALHLGNMQTALASKSWNWAKEFLASKEASEMSDIELA YNVGILADAGLDTTSVQMRMFTLGVISYPEFVTKAQQELDEVIGLDQLPTLEDEPDLP YVTAVMKESLRWRSIVPGGIPHATLEEDDYLGYRIPKGATIISLFWAMALDENTFDRP LEFRPERWLEVPESGKERFVNFFGYGRRICTGRHIAKNSLFLLIARILWGFNICPTIG DDGKPKQVNDMHMTSGFVSSPLPFEAIFKPRSEHHKEIIESSWHNAEKDVGVILGSIK EKQISIGLKVRG TSTA_053150 MEELLTLPPCRVIIIGGGVSGLATACSLMKDYDLDDYCIYDRQT SLGGTWNANIYPGCAVDIPGFCYSYSFAPNPGFTQLFPSQAEILHYLLVVAKQYRVDQ HFKGGVEWTAASWQDKSRTWLVTLKDIQTQRVFRQESQILISAVGGLVNPCEISIPGA DRFKGSIMHTARWKNDIDLTNKHVAVIGNGASATQVIPAIIDKTKSVTQFMRSPHHIV NATNHQISPEWRNLLRRVPLLLHLIRLLLFLYMEITWFRFQNNRLGKIGRESVKNRSR TYVQDTAPKIYWDMLIPTYEFGCRRRIFDRGYLATLHKSNMFLTDDPILEISEDSVIT HSGEAFRVDTILLATGFALTQYDVDLRGRNGKTREQHWKQYGHKATYKSIAMHGFPNF FYILGPNSGRLYTSTIQIIESCYGNASHQTNTSPPSLIGGGQKHPRAGI TSTA_053160 MGWSRSVVTWWYLYNEERELDALILEINNSYWEKRNILLRIKPT SDKLNPCQSLDSAEYLDRRQLVRSLPTATKAKFYGGDWRKYIFASPFEKVDGLVSQRM MDPLKPHSWASGSTLSNMTTLEETGEVRMATRLTCDGYPIDPTRMSYIDVIKVIIYWT LPVIMTTPEIFFKAIKIRLSGMMKMNSKPPVRSGSVGRHIKRLELNLEAYFRAYLARC VDNYPEPVELKYLPCRSFTNDTVYMRSPSFYEKGPTIVRYLTVEPADPSFYTRIINYM DVKTALDQEAQQTGHIADPTAQRLIVSDTSLLKSILEYSSFSFHEEEAVTFSRWKLRQ MLLLTRPKSTLTFMDIFILSSLEPRSCMTYMSSRLRLSAAHTLAADSQRLLSIYLFVA SCLIRWSVLELFSRARVCIFSSTGLHYPHWVTLASAVLEYCLMLKGLAVMQRWLM TSTA_053170 MLSTANTIDEYHSSSMDLPTLTETALRHPDCCCSLSSTLLDAIT SNLCENIDSGLVLSVGSGTGLLEALLLSLWTSSFVSVGRKLNMEGVEVLGSESLDLHS PNRYLPEECFNVVKGSWALSSRVKQAAAIMFVYPRSTELVRRYMEEAALFSDKLHIAL WLGPSSDWAEFEPSFTVSKLGELMKERVGYENKISFAIFLIRKLSWKHTREWDD TSTA_053180 MLQGYSKDQPEGFHNRIERVALIGAGGHMGSHIAEALLKTRKHV VKVISRPNSTSKMPFGAHVERIEYGDSDDSEIVQALEGQQALIITMSVAAPRDIISKL IRAAAKAGVSYILPNWYGHDPAAEKLCKDTFLADIKTRICTEIESLGVSSYILLACGF WYEFSLGGGPDRYGFDFKNRSVVVFDGGNTAINTSTWPQCGRAIASLMSLKVLPEDEN DRSVTLLQFHNRPVYISSFRLTQWDMFKSIKRVTGTKDADWTITHESSAERYASAKDS ILQGDHHAFVKFLYSRTFYPNGGGDFESTHGLHNGVLGLPGEDLDAYTSVAISMGENE EVVDDDVRRRVIHVLNE TSTA_053190 MRGCPIDKALHKRGSDQSPTCSSHSSHDLGSNRSNAGLPPHPKA KGERFICIGEGCASLLDIAKILKKNLGPKTRTVPATVLSNLFIQAVAISLPVTRLVLP DLGVKKAFSSTKSKGLLGWTWKYNHEQEIIASAEGLHKFRLIKA TSTA_053200 MSTTNEESSPNLGPELLQTIWIFEAISILIVMLRVVSKARIRKF ALDDVLVILALCTSSAGSAILTIGIKHGYGQQVWDINPENVSKVILYDYLSQALGLAG GAISRVSFIVLIISILGTRKAYRIVLWVLVGAQIIINSLFILVIFLQCPGYTSAIWNV TGEGKCWDLRVQTYYGYFQSSFNSVTDFYLAAYSTYISWNLKLKLGIKCGLIGLLSLG IFAMIASIIKTFQIHVLASIDSDPTVATADLERWLYIETYLVIITGSVPCIRSLISTK KSDSGNTDTITRVYSTTTAQSYGLSMRSGIQPSITRSHDGGSISNKLSGSEVHIIEDA ACREITDFDNWEQSCRPLSCDRGPNGSSHMLMHDTRCLSTIQTIFQLPLANFDYELAL KTASNLRRSRATIEP TSTA_053210 MDIIPRSLLITIRLGQFAFSAIVAGMIGYFISLFDLNDAGFLLG RWIYVEILAGLSVILGLIFLLPAFLLFFIWPIDVVIALAWFAAFGLLFDALDGLVCGG VDGLGPVNDGGNCTVWETAEAFSFLSAFTWLITGFLGLYLVWRADEHGKRQWHQTYKV TSTA_053220 MRPGILVGLLAFAAITAGFPNKRTGLPASKSSIQNLKSKIKNVV ILVMENRSFDNLLGGQKLFGLDNPIQHGPFCNPYNVSDPAEGMVCSAARDYDSITDDP DHAVYGNNFEFYSTFTPDNAAIESGQLIPNQNGFIHEQLRLYSSEANRTELATQVMNY YTEEQVPVLTTLVHNFLTFNHWHSDIPGPTNPNRAAIVSGTSYGHGTNDDGFSEHVFP QTSIWQQLTETNHSWTNYWDTAGGTGPDAGYFSWTYETGNNDKIVAMENFYTDAAAGS LPELSYINPSCCGVGTTSMHPSGLVSDGEELIRSVYDALRASPQWEQTLFILTFDESG GFHDHVPPPLAPRPDNLTYTSTTPSGQNYTLSFNRLGGRIPTLLISPWVAKAYVEQKS LNSEGETVSYSASSILRTLGYLWDFEPFNPRVEYSPSFDHLIQSYLRMDTPSSLPKGT AFKS TSTA_053230 MESAVPTVRTSTSPRVSPHSLHGKRKRKTLSCYECRRRKLKCDR EEPSCTRCRKAGQPEICSYVYDASSLPGSRQPSNTSSFLPPLSSTAQPHGVPSGNHAI HSSSPRVASQFLDISGKDYAPSSLQAAQTQGTWQLLGHVSVLDTGGVKSRPAIGADIM DASAGSQESIPKETVIFRGENFRTQYYGGSNATSSIAHFPELRSFMKETIHSQTSLAR VQRELKSLQVRWKGIRADGAWFLTHEELLHLLPDREAADRHVTLYFDTVESTYRILHY QSFQKQYELLWQDPKQVDSAVVIILLLIMASVEVLFPQDQPEYIGDSSVSREKAIFWI EVSEKWLARQSHKHVYLAIWQIRCLLIFAKQINVVKKKRIWTEAGTLLREAMSAGFHR DPSVLGDKVSFFDQEMRRRLWATIIEMELQISIDRGMPSASAGIPSDTVNVLNVHDEE LEKSSRLTSRPLCEHTSTSYLHISRFSFSLRVSLNSIINDLSSPLVHETVLRYEDMIM KELQRLQSDTASKRHEHVNKRSSYVVRTLLDIQLRQFLVMLHAPFACQTQTSSRFSLS RIACLTAAAEIIRMHSNLVKTANFSLLLQRNDYFRAGLVICQTVYANLMLTNNIFINS NNAILIQYLEDVLGMLEKRITQYGTGYTYYWYISAASKFLQSALVPSEGVREKEEAIN RVTRQYCRVLESQVDVERAKDMIMPMHPRSINSCGNLPLHNHNSDMASLQLIPTEQSQ VMQMDIPLDEFFFGNPAAWTFDNLWSID TSTA_053240 MAPVMSIFYQAVLFAFVTVSRADSAMFSQFIGATAVPATFTGDL AASATICTNYLHGIPETSGLPASAASQVFQQEVDGDRQESTSVVARQVRVRASLVLEE MHRL TSTA_053250 MAEEKPLRRLQVPAASTTQVPTVNTVHSFKRLLSYSDKLPVEDQ SANPKTLPAAVKPDKNEQDHRNSENMVKAALTELLNDEDVKNNPDSNKSVQNLLMKTE KEWRRQRRKSAHERGADQTRK TSTA_053260 MDNHTAHLTSDFISYCEENKIIPFAFPPHTPHILQPLHGMPFLH YKRIYRRAINEQAHLGGYFYGKVDFLADIARVRAEALTPRTIRKGFSERGLWTLNPDL IVDRLMAKWEGQMGPDLQGFDGNEEEQNIPSSPTNASFSPPTTAYKLQKALPSDMIPG IRRSLKKIFDESLTQAHIMEQQ TSTA_053270 MNSHKQYTSGLQVVAVITAVSGWLLPECRASIENPGLLPTPPMG FNGWSRFQCNINETIFTQTADAMISTGLQAAGYNRINLDDCWMADSRTDQNTLTWNTT TFPHGLPWLGSYLTERGFYFGIYEDAGNVTCGGYPGSYNYEAIDAETFASWGIDYLKV DGCNVSPGTEAEYHERYSTWHEVFNNMSSPLIFSQSAPAYFSKWITGSDNLTDWYTTM DYMPYNGELARHSSDIIVYALNATSWDGVTSPWGSIMQNYVYEIQLARYQVPGYYNDP DFLIADHPGLSLDEKKSQFALWASFSAPLIISAWIPGLQEDVLSYLKNSDLIAIDQDK LAQQATLVSRDGAFDVFSKSLDNSDRLVTVLNLGNTTSSTSISVPRIGLVEELLGVKV EYTAKDLWTGKTIIFQNKLEIKDLASHATAVYRISLSAILSELVIPTGLIFNDASFNC LTAESSNEKNTQIAFNTCSGADEQVWQIRPLVGTVSPLSDTSLCLTARNKQAKLERCA VIPLDLYQQWDYSVRGYLTNRLTKECVTESTQSTLSTCQYEDNSQIAFQVTISHGFFL RRSLFIYHIPTKPLDIYR TSTA_053280 MSDLDFIGNAVGDESSENEIEVSELGIVGSLQKGNEPAPDLLSF NDHEEFQTRAVSNENYLTFQALPFARNQLLRDSDGNSVSSKIQIQAYLRGRFTHHDEV GLTKTRREYSTANASSSDDKDTVGLIFYRRNKFKVVGTISIPTAIANVRLENTGDSRI TGLFAELDATESLEGVSVRIIHTDPKSKPTTASSQFEPSIDQGCPSRNSLSRTIPGLP RVCLWLERDEDVECGSESGVGDSVLSVPIFWDKLQFRHATAKKRGTWQYFYLRVTVLA TLEDGTTHAISQSQSSAITVRGRSPQSFPKHASKTMKTGTTTSGGRQVVTRHQDSRKE AAWRDSPIDFQATDYNPTLDPIPGNMIFYDFSDLGIWNNDLQWQDGDGTTDELSGLNG YLDSRGSAKSSTDNTHIANNSNACLLIPPSETHLLPSVPNLGSIFNLPNSLSSLAADY PNAQDAQCAPFVLSMPFTISDDAVNVSHIAQVTSEFPVNPDHETTASRKANGLDDDES DGTDEERRIYSYEYIPLSINDWTVPVDAVYRPHGVHARKVPNLEKSIMKKRYFL TSTA_053290 MADSMDDQIGSLLSMGFEGTTITPQVKSLIENYRVGTICLQAKN LKSKLPRYTIGFANIFFLFLYLDNVVTKWPSKCVIIMVRNFLTHLIGAPQAMELITSL QKVAYGAGYTEPLLISLDQENGGVNSIFDHEYFHQFPSAMGLAACRSRELTRSVSRAA GKELACIGVNWLVGPVFDVHSNTKPQPMGVRCFGEYPEIVAEQALESMLGYQEGGLLT CIKHFPGFGSLDFVGSPADIPNVSGTFDQLLSTSLVPFQAAIDNGVDSILVGACGMPD AQVPYSCLSYTVVTSLLRRRMGFGGVILSDCLEIEALYEGVGVSQAAAMAINAGCDVI MLCQSHSNQIEAIQGIKTAIESGLLSVDHIQAAAGRVRKMKQRKLSWEQTWNPGGLSA LDVMRPAHKLLSRSVYNASITLVRDLGNYIPLTKKSAPTDVLLLLTPLVEPFGRPSQD EQKPQQDSSLSHATPSKLINSARHLSNRRSGYLEGESTFQELGVALAKRWRGKVVHTS YTASGISPLHEHLVSEASAVILLTADGVRNVYQYGFTKYILSLCQGNRSQFPTASSIA GSPDKPCIVVAVSSPYDFMNDRQVTTYLCTYDLTGPSLNTLVRVLFGKLKATGLPPTI RQTFRHPTIQRQLWLVEKFDFARDSSSLQEMFDQVRMVASNSFSDPEAQYPSYCFETS SYQNNYQPLFKMENFIVRNSSTQTIYGFCATYYSDNLSRGIIAAIVVSTSHRGKGIGF SLHQYALHNLQRRRIEVVQFGSEIPVIFPGIPNRLSTHQLGLRSWVKNRGWDVGSWNH HDFYAIRLATDSLPTMVPEKSAVDNGLLYDTIQPQDQEELNQHLLIQTCFGASSSLLH HPVVLSELYRCAQTDSQGCKILLARTRDARNSGCLSGKGKIIGSMILYHASSQMSKYF PVEDRKTGGLVGVVSLQGAQAVPIVEGLIRKALHILIDIGFNNAQTFIIQFIHLFW TSTA_053300 MTATETMTAPTTPSTNKPYLEALQRDGFVVVRSILSPAEVVTLR EAATEVTLQTRNGKWPYLRSVPKQFPPWPNTPPPSSEGGIWGVQHLMHPEMPHREKFI KTYFSPQILSIAEELLGISDSSDREELLVMELFNLLVAPENIPFELRWHRDDIPGPEG KQSHAQYNFSLCPDASLIVVPGSHRRVRTETERSADPYEKSLPNQLIVRLEPGDAVFY DSNILHRGIYQPKPEGGEEIRLTLHGSIGLKDHGTDSDKVRATAVLQHGIGLWVDRDD ASLDAGERAEKMRTNLIGLGKGENIGYSLEG TSTA_053310 MDTASIRGLSWSTLDPASYNSRKRSFEQMLLQQDSNESSRRTSP SRTHSRVDLERHSSHIVSLSSSNGSPSLEDPENRLYESPASARQYYGDESLILVGFPS AGKKTLGLIASAALRREFVDFDTVFVGKTGLSPREYIEAHGATAYRSVEDELTSEVFR SRQKGCVLVGFFAMASNRQRRLLKSLSTTNPIIHIQRDLGNMIHRGDSDKDRLYRTYQ LSEKMHRRFANFEFFNIFQPMADDKPLGPLRLKATEREFVRFLNGIFPQSEASRGLKN LCSSSYTYALQAPSSWLDDPQADYTELDSGADAVEILVEMSPQNQQDLFFKLSQRVAV LRKYCRVPIILDLETSATMNYIAQVNLLELVIRQAPDVIMVSLDMSPHLVKQLSLAKG HSKIIGKYHQKGPISENWDLTNLQTVIDKASLLHCSAIRLTGEAYETNNNFGCVRVVL EARKLSDLPVSCYNTGEYGRSSICLNPILSPVVLPSQSTKQGITLADAQRGLFSSFWR TKKNFTVFGQNVSYSLTPAMHNAACIACGMPHTCDYVESDRLARIREVFERESQGGLA IVYPYKTEVVQMMDEMSLDAKVIGAVNTVVIERISTNEGSPKLYLKGYNTDHIGIRTC IEKNLSPANAIRSQTSALIIGAGGMARAAIYACIKAGVRNICIFNRTEANARRLADYF ATVYTNLRLTILTDLSTPWPTDLWHPTIIVSCIPAHKVGDNDAPDFLIPEQWLGSSTG GVFVEFAYKPLVTRLIRFMQSRRSQGWIVADGLDVLVEQGIAQFEILTDRPAPSHIMR RTVREQYSIAQNAHILRDFSVVGKVFARRVGLVAFTSLTSLLIQSDTYCCSSAHRNHS RERIHFDQSLQSKGEVHDSSFKKFAIIDMPTILILNGPNLNLLGLREPHIYGESTLED VEKMCHELGKQHNISVKTFQSNHEGQLIDCIHEARNKVDLIVINPAGYTHTSIAIRDA LLGVSIPFIEVHISNVHAREKWRNHSYFSDKALACIVGLGAFGYEVAIQHAVRNLFPK LTS TSTA_053320 MSEHDPSSKRQRINQACDQCRKRKSKCDGAQPTCSTCDNLGKTC TYGTPVKKRGLPTGYVRGIEALLGLLQQLLPNGEYTLRKILREKIAGRMVDSEYLDNA SDIWRSSELAKDFDQLLSSVDNNIGSRLTSETIRLPELRTAKTPIYGGLGETNLFENL QHCADLPSPNFPANIQDIVDFYFQTTHCWFPIVERRMILKALYRDEPGNGADRDSDNY NLCLWAIVGYTSSIAPGKTRLDATSPKSIQTYVRFCLMKCENSLSIGNVQALCILALL NIALEQFNTSRLLLLQAFTMLIDATVEERQKSERYYHTLHGCFFLDQILSAYLSTTSF FPNTWYPAIGHLDENALEEWELSPPAKGAERPLLNFDRQPLRTISSFNMICELVSRIP PRGEISEVWNSESSPFDPASHLQLHPFNHP TSTA_053330 MAFAERVSLINMRSYSAGFAEEEQKMLRRTEKCAPAISTMSLGR AWVHCMPEKLHQAAKAGFQGIELFYEDLEGFAKSPGEGSIIDAAREIRRLCDLNQLAI INLQPFGFYGGLLDREKHKRKIEEIKFWFRLVKILRTDLIHIPSNFLPKEELSDAIDL LVSDMTELADLGLEERPPVRFAFESLCWGTYVDTWEQSYEVVQRVNRVNFGCCLDTFN IAGRIWADPESPSGRTQNADTALKASLDRMRATIDIEKVFFIQLVDAERMKRPLIEGH PWHVKNQPPRMSWSRNARLFAYETDRGGYLPILDIAKTILRDLGYKGWVSMELFSRTM ADPDPSVPATHAKRGIDSWKKLSADILDIDRVSHQCSGRL TSTA_053340 MTSDLAIALRESYEHGSSLFDDSATRDIETRIPLLGYPIHHSMA PLVHNYLFKSKNLPWQYYLLESEEPSDLLKVLDNSSEHCIGAAVTMPHKVTFMSHVDE ITSSGKVIGAINTVFLRRDKATGKTRRIGTNTDTIGISRSFSTIAPSLTSPEAINRPA LVVGGGGASRSAIYALHYLMVSRLKSETDELIAWFNRGASSTSGAQFKGKLRPLHSLE QATTLPAPYYVVSAIPDFPPQTDGERLSFAITRELLGRENALEKGVLLEMCYHPNIRT SLYDYAEKKGWHVIPGTEAMIWQALAQQVLWIEDELINEESIIEDLKRIVRDEISRRA ANAEK TSTA_053350 MHGSVMLAKVLTEVYYGKMPGYNYYSGCSTGGRQGLKEVEIYHE DSMELLPEHRLGGQHICKYGLEGFLCKGSSSSSSADCLSAAQLHTLYDIYNDYVDINQ TFVFPHLLPGRESQWAEVIINSSNSPLGYEYVQYFLGMGPQWSPNQFDYSIRKGGKLL MYHGMADGFNPTETSIASSMCRAFSIYPFRILNLYDRHCRGSPDSMHAPWYFAGPNQA SHPSSSLHSVPWYADAQHDILLALIECVGKGVATDSITATTCHNDTTQSEVYRQRPLC VYPKKAFYKGHGDPDLAKNWECRDLY TSTA_053360 MPPRTRKSAAASSSKLAGKPNASVAAADKQPIDVSSPVSEDGAS SDGDDRLVIDEDEEDMEVFDMTDRDSTEINAPNKDGQRSLYAVGGGGYNALKTFRGQV YSGMAIGGSHKWNYDQGVWKETKVEPDRWEIDYNATKRRARKAPKGSGVPVGTEYHWF IIAHQYVVKQDDNTYETHLVGSKYKLAHKSISATAWSVPTVKAQRERETDILEDAKRR VQGLPPVLAAEKAKVGARQEKGQQTLDTLFGKRKGTEDSFASRQTKKRKMGSEE TSTA_053370 MVFKFGSLVIFTFANLLRSAEASWCLGTGTGTCNLNIFGTPVIT EGVHIYDNECNLIGYLNRPVEGVAINSQLPWTVVLKHIANDDRHFTFCYAGKCYVNGF TFQETDEGGYTAIEALHAFDCGDEVRFSKTESSFSSRRHVNETDFV TSTA_053380 MNVVFSLLLLATFGCASLLDEIPGCAATCFKDSLPLSVCSLQDL KCLCADTTFQGTVQICLGNGTCTPKEILTTTNATYAACGLPSHDIGPVIVGIPATFGS LAIIFVLVRIYARVFINKFFAWDDRFIVLALVLARTGMGKDIWTLSFENLTKTLKDLY FAEVFYMVSEMFTQLSILFFYLRVFDSILFRQCAIGISIFVVCFGIANTFSMIFQCTP VSFFWDGWTGQYAGKCININTFSWVRAAIEIFIDITIISLPIRLLLGLKLNWHKKLQI LAMFSVGFLITLVSILRLESLVRFSKSTNTTYDNAPAVYWSVLECDIAIVCACMPALR IILGAIFPRYFGSNFNSVTGESRRTPRNEHHSAPKSDPEADPITKTIASWTVGPEDRS RTPTPVEMNKIESEENKH TSTA_053390 MDLKMDFVSVGRFMLYSLSLYFIYKILAQFKHIRRPPLPPGPTP KFLVGNLGDLPPPGQPEWKHWLKHKDAYGGISSVTIMGQTLVIVHDICLAFELLEKRS AKHSSRPKQVFAGEMMGWENSLGLSPYNNRFRALRKNMTKMLGSPTSSARFNGLQEKE AGHFLLHILDDPNNLTEHIRKQAGSVILKIAYGYTTEPHGRDPLVELAGQAMDQFARA GVPGTWLVDMLPFMKHLPDWFPGAGFKKIGRLWGSTLSELTERPYAFVKHQMAEGVNE PSFLSDLLQQPISNADDLFTVKCSAMSLFTAGADTTVSSLSCFFLAMILFPEAQQKAQ EEIDRVVGTNRLPEVADRPNLPYIDAMVKEVLRWHPVAPMCLPHMTTEDDICEGYFIP KGSYIMPNVWYFTHDPAVYKNPMQFKPERFLATDNQIPEPDPHTLVFGFGRRICPGRL LADTALFINIAQALSVFKIGKAVENGQEIDPVVEFLPGVVSHPAPFRASIKSRSSHHE DLIRGIERVHPWQQSDSKLMQNVVI TSTA_053400 MAGALRELIELLLTEIAVSGTDGTPLSEIWGLIEAFYSNRSSYA GHDHSSPGFFLDRSLQEDLWEWLTLNPEVSVGRNKEYNGLSLPEAEAINSKSSELRLF VSEERIWKALTGHEKDETKVPSTEFSLLSIIASCRSQGILQTELVRRSGQDARSVPKR TDALKTKGYIDKRQVQAKSAKTSLCTLSRFANVDVGIVCQEGKSAQSREVIDFGAFCH DIFRILKKYQIIARSDLRDELGFHDIWRRRILNRAIRKLETIGCVQRVKARSQFHDTM QSLHSCVLLVREPTQVDLKLFKSDYKTILAATDQENEEMEEQAEMHTPDHKLIQWVPD ANFANLIATLIKNSGTRGSSNAEIIREGFGKVFRRPSENTLNRITECWQLSQPLHLRS SAIVRDTALNGTVTHYVHYSYSNFKQLVDTGCADWDAVSFRPRDVKSSKLAIPPPDAL PVTDQDGLVPFRPSRRGIAECDHYCAVSSKSLGNYAVTKSDPVAMTNENMDFVAVFPR ESDGFPGYKPIHKRTDTHTVAKKEQIDSARSQQDFRGENMVETPGYAQMTKAEKIEVE LKKGERSPREVFAAFGLDESWTHVAVRLMERNAPGVYMTAKGKARPAGRRQGRPKESR IAVFRSSTLVEYLKSIPQAVMDVSRPLVSRASPCNAGRESSIITTPGDLISETNSNNE QGALEPAPVATETQSNKENNIVPRPASTEPQPTSPELPSVIPDLPEIEKVVSLEAEER STTSTAITGITISRNNTLESCPSVHPVSNVPSAAADESSVVGQGHDSPPESPVIFTKS RRLDHGGSVARLRRAIIMDIIKQAGGAFPSGSEIWHAFSTVWMKYLKHAEKPDSRTVR NVVKYLIDTGKLRQITFSGKGPKGLMVTRSIVMTPETSPSSPLVTKMQKALLESNYYV PDNVEIDSDITRIVRGATGAPTGVYTKSIPIDPGMTVNLRTKPASVIALERRKEQSIH KRLSKPIRLMGIKRKGRLQTSEGITSFSRPVSKGILKHHHEPSQPEKDPSGESTPMEI DVLPSVTPKRHLRRESLDQPRSEVKRRKRSYTESQYDRFLDSLEDVLQWEFSNLLMSE TEQGSSIIPKFTEDDMDFIHHTVNEGFEQAPVVGSIRFAGEKRTRPAPLSAPSLRRRR HKSSVAFESKLRPITPRQSHDTGHESGSQPWLVSYAQQPMDAELYMQPNGSDLLQPSS PIDEDELHGYPEKNTATMARRRRRGLKALSNSMIERLKHAIVVVRTIAGGTQGRIVDW DFVTKAFPREDPQDIRSNGKLLLNRDRRQLAQMQDEFRVKLLAEYKKKNSSIPIINFN NMASYDWDAAVTWATAQYYSPFTRNVPLLPASRARLIQDIPHRIEQVSDEFEMIYTTN PTFSITKRESLFASRPYLSKQKIESANVRDQNDDKQAVKCWILSNIVAKEATYNAIDA RDKLSRLPNVIIEDAVKELIRERFISQSNRGRVVPGRNFTFTDNFQLTFGRRRAIDSD ILRQAAKFKRMLDDDFKRDGVSQLKYDASDGAVLAVMALAAQGRVHILPNDPPANPFG LTEGNYETRTLDKAIFKFQVDVIPDSSRYQYGYPLAVKANERQPPIEEEELKQLLSGN DDKGPVAKLPLWLDINGNVHVQLWEAIVSAIVGILAIRPKIDIVNLHEQLQNYLAPWD IERILRWLEKIGLAENEGGAGDKGIWSAKEYWWMIWA TSTA_053410 MSPAIRRLMKEAAELSESAPSPSPHFCAHPVSDSNLFDWHFTLA GPPAPSPYAGGIYHGRIVLPPTYPLRPPSFRFLTPSGRFEVNREICLSISGHHEETWQ PAWGIRTALTAIRSFMDGDAKGQLGGLDAPEDVRRMWAEKSRTWSCECMGGKTNEKVL NEWRAVCSRKGISVDDQDRETVPEELRMSYKNSANECQSRSIANAVDMQEESSTGPAA ATISSTQQEPLPSPSPDTSMRDHSIVHNISDTNAHNHNGYDYITYEHSE TSTA_053420 MATSGSPTLSPKRRVRSLARTVERTCVAIASYFPLAFVYGLTTW AVWVDAGIGFLPTKSRWLGLPSSAVGIILYIMLNLSYTVAVFTDPGSPLGSPDKRGSD RGQYSHLPTTELPEYQSYTVNRHGGARFCKKCQCPKPDRAHHCSSCKRCVLKMDHHCP WLATCVGLRNYKAFMLFLIYTSTFCWACFATSGLWVWDEVLNDVVYANTLMPVNVILL AVISGIIGLVLTGFTAWHISLAVRNLTTIESLEKTRYLSPLRKALDRQRGDYQAAQDQ SVGNPTRNSGFGHRLQGYGQQIIEAHANAIPGVTRAEEGEERLSPIVEPQSGHHQDYI SFLRESNTDGTPAQKALHRSYEELERQRERERYEDYLDSRDSENIPSPFNHGWKQNLR HLFGDNPFLWALPICNTSGDGWYWEPSPAFLEARDRIRQEREREMSQWLERQRQQSYD HWMNNNNATRTSTVPVPMQRFSKSGHNGSRPNSGVSMTTLRPKSPRMHHEDSDADEYD LDSDDDDGLQKLHRGRNGHSPGSKADRVLGITRDQFGSPKDEWRDWD TSTA_053430 MAANDYYGDFRPQPAHSQPPSYHDDEYNHQSPVDTTHQLHAFQP TTSQTPYSSNHNSYGHQPQYSQNSFTDDAPFVGGRNHPTDQYGEDIPLKSNAQPAQAP DPHWVNENTNYDAGMPMDPVMGARKKRRRTRRGGFFGKRTPWVTWLLTAVDIGVFVGE LIYSAQLTGSPIEIHPSFNPMVGPSPYVQINMGSRFVACMKNIPGVQDANATITWPCP NTTSSDPNTAMNQCTLSELCGFGGVPNPKPNGSLNDQPEPNQWFRFIVPMFLHAGLVH IGFNMLMQMTVGADMERRIGWWRYALVYFSSGIFGFVMGGNYAAQGISSTGASGALFG LVALTLLDLLYTWGERRSPWVELIFLIIEIAVSFVLGLLPGLDNFSHIGGFIMGLAMG LCMMRSPNYIRERIGLQRRPYVVMSGGAGPTPGDGDNNSNTINSNNIDNNKPSRSVAT GRLVGFFRGRKPLWWAWWLVRAGALVAVIIGFIFLVTDFYKYPKSTCSWCYRLSCLPI KNWCSIGNLDLTSTSSPS TSTA_053440 MATEVRSFYTPSTTAHVSQPIVDELMMSHGRTRNLVQRCIDHIR LQYYRYEVTFGVYVMTPGEKFVANTFVLVFLSLLIWASLLYFPQLLFRKIGRLVWLLT GHSEDVAALFGIFEHVYISPATSATMPTSSMAS TSTA_053450 MTPESDDPLIKALPPATDYLTYLTLLEYQLTPARLPTLHRLLQD EVLTTNIGWDLVQILLPMLPESQDCLQDVARLGNPREVILRVSDSLMKLEPGEDNTPK LIMQFNCLVAMLSVLHRRIKTKYPSRFIATSLQAALEAYSSMPTNETTNAILEFLRDL SPSKRPTLPPRGTSSSYVVRVSQASAPDPEAAYDEPTASDDTAVQQKLVQFGLIEVLK TYMLSLSTPDNSGLWLALRLLEKFDQRETPSTSRIRMYTEDEQLKERDLIVGRICVLS RDICINDEDILGLVSAPVESHPLPLDFEEVPKAAEEIPLERHGALLLLAARSSAATLF EGAETAHIPIYPDLEVIMSNFVGVADDAADEQTQTLYDALLALTIMSSQKLAENPAND RQFTELVLALTKCSYRQAYNGLRNIPATIVHSNPSEHARFRLIKDIIQKEELLYARES AISWLKDEILTAAKTQSDNGNIFINPQHFSEISSALYNTSEIESLDMSAGIVVSWIRF SQSLAPYLHSALNLYYLIISSSQLREQLKIENGHTSFRKNFLKPLKSICHAFEGDLMQ NGGDGRIETVVGEDMCQVGMAQSVGLLYNIIEQVDELLSDLYAD TSTA_053460 MSGGQDPLAYGRFYGDEAEGGERGERGLGDSARGFLSDGLKRLK DQYGTSHSQQQQNYYAPQSNQSGSNNNDNNNNNKPPKQDKLSSFLDKFEETVSGVGSQ LAQKIGTTIDADAYAGYGSSSTANPNNRFGSFAPPRENNDVKWHVDGFTYFWAVSRAL ETARESIWILDWWLSPELYLRRPPAKNEQYRLDRMLQAAAQRGVRVNIIVYKEVEGAL TLSSAHTKHTLEALHPNIAVFRHPDHIPDVADIKNSLTASFQNLTLDAAGINKLPGES LKALYGTKGDTVLYWAHHEKLCLIDGRIAFMGGLDLCFGRWDTNQHSIADVHPSDLSQ IVFPGQDYNNARVLDFQNVSHWQENQVDRKQTGRMGWSDISISLRGPVVEDLRRHFVD RWNYIYDTKYRDRNQPKYQRLDLYRRPGSNQLQGNTNPTSQTPQPLQHAQSQPGPPNQ GQLNSEPHWQQTHAQPPTPQPGQSYYPPPPGSQAQQYPGQQYNTPPPGQQYYPPASPS QGQQLPPPPPSTQQQQPQWQGQSQTGSQSYYPPPPPSQEHQQHQHPSYSGYSSTGSNP PAGQSFPPPPPGPAPSQSDNNNPGQYQNPPYAPSHLGYDSAQYSSHPSTPAQAPYFPP PPGQESQSQTRGVYEENSTRGVGGNQSGYNASGRSTTENVLSDVRGLGHSLRGQLAGQ VHQYQDRYITNFGRNYGNQLCQVVRSASQWSAGISTEHSIQDAYIGAIRNSRHFVYIE NQFFITATGDHQKPVQNKIGAALVERILRAARAGQKFKVIVVIPSVPGFAGDLRDDSS LGTRAIMEFQYNSINRGGNSIMELIAKEGFNPMDYIRFYNLRNYDRINTNSTMLQAEK ASGVNYEDARRYHDAAEVGYSSYSKGYDTTQSYDQYQQAAKAHPSATSGRWDSVSECY MLGGQDIRSVPWDSDLPEIDAFITEELYVHSKVLIADDRIVICGSANLNDRSQLGTHD SEIALVIEDPTPLESSMDGKPWQASRFAASLRRELTRKHLGLLRAQDYTQPNANCEPV GVPNDLDLSSPESQVVADPLSDTFQSLWNSRARTNTEVFRKVFNVVPDDYVRNWDAYK EFFEYNFRKADIQAEGKRITRPSKYEWGHVVRDNFAPGPEGAQQVKEQLSQIKGTLIE MPLMFLIEEDIAKEGLTLNALTEDIYT TSTA_053470 MSHLNLATLDTSQHPYLPASSQTLFAAKAKRKFTFEDISKQIGR NEVATAAIFYGQAKASAEDIANLAKVLDIPLKLLEEQLSGFPDRGRSVEMPPKEPLIY RLYEIVQNYGYAYKAVLNEKFGDGIMSAISFSTKVEKETDADGNNWAVITLRGKWLPF SRF TSTA_053480 MFQNGFFGLFKSSQQEEEPRTQQPTWNPSTMAMEQPANYAGSQQ AAPSSQEEQQMKLRGGAGCCDVCCGCFAGLCAFECLEDCC TSTA_053490 MASTSDLQTARQNDYVKFKRYAAWTFIVASPILIASPPRKLDLN TAALTGAFAISANYLYKDSHQGRGLIDDLGMRYFGASPVAAQSSTTRDGITNLFNTLP TEKAEKVQAQLRAAKESSIKTQEELERYQSNKRFEDRSLPEKIWMGSEAENWKEKRLR EEQEALNEGKGYGDLIMDHIWEVWNWGKPNDQKKRDELNEKKDSPASGAGTTNKLCEQ VPYWRVSSQNIIPNREHSR TSTA_053500 MDGTRSASSEDASDAGTVLKNIDVHHSSLSSHLRLIKSLQPDVG ADTVSQILDSAQKLVDQFTALQKQLASSGIGTSTENPKPKTKTPRIPKKTNGISGMEK AKSADSTGVSAQGGAGKKRSWDNDLSEGQESSIDYTDNQSSRKRPRTKRKMPGDSDAV EDFVPVALEKDDISEEVEQRLRLKQERRKKLSAEPEKKRKRDSIESNNGDRWKRSRE TSTA_053510 MTENTLKSQKRILTDATMTSGNSLPNNTPKKRKIDTDEPPVRLN VPPRSSAPKSFGSSQMQQKSRFEEDLEKLTQDIHELKESNAEKDQQWDRPPLGDFDPA KDNLCFQQIDVEEGESNGRTAVKLFGVTEKGNSVLLHVEGFRHYLYISAPVGFRPEDV QPYAKFLETKFASHEHMIHSVQLTQKENLYGFQGNLKSWYLRITVTEPKHISRLRNGL EKSISIYNYKGLWSSAGDDGGIMTYDNIQYVLRFMIDTGISGMSWVEAKAGDYQLVPE AKRQSNCQIEAFMHYSSLIAHSHDGEWAKMAPLRILSFDIECAGRKGIFPEPNQDPVI QIANVVTRYGESKPFVRNVFVLDTCSLIVNTQIFEFKDEAKMLMAWQQFLQKVDPDVI IGYNIANFDFPYLLDRAKHLKCQSFPYFTRLIKNKSEAKETNFSSKQMGNRDTKSTNT NGRIQLDLLQLVQRDHHLRSYTLNSVCAEFLGEQKEDVHHTIITELFNGTPDSRRRLA VYCLKDAYLPQRLMDKLMCLINYAEMARVTGVPFNYLLSRGQQVKFISQIFRKARTEQ LVIPNLSKQDEGEYEGATVIEPVRGYYDVPVATLDFASLYPSIIQAHNLCYTTLLNQK KVEHFNLKKDEDYIVTPNGDMFCTTKVRKGLLSQILEELLSARKRAKRELAVEKDPFK KAVLNGRQLALKVSANSVYGLTGATVGKLPCLEIASSTTSYGRQMIEKTKAEVEAKYT IANGYSHDAQVIYGDTDSVMVKFGVRTLEEAMKLGEEAAEYVSSKFIKPIKLEFEKVY FPYLLINKKRYAGLYWTNTKKYDKMDTKGIETVRRDNCRLVQTVIETVLNKILIDRDV NGAQEYVKNTISDLLQNKIDMSKLVITKALSKSDYTAKQAHVELAERMRKRDAGSAPT LGDRVAYVIVKGAGGSKNYEKSEDPIYVLENNIPIDTKYYLDNQLANPLGRIFEPILG EKKAAQLLTGEHTRSISVAAPTLGGLMKFAKKTQTCMGCKKPLVGKDEMAGAVCENCR PRLGELYARTLQKTSDLEVRFGRLWTQCQRCQGSLHCEVICSARDCPIFYMRMKAKKD VEDAEKELMRFDHDPGAW TSTA_053520 MASNPLDDIRRPPHPTTRVSRACQRCRRQKLKCDEARPCTMCMR SGASCLSREVASLSRTGRRNTRRETVTPPSAPVSQESAVQNRSYKRQRFGASSSAVGF AVNIFGEYAASHSEDISTIPGLAAPSGHPKSDWTLETMSMPPGELTRSLLEAYFRHMH WFNLVFHEKTFMHSVTPLLHQSAWQEKDRGKVVISLMVAALGLQCVIHNRDWSGHALL ASSSLQAIQLRDNLIAEVRVHLLNLMDECSLESVQVPLLLGTYYIFHGSPVLAWNLLG FSARAAYALSLHCESSAPLPDQFQSQIRSRTWNHVIVADTFAAMIYGRPASLDTAFSG FHDLIELDEIRLPSIVSNTIHDSNLTSITFHMLKYRLYEIIRTGLNLFRVLNLQNPIT PEVFCRLVEAILQARASLESWKAELPTVFQRNAGIDERGLSLVAEYESGADDYPSYKT LILQAQTLRMTYDSAVIFINRPLLEYKVSPEHRNTIADYMPEVRKSLDLCLDAALSIS RISAEDYRSEFSVSFVLMNYFSAGVILCLIPTLRPFSTAANDAKAGLLRIIRTSRKLQ TQSQIAKHAEQLLTRLLKRSHEQELDNGLDVTKPSELLVANCQDTLSCASPASSPLPQ SSIRNPPESQSALGFKEHSNDLTPSSSLSNSGIPFPNTDVSGRPMFSSSMRIGDHHEA MSYIPENDRYYMMDHHVDEALGFFGQMLFNLVPNDPHSAWNWGNGPY TSTA_053530 MKPTGRTFIISGGCSGLGLATARDLHQAGAYVALLDVNADAGKT VIQQFGDQRVKFFQTDVSETSSLEAAVNSTVEWIRQTASALVGVVAAAGVGLPAKIYD ARTESPVPISTIDFVLNINLRGTLDLIRLAVPHMVKNDPLTEDGERGVIIMVSSSAAF DGQPGQVAYAASKGAVASLTLPLARDLARYGIRAVTIAPSLFDSAMTRQLPEKARKSL ERAMEFPVRAGNPEEFSSLVMQSVTNSMLNGTVLRLDGAMRMPSKM TSTA_053540 MRSRRTHTKSRLGCVQCKQRRIKCDEQRPVCKNCFRHNIDCVYS VSPVKSRLLAQNATTQLSASSVPSVESTTIVTDNGTSSHTTSPSIVSAESDPLIILDP QRDGSLPADLHLRDLELMHHYCLESYKTISQDAEFAQPFQREVPKIAVSHPFLMHGIL AMSSLHLAYLSKNGDRVNEYVELAAGHQTLALALFRKELDNITPSNSAALFVFSSIAT VLAFASPQITGMHSFSPIDEMLQISTLCRGLAEILQKSRGWMANSSDSWVTDMVSTRH RSAEMQPLPADIGAKLSSVYKLNADLTRTGFNIEEAVACEEAIAALSRSFQQLHSGYD SITLFRWPIVIKPILFTHMRDRRPMALVVLAHFCILLHKVDDRWWVEGWPRLLVRSIY DVLDVSWREHIRWPMEALGLLP TSTA_053550 MSMFRSAADISSSDPESSSEETENDSLPETHIDTELPTEASASL EELLLDDYDLPTPGRIGQDNILGGALDLDADGHASVMTSALLEFFCLTRAADFLNAQP GSFGRLTRDSPEVKQLGKKMFQYKSQFLSSHGVVAGGIEDDDWERIRQYYRDNLDMLG NAAIGDASLANSSGRPGSREGRNEFPRAPLTKSQSLISTTKIYQAAMEPDPSESSRWN IQRRNPGPPVNQGHSETFLHLNNLLRTPQLPGGETPGPMALVSTKYEPTIDHTSRYAS EFVEVKLLGRGSFGQVFEARHHVDGQSYAVKKIPLSKWRLEMLQQEGVQHLEHILKEI RTLARLEHKNVVRYFGAWVEERYVCPETAPLDPGEDVHMGVEPSKEQHDPAEEDQSFG IVFGLSSNDGEYDDDNKSDSRSHGTGTWSNSHQRRNSRFTNASSLSKKSTILGSGEDD DEDVESIQRNFSIPKAGVLSHDYTSTSDLTGTDIFTNDMSEDPSKFQLAHREKTIQNQ TVVLHIQMSLHPLTLSAFLNPKHRSASACHCFHLLPSLRILLGILSGVEYLHSKGIVH RDLKPANIFLSAREDNEGACHSCRGIDDQPIRNQYRPRIGDFGLVADISHCAEKPVPN GVVTVQTGQSPRRRFRHVGTEFYCPPRPDSASNPDSSEYAINEKLDVFALGVILFELL YRLGTKMERQLVLSQLTRHGSRDGIVRIPDDFEDKIECGRVKLRNGMSVAESLSTCIQ GMLHPDSSKRWSCEDIRMSLKEIIRVLHGADVTIELLDSDTCTDSPDILVRLSQLHRF EEKNRASLIAGLGLGYIKADHGRYLGINARRDGGPMCNTNLAIYTRKLMEPSK TSTA_053560 MAPISRPVIAPYSEPLLPQLDIKNPHYTETHHHLRRYVRDYVET NIIPYAQEWEEAGEVPEEVRLRHCHLGFSIVHPLTSLEDADNIPLPGGVPREKWDTWC SLIVADELTRCGFVGVVWGLGGGNSIGAPSIARFGTPEQRKRWLPGVASGKTRFCLGI TEPDAGSDVANISTTAKTEGDYYIVNGAKKWITNGLWADYCTAAVRTGGPGRKGVSVL VIPLKQKGVTRKRMHNSGVNASGSTFLEFDDVRVPADHLIGRVNEGFQIVMSNFNSER LSLACAALRLARVCVEDAFNYAVQRETFGAPLITRQAIQFKITKCGMLIEPAQSFLEQ LVYIIETTKDRPNAGNIGGMTALLKVMSTRALEKCVREAQQIFGGAGYNKGGKGVRVE QISRDVRVHVVGGGSEEIMMGLALQEEIKALKSRQKASQEKTAKL TSTA_053570 MESLDDTMHAAANNSAQTVAVDDPASQPSLAPPEELRASVSDSL LDRSYRLQAESISDPPNIYPASRVPPETKDDTFSEPSFPQLSYDPALSAEERLSDEVS GGDEEPTALSFGRSGSPVTSTQKSKGSFYEKVGEEGITRMHKFTLYETATRYYLVGID QLDTRYRILKIDRTSDSDDLNLVEDDIVYSKHEMNQLLETIEDGNKSSGGLKLRCSAW ALLGFVRFTSAYYMLLVTKRSSVANIGGHFIYQIDGTELIPLVTTASTRVKLERNPEE ARYIGILNNLDLSRSFYFSNSYDITRTLQRNISRERQSLREDPDKPPVYDHNSMFVWN HHLLSPAVTNLKSAFDWCLPIVHGYVDQSVLSVYGRSVYITIIARRSRFFAGARFLKR GANDLGYVANDVETEQIVSEMTTTSFHSPGPKLYANPRYTSYVQHRGSIPLYWTQDST GVSPKPDIELNLVDPFYSAAALHFDNLFERYGAPVYVLNLIKARERTPRESKLLKEYT NAINYLNQFLPNDKKIIYKAWDMSRASKSRDQNVIETLENIASDALPITGFFKNGDDK GTGLQLQDGIVRTNCIDCLDRTNAAQFVIGKRALGYQLHALGVIEGTQIEYDTDAVNM FTNMWHDHGDTIAIQYGGSHLVNTMATYRKTNQWTSQSRDMVESFKRYYNNSFLDAQR QEAYNLFLGNYIFSQGQPMLWDLSTDYYLHHTDPRTWSKLRRPNYITWYTPENLERGN VSTKCPLPVKVKDKPLSYYDDYWQEYYRPTTVTSFGKIYPLRLNSTMKYLPFRSTQGG RYDLSPFVVRIPPHEPASRERATAQQRRPPSSHHKKGVTIQEPEVVNDQSNGTYHGAN VMEDIHTWQHQPPSAEKMPPSTGIMKGVTSKTSPDQGSGFPSLQSSTQGGRDGPGITP SKAQIAQWTLGQLVSDSLNPSVTSAEAEEYERYINHPLKVPLVVTSDDDLETAVTLRE QGANLEFLEYVNKANGINARGIRDSYVAEEDLNDYVEFLSVNEEGLTAVAEGEESVQA KGGPMNIPPDRLADSISTIYDELTTIGFDE TSTA_053580 MENIRLAARNVIKTAKLGELDTLGDSIGYGSEFISLQYLWTNVS AHLTQDTHGSVVNENLLLTRVLLKNHPQGVPLEEDDKNSARAIYTWLAQISLPSSEFA TSLEANYSANGGKESGLSATLEAQQFTYTLAISCTKYLNGILSILEVTNPEEVFTTIL SFTSPHDPWTTSTSVQDALEVLHSCMEPERRPKCWHILERVCESRLRPIFAKTKNPAI TASGRKNLHPLPQPRFDGSLFDPETKPWKYKDVYATTVLEWILAQYSSSDINRLEIQF HFYVPPILSLIDDESSSFKYRGCSLLIKFLTPIRASESDLLKRTNLSSVFDDALTPCL LSLPTITPEDEALRLLGVAYPALLLTLKTCYHIDRDAKPGQPGKNEDAKNVYITRITT LLRENVISSFHHVSSYSPTSAIEETSSLASFPYPRLSTFFLDQLKTLIQELGIHTTKY LQEIVPVIYTTLSNPFGTAFAPLLLAGIATTQAVILNAHPRIWRYRGDLLAAICQCWI NVVRDEVDMKDPEQKLQLRKVLLKLQGAVYLLKLSMQGASSTEMKGEESLNSIGMIDE DASMNNGIQALVESDEQLKELLQIDIGVDLDDDYFFK TSTA_053590 MLNAHLRPWIPRIYSHVRINCRSLQSCISRLGAYGRPSDHKASS LTGQTYTSSMPAQKRKNTNSDGEFRIRKIKQSKRRNGKMDGTKMKRQTLPTIELTPIE KTIQRLLLDLKGYIEQKEKSEGRTAQEEMVLRFTGGWVRDKLLGVTSHDIDVAISTMT GLQFGTYLQEYLDDPDNLQKYMDNKELAFTSEMLKVHTIKANPEKSKHLETVATKLFG LDIDLVNLRKETYTEHSRNPQVEFGTAEEDALRRDATVNALFYNLNTSMLEDFTGLGL DDMERKLIRTPLEPYQTFKDDPLRVLRLIRFASRLGYHIDEKTQEAMQNDDIKRAFKL KISKERVGVEVEKTLRAADPRIGLRYIDDLGLYSTIFANQYDDAEPHVASWSLAYDAL DHILSRVLKTDELRHIKRIKNILIRNEDETFYAWVMAAFTPWVTVPERVPTSRKQKPP IIARAAEVARDNLRMENKIVNALKDATALFKEVSSLKSSVISKDIPGTAAEVRQHVGL QIRSWKKDWRLIVLMAMLQEISAGVEPRKVFQEYDAFLSYLEKEDLLEVSELRPIANG KEVSTAFGLPDGKWLSSALDMLISWQLLHPHNADKNQALEELKSRRAELGV TSTA_053590 MLNAHLRPWIPRIYSHVRINCRSLQSCISRLGAYGRPSDHKASS LTGQTYTSSMPAQKRKNTNSDGEFRIRKIKQSKRRNGKMDGTKMKRQTLPTIELTPIE KTIQRLLLDLKGYIEQKEKSEGRTAQEEMVLRFTGGWVRDKLLGVTSHDIDVAISTMT GLQFGTYLQEYLDDPDNLQKYMDNKELAFTSEMLKVHTIKANPEKSKHLETVATKLFG LDIDLVNLRKETYTEHSRNPQVEFGTAEEDALRRDATVNALFYNLNTSMLEDFTGLGL DDMERKLIRTPLEPYQTFKDDPLRVLRLIRFASRLGYHIDEKTQEAMQNDDIKRAFKL KISKERVGVEVEKTLRAADPRIGLRYIDDLGLYSTIFANQYDDAEPHVASWSLAYDAL DHILSRVLKTDELRHIKRIKNILIRNEDETFYAWVMAAFTPWVTVPERVPTSRKQKPP IIARAAEVARDNLRMENKIVNALKDATALFKEVSSLKSSVISKDIPGTAAEVRQHVGL QIRSWKKDWRLIVLMAMLQEISAGVEPRKGMSNGGFNQPSNATDSALVFQEYDAFLSY LEKEDLLEVSELRPIANGKEVSTAFGLPDGKWLSSALDMLISWQLLHPHNADKNQALE ELKSRRAELGV TSTA_053590 MPAQKRKNTNSDGEFRIRKIKQSKRRNGKMDGTKMKRQTLPTIE LTPIEKTIQRLLLDLKGYIEQKEKSEGRTAQEEMVLRFTGGWVRDKLLGVTSHDIDVA ISTMTGLQFGTYLQEYLDDPDNLQKYMDNKELAFTSEMLKVHTIKANPEKSKHLETVA TKLFGLDIDLVNLRKETYTEHSRNPQVEFGTAEEDALRRDATVNALFYNLNTSMLEDF TGLGLDDMERKLIRTPLEPYQTFKDDPLRVLRLIRFASRLGYHIDEKTQEAMQNDDIK RAFKLKISKERVGVEVEKTLRAADPRIGLRYIDDLGLYSTIFANQYDDAEPHVASWSL AYDALDHILSRVLKTDELRHIKRIKNILIRNEDETFYAWVMAAFTPWVTVPERVPTSR KQKPPIIARAAEVARDNLRMENKIVNALKDATALFKEVSSLKSSVISKDIPGTAAEVR QHVGLQIRSWKKDWRLIVLMAMLQEISAGVEPRKVFQEYDAFLSYLEKEDLLEVSELR PIANGKEVSTAFGLPDGKWLSSALDMLISWQLLHPHNADKNQALEELKSRRAELGV TSTA_053600 MTSPEDAAPVKPPPSFSGAPIVVPKSRFDADSVQSSSRPLSSEI RDSSVIGSPRTSFATRSESQSRSASPSNRLSGSTSLPQRLSLGSPLGSFPDSSDNTRS LIIRSFAPSIGIFASDDTEDLIRQKGFKNGLRELLRPFGENITGKVVIRDSGGMSRTW EDYGVRFVNLSPSNSRGGPTPPASPLLQLEEVLQRRLDSADESSNRLSRHSQVPLSNK YIASPLYQLLLRRILSIDQPTPHETFLHPVACVIAISSHNKAPLESLRQLYAQTTQGD TAPPPYVHPEFLRYYVLVHDEDRSDIVESTKLYDQMKRHFGLHCHLLRLRSDQCVVTD DDSVEVPSCEWLSPDEDLSSMNENNALIDFGTGTHYLSESDISAINSLVRELVVQSVI PFMENKVALWNDQVASKRRGISGRFMSMSRRWTGFGSSSKSASSGSSSGMTGNYNTLY GFYGHDVPEAILRKLADFAFMLRDYKLAASTYDLVRSDYANDKAWKYHAGAHEMCAMS TLLNPLTSTARSKLEAVDQMIDTACYSYLTRCSDTVNSLRCLGLSLELLKSRGGSATE GAAKWAMRAIELGLAGPIGQALLTERVSACYASKTTTGGAQWGARHRKAAMWSVLATD NWLRLGKPALASVCLEDADGLYAEIYDKDGVVPMPEMRTFIENLQRAVKLEYLEAKGV DTTDEVVMDQELGTEETSEKLDHRTNRKSLIMTSGPLDTGPLGPMQHEGNSFKPLDDD FE TSTA_053610 MSDLLNYILSHEDAFRKNRLPSLYSDFAIHKSTNPDGYAVNVAA WEKALTNAARAGHVSFDNAPSKKRDHLILVADENLLHMLEIPECGTPVALASVFDEAI QKRIMVPFEVYRSSNFKLSTSRWRILDSGVLSPWNVMNWSLRQLKGFVVGSDNTSGKL HTRKLVLVENLKETAKRVLHLQSNRKSSSLDRIYSKERFTNTFSTVLEGDTVLSDDDI EALLIYLSRDINAIFYDGKTIKFLTGNDRSPVTPEDTTIASMKDLISNLTEQISRIEL KIGELNINAKNALANKNRISALSAIKNKKIAEHNLNQRANTLHQLEEVYNKIEQSTDQ IEIVRVMQASTGVLRSLHAQIGGVERVEDVIENLREEMSKVDEVGNIINEAGPVIDES EIDDELEALETKELREKEEEAKVTRQRLEQLESHEQAARQAAREASKTAEAVGDVESE LAASIGQLSNMSLKDSAMTEREGQHEVEKPVPAQ TSTA_053620 MDRPFDIPDSTDWLTSSLPGVAPLESALRCQICKDFFNNPVITS CSHTFCSLCIRRCLSSEGKCPACRATDQLLKLRRNWAVQEILESFQNARPNILALARQ AQTTTLSTDKTKEEEDTEMSHPATKKRRLNQSDDAQPEMRRTRSQGRQLERQPMLVGP ESIDDSKDEDYMPDDGLVPCPMCNRRMKEAAVFNHLDNCKGSIEENALNPHTSYLRQP QHSFRSSQNKSPAKPLERLPTMNYSLIKDNMLRKKLKELGIPDWGPRAILQRRHTEWM NLWNANCDAKVPKTKDELRRDLDVWERTQGGAAPQPGSSSGPNAVMAKTFDAAAWSAN HDDDFKRLIENARKKKDMRKIQTQDKEEENDNSTSQQDDNAALNNANGENHTLPTGEQ PMGNGVIAEPSPQPYTPLNDTW TSTA_053630 MAVDYKRYLAENVLSERRVVTYRLLSRALKVHSNLAKQMLFDFH RTENGKKSNSVCATYLISGVQSLQRIPVINGQSNDGEDIVMRSSPYMSSMPQPDDRER SMRTTSFVLVREEDLDDAKATFESISMIHIYSLQSNVLPDLNVLIDVSREILASFGSE DPLELGKQWGMIENQNVKRRKTTKPPLPVTVPAPLKTEVPKKDVPRKEVQPKAEPEAS SKESSQQKMQTKTTDKAPTRKKGDLFSSFANAKPKLKKEDSTASSVKSVRLLQLCCFT LSVTDFRSQATDNALKRGMFDDDDDGEDAEGEDPFANSKESKPVSNRESRKEREAKLK QMMEDDDDDEDMAGVTETPVESAAEESEQMETQREPEPEPKETVTVQGGRRRGKRKIM KKKTVKDDEGYLGRNPQALPRGSIY TSTA_053630 MAVDYKRYLAENVLSERRVVTYRLLSRALKVHSNLAKQMLFDFH RTENGKKSNSVCATYLISGVQSLQRIPVINGQSNDGEDIVMRSSPYMSSMPQPDDRER SMRTTSFVLVREEDLDDAKATFESISMIHIYSLQSNVLPDLNVLIDVSREILASFGSE DPLELGKQWGMIENQNVKRRKTTKPPLPVTVPAPLKTEVPKKDVPRKEVQPKAEPEAS SKESSQQKMQTKTTDKAPTRKKGDLFSSFANAKPKLKKEDSTASSVKSVRLLQLCCFT LSVTDFRSQATDNALKRGRIFFMETIVSALTRRRNV TSTA_053630 MAVDYKRYLAENVLSERRVVTYRLLSRALKVHSNLAKQMLFDFH RTENGKKSNSVCATYLISGVQSLQRIPVINGQSNDGEDIVMRSSPYMSSMPQPDDRER SMRTTSFVLVREEDLDDAKATFESISMIHIYSLQSNVLPDLNVLIDVSREILASFGSE DPLELGKQWGMIENQNVKRRKTTKPPLPVTVPAPLKTEVPKKDVPRKEVQPKAEPEAS SKESSQQKMQTKTTDKAPTRKKGDLFSSFANAKPKLKKEDSTASSVKSVRLLQLCCFT LSVTDFRSQATDNALKRGRIFFMETIVSALTRRRNV TSTA_053640 MIVSTAAAIGAAIALSVLPRANAAALHKPALARRDCPDYTDYSQ SPHSPYSDGPLHLPFQRPTAECRTFVSESVEKVISDVTSRLVDPDLAMLFTNALPNTL DTTIAWHVNGSWVHKRSPKATWTGTQSFVITGDINAEWLRDSVNQLMNYQTLAKSDTA LYQLILGAINTQAEFIINYPFCGAFQPPSPSGLAPTNNTQIDTVTPNYDHSFVYECKY ELDSLASFLSLGNQFYNATKSTEYLSDRWYTALDTVLKTLDEEAQPTFDSNGQYVTNI YTWQRQTTLGTETLSLTGEGNPLNRGVGLIRSAFRPSDDATIFGYFIPANAMMSVQLG RTADTLEAVGGNKTLVEGLRTRSVNLRKAVWEHGVFEHPTYGKVFAFEVDGYGGRNVM DDANIPSLLALPYLGFLDVNDETYQNTRKMVLSADGNPYYLSGSAFHGIGGPHEGLTT AWPMSLLVQAQTTDNDTEIMDCINLVINSSRLGLIHESINVNNITDYTRPWFAWANSL FSQTILKIAEEKPHLIFNDSTPYVVPT TSTA_053650 MEYTSSLQSELDDLKPSLFELLAEEQLSSLLPPSIRYLLAVATH RHPRYLLRILNNFDELYALLSLVVERYYLLTFGGSFTENFYSLKRERVLFTKNGEIPR AQIGAATAVRESLRLRTSDVWKNLAIMVGLPYLKRKLDESYDIHVVPQSSSLLGGPRY NRHDDLPPNPTIKQRLMFYYKWFLRNVYPSVNAAYYFSILAFNLAYLFDNTRYSSPFL WLIGTRIRRLGPADHRAIATAMQPKPGSNNLRQRPGSGLLGLLSPQNIYPQLLQSLRF FLPASIFALKFLEWWHASDFSRQLARKVTETLDLPAPVVTGMIDPSLKKKATNNKTTT SPSPNLKPAIKTSASRRQPPISSTSYLPIFTVPIPAPDSDNAGACPICLNPLTNPTAC QTGYVFCYGCVFRWLNGDHERQIDFMNGVDGGAAWDTPDDDVGDSEENDQDKGKDRSE ARSVSAESNKNREGKWESGKGRCPVTGRRVLGGTDCLRRVLV TSTA_053660 MAAAALIRSTRCNTCRRYLLQSFTRVVGLTAQNSRLPNEPQRRQ FFTTPYLNIKSSHRHLSDRDASAASNNEYFITNESANSSQAVPWYLQVEPVIEPTHPA VRQQEIPAVPANAPPITQEILQHLSLEIGLDDMVLLDLRGRDPPPALGGNVIMVIGTA RSLKHLNVSADRFCRWLRSTYKLRPYADGLLGRNELKIKLRRKARRARLASSSGTISD TSDDGITTGWICVNVGSVDDPSVVQNMREGGFEGFGKVQGGTRIVVQMFTEDKRADVD LEQLWAPKDNVKAGDSEENHSYSTGGDYQVRSMPPITSENNSNHPISNVPRPLRRVDL SQRRSFSTGAGQHSARTDVDPESGYEVVDAKNESSRQEPPLSAIFSTLKEMSPEETIE QLGSGPEDKNSTEFLRDCYSSVGTSHNGNSALRLMLGAAGVSAQHPGYTKEYLWTLFL EQTASGYRISEPLGFEIASAFLVPRRLDAKEQGRTASEFVDFDIESAMRVMDNLSLNG ETIIRKHRVYNMMYEALILGLRNERLDDVRLKALRIRTLMTEIGLDWQSEDTRETMQL RLLLGDVDGFYEIWHAIAFNEGSRTADDYELLFRVHAEAGNPVYARECLGVWISMMGR EDPPVLPSASIISAIARCAHVAGHDADTFRLLSASDEWREVERLILSDLRTLEQLT TSTA_053670 MSMDLDPGVPITDYNAQQQPEATILCCNCGAPIDGTISAGALCH DCIKLTVDISQDVQRESALHFCRDCERWLQPPNTWVSAALESRELLALCLRKLRGLSR VRIIDASFIWTEPHSRRIKVKITIQQEAFQGTILQQTFEVEYVVSTQQCPDCAKSFTH HSWRACVQVRQKVPHKRSFLYLEQLMLKHNAHRDTINIKEAKDGLDFFFSQRNHAEKM VDFLSSVVPVRVKKSQELISMDIHTSVRQYKITYSVELIPICKDDLVALPMKMARSLG NINPLTLCFRVGTSISLLDPNTLQTAEVPSAIYWRQPFKNLADVQELVEFVVMDIEPV GESKGRFFLAEITVARASDMGVNDNSYFTRTHLGGVLHVGDSVLGYHLTGTNFNDPNF DAIQESNQYASTIPDVVLVRKYYARKKKPKSRNWKLKRMNYEEEEPGRKQDADRLEAD FEMFLRDIEEDQELRSTLSLYKAKNETRPRPDRMDVVEEKDEMADDSSDDEVPKINMD ELLDEFDELNMDDAE TSTA_053680 MSSQKRNNFLDIEESDGDRSDHGYDSEAAEESRTRASKRRKILD SDNNLSDLEDDDNNDFPATSNKQDESTHTQNDNEASNLESKQKPLKPLNKPPKKNKTG VVYFSSLPPYLKPMALKTLLVQRGFGPITKVFLTPAVPSTSGSGRRNNKRKTYADGWV EFESKKTAKICAETLNANIVGGKKGGWYHDDIWNMKYLKGFKWADLMEQVQRERSERE ARQRIEDMRARKEDKVFLSGVEKGKVVEGIRKKREDKKKAQANDDNNNADGEALDKLQ VRRVFRQNEVKQRKEDQGITSIGADAKRVLGKIF TSTA_053690 MEVSRQASTLRSSGMSDNPEDATAPVAADRVLSKERTDNSTVTD VKPVDKRSLDYVLRSGLAGGLAGCAGKTVVAPLDRVKILFQASNPQFAKYSGSWSGLA LAMRDIHKYEGSRGLFKGHSATLLRIFPYAAIKFLAYEQIRAVIIPSREKETPFRRLI SGSLAGVTSVFFTYPLEVVRVRMAFETKRNARSSYTAICKQIYHEQASSRPVAASAGP NQSATMATAQTVSTSINAVTPRSGLANFYRGFAPTILGMIPYAGISFLTHDTVGDILR LPGLAQYTTIPDSDAPRKSGKRQGKRRLQLTASAELFSGAAAGLVSQTSAYPLEVIRR RMQVGGATGDGHRLSIAETARKIFLERGFRGFWVGLTIGYLKIIPMSATSFFVYERMK WYLGI TSTA_053700 MDFYKQRRFICEITGHSGLTFFEALQSEATESRELNSAFPDALK EPILRRVQFSTVSRVDHLVDEIFEEFKQDFYPGEHVTTVLEDNTRLHGIIRDKMSIPR QYYPDGGVKSEAYARYLIRIDRSHEEALLDQDHITRDRKTFSKQMLRAFIKNNTTRES WSGAPWLVKPNIAEEYKIETEVPKHLQYGARVAEKKAMKKADQEGHFGFFSSKKLPEL KPATGNAPKVKLTPQELARNKAEQFLEYQRSLNGDPSFLVAKQNGMTVNRATKDAEAD TKLPAVPTVVIKTAPPPPPVIKYPIEDLDLKYDPERRTRPKLSFSLDPYPGFSDDSDL LQDEIKPESVSHFLETWNTLNVYCEVFQLDSFTFDDFVEAIRFSSEEVTCELFVEVHC AVLKKLVNASNDDDGAIQVSLPDLPHGSSDDSGSDEEEEEEPNPEPEPVVTRMTTRSS LAKAEAANLQSQLDAGSGADLGKPLLHRAVALFERYDWIDKLRKRDFRNGGWELIMAG LLNQLSVRPKMEAVCEEILKHLIPVDIEPTQESVGQQYLTLNVNLRIRALQIICMLSL ETKAIRNYLEECSNQMTEFRKEKIEHQRARKLALEELRKLHAERKEHEPEPEKDEKDK DNDKSKKETPLEDENLDSMLTTNAEDDVDTDEIMDTEDDNPRGPSLRGGVDRIMERKR RREAEKERREQLAKQPKGSKQYQKIMKKIDEVEAKIAALEDEIAVIDNDLREADCPRT RCLGKDRFCNRYWWFERNGMPYEGLPNSSTADAKYANGRLWVQGPDDMEREGFLELPE NLKKLYAKEHRVSPAERKAREEGGTSLPDAHHWAYYDESSQLDELIKWLDAHGIREMR LRKELQLQRDFISKHMENRRQYLTQTAERAESEEIPAKRMSTRTKTYVDDGKHRCLKW KNTTALNENGHLHVDAARPTKRAKRATDEPKELKATSKQTKSLSRQGSRYKS TSTA_053710 MPEFYPSLAQTAVVAAAFKVLLFPAYKSTDFEVHRNWLAITHSL PVQEWYYEKTSEWTLDYPPFFAAFEWILSQFAYYADPAMLVVNTLNYDSWQTIYFQRA TVIVTELVLASALNEYVKSVPSSGKHLAHIASLSIILSPGLLIIDHIHFQYNGFLYGI LILSIVWARKQSTMLYSAIAFAALLCLKHIYLYLSLAWFVYLLRVYCLDPKSVLRPRF GNTIKLGIAVVAVFGLAFGPFAYWGQLFQLKDRLFPFSRGLTHAYWAPNIWALYAFAD RVLIPLAPRLGMPVDYEAVYSVTRGLVGDTSFAVLPEVTKEQTFALTFIFQLLCLVKL WLRPTWDTFVGAVTLCGYASFLFGWHVHEKAVLLIIIPFSLIALKDRRYLGAFRPLAV AGHVSLFPLLFTAVEFPIKTAYTIFWLILFLFVFDRVAPVPERPRVFLLDRFSFLYIT ISIPLILYCSLVHQIIFGLNRYEFVPLMFMSSYSAVGIVGSWLGFMVVYFTS TSTA_053720 MKYSFALAFLTAVSAQSIIDTLSFGHGSRFSPSGDSLPGWKIKG EGHEPQILSDKLILTPPYPGHTRGSIWAENGLSLSEWTTEFHFRASGEERGSGNLQLW YVKDGERTVGASSIYTVGQFDGFVLTIDTHGGRGGSIRGFLNDGTRAYNQHTNVDSLA FGHCDYAYRNLGRPSVIKIEQSSSLFQVTVDDKVCFQTPKVALPAGNVFGVTAATPDN PDSFEIFKFVVTSGAQATAHFGGQTTQQQQAPIEGRSTSNQINLGSLDDMNNRIYQIS QEVSQIVQNMNERHQELLNRISTGSASSSSDSSPQSDARLNAIDDRLRRIETMLSDIQ RDNAGKDYRNEFTRLHKAIEHSHVSLTEALQTSIFNMITATTPRMGLFICVVIAFQLF LAGAYVYYKRRRNGMPKKFL TSTA_053730 MAPHLRSSSRATSNNSRPSTPTATLPPADYIYGDSNRPRKQRRT GRNSRVGVESMDDSREATTEPQDASTTNCSHPLAENGDQPNRQPHVVGADGKITIDQL VHESKWDEPPVRDPQPSYKDYTWSGAWYGTNPALSTMRPLGQLPNASDKRKAGLKPPK PPAKETKANNKNKAAKKTASQQNSTPVNEVVTNKSDSEPLPLFTSSEFDVEKLRTPVQ AALSVAEEKGDLAVSQGLRQMWKQGTTDPFMLSVLNSVMRKTPDAEQKAVFKAIMRAA YKQTRPTLPLPEATEAEPISRSLSTNSITSVSSAKSADASAAKPITTTTMTENGTGAV TRGRKRARDSEIAPSSTSNLVDASGQKRSIEEVYDGEEKEDAASVAKRTRRDNFSIMV KPSQIRSSLSRESPMSASGPRTRNKAAKSNGLVSNQHAADEGPDNNDFCRQCQRSGSL LCCDGCVNSYHFSCLEPPLDPAHPPEGEWFCPSCQMRNSFGSLVNNIKDSEQDFQLPL DIREYYQGVQTGKGGVYQQVAANLRPGTHGRGKKEGSKSDQEFLTRQFDAKGKLIVCI ACGLSSNGTRPIIQCDYCPCYWHMDCTDPPMPIPPKQQNASEKTYHNWMCPNHIDHEL AIVHDENGGNAAKARIRRPRNPRVIDVDVLPDDSEVEELQETETQGIVYRVSENGLKL NFLERVKRENFEAEVRASAAAQYRDYACRKLDQLVERATAFYEKAFAPEPSTVVDDAR AAILNSRSDADREAVANLVAFATENRDAQLVQSEKIGYLVDVLLASSPDGAPKALNEL DSLQALKDLIDRRIGVLQSPD TSTA_053740 MAESTEVDYTLNNPDTLTKYKTAAQISHKVLEAVTGWCIEGSKI VELCQKGDQLLDEEIAKVYKGKKIAKGIAHPTTVSPSSFVTPYTPLVSDTEEAETTIK AGEVIKIQLGAQIDGFGTIVSDSIIVAGKESSDGVVEGREADLIHATHYANELLLRLM VPPGLLASGTDEEKAKAAAEKPPTQSKISSLIEKVAKTYDVNVVENTTSWLFDRNEIE GTKKIILSPSGGVKGEGSPAVGEVWGVEVGLSLGSGKVKTLPHRATLHRRTTTTYILK RPSSRQTLSEVVKKFGTFPFSLRQLDDEKAGKVGIVECVRGGVVRQYEPAGDSDNAPV SRLLTTVAITKNGLTRLAAPPAPDLTKFKTDKKIEDEEILKILEQPLAKSTGSKGKKN KKKKKPAKKEGEEEESDAE TSTA_053750 MGWLAGSSICASVGQGKTSHHQLFTLTWIPALEMKAQASLSLRI LTHNIRYATSQPFRGEKPWAERKQLLLNELVYNTRHIDESFICLQEVLHVQLEDILGG LTQAAKAEEQWAYIGVGRDDGEKQGEYSPIIYQPVTWQLHHWETVWLSETPEKPSKSW DAASTRIVTIGVFRHRATGKFLLVLNTHLDDQGPRSRYEAARIILGKINGYLDGSYDE TISGVVLAGDFNSQETQEAYQTLTAKESILVDSQKITKATEHYGNEITWTGFGYEDEP KSRIDYILLGPVDKSSDEPHHRWNSIGYAVLASRFDDGVWNSDHRAVVVDADLADPSI TSTA_053760 MPSPDASETDSSRPVLPTGDSQPATNESQAVTADYNSRSESLNG SSDKQTNGPVLDSISESKQNAKIMLPASGVSPANSNLSEGQQQHTANGTNGINASRKR SRSGSVISSSAPENAPATVRETPVEKVLLEQYVYREFQHSALEATRNKSQELFQQKRA ERDYLLALRRENQLNPAAIYGVGYEGYGNARTDLRNQHPQLLYPANRRRPGGRKTREL RISRKDLKAQSELREDLVPIRLDCDWDKVKIRDTFTWNLHDRVTSPELFAEKLVEDLG LQPETCAPLIRQITQSIQEQLTDYFPHVYMEEEPLDPHLPYEAYKNDEMRVLVKLNIT IGQHTLVDQIEWDINNPYNSPEDFALQMTNDLSLSGEFTTAIAHSIREQVQLFTRSLY ITAHPFDGRPVDDPDLKAAFLPSPMPSTFRPFQAAKDFTPYLYELNEAELERTEVSIS REQRRQKRSVNRRGGPALPDLKDRQRTIRTMIVSSVIPNSAASIDETRLFKRSGSGRT RRAGGGQRDGLDDSDLSDSDDSSVGSPAIGVHLAQGTARTRGMRGAASAAQAAMRANL AQSATPEPASHHHETRISRRRDYREESSEEPEKLVVTFRIAKDRFRQLVSDLSNRKRP VTPNFPVQVPTSKSSTPQLATPIQSNMLPPSTQPQHASTSRPGSTSAAPQSHTPTPQI GAVDAPHPPQPGVPPPAAPSWLISGLEKLKKGYPNDRFEGVMRYSAVDTATMNPVATS SGPPPNIKLKYQYLPRIRCLDCPGKLYTPGPGMTVENFEVHLRNRLHKERVEARLARS VGGSAGTTPA TSTA_053770 MPARTRQTVTSTASVVEPEDEQNGLRKLRFDEPLSWRVGKSAIP VADLLSRLQALAHELSTTEQDDIDKSSLKKVSQELANGHLLAHRDKGVRAWVTSCIVD ILRLCAPDAPFTGNQLKDIFTCIVTSIIPALADPSNAYNAQHIYVLNSLAEVKSIVLL TDLDNPDSLILPLFNSCFDIVEGSSKSSTGEQVAKNVEYDMTRLLVTVIDESPTLAPE VVDILITQFLRVEPRVFEQSGKKGKKVEIDPSQDTLLLKEYPPAYDMAKAICHACPEK MTSYISQYFNNVIIDASAPSHTLNGSKQSSNRRHSLDESDDETEDIKELGKAHRLIRE LWRACPDVLQNVIPQLEAELSAESISLRLLATETIGDLAAGIGLSGPPPPPPMDPAQY PPVTLIGYPETVPQPNVLQKPLAPKPFAQVHSSAYESFLSRRQDKSASVRSAWTTAIG RIIRTSAGGSGLSESDEKSLVKSLANMLRDADEKVRLAGVEAIGSMGFTDIVNKLGVG GGLGSADSIFAILAERVKDRKPAVRDRAMRVFARIWAVAMGEIEDGNEQVLSLLKDAP SKIYDAFYTNDPEIQALIDRVQFEYLLPLGYPSSKSKQSKGKEAEGTMDRVRVQRILT LVKSLDEKSKKVFFAFQNRQLNLRTALNVYLQACEEYNGGVIEKDEERIKAQLGRVID LVAKSLPDHSRVFSDLWKFAKMHDRRNYQLIRFAMAAQSDYRTVTKAIKELAKRIQSG STPSLLDTLTPLLYRSSSLVFNRSHIPAIMEFSRTDDKGLAVPAHEILKEISSRNPEV LEAQVQEMCKDLEEQAPSAKSPDDSGSEETLKACSGFARKLPEKLPKERKFLQALNAY ALYSSSPRGAKRAVSIIMAIADKKEMYAKDLVQKCVKDCEYGSKYFLTRLATLAQLNL LAPKEVDAESSKIISIAVDKILLINRSKQPDSGYTWSEELDEETKAKQWALRIIVNRL RGKDGTDEDDFQKLAEPVYSILNKLVAGEGEISKKKDTPDTQKPRLRLDAAKLLIKLS ASQGPCDQLLLPKDFNSLALVVQDRLLPVRSGFINALRKRLSQKSFLGVRWYTLPCLL AFEPSVTLKDSTLTWLRSRATLFSRQMQASSKGKEHQPVMESMFARLLSLLAYHPDYP PASEDPETRMAELADFSRYILFYLSAVANENNISLIFHVAQRVKQTRDGITKSDEITE RLHTLSDLAQATIRRFAEIYAQQNRIGGATGGASILQTYPGKLRLPSSLFAAMSSHKE AQEVADKSFLPEDIEDSLDIIVRSWMRPRKSTQAANASKKRKSEHADTNGDGEAKKAR RKSSTAPRRASTSANTKLFRKKKTGEDEWGSDFDGDEKDLAAERRRSGRGVSKKVSYA DRDSDEDDQEMEEVNEDGEEEDEANESDVGDAASANDEDEEMSDAKEQDEDQEEEEEI EEKQTTPKKKAAGKRTSSGSTKSVRTEALPVRRSSRRA TSTA_053780 MAAKSHIPIVKKRTKRFFRHQSDTFKCVPSSWRKPKGIDNRVRR RFKGTIAMPSIGYGSNKKTRHLMPSGHKAFLVHNTKDVELLLMHNRTYAAEIASAVSS RKRVDILAKAKALGVKVTNAKARVTTEA TSTA_053790 MAVPPITTSTEDSATEPINDADRFYFEGGLRRVKPYFYTYNTYC KERWRGRTVYDIFTSEFRDRTAEYYKQAIEEGRVLISGKVANLDTIVPNGAIVSHSTH RHEPPVSSQPIGIIHEDDDMIVIDKPAGIPVHGAGRYHYNSIIEIMKADRPGSKILPC NRLDRLTSGVMFISKNARAAEEFSAQLKERSVQKEYVARVSGRFPDGVVLCDQPIMAV SPKLGLNRVRAAGKEARTKFRRIAYYPVSPKKSVDATTASGDRPATPPATTTEEEGYS IVHCLPLTGRTHQIRVHLQFLGYPISNDPIYSNRRVFGPSLGKHDDSAENDKEIIDRL SNMGKTELADTTTYRTHLTCPPAVAPDTDPNIIEAIMFREHEAAVEDYHKRKGERLSG EICKECDAPLYTDPGAHELGIFLHAARYSDNNGTWKYKSALPSWAFPPPDMEGPTSIP DWRDPGEGEELIINNGFAGSKPENLAGGGQDGETREDDVLALVRGVGMVNLSQKLRDG KDVTIE TSTA_053800 MSDKSSKKLRTRADYPFHLEYRTRWSDNDMYGHLNNSIYAFLFD SIINHYLINHCGIDPAQQRPKQTQKHNQIGLVVSSYCDYFASVAYPDVLELGLRVVKL GSSSVVYEVGVFRAGEELVKVVGGFTHVFVERETMKPRSSGGMDGSVREGLERLYAAD NPRL TSTA_053810 MAPNIFICLRNVICPLYWFDKGTRVVGHPTKDEHWESPVPGTYR YFPERGWHLIRRDGDEEDESEPRPVVYCRIVHRYLFASDMEKRCRYHRVHLRDGQEPQ KCLFFQLDDGFTWVIGWDSRGRFIPGPYRKWCYDKENMTMRRMTSYEDSSAATSPMTS RTSSVA TSTA_053820 MGCESKTSCEGCSCDAQEKQPINIEDCQQELLALRKRTQQLEST LAELKIHGSLKTKSSTTSRELRSRKWFGSDVNPSMGAIYIERYLNYGITQDELTSGKP IIGIAQSGSDLVPCNRYHLELSKRIREGIRAAGGIAFEFPIHPIQESVRRPTACLDRN FAYLGLVEILYGYPLDGVVLLTGCDKTTPACLMAAATVDIPALCLNVGPMVNGYYKGE FSGSGMVVWKGRELLAAGEMTRDEFVEYVATGAPSVGHCNTMGTASTMNALAEALGMA LPGSAAIPAPYRERPQCAYETGKQIVEMVLTNRKPSDIMTREAFENAIVVNTAIGGST NAPIHVTAIAKHMGLDLTVEDWDKVGYEVPLLLNMQPAGEYLGEEYFRAGGLPAIMAE LLDAGKLHPDALTCNGRTVADNVRGKHSWDRRVIREYKNPILENAGFRHLSGTLFDSA VMKTCVISKEFRERFLQSPDDPDAFEGPVVVFDGPEDYHRRIEDDLTPIDDRTLLIIR GVGPLGYPGAAEVVNMHPPGRLLKKGVQSLPCIGDGRQSGTSGSPSILNASPEAAAGG NLGILRTGDRVRVDLRKRRVDILISADEIKTRREKLHAEGGYHGPESQTPWQEIFRKE TDQLSHGMVLREALKYQRIAQKWDEPRHNH TSTA_053830 MDGAHIDLYEVLEVERSATKEEIRKAYRKAALASHPDKVPEEER ETAEIRFKSVQQAYDILYDEDKRHLYDTHGMGAFDGSGNPGMGGQPDLDDILAQMFGG MGGMGGMPGYGGGGRPPKPRKSPDEETKYEVTLEDLYKGKTVKFASTKNVVCGLCKGK GGKDKATAKQCSTCGGQGYKEVLTRMGSMLTSSMAPCTVCDGQGSFFSPKDKCKKCKG KKVTEEKKMLEIYIPRGAKEGDRVVLEGEADQVPDQEPGDIVFHLVETEHPVFRRAGP DLTADLEITLAEALAGFSRVALKHLDGRGIEITHPKKPGDVLSPGQVLKIPGEGMPLK KSDARGDLYLIVDIKFPDKDWAPSPATLEKLREILPKSTHLPIEAETVDEVDYESDAN IEEFGQGDPRGGSGWQDNEEGEPAQCATQ TSTA_053830 MGAFDGSGNPGMGGQPDLDDILAQMFGGMGGMGGMPGYGGGGRP PKPRKSPDEETKYEVTLEDLYKGKTVKFASTKNVVCGLCKGKGGKDKATAKQCSTCGG QGYKEVLTRMGSMLTSSMAPCTVCDGQGSFFSPKDKCKKCKGKKVTEEKKMLEIYIPR GAKEGDRVVLEGEADQVPDQEPGDIVFHLVETEHPVFRRAGPDLTADLEITLAEALAG FSRVALKHLDGRGIEITHPKKPGDVLSPGQVLKIPGEGMPLKKSDARGDLYLIVDIKF PDKDWAPSPATLEKLREILPKSTHLPIEAETVDEVDYESDANIEEFGQGDPRGGSGWQ DNEEGEPAQCATQ TSTA_053840 MCPVYSRMIKLRPGLLTKPSSWICLQCRANSSIASRAPSPSSVR TTNNTRLVPDKPARTRFAPSPTGYLHLGSLRTALFNYLLAKRTGGQFLLRLEDTDQKR TIPGAEERLYSDLQWAGLQWDEGPIVSGPYGPYRQSERTALYNKHANDLVHSGHAYRC FCSAERLDTLARQRNQAGLPLGYDRHCVDISTEEAEERAANGEAHVVRLKADEYPKFK DFVFGTTGQNQDGQKKYLKTMNRVYDDPILLKSDGNPTYHLANVVDDHHMKITHVIRG TEWLASTPMHVALYNAFNWKPPQFGHVPLLVDQNGQKLSKRNSNIDISFYRDSGILPS TLLNYAALLGWSHNQKSEVFSLRDMEEIFTLKITKGNTIVSPEKMWFLQKAHTKRCVA DNGPEFQKLVDDVTKAAKERGNITDNLSVNDTVKSLLRYDGGHFTTPAEFLDRNWSFF TSSLNRAPYTLTQPDLGLEDPVSPLAALQTVATILTLVPESHWNVETHRANLDCIPYT SKAFKKELYHYLRWALLGGASGPGMPQIMEVLGRDETVRRLTEATQLNGNEGRNRRGR IKLD TSTA_053850 MLYFYRFLLLTATFALSANALANFTQPTESYKWPSQYVRTDQAN LRVFVQGNGPAVVLIPSYGRDGGDDYNYFTNVLVDAGYLVLRPQPRGVLGSTGPMTNA SLYDLAADIAQVIDTLAGGRAIVIGHAFGTFMAKVCSVLYPEKIPAIVVAAPGGVTIP ANIASMPFIAGNTSLPLSQRLSALQTAFFAPGHDPHIWLDGWYPETLAMERAAIEAYG SLVPHWGGANTTQILEIIPDADPFQPEDEWNITSTWYSDRATSIVINDASHALFPEQG QAVVNAVLPFLKLQIATSYYSLGKVVIEWLIVFCTLFVYLFP TSTA_053860 MNKSCGPCAASKLRCDLARESSSCSRCLRKGLVCQRIERKKRKG NSSTNNSASGTPNSPDHEGPSFIEDHALTGLTADDDNHFLSQLADIGSLIDMSAWAWE SEASSAISQQFNSLSQGIFLSKQASSDATSVPIHRLEKYARLYFSHFHQFFPILHVPT FCLQSSCPALVRAICFIGSGFDHQLETASDANLLFDSLPIILARSCVQSGGVKLSFDE LQAWLLILFASMTNGGDPERAASRLLHPLLVTAVRQAGFLKIHGECTKASRNPEAWSK WITMESKKRILWGVYTVDCYQSILCGSRPLLSPTDTRASYPCDEESWNALSASSWAQL PAQDPSSCFLSSLKGLLVGQYPTSQNLTSFGMRLLILCLHSLLLEAQTSILPTGMFAL EQALQTWYNIWISSQWPAYSELSARCSFLTNNLALYHLAIHFLQNGRPRLDEKAFIGE STDANNPLIAKEGAYQDEMMRCVREIMLKL TSTA_053870 MTMNHDYDVFCSPRQARKWVDFLCFISKIDDPKSHTAFQKHVIV SIITLSAFTAPFASSILFPSFTSLVDQFHTTDTQVALTTTVFLIGLAIAPLWWSSLSQ QYGRRPILVASFLLSVVAVIVCAVSNSLPLIIVFRLIEALGCSSAQSVGAGVIADIYI PTERGTALGWFYLGTLIGPLIAPIVGGALQVWLGWRANLFFMAIFTFCTAMLTVLLLP ETLVKPAAEEAPKLSFLQAVRRDALAPFSKLKFLLIPSIVLSISYVSICFASLYCFNT TLPYAYSAAPYHFSAIEIGLCYISNCLGYAIGSVVGGKLSDAKLRQYQQTHDGAVEPS VRIHTVWYGVGFVPAGLIIYGWLVEEKTFWLAPLVGAFLFGLGLMLITSTIMPFLVDV VPGAGASVVADLNLVRNLLAAVGTVVSPIAADNIGFGWWMTILAILCSLAVLFVVVVV WKDATWRKAKTGPEEAV TSTA_053880 MSVDTSYLTTQVNNIIGQLHGIFDDIGVPNHERETRETEATLSD TLNNHLRIVTTEKEEMIAEAERLIKTIKQMEASLDDEKANGQYELDDGDLRITFPLNR CIVNLKEKYSAISRLHRERFEQVKKLVEALESYSSHLEASFVQIELPPTAPNASIPPN FDLSPSYVTRLDSEFTRVYEEYNKRVHLVKVTSEEIIKLWAELGTPQAQTDSNIVKYY REAPEQLGLHDTDIVSLKEKRAMLMEEKKNRERKLNDLKTAVEGLWERLGVDERDQKA FLAANRGCGLRTINEFSEELERLKELKRQNLHLFVEDARCRLQELWDSLYYSEEEMLD FTPAFSDVYSDALLEAHEAEIARLEAIREQRAPTLDLITRHKALIEERDTLAASSQDA SRLMARGNKGEKRDPGKLLREEKMRKRIAKELPKLEVDLRKMLERWEDEYGRPFLVHG ERYLEELTPVASKVPPRSKTPSVQPPSAVKSKQASTYGTGSVRGAPPPRSATKTPTGT MRRNAPQVAACYSNQAQAKSPSKIPARVPLSNMPHGNNSPERRQPPTLYSSNTIRGKI PPRAPPPKMRELFTDPNEDSVAPSYMMEPSHCESVVSSRSVRPVSLEDVYDDRQQRSV VSHSTMPRPRTAMSSNSSSQSLRSIASREMAYPPQNPYLSRQPPPLAPAMRQISNSTV QTATSGSENWETYDDDASEPEIDASDVYYAKLRAAHGKRLAPEGQHEESLLGKKMRGI RTVGSDEQFLEHNGQMVRIEGSDGGWTDELETY TSTA_053890 MQTAQQSWESENVVQLIDPRRDALYNYDASAHRALSDAKPWSTD PRYFKSVKISAVALLKMVMHARSGGSLEVMGLMQGYIAAETFIVTDAFRLPVEGTETR VNAQGDANEYMVEYLQSCRDSGRMENAVGWYHSHPGYGCWLSGIDVSTQSMQQMSDPF VAVVIDPDRTISAGKVEIGAFRTYPEGYTAPKESSEDDEYQSIPLNKVEDFGAHASQY YSLEVSHFKSTLDTQLLSLLWNKYWVATLSQSPLFTTRDYGSKQILDLSQKVKRVART IGGSGGGGGGAGLGASGLLGSAIDGGSKNIPAKDQQIDKVVRDGYRIVAEEVSGLVAA DIKKGLFQNIQGNPSTA TSTA_053900 MYQGGVAEPLALDAHPGFAFKKSAYATFRYETDEERGLDMASPL RTSGGRQRSQSIQRDMSQTSPQRQTSPMKLAEPLPALYVKALYDYNADDRASLSFRQG DVIQVLNRLETGWWDGVIGNVRGWFPSNYCTIITNPDEIEHYTSRRMMMMADPGDVSA ESGAEDEYEDDQEDEFDVDHQRDSQPILPIEGVPQMDQEEAAYWVPQATADGRLFYFN TLTGTSTMELPLENPLLANETGPRDRNNFFVPDQTRPPPEMMARGVERDEDDYDGSGS EGEGESLMLASHDSMSRRRRSLADDVSPATSMDSLGPSPVTKSSQHTTAHSGQGYYHY SKDSTSFATPNGSLIPRHFVDDTPGSRIGWADLLENMRHAIDAYRQVVRNGERSEYVR KAEDISDHLRMLLAAGSDTTDNHSGSPSIIAPNRALYPHFRDMMSKFSKLVLSSHIAA ADWTSPDSASKCLQEADGVWQGVSGYVQVASQQRGDNVNRIVPGFVINSSTGGHWQNN GIRTGESGATSFLDPDGQDSSQEPSVPLDAALVDQIDSMRKAIVLGIRKIDEHLKMEQ KTITPRQHQALGDAICFAALNVVEKYRPWISTVESINLGPLGTSLQNPQLVDFGLQKQ RVYETMADLVLSCQAVSAPLGDEWAELRRESIEDRLNNVRSVARQLEGSISQIGFSLS LLQEQIPQTPHDPNGQTHFVNDNEGSDSMLNREPGRRRGTASSIPTVPLATLSIDDDD TQVRRNMNKAQRFFGQAPPSTITREPLREPVSLPEETPWFLNFDHEGEVFYDNKADVP TLKCGTLEGLVAQLTRHDKLDASFNNTFLLTYRSFTTASELFDKLVQRFSIQPPYGLT PDELRMWIDRKQKPIRFRVVNILKTWFENYWMESNDEGNMNLLRQVHAFTQDSIATTK TPGSPQLLSVIEQRLRGQDTTVKKLVPTQNMATPTPIVPKNMKKLRFLDIDATEFARQ LTIIESRLYGKIRATECLNKTWQKKIGPGETEPAANVKALILHSNQLTNWVAEMILTQ GDVKKRVVVIKHFVNVADKCRALNNYSTLTSIISALGTAPIHRLSRTWAQVSGRTSTI LEQMRRLMASTKNFGEYRETLHAANPPCIPFFGVYLTDLTFIEDGIPSHTPSDLINFN KRAKTAEVIRDIQQYQNVPYQLQPVPELQDYILSNMQAAGDVHEMYERSLEVEPRERE DEKIARLLSESGFL TSTA_053900 MYQGGVAEPLALDAHPGFAFKKSAYATFRYETDEERGLDMASPL RTSGGRQRSQSIQRDMSQTSPQRQTSPMKLAEPLPALYVKALYDYNADDRASLSFRQG DVIQVLNRLETGWWDGVIGNVRGWFPSNYCTIITNPDEIEHYTSRRMMMMADPGDVSA ESGAEDEYEDDQEDEFDVDHQRDSQPILPIEGVPQMDQEEAAYWVPQATADGRLFYFN TLTGTSTMELPLENPLLANETGPRDRNNFFVPDQTRPPPEMMARGVERDEDDYDGSGS EGEGESLMLASHDSMSRRRRSLADDVSPATSMDSLGPSPVTKSSQHTTAHSGQGYYHY SKDSTSFATPNGSLIPRHFVDDTPGSRIGWADLLENMRHAIDAYRQVVRNGERSEYVR KAEDISDHLRMLLAAGSDTTDNHSGSPSIIAPNRALYPHFRDMMSKFSKLVLSSHIAA ADWTSPDSASKCLQEADGVWQGVSGYVQVASQQRGDNVNRIVPGFVINSSTGGHWQNN GIRTGESGATSFLDPDGQDSSQEPSVPLDAALVDQIDSMRKAIVLGIRKIDEHLKMEQ KTITPRQHQALGDAICFAALNVVEKYRPWISTVESINLGPLGTSLQNPQLVDFGLQKQ RVYETMADLVLSCQAVSAPLGDEWAELRRESIEDRLNNVRSVARQLEGSISQIGFSLS LLQEQIPQTPHDPNGQTHFVNDNEGSDSMLNREPGRRRGTASSIPTVPLATLSIDDDD TQVRRNMNKAQRFFGQAPPSTITREPLREPVSLPEETPWFLNFDHEGEVFYDNKADVP TLKCGTLEGLVAQLTRHDKLDASFNNTFLLTYRSFTTASELFDKLVQRFSIQPPYGLT PDELRMWIDRKQKPIRFRVVNILKTWFENYWMESNDEGNMNLLRQVHAFTQDSIATTK TPGSPQLLSVIEQRLRGQDTTVKKLVPTQNMATPTPIVPKNMKKLRFLDIDATEFARQ LTIIESRLYGKIRATECLNKTWQKKIGPGETEPAANVKALILHSNQLTNWVAEMILTQ GDVKKRVVVIKHFVNVADKCRALNNYSTLTSIISALGTAPIHRLSRTWAQVSGRTSTI LEQMRRLMASTKNFGEYRETLHAANPPCIPFFGVYLTDLTFIEDGIPSHTPSDLINFN KRAKTAEVIRDIQQYQNVPYQLQPVPELQDYILSNMQAAGDVHEMYERSLEVEPRERE DEKIARYGKSSTDSSLTGMTVSSFLQSMR TSTA_053910 MDLRNTLEPFALQSCLFQFEHPGFGLKRVLQVKDKHFYNLNGND EELRRGEIMICLLEEISGQLRRPRYFTHMIAPGPQHARVIKAYFDGNNIVMRPTKLYD LRHKDSEALTTLTQW TSTA_053920 MGGIPDHLPKNRDEWRRVIDRNDLKSATPETPSKQSTTVSGTSI PIGLPDDDDETSTEEYTTPSPITPSASISEELRKVMFPPIKDKNIVNTALDVFFNALT MHFDILRQWNWTPHRKSFVGKFKEASLKARVDGYLEKEKGEPYALIEVKPVIRETYRC RIQM TSTA_053930 MTTIFTNGHLFTGKGAGEAEDASFAECMVIQDDTIVHVGQSTDG VVTQAKQNGAVVQDLQKKYVLPGFIDGHMHLLLLGQALQKLDLDGSNDLTGIRDRISL FAKQNPNVHRILCKGWMQSMTDNEALASMLDDLDPRPIYIDAKDLHSTWCNTAALQEL KIDEMGDIEGGTIHRDNNGKPSGLLSEAVVFAVVWPYLARAASMEEKLNAIRGAISAY TASGYTGLVDMAMDENTWEVLHVLRQQQPDRKLNLRIAAHWLIVPSADSEADLKQVDR AIELYAKYNLDNSPDFRIAGIKVICDGVIDGCTAALLEPYTLNGVSCEPLWPQEKLAP VVSRAIKAGLQCALHAIGDKTVHMVLNVLEDHGTRDGRHRIEHLEMTTAEDAARLGKL GITASVQPVHSDPDILRAWPSLIGNDRCRRAFAYRDFVDGGAPLAFGSDSPTAPFKPL PNVYTATTRRSARLPESEETVNPHFALPLVTAFTAATTGAAYACFADKVTGKLEKGLK ADFVVVDMEWSKERLLHATVDETWFEGKRVF TSTA_053940 MAPTAPSAASNSPAKKPSAPEKKYKCQFCNRAFSRSEHRSRHER SHTKERPFKCLKCRSTFVRRDLLLRHDRTVHAKDGGIPLVSEGRRRGGNAGTQKSPPT AAAPPKPSITIDPTTLEQIEASSDGMVDLETAAMLMTDFQHKAAAAATGHVQDRTGSD RSFSPNRGSLLDQHASYLSGNATLPQMPWDSLVSPTETKHHSMFASQDAGSHGHQMSM MSARDTLAPSLHSLVDSLPMSGNSTPNALSPYPSMTGPVSPVNYRRSPGPSQALTLPK APQLVNEMERQRIVENVKNSDSLSSLPEGFQLPSTALLNKYLSTYFNLYHHHLPFLHQ GSFEPTEASPPLLLAVLSIGALYTFEREHAFMLHVGSKVLVNQFLQQKDNFDSRKCPL WAMQSTLLNMIFESWSGDPKGLEWTCSIKSLLANMVAGNRYQLKLRTEAREGRLPTRE QWIEDESCRRTYYAVYIFFGMLTLTFNHTPAMSLDELDSLELPSSESLWSLDVTDEDS WHRTLSTVIPVNIREAHDRLFQGEQTRYSAFATRVMINALFLQVWSHKRSFEALQDVV TEYKLRLALETWENSLELCEPETIVVPLSTPQKGHPLIFNSMAIYRNTRARLAVDLKS VQEALRYHSSYEVAAAMTVARDKVKRSPEMNNVIQQCFECIEIAAVQGINWVAKTSAT NWSVEHPLCGLDLMVILSLWLYRLEHDEEPATDAEMAIYAKVRNLFDDDAIDAFGKLS STVARVWGNILDGVVVWGLTKLMGESFKLHAQALVGYEDSLQVAKDQPLHPMPTKSLA SVGTAY TSTA_053950 MSHSSHDIIDDLEKAPDEENAVAPLSELTSERPVERRDRGTDRE RIRDEEQQREQEESAGYDEGIPLAEITSEPPVERHEERTTTVAEVLPPLSAWATRLYT ISYLVFFAIWGTLARLGVQALTTYPGAPVLTGVLWANVGGCILMGFFIEDRNIFREEW GQPTNPGKSQNPRRRLPSTASAQERWLKTHKTVKKSIPLYIGLTTGFCGSFTSFSSFM RDAFLALSNDLVDPSVLSTSSSLIDGRGRGDSFMAVVAVLAITVSMSIAAFYLGAHLA LALDSWIPIIPFRFTRNVLDRLVVFLGWGCWLGAVFMAIWPPHNAWRTDALFAIIFAP LGCLFRFYMSLALNARIPAFPLGTFAVNIIGTGVLGMCFDLQHVAGIGAPATSWRFDP LASSRPSRFPGAAAEIEATARSILVSCQVLQAVMDGFCGATTTVSTWIAELTSIGLGT SRRRRFAWAYLYAVVSMGVGLGLLVIVMGSVRWSRGYVALVYTTFVQSMSYVS TSTA_053960 MELDSLDGYPLHGQQPVSLRQELGIMFGFLAACILTVAVYYIFW QARDEVRRKELRARGFHHERGGYHDKALHRYANRDPIAQTQGQTLEFLTEPAEFKTES GTRHNSEDGMSDTNTVITTTAVEKHMQDAATAGLRIDTDGNESWSGNSQSNTYQNGSA VSNRTPLSMMQASGGQSAKDMF TSTA_053960 MELDSLDGYPLHGQQPVSLRQELGIMFGFLAACILTVAVYYIFW QASQRRAAARDEVRRKELRARGFHHERGGYHDKALHRYANRDPIAQTQGQTLEFLTEP AEFKTESGTRHNSEDGMSDTNTVITTTAVEKHMQDAATAGLRIDTDGNESWSGNSQSN TYQNGSAVSNRTPLSMMQASGGQSAKDMF TSTA_053970 MPPKAAGRGAARRPALAGSTEDTANTASSSSSSTAPSARPPVQR LQSLNRRTPGGSIGPRGGSLSRGELGGPTKPALKFAPRSANRRAKEERDALIELEAER NRQRLSEAEAIQRARLGNAPRARGRGGRGGVMFGGPGGFKRGRGGRFENDSRSSPALR HTLSRSHTPAHWRSNNNGGYSSDENEQASALRISIDEINLDDSSEDEEDSKDAKGKKA VKKLAIPLRGPRPIRVERHEHEERIVSVDVDASTSIAAEPSRKTLEEEESDNELFISE DPATPKVKREPTDGDLVMADVPHAEDLDETPLPAQRVKARKSVVVKDPRSLLRTKEEI EEFDRHNEDLETLKDILTPDEPVTVEKQATTTEGECKGDGGKAEEEVKEEETVDKNAG RLYLIQFPPLTPNLTVPGEAEPLEVQDNVATEDVTVVQENPTQGLGDIEVKREEDQEE KVNVAKKPAGKLVTATQRQLPAGRVGRLHLHKSGRVTLDWGGISFELDKGANVNFVQE AVIASTPSTMGAGEEDTGERSVWSMGQLSEKFVASPEWNKLTKEDFFSLDVIQFQLSL LHSA TSTA_053980 MNIPSQQDHQAAEGLASLGNNFGDMDRYQGDMTPQQYHSPGSPA PKNVAFELLLDENSKIRARIPMRVQIFPHDTTDSIVTTVKNFYGIYDGVASGVSFEDE HGTTLIARYENLRNNMTVYVRVIPVHSYADSYEPHYHGMTTMDGRKRPSLGEPFQMVP TTGQGHLYGSSSSRPLSRTRRPSTSPSGRGRRSASQHKGSTRNGVKSRGSSAHGSFAE DPISGYSDSEGGHGSISGSRKARSEQFASSEISMDNIVQESRRKKPKFESSELPLFAP PQVPLTTSTSSISPQRRSIGNDGEPSPFARPTHRPLNNKHPLPSPQSYGYSEHGYGNG SAKSSLYSNGVFTDNGHRSRLSGSSVPGSANRQTASGILPTPDPTIASCISDEDVALQ LIRLGDASNFSHGRNSTSTLDDAFSGAADASSTGATSDGDFSDNEDDQELPPRSRQRV ESSPLLPPGHVKQSYKRLDDILPSYDSTDASADEGEEYHQTSDPVKDEYHDDDYEGAD APRAKKQKVKSDSVSSSKSRATKSAPAKPTKNGKARPVARKSKSSLPSATGPKTETAL QTLSPAPSRKTSGSGVNFQHQLAADEEDLSTKPRCQRCRKSKKGCDRQRPCGRCKDAG IGLEGCISEDEGNGRKGRYGRHMGVPIKKTVEPGAVIDAVGLPTPATPAESVASGDKN KKRKR TSTA_053990 MDARPQRIRVRGDENAPVGALPTKTIHQRNKSTPALSAALQNGG LRNAAKRTAFGDISNIRASKDDSHVAGKNITLAKPGVSAQDRKVHALSQPAQRSASVM GIKTILNTTSNINVKQVLGDSHNNTATTRKLLTKRSTVASKKQQLSVVSEVKPSVNEQ PVSRVDHSRLLLAKPFAGLADRNVNETEKNYFHPGTEITSDDTIEQPASEDNDSVNAG VGTVEIVEKESVHQVKLKDKEEDSEESVKEEIASVEISAEARKLDHHAPRGSLDLIHV HSGHGAVASEPEEYWDDDEDDNEEDDGYVTARSYRSRSENTTGGATTVLFPKYNTKAR REIAMAKQLVEASRTTDDIEDEMWDTSMVAEYGDEIFEYMREMEIRMLPNAHYMDNQA EIQWSMRSVLIDWLVQVHHRFSLLPETLFLSVNYIDRFLSCKIVSLGKLQLVGATAIF IAAKYEEINCPSVHEIVYMVDKGYSADEILKAERFMLSMLQFELGWPGPMSFLRRISK ADDYDLDTRTLAKYFLEVTIMDERFVGSPPSYTAAGAHCLARLMLHKGDWSHAHVYYS NYTYAQLYPLLLIILECCENPSKHHNAIYEKYADRRFKRSSVFVEAEIAKGFRLPDSY KGNDPFA TSTA_054000 MGPKSKGNARGGGPKPGTKQAKAAAEKSAQTANAQQNEGEAKKP TVKQIIGGASWTGKLPVNLLSEHCQKQKWEKPEYTMTQSAKGFVSSVILSKVDPKTKE KVTLPSMQLPPARRDLGARPTALEARHFAAIYALYRVCNMRNMSLMLPPDYRKLWKDD FPALKAEATAEGKAWMYEADPFLVKQQRDAAAADMEKKKTEQQKLQAKAKEDGALSSG GLEERKSGKGWSQAPKIELGTKIRRDIEDLLRYKTVWNPYGVTIPEPQRKAIIDDLSQ VGFRRSHVKEAVAECKDREEVLEWLLLYVPEDDLPSWSLPEGYSAGISLASGDLVRES KIKRLAAAGYPSELCARTLDNAGGNEALAAEKLQMTITQHISQSASTEEDEQEDAWAE EAVTLEAIFGDRYKRVSKIVCEIQGEVPNMEELVSFRFQKPTISYPTHPPIISVLSNG LPAYIRLSAVRQAIRYATEDLIGTQMIFSLVDWLETNLPRIVESPGPLRDISTTPSES VKEPTATTQLPLRSARKNSVSSGAQSSSQLGITLRKDWESKKTSAAQIKMNRQRQSLP AWAMQESIIQCVNTYQVTIISGETGSGKSTQSVQFILDDLLKRDIGDVANIVCTQPRR ISALSLADRVSDERCSTVGDEVGYIIRGGSKVKSGRTKITFMTTGVLLRRLQTSPESS DDIAKSLVDITHVVVDEVHERSLDTDFLLALLRDILNRHENLKVILMSATLDADIFMQ YFGGPSRVGRVNIPGRTFPVEDYYVDDILRQTGFNRGASMISDLDDAAEVTEDQVLGK SLRSLGFGINYDLIVSTVRYIDSQLGDDPGGILIFLPGTMEIDRCLNAIRAVPNLHAL PLHASLLPAEQKRVFNPAPKGKRKVIAATNVAETSITIDDVVAVIDTGRVKETSFDPK DNVVKLQEVWASQAACKQRRGRAGRVKAGKCYKLFTRSVESNMAPRPDPEIRRVPLEQ LCLSVVAMNSIQNAADFLAKTLTPPETIAVEGALSLLHSIGALDNNKLTALGRHMSMI PADLRCAKLMVYGSIFGCVDACITIASILIARSPFVSPRDKREEATAARAAFSRGGGD LLTDLAAYQQWSERSKSTGYWQSNSWCSENFLSHQTLREISSNRAQLLTSLKDAGILP IDYKKNSTASSNQWDRNSNNTSLLQALIAGSFNPQIAQIKFPDKKYTASMTGTIELDP DARTIKYFNLENGRVFIHPSSVLFSAQNFANAMYISYFSKMETSKVFIRELTPFNAYS LLLFAGSIILDTMGRGLVVDGWLRLRGWARIGVLISRLRMMLDDVLAARIDNPSSSLN RAGEELESKVIELVTKLVDYNGLDQ TSTA_054010 MTSDSELPETPPVTEAGIEQTTEKPGKRNAFTELMTSKDKKSKQ TEPQKPKKHMFNPSRNALGLYIERPESYPASVVVYYNDDFVAIHDLYPKSSLHLLLLP RDANKFYQHPFDAFEDIEFLHKVQEEVKKLRTLAAQELRRRYGKYSLQEKARREAMEQ DPPPDELPPGRDWEKEIMTGIHAHPSMNHLHIHVMSVDRHSDRLKHRKHYNSFSTPFF IDIKDFPLAADDVRRHPTREGYLNRDFLCWRCSRNFGNKFQQLKQHLEEEYEEWKKL TSTA_054020 MGRFALLSLALFSLQTLFQGCLAAETSADEAAPAPSLAVSVQAS FPSSEIFGIKLVNGQPTQALLAFTNEEDVPITVNFVGGSLATLDEPSRNVRNLSATNY GVQIPAGETQTLPYSLTTEMHPQDLRLNLISVVSKNVTQFFTIQAFNGTVSVVEPETS IFDPQIIFLYFFILAFFVGIFYFFYTVWIAPYFPQKRRGRESKKAIVKKTDLDVPSPD AAAVPTTAYNSDWIPAHHIQKPEARKVKGARSKSRA TSTA_054030 MISGIHAQALFKRYEQLKLIELSKNDLIEDLLRRVSELEDAYHQ VKIEHEREVRYNRDIQLHEMELMEQIKRVKKIMDREPFVIVLLDGDKTLFLDQYVRAG EQGGKDAANKLATDMGAYLSENLPNVASPKIVVRIFANLRGLGNTYHQAGIIDKTSVM EDFVRGFNESGLLFDFVDVGQAKTSAEDKISETLKLNIYSCHCHQVYLGCSHTNSYTQ LLQETMEDIDLTGRVSLIESIPAEKELDDLKASFRVARFSDVFRDTKISSSPIASVKT PIKAQSAMLSPHPRSASLTRTSTNTSTSSATPTSWSSWASVTASNPGDVTLQSLKPAS AAATKTPVVERNKLGQRIDRMDFKSVSKEELSRIKKMKLCNLYYLLGECPNTNCYHDH DYKLSKEEKDVLRAVARMTPCHFGMTCDDPKCIYGHRCPQSEVGKKECHWGSSCRFEP AQHGIDLNVVKVTKI TSTA_054040 MPASFPPRFVSVDTAKRSQGYHNLIGVVVDCLPKSRSQGSSYVT TFTIKDSDLGPGNQAWKGLKIKYFNDDEHRLPDVKVNDVILLRRLRVRPFHGPLHGVV GNTDNVSWAIFRQPDGPESLGMPVCSPGSKALTVEEQTYAHTLLEAAIYSRSTVSATP SSRRKGHAESSSTPSESVRQATAKPKKKDRFSLVKDLSVNTFADLAVHVVKMWYQDDR VHLYVTDYTVNKSLFDYGDKSDEDEGAADDGLGFLTRPTREKRAWQGPKGRMTLQVTL WDPHSYYARENVHEDGYILLRNVHVKADKMNGLLEGVMHTDHKYPEKVDVRVLEDNQQ DDPRIVELKTRKREYWKQNRTNKRELAEDFGDGDEGGSKKNAKKRRKDQQRQKRQQEQ RKQQNKPPQREEGQTEIPGTVNKSNRPNPHIQAKDPARGCRKLSEILLNDSHDISLPD NIQYRLPFQCVKYRTTVRVVDFFPPNIADFAVPYIPKSSSSSSSDDEDDITSETPGIR WEWRFCLLVEDAQILPGQPRDRVKLFVSGSDAEFLLKLDAVNLRKNKETFIRLQEKLC ILWGDLEERKSSGMDTQQKGPSQLPFTCCVKEWGVRCACRTNASSQDDSDRECAVVGP EHNRECLGWERRFALFGTTINEE TSTA_054050 MADRYIPEHRRTQFKAKNQFKPDELRRRREEQQVEIRKQKREEN LAKRRGIQTSDGGIVGGQVGVDSDEEEGGAIEGELNVELPEMVKGVFSDQIDEQIQAT TKFRKLLSKERNPPIERVIETGVVSRFVEFLRSPHTLVQFEAAWALTNIASGSAQQTQ VVIEAGAVPIFVELLSSPEPDVREQAVWALGNIAGDSPQCRDYVLSAGALRPLLALIS DGRKMSMLRNATWTLSNFCRGKTPQPDWNTISPALPVLSKLIYMLDDEVLIDACWAIS YLSDGSNDKIQAVIEAGIPRRLVELLTHASTSVQTPALRSVGNIVTGDDVQTQVIINC GALPALLSLLGSTKDGIRKEACWTISNITAGNSVQIQAVIDANIIPPLINLLSNGDFK TRKEACWAISNATSGGLQKPDQIRYLVSQGCIKPLCDLLACPDNKIIQVALDGLENIL KVGEMDKEATQTGEGNLNRYALFIEEAGGMEKIHECQNNANEEIYMKAYNIIEKYFSD EDEAGGDIDELAPQQTQTGFALGTNQQQPGGFNFANGGGDSMDM TSTA_054060 MDLSDARSSDVEQNGGSSSRRKSGRAIRKPQLYSQDVSLNNNTA EKRKRMAANEDEENDDEEDAEGLESEDDPEDEDPDEEEEKERRKAARRAANKRRQSAK GNAKRTPSRATKKAKTNSAGKSLAIRSTTNGKAKKAAPKKRSAPPRPIFHATSEGLFG DLFEREKDVEAVVEEWVTEYEKDPVEGMRVLFNLFLQSTGADLSVDTADVTDEDHWPQ RIQDLQEAHLDTEPSDYPLISRDRRFRNFRSTIVDFCDSWVENLHRSSLLYNSFAPGL KAETWLNIMSSSGNRAFRHTATLCSITIASALCDVAEEIATAVSTSRKQLESEKRKKQ ANKGRINTIENTIQENEEKLVVIEELLREAFDTMWVHRYRDVDFKIRSECYSGLARWI QTHREMFFEPQYVRYLGWGLSDSVTQVRFAVVAQLRLIYEIKFSIATLRTFTGRFLRR LVEMALRDTDTNVRTSTVELLGLIRNQGLMENAEIDQVGQLVFDAESRIRKVAGRFFA ANLEEIYETHTEEMAEDINETFGDQEDEDDFEAPKRSWIKYKCLVDILQSYDGQRDDQ TIERQRLGTVEALSGAPVESRFFLATEAIYQHLKELSQWQSLAGYLLYDHSQISESPP QDEVSNVRQFFALEEGQDIILLEVLVCAVKLRILEIARSDINKRGQKIKSLTDKIPEL QEETAHHLTQIIPRLLNKFGAVPEAASAVLRLEHLVDLDKIQNLQKDTTSYTSLLNDI NRQFLTHSDQDVLVEASVAFLHARSSDEMKEAMESKVQELWDDMIETLSTISQNRNVQ AGSSVAKNVLVELSNTVTRIANLASIVDCTSVIETVPGTVGKKRKQSSEAPFNTLIHL VNRGLREEESDEELRGLETELIVNSIKTLLFYFMWKVQALSTAVKSRTAKYSTSYFET LAKSRDVFAATLTAVMQSRKILDDIRFSAVTTFLDLQTLFGTLRNIGMHVKGGPEKVD EDVLFQTQGLAQEVTPSTQDLISKFHDVAERSLAKKLKKEIRSSVEDDNGLLESAQDL KDLPSDDEEENDEQDEDAVEDESVATERLRLTILAEQRLCELTGKIVLAIIGRVIDNS GSQRGELKKKLLVNKSLLGHNYKEVVSFLEDRKARPDTSAKLRNKQDAAARLGTLRPD EPLELEDDPIEDDADAHPAAVEEDEDEDLRARGLVEEHIDDDNENTEKGGEEDDGSER EENGVAEDEAGEEENGDENEDEVMGD TSTA_054070 MAFKSPFAASVASPGKGKAVLSILPPSIPTLTTLTYKYPLKLLS RTPGFTPEDSVAGKDATRPIHLYLLTYGGGLLPGDHIDVSITLEPRGRLVVTTPQGST KIYKTPPEGRGLAKTAVLDDMSRQTLDVHIGSEAGLCYLVDPSAPFKDSRYEQIQVFT VDGSQTGNKRSSLCILDWVTQGRSSRGENWDFQIWKGRNEVWSEDKTTGKRRLLLRDS LLLDDETISPPQSLGARFQSSGLIRERTHPYGVFGTLILYGPMFDQLRSYFMEGFTSL PRIGGRNWSSTSTTAELSNIQDKVTWTSARVRAGFVLVKFGAKDVEAAREWLGDMIRK EGSIAREFGEEALTYDLPPTTIAKPLPVHKDKQQTKPAKKGDNRKAGKSKDATSKWAD DTPRAFARLMRFQQLGKAPSGLDDGNDKPQNKKRKRGEDNDSSTKPNSKSTAASKLSE GMALKILPGEKLSDFAARVDQAMPLSAMKKSQRTGASENLPKVREERITKHERHLRKL QQGWREEEARISAKEAEERELREAENDELEEMWREAQAEAGILTKKKKKKTQSKKKKK GKKRAGDDGDEVEDDDDDDDDDPWAKLNARERAAKPINPLEVVQAPPESLAKPREIFR VRRGVGGAEVDVANIPAAAGSLRRREALAEERKSIVEEYRRLMAAKRGQ TSTA_054090 MAPIKRKGTTGDDSATKNQKRARVSSDAVKAGSQSKKSKQSKDT AEETTSRDAAVSVLRNEESSFPRGGGSVLTPLERKQIQIQATRDVLFEQKRSGKSTEG LDDGISDEDIDMQDEGKKPATTKKSRKSKNKKSRDSEKKTKEGVRVEGLSFKRLTIGT MVLGQVTSINSHDIGLALPNNLTGYVPLTAISEVFDKKIENVLNAEDENEDDDSEEDS LDPSDYFYVGQYLRAYVTSTGSSAVEAGTAKIKKKIELSLDPRQANTGLSESDLVEGA MVQASVASIEDHGCVMDIGLGKKGAKGFMASTDEAIQSNIKEGAVLLCIVTGVNASRT IFQLSSKLQTAASPKSVLKSAPTIQTFLPGTAAEILLTSVTETGLVGKIMGLLDATVD FVHSGANSGSFNLTTKYQLGAKIKARITCTFPAAEPFKVGASVLENILEWRRTPSTQE VSSPSIGTILDARVTTVEPGLGLYVEFGSLKHLGFVHISRVADGTVESISAEQGAFKT GSTHKARIIGHNSVDNLYLLSFEKKVIDQPYIRLEDVPLGEVVKGKIEKLLIGPEGID GLILSIADGITGLVPSMHFADTVLQHPEKKFREGLAISARVLSVNLEKRQMRLTLKKS LLNSDSAIWKDYNDIVPGQQSPGTLINIQPNGATVQFYGTVRGFLPVSEMSEAYIKDP SQHFRKGQVVNVHAISVDISSDKLVVSCKDPSTSSEAYREAFSEIRPGVSVTGTVFEK SSDDLLLKLDKYGVTARLSAVHLVDGDASKAASAFAKIRVGQKLSDLLVLEAKRVHRL IKVTHKPSLRKALKKNSLPSTFEELEVGTEVTGFVRGTTSHGVFVEFLGGLNGLLPRR LVDDEHSNMPDFGFYPSQALTATIHQIDEDHRRFTLTMRPVELPTASRQAQSKERPLP EDEKPVNPVDESIQTVAEYTVGKVTKARILSIKETQINVVLADNLQGRIDVSEVFDKW EDIKDRKQPLQKFRVKEILPVRILGLHDARSHRFLPISHRTSKHPVYELSAKPSFITA GERKPLSLEQVKVGSSMIGFVNNINDDYLWISLSPNVRGRVRAIDLSDDLSTLTDLES NYPMGSALKVRVTGVDSDKGHLDLSVKSGSTRELSFDKLSKGMILPGRVTKVTEKQII MQLSDSLVGAVTITDMADDYSKIDTSIYKKNEILRACIIDVDKSNKKIFLSLRPSKVL SSTLPVRDREITSIDQLKVNDIVRGFVRKVADNGLFVTLGHSVSAYVRISDLSDSYLK EWKDEFQADQLVKGRIIFVDQENKRLQMSLKESVLDSNYKTPITIRDLKPGQIVTGKV RKVEDFGAFIVIDRSANVSGLCHRSQMAEQNIDDARKLFEAGDIVKAKILKIDPKQEK ISFGLKASYFKDEEGLDSEEGSDEDLSMDEAGGVELHSDDSGEDMSIGGVEIEDGSDD ESESSDDDEDVTTADERAQNDKGGLDVGDFDWTGDAGLSSTSKITDGKAVGEEASNKK KRIRKPEIQVDQTGDLDARGPQSVADYERLLLGEPDSSLLWLQYMAFQLELGEVDKAR EIGQRAIRSISIGQDTEKLNIWVALLNLENTYGTDDSLEEVFKKACQYNDTQEIYERL ISIYIQSGKNEKADELFQTALKKKVYSGQKFFINYATFLFDTLANPDRGRDLFPRALQ SLPSNTHVDTTCKFIQLEFRSPNGDVERGRTLFEGLLSSFPKRTDLWNILLDLEIKQG DADQVRSVFQRVLGISTVLKKKGAVPTPASTETQKKLKPKKARFFFKKWLDFEEKLAA AEGGNEKMVEEVKARAADYVNSLQES TSTA_054100 MDSYHRSTQAILAPVLSFLGLTLCIPPMVWHASNRNWGASFLVA YVMFEDLINISNALIWPTDDIDSWWDGAVFCDIQVKLNVATQVGMPGALLCVFRSLAL AMDVNNSALVPSRGQRLRNKAVNVVFCIVLPVLQMVAHYTIQDIRYNIFAIGGCTAGF DESWPTLVFLLCPSVIYVAAAVYCFLIIIRLVKYTSEFSAILGASRSNLTTQRFIRLF GLSLIMILIILPLQIYILFAQIEIMLPLHPYSWEGTHGTDLSRIEKIPSNDNLRFERW IPPACSFLIFSFFGLGRDAANMYNIFFNVIGLKGFSVSFSSFKSTSDSSTSSAENNKG GSWYRSLRSKKNSWLGSGRA TSTA_054110 MQAIRSSLRARAAVASVRSFSTTPSNSIARIIVTGRLAAEPEIT ATSTGQDVIKYVVGTSYGPRDNRQTSWFRVASFQPEGPGRDHLLSLPKGTLVYVEGDA SMRVYEDAEGKKTSSLNIVQRTLEVLKRPHTASESGSEGTQ TSTA_054120 MMQAAASLSTTTGSSATTDWSDVSVTASTTMIDIHTVFSNPQPT LEPTPNTINAYNIIGIKNQCLHLFLSAASLSSLSVIALIEYFMAPPVPNAIQGAYFVA AFLTGLIFGAMSLIFKELTEGRVKRGGLVASPETRGAFIGAFCAAFYALSFSPYTRPY GLILSTAFAGSTIAVLGIDCFSRAGWKEFWLYIWGLNDNLFPLSTSTYPVTRGFRVEL AVTVVVCLLGLLSQFRLWKVIGERRKKQEESSAEERRIKDEAEVEVGRALQEKKENDL VRWEPIYGHKGNCTTSQTPGSEAKTYPTPVEPVEAPDNAMEMADLTTSSHNTNDEDII EEKSPENDRHDVERETANEQDRIAESHDVDEEYVNANDESNSINEQKAAVSSTPSPSV TPCPFRVPQPENNRAIGYGDGQSIQVIIDDIDTASVGTSKRLSAASLFRRLAHRKSVI RRRSRLQSKSDEILVPIHPASSTYSDKGIEDSDAGSDHEDAPSIDIDNVNPPRSDSVA DEGDDKTLQEGASSDTAVLSPTPPDPGETEISRNVKSSASQGARLEAQEEKVPLMKDK TSTESRLVDAGLGISTDKRRHTVDGATTIFSIAKAPSPSLVHGNPSKPTQTNTIKEAK AKKSITPLSIPKSKSSSAKNESLTSDVVKRIPSHVSSIVMSYRTNEWAKRLSHADAPE PEPLENLTVDTERPISPDLIELAAPLNVDELKQTALTVSPPPMNEKRKSLFPERVIDA TVQQSTSSTSRRESGDASPRDLVFEHPENAKTSLTPTFRKCSHQVQPSLCLFPLLSAA VATQGITHNSARKSTQGQGAEEESQFLMAQRERLIQARLSSVSLTHDSLATRNKLRHS LEGMYQSRPGSQLSMVGDMPLAQRRSLLQQRVVSPILALDIDAPRSPVDKTPPIGARI SRESSKSKVSAMVAWRESLQEDFSKYKPLVDVDIAQNELMEQQRKAQLARNQRLQVSE NLHNSIAERMRRGDMQDLHREAMRRMQAAANRKVTNGPR TSTA_054130 MTEQASFQSGANLNSLGPANLRQRPLGRATTFSEATTTPSKFNR RNSAISDTVSEARQSIRDSTDELLFPRAKATVSPRLAEAHHDSHWQSAPLALALLPAV GGLFFNNGSAFVTDATLLILAAVFLNWSVRLPWNWYRSAQEIRRPLPDTLHGDDGTIV EEDDGERDEGDKTTKKSTERRSIEQANQSAIKELHIHELAALISCFVFPLIGAWLLHG IRGALSRPSEGLVSNYNLTIFLLAAEIRPFAHLLQMVQGRTLYLQRVVAEAADEEDED REIDHNKILDLAARLEDLEAYVADNAATKKGSNSNSKQATTGETLKPELLSQIINEVR HSIQPEMDALNRAIRRYEKKTALMAFQTETRMNQIEAQVGDAIALAAAAQRSAADNRQ SFVFALLDWMAAVVVVPVRVLMQLFSLPGKMASFCVQEATLLVLGGGKRRLTKINKGK QMQKPSTMTEKRYLGGSSQSAVVSGIRPVKKET TSTA_054140 MAPSTNGRRSSTTSSDSRPLTLPEEQQHSDDTVQQDTSTLPSLI REVRARKAEYIRPRTIRIKVGTWNVAALSGTEKDIENWFVEGKDIIHHVTDQGRQYHN SKQRRSTSSERSTTTQARENLAEKLTDEVGLYVLGLQEIVDLSSPAVTLSPFNDPVPS TRWKNVVQEALPAGYQLVAESQLVGLLLLVYAAPNVAPTITSVSSTTVGTGIMGMGNK GATITRLVLGETTRLVFVNCHLAAGSDKGSLDRRNWDAGQIVSRTKFNPIGIDHELYG EPSDTIASADFAFWFGDLNYRLDDIPGEDIRRLLSLHTQNPYDAKHKHRKKPDTDLPS PILVVDSETETTEEEVQKQTVDQTAENPRLTAEVLEAEAQKTTIVPTTIVETSDPLND PTSLLTTLNSLLSHDQLRNQQMKKKAFHEGWREGEIYFLPTYKYDVGSVAIFDTSEKQ RGPSWCDRILYRSKADREAYEKEVMEAEKSRQKDEEMQNRGIDKAADDENVLDSQDGA SERSLGTHDDKPEGKIKLIHYTSHQGVLSSDHKPLDAAFVLTYDAVIPDLRRQVYQEV AKELDRAENEARPEVTVVVDPHMEENEELPRDVAYDQNAIYFGGVAFGVPICKNVTIA NTGSVPATFYFTSKALIDESYSESEEAKSDRQAWMDVQVEWLSDEKRKDEKKERPQKT YTFAPGDSAVAEVTVHVKDLDLVRSLNAGKVKIEEILVLRVIEGRDHFIPVYGRWLPT CFGRSLEELTHIPEAGVRSLGFPTSLDAKKAEGNVRLSAPRELFRLTEAISRLTEQAV AEWSMMKGEAQDETPPWLVQSCGVCWPFCPGSWTFRDHNQRAPFLFAAREALDNGSSL SSIFPPEVSSLPRLEILAETLVFFLTTLKDGIIKRETWKIMEQQLILRERSKDPWRSP EDIQSWVLDSLAPSPAHSVSFTFLTFMLNQIINEIAPASNPSELPPMLQPPAPPTQTD SKVPPEKIIPSISSPSRRKRTLTLSSTDSSSRDTSKEYTSTDANLTRPPDQRRKAVEL GLSSLFSNLMISPGSTAPSREKERRAWEERKRLVVEAFLQPVITPNVEHRVD TSTA_054150 MTPRKGRGGRAHNHNHNHNHNNNHHLTNLLSYNHNSQYLAQFPA VLPQQINMSDYESTDNTYCYSDTPQPPPAVASSTQQQSEPPRTNEELNISVLRRHNPN ITSILSLAPSTTQLWEKNGIEGTMFICQLTQGSLGEERYSVFVLNRRGLNNFDILLMD GENVQITDEFVILKSEKNLDDGRDATDEIIGLWIFSEPPPNSTAETRKINAEVIKECA THAGRSMALAKESVAAAQQSVQMGRQISLQELFSQQRAQDDGLNRPHITSSAEAGMMN GAGGMNWSHNPAVQPPIVPSPGQLPSAVAQAMNRQSSGDVLTNLFRRAGLVNQN TSTA_054160 MALAIKLPQDRKRVKVYELRDNDWFDRGTGFCTGAIVEDMPRIF VESEDEKGRCLLNTTIGKEEGYQKQQDTLIVWTETNGTDMALSFQEADGCAAIWDYVS SVRDHLIALAGDDALSDEAIEAYHQPIMLPPPTLGNLSEIEAAVRAASMSQVGRDALG KFVLNENYIDKLIPLVSDAEDMESLPDLHRLCNIMKSLILLNDNVIIEHVVTDPIIIG VVGALEYDPDFPTHKANHRQYLQDQSRYREVVPMKDPIIQKKIRQTWRLQYLKDVVLA RILDDPTFSVLNSLIFFNQVDIINHIQTNAAFLKELFAIFDPRNTDQRRKDDAVCFIH QCASIAKNLQAPARATLFSQFIGHGLFPVIAFAVKHPKPAMRTIGIDILVALLDHDPI MMRGYMLKAINEKKVPLTDTLIDLLHTEGDLGVKNQLADAIKVLLDPQIAIHDPMNRI GTDLSGKARSTHQLPDAFVQVHFDDSAKRLFTPLKQLEGCATVSNLTFQEVTLYAHLV DILTFFVRQHLFRSRNFIHSDNLTPRVAQLLTVPQKHLKLTALKFFRTLVSLQDTFYL AQLTHNNIFGLILDIVHETMPRDNLLNSACLELFEYIKRDNIKPIIIHMVEKYREKLK AITYVDIFEKLIQRYDEMQGYGLEADATLFSQEEIPPAQRGVLNGQRWQGVREMDAAE EEYFNTSDDEEEWPSDARQEGLAIANQTESNVRLVDYPDDDDEEMDAKSETVSADKQE TELPEHNVADTNTPSPTTSTPQHPPERLSEKRRRDEDDEDELVRLAQNGSKRRSSSAS STASMYFRRRQNSIDRNHKNLVNNEVSTTTTNAAPKKIAINLSSALKSHVTVEAEAIQ LSEIDEQASNGDQTKETKESETTAQSS TSTA_054170 MAASEPLIAARGDRDSISESQYDALLGQDHVEQSDEEVTDNKRQ GLFAYLRTKDFWTILLLGQTLAILNTSSSTFTSLLEAQGTSIPAFQTFFNYALLNIVF TSFTIYKYGFKHWAQIARSDGWKYILFAFCDVEGNYFIVLAYRYTTILSAQLINFWAI VVVVILSFLTLHVRYHTMQILGISICIGGMGILLASDRITGSTSEGEALDPVKGDLFA LLAATFYGFSNVVEEYFVSKRPVYEVIGQLSFWATIINGIQAFTLDRSSFETATWNRP VLLYLLGYTICLASFYTTAPLIYRLASAAFMNISMLTGNFWGVLIGVFVLKLQIHWLY PLAFVMILLGQFVYYLGPPRRDGSLGEARKPWLGRNQEQGVSGIFTARRRIVDRARDV VSADGLAG TSTA_054180 MSTSYYEPQGWQAPARQASWEQPVPPSRSGTSSVSQRDDSSAFS SQFDEVDRAIDNLVKSGKLFNGPRRESMPMMMMGRHFPEYDPRMAGGIPPQRHHSVSD FDQSRGHPGAALQGFYASQRFQGRQSETEQMLQAKRRMAAQRERELRNYHQEQQYNRS LLAEMSGNKPDRSLSPAAMSEESRRELIARQHRALYGNESPAFFAPGSLGEDAHNSRS DNTNTGTPTSVSGGVRGPSPRAVDPFGMSQSQEGLGQNSGSNPLPATGRQSPRANRTT SPNTGANSSYGLYENVNVEQPNTSNSSPGGADSPSSRQLSSKSAGHLGSVGPIGSRPS QPATSQAQNPALNKRSTTPLPSPLGFGFAPNESATTANERSNSSASNPTNSGHTNAKE SAFSSGWGSNSGVWGSKNLGVQASVWG TSTA_054190 MAWQSTIETTLPSRPPLIPQQSSSLPSTPYQRSRGLSLHSRSPS PRRRSTSPRSTHSETNSSSTFRKPLGGCKYETAMAYFRRRMPYSIGGDLLPEEKIGLK ESLDQEEEKKLSAEMQVLYEQLLPSAESDDRRRQLVQKLEKLFNEQWPGNNIDVHVFG SSGNKLCSSDSDVDICITTSFKQLENVCLLAEVLAQHGMERVVCVSHARVPIVKIWDP QLKMACDMNVNNTLALENTRMIRTYVDIDERVRPLAMIIKHWTKRRVLNDAALGGTLS SYTWICLIINFLQTRDPPILPSLQQQAHKAHKVIDGVQVSFDDDLESLRGYGHSNRQT LGELLFQFFRYYGHEVDYEKYVVSVREGKLISKEEKGWHLLQNNRLCVEEPFNTSRNL GNTADDTSFRGLHTELRRAFQAISTADLEKCCEQFVFPPEEERIFERPAPQPRPILAP VLPTPPSGRGGRGGGRGARHSNHYGRGGNNSSRRSSSATNRGTNGNGYRTNNIPLSPD LNNLHAQQAQYLLHDQLYQQIQILQAQEQELRMHLQHQSIVTGRPPPVLIRQPLIQFS FPQQEMGTDDSSRARAGTVSHPPMTSFRPGMFYAPAYMHVAPPTVQGSNTNPPSPSLA NAVPDLRRNPRRSSVANGSPSGSLRSHSQPARSMHSPAMQGLTPLYSSIPTDATRSSR DGMTPSSPGRTETDSSLNSLSASTVPTPTFFDEQHRHLEYGNYYLVPHNYPPAYMTMS LPATAYLQTADYRTTMPAYSEPFSPADDNSSTPTAHPVSPRGYSVPTQTQPQRDNSGP LIIDGSVPPQESRTSSRDDYSDQYVNSSVSGTSDTAGSWEVPSSRPDVFSNGVQDHTF EMDPFSMAREQESRSQMTNDAESNLTRQLQGLHLASTNAPTNNAEPARSFAERKNGKT GYVNGEYSPSRHSHQQRHQGKSNDKSSTMGNHTSNKETLSSSPTHRSRPNGVDSDKPN GVNHHNIHNHNHKPKLKASQDAHAGPALSPLNRKDAQPASLPRKPDGLPPVSGGRDHN HSNGGWQTTKKKHKRNAKSVAETQNHAHLAEPLPVDESLRKGG TSTA_054200 MSKTSTTAATTATSIPGAKQLPIIAVSNEDEANKTKWAFNESEL LIIGAGVAGCALAVAFGKQGRKVTVLERSLKEPDRIVGELLQPGGVQALEQLGLLDCL DGIDAVEVEGYDVIFHGTEVEIPYPADKKTGISPKGRSFHHGRFIMKLREAARNTPNV TIIEATATSLIKSQSEVIGAEVSVDGKSESFIAPLTIVADGYASKFRKDYHTHTPEVK SRFWGIELIDAKLPMPLHGHVFIGDGPPVLMYQIGTHETRALIDIPDNLPSASVEYGG VKNHMKNVVLPSLPKDVQPSFLAAVENGRLRSMPNSFLPSTTNKTRGLIIIGDASNMR HPLTGGGMTVAFNDVVVLRDLLSPEKVPSFKDAPSVTKAMKTFHWERKKTASTINILA QALYSLFAANDPYLKILQRGCFRYFEMGMIEAPCGMLAGLIKQPTVLIRHFFAVAFLS IYMNYAETPVYLWPLAFWQGCAVLWTACKVIMPYVFAELRR TSTA_054210 MLPQRTRTAVVPSRNALRILRQLALAGSTLGGVCTVAAITYDAH RRASVAEKIVKNKRTIQTSTPYYNATSAAKKLALMVEAAEAGEFDGLASLKQGGWNRS SHSHEHNRVSDPVSDSVGVAAEAVKGPATTRQSSNYPNPFTHSDLEAAANDLERATIT RSWLTNRSEAIKFSAYDQETVVPSIHDQPTTNATESQQDESALPDAEEYRQAIRTVRD LFEKGRIIEAAELLLEKRSQTKERFSPRDRELAVNLFYANCADDNIWVARNVFEHIEE FSSVSPRMWKTLIIALTKCNAIESASQLILKYSDTIQIPFYMAELVIRNVAFDTDFSL CGVFLNNLWKKSRSIDLVNREFERILTTLARFNLKPTAKLFNPMLRAYVEFGRLKDAE ILANDMKTLYGVSPTCRTKGLLLLGQAFACNWKEVKDGLREMHNLGLTSDRGFIPAFT SLFLEYWPTHNAAEIREFFMDAVEKYSLQPDQLLFEHVLQAYIQKGDPDLVSELANLA NTHGWKVEIKEDQFYEHLQIHRRRMENNPVGFWQLLNAARVNYGQAAASQRILGYDHR SLPWPEANCIPYTDLWPNWYRRAVNGIMTDRPLDQYPSLDKQLADVLHAGDCDSALWF YENALAAGYVFKSYHIDLVAIAILVEKGLAPAKKLVEEHWEKDLKNKIVVIPQFFLQI LESSDALPDTELIKMAVFRFYTLCWEMPKLELKHQFMNHMANRLIEQGRPEAAQDLLL TAYKSRWGRQFEFDGACMRTMLRAFVLTGHLKGIRWCLLTALARHSACNRDFIVDARR ALVTGHIKKAGWVWREKERVDFLDHLGRLVSVLERKAAEDPELVTLLQNNKKRKRMAK QFYHPQIHRWRNDKLPNLRKIIAHWDEERELEWMTTQPTAILLTEDKTSKLWNERRVY ELEGEVMD TSTA_054220 MSTVGGDAAIAVDADQDARVMQRRESLGSAEIASETSPLLPATT TPELTDEESELHNSLNKPWLGSREFDAKPLWRRPNVWYLIPPTALFTLAFGGAAVPKI NLILSVVCRDYFADQKAKDPTFTYLPVVFGSDNDQCRIPEVQSNAAQILLYVNLISGF LSALVSPRLGDLSDRYGRMPLMSLCVAGTLLSEVFTTFIAAYPNSASINWLLVAAFVD GLCGSFTLALSLVHSYGADCTAPERRNVVFGYVHATLFTGIALGPFLFGLLIEYTGKL LDVFVAVLVCHSIYLLSLIFLVPESLSKERQNVARTKHLAKPRDGDTTYTRYMLRELH PSNIFKPLAILWPKPKSSETSPEQLALFRNLRKNLVLIAAIDTLMFGVGMGTVQIIIL YAEYVFGWGNYESSIFVSVTNAGRVITLLVILPTITRLVRGPQRSNQANSGSDWLDIS LIRLAILIDLLGYIGYASSKTGALFTLSALVASIGGIGPPSLQSSLTKHVPPSQTGRI LGATGLLHALARVVSPIVFNGIYSVTVGKFTPAVFVCLASVFGLAAFLTWFIVPSVHL PESPPSSPPEDEGNNTLDA TSTA_054230 MMAVRTSLSLPCRMIPNNISHNVRPATQLVKRPLNGHGFATATS VPKKGSKGPTAMVLLNMGGPSKVAEVEDFLSRLFADADLIPLGPLQKYLGPLIARRRT PKIQKQYSEIGGGSPIRKWSEYQCAEMCKILDEISPETAPHKPYVAFRYADPLTENMY KQLLEDGFGGGNGGRAVAFTQYPQYSCSTTGSSLNELWKWRNRLEGPRSGQDGDGAIQ WSVIDRWATHPGLVEAFAKNIEDQLATYPEEKRSSVVILFSAHSLPMSVVNRGDPYTA EVATTVHAVMQRLGFSNPYRLCWQSQVGPSAWQGAQTSHTVENYVKKGFTDMILVPIA FTSDHIETLYELDKEVIGEAGHTGIKRAESLNGNPTFIRALADIAKNHLQSGEKCSRQ MTLRCQGCNSERCLEQKKFFAGDALKSLVR TSTA_054240 MADSYPPAEDDIYQEEDEEVDDSGYKSVKDAILFAIDISDSMLM DRPSESNKGQPQSPAKAAIKCAYHLMQQRIISNPKDMMGVLFYGTEASRFYDEDENSC GGLSYPHCYLFTDLEVPDANDVKSLRALAEDDDEAEQILVSSKERVSMANVLFCANQI FTSKASNFLSRRLFIITDSDNPHADDRTMRSAATVRAKDLYDLGVIIELFPISRPDHE FDRSKFYDDIIYKTAPGDPEASAFTAAGTQVPNASGDGISLLNSLLSSVNSRSVPRRA LFKIPLEFSPDFKISVTGYLIFKRQEPSRSCYVWLGGEKPELAKGTTTHVADDTARTV EKGEIRKAYKFGGEQISFTAEEQAKLRNFGDPVIRIIGFKPRSSLPIWASIKHPTFIY PSEDEFVGSTRVFSALHQKLLKDEKIGIVWFIARKNATPVLAAMLAGEEKLDDNGVQF LPPGLWILPLPFADDIRQNPETNLVVAPEPLIDHMRTIVQQLQLPKAQYDPRKYPNPA LQWHYRILQALALDEELPEQAEDKTIPRYKQIDKRAGDYVLEWGEELEKQHQKMYTGQ PKTSTLAKRGKPEHEPAAEEKPVKKVKTESASNGRNAADEVQSHYNSGSLSKLTVAVL KEYLTKKKMSVMGKKADLIERVEKYFDRKG TSTA_054240 MIFIRRRTRRSMTLYARYYCFISVSLLTAQGYKSVKDAILFAID ISDSMLMDRPSESNKGQPQSPAKAAIKCAYHLMQQRIISNPKDMMGVLFYGTEASRFY DEDENSCGGLSYPHCYLFTDLEVPDANDVKSLRALAEDDDEAEQILVSSKERVSMANV LFCANQIFTSKASNFLSRRLFIITDSDNPHADDRTMRSAATVRAKDLYDLGVIIELFP ISRPDHEFDRSKFYDDIIYKTAPGDPEASAFTAAGTQVPNASGDGISLLNSLLSSVNS RSVPRRALFKIPLEFSPDFKISVTGYLIFKRQEPSRSCYVWLGGEKPELAKGTTTHVA DDTARTVEKGEIRKAYKFGGEQISFTAEEQAKLRNFGDPVIRIIGFKPRSSLPIWASI KHPTFIYPSEDEFVGSTRVFSALHQKLLKDEKIGIVWFIARKNATPVLAAMLAGEEKL DDNGVQFLPPGLWILPLPFADDIRQNPETNLVVAPEPLIDHMRTIVQQLQLPKAQYDP RKYPNPALQWHYRILQALALDEELPEQAEDKTIPRYKQIDKRAGDYVLEWGEELEKQH QKMYTGQPKTSTLAKRGKPEHEPAAEEKPVKKVKTESASNGRNAADEVQSHYNSGSLS KLTVAVLKEYLTKKKMSVMGKKADLIERVEKYFDRKG TSTA_054250 MADQATAVDANLPQVTFKKRSSKAKSNFRKRPATPPPASTAGAD SDSDFTSSDDEEGRRIKRLRKTAAVTASSAANKPHSRLDEDAPEYATAAPLVKSNDAT KQTNWYDEAQENGGDELSAKGLLGNTRAKPGTVTAPSIDGTYKGATNYQSFIQKNPDS AGKQFGPMKAATNIRTVTFTDMAPDVCKDYKKTGYCGFGDSCKFAHMREDYKHGWQLD KDWEIETKGKKVAGRTVASLEKRGQQAGGADDEDEDEEMLEKIPFACIICKESYKNPI VTKCGHYFCESKNPSCAACGAGTGGVFNTAKKLNRLLEKKRERVNRKREQAIGNGEEL EDEQDAAA TSTA_054260 MEDPFVSSPDGLVGTREPHRYSTFDTQLFSLSASSPSQAKRALE AHLAETERRLQEASKLGTALVNQQRELTDKLQELDQQRDEGEITPELRQKLIELEKEY NEIGRESARAFLVPKRAVSGGENTPGIDPRSPAAASVFTSQASASPSKVSVPSRKQRN QPSSRVHDIEFATEISTSLLAQVRQLQALLAEREESLKAANLENSRLELEAEGFTQRI RALDESEQRYKDENWSLETQMRELAAAVRDSQERETKLTSALSSLTAEKAGIEREVEE LKQAHGKLLEEQTATQKAHDSEANLLRRNLTSGDAERVVLQAKVEELTSQNQELARAV ASMRNRHMEAETPRPRLHDAEDTMPEQDSPESSPPPSPNKQTPRHGHLETETLRSSLG HAHRMIQNLKSNIHREKTEKIELKRMLQEARDELETRRRENNPPGSGTKRQRIKPDTF KKPLPRSDLLGPSRRGRTEITLEESDWEDHTSEASPTRTVRGKRSPQHGTDLSDVYQT AAETDDAFETAHERETTTESEAFQTGAESLAEDSNADLTETEITRTPRQAVTRPKKVP SQLFNSRAGDNLSYLSTASTSDDDSETVHTPIQSQRNRVRVSRGGFRRIRPSGEAPMA SSINEVSSTHNSPASSFQHENAIPMGQSLFAELEELGSPGSDGDFGTPIRSTNVISQP STPLQNLVRRASQASMPLRPTMVDSSTMTDAWEPESVPVPVPEPVATNEKSIETYSPD RVDSSTQYTPSKPFMGANGEHQTAFPTPPKTIWDESATAEDRAIDVREVQNPPVYELD FSSINAIETTPVTPLTPELTLSAILDQSTEPVAADIFEPPETLITENVEESQPIQLSL SPICSEHSQPVAFVLPPAEAAPVPQSEPEILVTPPQLSLSSIHFTHTEPLALPEKPHP AIPLLSIASIQSLETLPVDPVIPPPVLAPVPPAEISSEPPRSAVQLDGTDPKLNKQPS IIFVSEDATSQPQHQVDLENHAKEQTPPLNNISGNSTSRERRPSIVEHADQACQTTVS GKLIDQLLLDRKAARPFTPSEPGSISGMDSTFNSPGATPKARTPIIEAGTIPTAQSTP KRPSSATSARRGSSSSLRPPLPADHKQLIAAAQNAQGTTGTMGPPLAPASVYKNNIRP RTPGESVAPPASVRARSGRESQASTRRSSLSSFVSEVEARLDPNRDVLPQGIRPGTDP RMIQAITQTMIGEYLWKYTRKAVSGELSSSRHRRYFWVHPYTRTLYWSEQDPQHGTKH ESKAKSVAIEAVRVVTDDNPYPPGLHRKSLEVLTPGRRIRFTAATSQRHETWYNALSY LLLRTDDEDQSVEITAEDVDEFNPGMRSTSRQTGPRMSVSSYQSIRTNTSKPRAGSSL SVRPAATPGRVSPAPSSLQNSTLRQDQYRQSTSSRISSVFNATIRGSFASRRGRHTPV QADSMYDNSAEHDSAEDLRQVIERQERESDRLENVRACCDGKHDVGSLSRTSRFSPGL KRLSNSYSPHHYHHHHN TSTA_054270 MDIVDPPPSFSEYVLGLVQPAVLLAWSFKHYAVVVSETIFLRGQ ILAPIFRSRQVRDEAFGRFWINFSVARDEKGTPIVDKDVPDPSTLRGSSALIPDILSK ARGVVLDVGPGSGTQMPYFADLATKEKASTIYGAEPCVGLHTELRQRILANGLDSKYH ILSAAADKTQLVDALRREGVQFNDEGIFDTIVCIRVLCSVPNPAQTISDLYSLLQPGG QMLIVEHVINPFSLFGNRKGGNFLARVMQTVYTMLGWRFFIGDCDLLRDTETYLRNAA ERDGGWKSFDLETRFTWSTLPYISGVLVKRN TSTA_054280 MSLPSSSLNRATSVPASQNTAPVIKFRCLYTHDVRRKAKRWQDG YLKYHTFNKRAMVYDDAGNFIGDHHWRESHDIEDGDELELDKGVLIQVSECMETTQTD ISVLFDKRKASQESPQQKTPAPPLSSGISSASYARQSPLARPVRPFNPLKPLNDVLGI QRGPIGRSVTPQSPYEQCHRPQRPTQGERPAKRQKPTPTSDILQHKSTNGPVRSRPEV INLDNPSFVKPSPKAVPVSTTKRNTEKSTDTPKSLMANTQRPSVEQQSDREQSRSECQ ILTNNTRVFSGNTQSISEQTLGRNQSRPKAQIQTKGPKSSSGDARASPIKERAHRGQS RPVAQTPLTQNTPNGQSSSAGPQVLSENSNSIINSNVSINPLRMSNEKPRQKLMYRAL LPSKGQKEVQTNSNPTADEDSLVEFDLDFPLSASTLAILEESNLDTSTNADTLIVGSV INPDTTLGQIQIPKISRSQNSQTRLLNRIPTHASVYGPLASSAGVELQDDMGDDLAVL MASSPLEPDSEHEVLGQRAPVMRSNSDISHMVSESNSDPAENLARPSIAEGLSTTVIK RSERVFRRAHSDTNALRNLDNASNALSRPLATTGPPMNERNSTVRTESGPWTADALDF FDWWPPGRPMPA TSTA_054290 MSISSSNTRTNSLAFAKTDCHTCTAHRRQCDRKRPRCSTCSGKD ILCGGYPMQLTWSRSESFQRRADLSFVRGDDPFYLEPLSFQASLHARSGFSRSVSQRY QKLEFVSKGISDQKQTSASCKPRTRRRLSSCYEIEPRVPSKQRSISRDTTDHEITSRS PLFTSSSGGTVNNEHIDVSDPFSMGFPHDCFFSSLEHWSLPPIENIGLEQAETCLPSD TRHDLERAAAPSPMDQVDSTFIDPRQLMVLRSPVLYEDLYGKYEQLLNMYDQEFCVIP LSGDIPSNPLRCRIETCHRSRSLLHAILAVSCYHARRQEKSGYLNSEIDDHYKAAQNL YHKDLDSDLTRTVHLLDTTMILFLFRATQSAFSNWTTHISDAGKLLHICGGPEFWANN RRVQAQVALLLWWDATIALISRQGCMLPYSYFESLLALENNKYWSFFDLVGCPRDLII HLMQLTNLARENEQALSMRCTKFDPALVNNTRASISEWRNPSTPIDNNLPEEAMQQQR DRWNCTETWRYGLLIYITRVFLWNRESNPPNILVTYARLIFEHVQSCRRTSIVAKQAF FPLFLAGCETKDPFLRQSIREFCQYWDRRSGYNLFNSASSLLEEIWRERSVSSNDGVW WGSIIDKKQQACQLHGIQMRFCFG TSTA_054300 MACTEKKQDFIALAEALTNTPWCDDYEKMISGMFYDSFVPELVE GRLRARKLVKKYNDYLPDDATNESLNKDREIMLKEFIGRVGKGVCIDPPFRVDYGCNI IIGDEFYANFNMVILDCAIVKIGDRVKFGPNVSVFAAIHPTEVQARRETPDYSKEVTI GNDCWIGGHTVIMPGVTIGDGVTIGASSVVTRGIPSYSIALGSPARVIKRVESVDMR TSTA_054310 MQSMHRQFGKLMKRSADDSQVSVLLKDFDNADKLLTKIIESTKA WRDAWISILTYQGRLVQEFETVYAPIVGSSEGTKSRPAVETPKSTLERVNRLSQEFES LRTDLLVDVNAVDDRMIRPFQQAKDYLAPLKKTIKKREDKKLDYEHFQNRVDNSMKKA KRSDRDNAVLAKAEADLAKAKEEYSSADDNLRQHLPPLITAVFSLVPYFLASQIELQN ALLGHYYTVLHTYAQDENFQSPPPPMEDVIQVWKSEFLPIQQEIESFTTIANGKAVRG DDDRRNGSHSNGFGVRRPSYNHQISATSPSRNLAPPAPNLGTKPKIGQTLASSPSAAS SYLSVKSPSPAASTPEYASDHYSTPMTPGQASGGSRGDYFSRGRQPSSGSTTPGSMLS TIGKKKPPPPPPPRAKSAHQAVYVTALYDFGGQGEGDLVFREGDRIRVIKKTDSTDDW WEGELHGVQGSFPANYCR TSTA_054320 MDSEHQIQKFQALSLQRRATPANFLDLPYELRLQIYSYCIPQGY IVETAGNFIDRNTNAIKTKFAFNPRENQEIPKLQISQTNTLNPSLPRVCKQISEECLQ ILYGNNQFSVRIVPGGGESDMRDVMTEQNMMRIRHVKATAGLWPGYMTQTFFPFLDDE FWEAMTPHLKSFVLEANEPGTTCLDWITASPEVGGGRLAHRWDLFGRLLADFCDDVEI DISVGWETLPLARGYFPAPIVVKEMNKVQCVCGRGCTDYY TSTA_054330 MPSEPGFHRVRRAKSTPSVQKRRQAAVLKPLESEVDRYHATTAA SLAMAQAKNRMAHTSQKAIASSNENPAVMPSARALCRRPSLSSLTGEDIVSNPHSSSQ HVDDEPEDESSPFRVPPINELQEFGLNGDLDAPSSFRRLRKSRSMLTTKSMRNLRAAG VPANGSEADSPSLRRSTDSTTGPHRSLRHSLSFFNGASHTLRKMKSHGSLSTRKQHLS KFDTEPPVPPLPLPSGLVDRPAHKPMRTTVRTMREYSPDDGVYSNGNSGKHHSKARAF SINIRKRLKRVFGIPSYADGHSPASHSSYDSDLVFESPTLSDDTLRPSLYSTKSSESI ATSGSRVTSWTNSTAGNTVRTRHTTGRQSLSTIEEGYDFVDNSLPGGSEMYEFDEVGN RHGKIDSQRVYSALMRHIGETAARDGERIVSTGAVKGSPVTVERSPSIRTLRNHGSIH KVPSDLSMKTAQTSFMVERQPQSSQSHSSLRSQYYMAESPATPLRVEQTPHTSSGRSA RVNVRDSKPSFFPSGSPRLKTPSPYKASMTSIREIHDEPDIYTSRLNLVENDSEKPAD LSSPSIYSRTPSGAAASRDDLEDVPEEPFEPGMATIYDVQVPYKSPRRQKSPAVESGP RNSAEWRHWVMNKVDFDSPDLLSQREHHREDAECDGEAIPQEPPRSRDPSYTTTDRDV SLSQLRQIYASRQDVQEIEPKPYGQNNFSRPMSRQSMGSLRAAARLSANSRLQQSHEV EIHQFGIQRGYPADPFSNETREYPYLINNSPFNRQAIRTAREARLNRSMARRNTLKQA DDQPDAKAVQFRSIRGVSNNGQRNKENHMSPSFREKDKAIGLSKLEDLPNKTGGNRMV EDFLQNRRVTRQSSFTSESVFI TSTA_054340 MSCPITATKFIGTVSLGLLTGVSYSTAVITIPTLRALPTASHAA RALKEAKRRSRKHAFRLSNLTSTCLFFAWFVASKRKKHPYLIWVSLTSAISSFGIDFW FNRQLGLGGWLRSVVEDIDFPSLIVKKTSSGTTSPSTKQEEDLVVVEAGFNGETVERD MAREQSHQFVRSLLSGAALSMAIVGLWGDGA TSTA_054350 MSVNDMLDKIAMVMSGQTSEHFFTKGTKSAEVNMAPGYDRHGKP SSVTNPASLDGPITIIHIDGRMLEGGGQLVRNVVALSALTGLPITIDNIRGNRQGKTG LKGSHAAAIGFIAGICQGEVVDGHVGSQRMTFYPRKREFYHSHDRSEPNQISPAPQQT KLFNSDVPPIKPEYKIHQHTAGSISLVFQALYPYLVYASSLSSMDTKEPVRVHITGGT NVTFSPSFDYINQVLVPNLRTLGLPKLNVRLHKRGWSTGPKDLGSVTFDVYPLEPLES HWFPILKLHEYRRTEVTWVNITILAPDINIQDREAHGSRHDRRKIKHEKHHTYDSDMY SHWTIREYLEDQCEKSLRKALKGLNNKRPSGDGDESTSEPTIQRHLSEATHHHTHIYI LLVAHMSNGLILGTDALFDGYEKRDSRKNRNRDNSDNTIATLDNLVDSCVGRLVEELD GSKRDDGNGNAGYHPVVDVHMRDQLVIFEALGLVNRSVERNESSVGSDVEDQRYWSLH TRTARWVCEQILGQDIWNA TSTA_054360 MYSCSNYPRGCRGRCNIQGGKCSDCTALNLRRPRTMASPFAQNQ GEFRRLAQMTTCANPEAPDKNNQV TSTA_054370 MPSAYVPGFRVIQQLFREVKRETATESRENRIKTIKTPASIDPF ARTERQLYLLKGNVERLLNYHLTTQGDSSVSRIEEIYPEDEEE TSTA_054380 MHLTCSPIPEHLVNEFHVVQQYFQRGNFKLGFEARQKWLNLIGY ESWTFTEKQLFLINLNIERLINITLAARSQGPTPPSKENIRDSLRHHNG TSTA_054390 MTNPSAHEECLSAYILTFNCARNPIHQDYFAAHLFDVLPQQQYN SAPPEFLVLSLQEVAPIYSAFLGGSFLIPYLSAFQKAVDIATRNRWPCSTAEDDRVRY VNVVTHHCGLTALLVFVRSDIVDKVVWTDTAEVGVGLLEMGNKGAVGARLGYVTSKSS GRSETMELTFVAAHIAPMEDAYERRNQDWRSIVERLVFTRSAGDGDRGDNGYDEDTHL LQQDTKTEESGMFSPRSHFFFAGDLNYRTSDVPPIPGDHTRYPQPHATPNDAIHHTKL LKNDQLTRELLAKRTLHGLSEAPITFPPTYKYSEEAQKAALSGEQSQESSNGWKWANK RWPSDVQVQGYNALPLFPTSDHRAVALAVTIPLQPITPPASSQLSGVDDVRLHPPFPI DPTWRTRRENARIKEVVVGGLAYLALTWEGNGILLASVAGMFGGWIVLRSLLLGEVSG GLEI TSTA_054410 MFQFSSLVQKAQSFIDPANFNLPTISSSDRNPSKASLFRQQFRL PDSQNPLQEITAELILAINRGPASNNNTQGDRADQPGNRYTGRLHLSERFICFSTQPT SFVPSASYNASTVFTGQTHGTGPSGNGFTLPLCSIRRVERLNSLNHIFSLALTTWNGA LSPNAVKNPNFVPQKFTIQLVGSRQACERFCDGLRKGLRESIKEIESLRSVVLDEYSE YLLTLPPKGKEDPDTPARQPPDAGLGMVFRYPGDARKLRDRSKMRLWAEYFRENGRNA TLIRQPTFHKLIRVGLPNRLRGEIWELTSGSLYLRLRSPKHYEETLAKFSGRESLAID EIEKDLNRSLPEYPGFQSEEGIGRLRRVLTAYSWTNESVGYCQAMNIVVAALLIYMSE SQAFFLLSVLCDRLLPGYYSTTMYGTLLDQKVFESLVEKTMPVLWDHLVKSDVQLSVV SLPWFLSLYINSMPLVFAFRVLDVFFLEGPKVLFQVGLAILRINGEELLDVQDDGSFI SILKSYFSRLDESAHPRSENPKLRAITRFQELMVVAFKEFSGITHSSITEQREKHKDA VLENIENFAKRTSIRNLGPESKKLSMEDLGAIYDRFYEVLYDYEGKQRLLEQEKKKLE KKKVERYSVLGPPVDAEVGRVGLGPSSTHMDYDAFRQFLANTARWAIADSPSSSRHYS VSEKDRNNGNGSVRGRSASHSKWADNVEPADHEFMRRLYRKWNADDSEGLSLQNVVVG LAKLKGTRDIMNSINYFFDLYDDNGDGQVDREGILRISEALLFLSRRGFDGALTPSET MENLADAGLAAEQRDKVKMSVDERFLGSVSSFIRRCFEYADPSHPQNQKQNDQPATEV EGAASKLDAFSIGDDEDEEDLIEVDEKNSSPPHTPISTRDTSLPVNSPSGRSEAANAA LDPNNPLHITLPTFRMVVLADELLEQFFETFFPQSFHLSDRPHPASLTASTSLSSNLT TFSNIGAAASRSTLGAISGATVAGASGGIVPPNKGLRGVLDNIVSDGMRMAAEVRKKM EEAQREMERNALNRNNNEEEDDEEDDDGRGLASSAVMVGGISSWGAGAYGIDPERRSV RDRDRDLLEGAEAASVLENQPSSEGNARQHTPSPAPQSKLPQDGGVSKVEFNA TSTA_054420 MLFRSLVAASALSLSASAFLVVPEVQQPNDLPVTHALELEDAQS EKFQLLCDDCPFPETVSDGIVRWTENTKSSLELNFHAENGNLYVNDAHIFPVTFNSVE TVKAVQRRESDGKETEPLTLGFALMALPLAPPQDDLELLQIRFSPLDIDGHPAPLDTV SITAIRTTAGELFILRAEVELPAGEDDHASWKECNGDTECLRHLIFDRIRALVQAAQA HMMNLKSKLGFGKGCHGKSLPGHRKAGHHGPGFGEVEEGHQPPHPHHRHRHMHGLRRT LFAIIRFVIVPAVLGIFAGLTASAIGMLVGQAVVFLWMRYRRGSKNIRSTSSLEQGTE SEKAILIMEEEVSNEEDLPPYNDEHHALDAK TSTA_054430 MALPKSDYLSNIWKDDLFKNKVVFCTGGGGTICSAQVRALVHLG ANACIVGRNVAKTEKMAQSIATARPSAKVIGVGATDVRNFDSLKAAVDRCVSELGGID FVIAGAAGNFLASINQLSVNGFKTVMDIDVLGSYNTAKATLPYLQESAAKHKVDSKTL QPSSLGTGGRIIFVSATMHYTGMIFQTHVSVAKAGVDALSNNIALEFGPLGITSNIIA PGPIANTEGVERLLPSDSKEESWKSQPLGRYGSIRDIADATVYLFSDAGSYVNGHALV VDGASWRMPAASIGANLKYPDFLLSGDIVANVKGQKKSKL TSTA_054440 MSQYQPGQQAYYGQNSNNANGSTPHSSQYAPYSPPADQVQPLRR TPSYIAGDDSLYASGAPNETIQAGQVFSPVAGTYNAFANTVQANLGSRHSQFSVGSSQ RSNPSRASSHGSSATSTYHTQSYSSSVQTPTQSNIAYNPQQYARPHSLSQPPSMSYNP QAYTSVNSTAGQTHQTYNPAAYQPNPGTGYTAPNILRQPSARYYSQQASYTMPSPQSQ QPPPPPPRGTDHPYGPRSPQLSDSSPNPTYASYSYGSHLHQQSQTSPVYASNQSYTSA SPQSLPSRQNSHASQAHGYFPQVPSSSSEPLLEEQPPAPPVHKRSSLSGTSFRASEPS LVYQSSPPSLSPRRTDTLTRHPQSRPLPGPPPSDTEVAGSYNGAENTYEDLMKEVEAA VQGSSQSMGRNGLGRIPSLGPQPSGEEYRPLFSNGTRQSPDSNHTHTNGSVATGTGQY VNYDAYSDESDAEAAAGIAMMQMAEEEERAEAARQRSRGATISSLFPPYASPTAIPNA ETSQQEASSDSDYAHHDLALYGGGYEGQMHYGDTPNLDAETNLAATNLYPPDDNGHMP AFHFTTPARVDTGGTGGLSEPSASGRRLSFDYGDEDADPVLDGDIPSGSQSPEKGEPA DLFYHPGMRPLPPAPVEPANNADVLAHLIPAGTYRNSQYGEQETHDQYRPLPQPTSSD SSGLSLPNPYQVPRSTSLSSHSSTPRTDAPIRSKTDADRVKYKQQQEFLRQQAADMGT LGFNASPEPSAIALDLPTIPAGRRKKFNPAKLSSEQFRKCTEPWALSAIVAWVKDLSE DETDLKEQAVVDAIVALFTHKVPTMNTADAETLGSSVAKNMLSEGALIVDEEWVKFSD KSLSGVLFQITGSGCYSPRLHAQETETYGRCYSHHCMRTLKKINLRAQAMEPQKKAQD WVTFYNVPKEVWESHPRKEIDRQNNLHEIVTTEDAFIEQLDVLRVLYRDQLATMQPSI ISPKRQEKFLRDVFGKVDAVKKVNEDYLLAQLKYRQKEQGPFIAGFSDIFREWIRKAK GVYIDYAATFPNANYMVRKEAERNAVFRQFLNQARDNKLSNRLSWDTYLKAPITRIQR YTLLLSTVYKNMPKDSEEKTNLALAIEEIKVVALECDNKVGEMTKKVDLAELSAKLQL RPGMSKEVELNLEHLGREIMFQGDLQRPGTRTRFNLVDTHAILFDHYLVLAKTVQMRD TTKTGKFEVYDVSKLPIPMDLLVLESTNDDPVIKSSVRGVSTITPPTAAAAAARGAGP GTLATAGTANSAASFVASTSLENSKDDKILYPFKVKHLGKMPTYTLFAPSAQNRQDWC QKITEAKTRHASSLFAQNAEPFRLRVLADAAFTYSEAPGAKTVMIKGTPLDRAIREVE ARYASIGIRPNPVCRAMVNCATVFQQPSGQLICAIGTDIGVFMSRYDDPRGWQKAISI RQVTQISVFEDFNLLLLIAEKSLIAYHLDVVCPPSGAPSQTSQHDSARRAPQKISGNR EVGFFSVGRMKDRILVFYKKRDGISSTFKVIEPVLHKSTTTRSRFLGRRGQTEFFREY DEFYIPAESYNINLFHSSLAISTQRGIEVLTLDKKQPWSLPILSATAPEAQPYLTSIG NRIKDLRPLGMFRLSDAEFLLAYSECAVYVNKLGDVSRSVVMEFVGRAHTACLHGKFL ILLNDDFVEVRNAMNGRLRQVIPGRNVVCLDDGGNISAVDTNNTAHESNFTGANGHSS TGGQARNGRTVKICMQHPEYERSQIVVELIANEEQND TSTA_054450 MPKRGKKQQGKNPKRRRSGQAPSQVTQSESASGSAEVQELEDVR GQPAVWANDRPSLCETLPWFQSHQGGVYQTEKVCHGFFLGGHGGPRDYIDDEIVITRV GGDCESNGNGAVTQMNDQSWNTRAVQSLRASQESGVAVGLIISKGNQPIKRKLPHELN VMGWFRVVEIWFENTNGKSGARVRFQKLDLEEPSWWAKRGSEDPPPISQRNLRLPESK ICKGCLRSSKLVYEQGWMCLQPKSSCFLSGLLDDGTAPTDLTYDVNFLSSREPPDDHI KPQFSLVPNTLAELERFPDAWSQKFASRGVVCPECHKCIARRYWKGWMCHDPLDDNVI TESAAPCQWKKILDVPTIPLKAVQEDLNVRSINKPVDKEWRTHIQQEAHSTDAYHRMT YIIGDVGKIVHFRANESILNKPKGPDDLFQRLQQADLGLYRYSINTRAGTRQLTKSFA ANFGMPYKYVLSVRSKGFDEAPAEVILGLDRLKWATDQVAGKEASGPNELLALGYLEK MAMGFHDDGEDSLGPTIATLSLGANATMKFRLKDQYFRGSSRSHVLVADDAVLPGCEN YEERKELKAQRNAGELTKDEYSKHRMRLAKAVTKNEGSSLITLDLRHGDMVVMHGSLL QKYYEHSVESKGKLRFALTSRHVKPEMVEEGDRVKGEYNPAPDQIYNGE TSTA_054460 MVFFPPRSFPPLPLIPDDVAICDFMLDERYGRFSLSESQNPFTC GLSGKSYSWLEVRNRVDYLSRALSKEFNWHPNRGTEWDKVAACFLLNTIDNLSLFWAI HRLCGVATPANAAYSAAELTYQLKDSKATALFTCVPLLETALTAAKQAGIPQNRIYLV DLPLEFVGNAKAPPQFKTLEQLIETGKSLPKVEPLKWGPGQGVRTTAFVCYSSGTSGL PKGVMISHRNVIANSIQICTFEGTFRPTTDTPYHEVVLCLLPQSHIYALVYMCHAVPY RGDGVIVLPKFDINTFLNSIQRFRINILFLVPPIIITMLRNQELMKKYDLSSVTAIFT GAAPLGAETAKELHSIFPDWAIRQGYGLTETATVVCATTNNDIWFGSSGNFLPGVEAR LVSPDGNEILEYDTPGELVIRSPSVVLGYLHNEKATKETFRDGWMFTGDEAVIRVGPK GTEHMFIVDRIKELIKVKGLQVAPAELEAHLLEHPLVADCAVIAVPDEAAGEVPKAFV VKSPSATQDDTSIIQAIKKHVEDHKARHKWLKGGVQFLEVIPKSPSGKILRRLLRDQE REARKRAGSKL TSTA_054470 MSASNLHPEGENEEDLYLDEADAEEIIQADEDHPMDSDDEDQTM QEEITIQNDSVAHFDHHSDSVFCIAQHPVHQSIVITGSGDDTAYIFDTTPSDERPLLP QSYESNPQPKTERKSLEPIKKLDGHTDSVNAVAFTGPKGEYALTAGLDGRLRVYRDTT AQKTGLMWEFVAEAQEVEEINWLAVCPAQEGQEDSYNIVAIGGNDGSAWVFKIDHTDS TQPISIIQTFFQHTMSCTAGAWTPDGKLLATVSEDGSFYVNDVFGQAAAAGVAYSAGT TAVVGYTAEDQRFAVEGGLYSIAISPSGAIAAVGGAEGHIKVVGLPRLQAPAAKSKSK AAPAATGSQASGAGALLASLQAQSDSVESLSFSSLPLTMLAAGSVDGSICLFDVAHRF AVRRHIREAHEGATVVKVEFLQQPAQAGTPLVRSGLPGPAANAVAAHAAGQGRPWILT SAGLDGVVRRWDARGGTAAAGQGLLKEWRGHLGLVETEGGEQSGGILGFVQGNAGGKR VVTAGDDGVVLVFEE TSTA_054480 MSSRNPTGFDLKEFKAAAHPRSVWAKKDPWARHEAWRYTGPFSR WNRFKGLFPGLGTATVLFAGYLAYEQVFLSNDHGHGHEESHH TSTA_054490 MPPPIGRYGPSALNTPFGHLQQAHQLQQQQTQQQSQSQHHATHT QAALPPPSLGGHPGFSAVASSSTANPFGLASTNGVGAFSADIANGTGLASHAAQMGFV RGAQMQQQQLHQAQDGRLLLESKANPIKTRIRDVWKHNLAQEMAVLRRLVERYPYISM DTEFPGIVARPMGAFTTKADYHYQTLRCNVDLLKMIQLGVTLFSPEGELPPATPTEVN GQGYASNYGPAPCTWQFNFRFSLEDDMYAQDSTSMLAKAGIDFSMHEKNGIDPVEFGA LLMSSGLVLLDDVHWISFHSGYDFGYLMKIMLCKPLPEDEEEFHKLLKIFFPSLYDIK YLMKHAGRNQTANDSPLTPAALQVINNLGQKSGLQDIADELGVKRVGIAHQAGSDSLV TGEIYWKMRQIVFNGTIDEAKYSGQVWGLNGQLPAMTTYYAQQTPNLNGATIYSTAGT PSTPNNTSTNAGGSSQTPSHIGAGALTPGGGGGVFGAFQMGKS TSTA_054500 MAREFLHLRPNDISGMIFVDVDQELNTVEGLWPAPYVDSVVDGL DVFDVLGITSGHRLLADDEWRELMSVKKREKEDEEKEHARVVVAEAARYIESGSVLTL KKQLDRSQRNAPLLGDRPVSVLKEDAESSECHGGREKEIPKMIETYDTLDEKWQRGLL GLSSKSKWVCTEKSGHNIHSTKPELIVQELKWVLENLNEW TSTA_054510 MVDRLKQLTFQVSATAPPPHPLDPLSTSEIDTTVALIREKYAPI NFNVVSLYEPRKAEMLAWLQNPEKAPRPARCADVVCIAPQGKVYDGIVDLNKKEIISW KYTPGVQPIITMEELQAVEHVVRKDPKVIEQCGILGIPPEDMHKVYCDPWTIGYDERF GSNVRLQQALMYYRPHVDDSQYGYPLDFCPIYNAETKSIIHIDIPPVRRPLSKAAPNN YHVKSVEEAGGYRTDIKPIHITQPEGVSFKIEGRVIDWQKWNIHVGFNYREGIVLNNI TFNDKGTIRPIMYRLSLAEMVVPYGNPEHPHQRKHAFDLGEYGGGYMTNSLSLGCDCK GAIHYMDAAFVNRAGASTVIKNAICIHEEDAGILFKHTDFRDDSTIVTRGRKLIISHI FTAANYEYCVYWIFHQDGTIQLDIKLTGILNTYSINPGEDTLGWGTEVYPGVNAHNHQ HLFCLRVDPQIDGQDNTVFQVDAVQGPGEPGSVENKYGNAFYAKKTKFTTPKEAMSDY NGATSRTWEIANTNKLNPHSKKPVSYKLVSREVPPLLPKAGGLVWKRAGFARHAVHVT KYEDDQVHPAGRHVPQTSGEPSMGLPMWIEQAGDNCSIDNTDIVLWHTFGITHFPSPE DFPVMPAEPMTLLLRPRNFFDRNPVLDVPPSYARAPSQVAAGASGCGCNSKPKGDGSS VLV TSTA_054520 MTSDIDSYTQYGLYLDPTTKSISLPSTEGQTPAQIEAINAELQQ LNTLHRALIGLDSPNVPPPPLPINPKRSAQITKLRDTANTAFRKSNYAEAIKLYGYAI DMAISRPAWEPVNLVRDELSGLYANRAQAYMSQQSWPEGWIDSKCSAECKPIGNVKAW WRGGKCLVEMGRWDEARAWVEQALGIEGPASEGGKELVALLAEVEEGSKRA TSTA_054530 MPLPNLRMPSTSPARIKNLIHGAQAVLIFFAWVLTIAVFTKSGG IDGRTGWYFGICWLSIPALIYLVAVPTFPRARRFGNVYAFATVDALMIILWFSGWVAV ASYVAEGKSKGEKDQKDAKDKKSGCDAFAYGSASKCNVSTATVIFGVVIFLLFISTAY FSFRNVAYFRRTGTMPDAVSDPTFDAQTKAAFSSNPAHDFEEEDELRPGRGGGLGGEG PSHYGQDRDEDYALLQQSEADELGGSHHGGVQGAYDPTSTHGGSVMHDYDTSYNSGYT SRFEENTTGGYRDTSYHSATEYGR TSTA_054540 MASPPWYRIPGSHKTGRTAKLTISLLFLPLDTFIFFVAAFLNHF HFFHHQQRHNRQLLLQNPRFYPKTILITGINTPHGLKLARQFHYGGHRVIGTDVGLSY FRSGGSMSNTIFAYYSLSGSKSQYVSNLTDIIHREKVDLWIPYSSDITPVEDAMAKGT IESRTSCKCLHLNVDYATLFGQHDAFLQHAEERGLPVVEKHTVHSRDSVHRILNRSPN KAYLIHKMSKGSLRDAVALPKSTPSHTYAQVSIIAISKDNPWVLKQRARQGNYWADLV LVRGRVKAIKIRSSRPQKDSRIEPGLYEIIRRLMDNFAEKAGPQISGHLSIKVMVDEE FAPNSVCYTVYIGGCRQGSSAVSALLDDPPSNLYTSYLEMLSPEANESVDSSIEPQAY NKSGRPQKESNYSAALNRIHIPISPVVYAAGRLWEQTQQLQHSALSYVPFGHRLQKYT PLEGSGFSVFDPLPWWWHYHVSQPVNCMLSLFDSDVETQ TSTA_054550 MVTKTWNVGLIGYGFSAKIFHLPFIQSVPELKLYAIVQRNPTPE NDAEKDHPGIKSYRSADELVKDANVDVIVITTPPDGHFELTKKALEAGKHVVVEKPFV PTYKEAEELVAVAQKNNKLLAVYQNRRYDADYVTLSKLVKNGSLGRVVEYETHFDRHR PEIAPNSTAWKLQGVPYTGAIYDLGAHLLDQAVHLFGLPQRITGFVGSQRANNPTGLE DSFTALLHYDKGLLVTAKAGVVSPEEKQLRFWVRGDKGSFRKFHVDIQEEQLKAGLRP GDSGYGLEPSDRYGVLTTIDQNGKPSAEKVPTVEGPTWVEYYRKLARALAGESELPAS GYEASQVIRLIELARESSKSGRTLDV TSTA_054560 MRLFDPEAWHFDIDKWLNPLVPCPPWHILPYPISYFLGHRKGPL KPIGNLIMTAWAFLGIFLGLIVIELVTKQVSIFQQHHAPIIIASFGAGSVLQFYSIES PLAQPRNAVIGQFIASAVGVGICKLFALSPQFESIRWIGGALSCAIATALMALTKTVH PPAGATALLAVVSDDSLALGWLLIPMMLLGSVLMLIVALLINNFQRKFPQYWWSPEDL PPSGTRGKVTEDEPGDAQGADLEKNVSLTSPSHSEGSLDQPRIIIRRGTVHIPSHLYL TPEERLFLEELSDRI TSTA_054570 MVSASKAARQAKRAADGGDKKVSKLAAKKAAKAKAEGDETPELQ DGDGDAIPTGDEPATTADKMKEVDKLTAQMDKHGLSDRVTTGVLASMASSRDVKITSA SLVFHGKVLFNDSTLELNYARRYGLLGENGCGKSTLLKAIDKREFPIPDHIDIYLLNE GAPPSDLGALEWVVKEAENEMERMEKLAEDILEKNGPEDPVLEDLYERMENMDPSTFH TRASLILTGLGFNSITIHKKTKDMSGGWRMRVALAKALFVKPSLLLLDDPTAHLDLEA CVWLEEYLKKWERTLVLVSHSMDFLNGVCTNMIDMRMKQLLYYGGNYDSYHKTRSEQE TNQMKAYNKQQEEIAHIKKFIASAGTYANLVRQAKSRQKILDKMEADGFIQPVIPDRV FSFRFADVEKLPPPVLSFDDVTFSYSGKPEDTLYENLDLGVDMDSRTALVGPNGVGKS TLLRLMTGKLSPNSGRVTRHTHLKLGLYSQHSAEQLDLTKSALEFVRDKFSETSQDYQ YWRQQLGRYGLSGESQTALMGTLSEGQKSRIVFALLAIEAPNMLLLDEPTNGLDIPTI DSLADAINAFNGGVVVVSHDFRLLDKIAKDILVCEHKTVRRWDGSIGEYKNYLRKKMV AAGAV TSTA_054580 MGNQQSNIGGGGPGGDGNDDKSKKDKPKYEPPPQPTTRLGRKKR KAAGPNAAAKLPTILPTARCKLRYLRMQRIHDHLLLEEEYVENQERIRKAKAQATTQP SATGDLDALDRNADERGRVDDMRGSPMGVGNLEEMIDDDHAIVSSATGPEYYVSIMSF VDKDLLEPGASILLHHKSVSVVGVLTDDTDPLVSVMKLDKAPTESYADIGGLESQIQE VREAVELPLLHPELYEEMGIKPPKGVILYGSPGTGKTLLAKAVANQTSATFLRIVGSE LIQKYLGDGPRLVRQIFQVAAEHAPSIVFIDEIDAIGTKRYDSTSGGEREIQRTMLEL LNQLDGFDDRGDVKVIMATNKIESLDPALIRPGRIDRKILFENPDQNTKRKIFLLHTG KMSLSDDVDLEEFISQKDDLSGADIKAICSEAGLMALRERRMRVQMEDFRTARERVLK TKNESEPEGLYL TSTA_054590 MKFETKVWHPNVSSQTGAICLDTLSTAWSPVLTIKSALLSLQSL LSTPEPKDPQDAEVANMLLRKPKEFERVAREWAINYAGAPKKQVAEGSGGATDASIRE QERKAKETEERDALAAYDGYNKELVDRFCSMGFDIDRVVAAFKHVGIDRNNGADYELE AEYVGDVTAYLLGED TSTA_054590 MKFETKVWHPNVSSQTGAICLDTLSTAWSPVLTIKSALLSLQSL LSTPEPKDPQDAEVANMLLRKPKEFERVAREWAINYAGAPKKQVAEGSGGATDASIRE QERKAKETEERDALAAYDGYNKELVDRFCSMGFDIDRVVAAFKHVGIDRNNGADYELE AEYVGDVTAYLLGED TSTA_054590 MKFETKVWHPNVSSQTGAICLDTLSTAWSPVLTIKSALLSLQSL LSTPEPKDPQDAEVANMLLRKPKEFERVAREWAINYAGAPKKQVAEGSGGATDASIRE QERKAKETEERDALAAYDGYNKELVDRFCSMGFDIDRVVAAFKHVGIDRNNGADYELE AEYVGDVTAYLLGEL TSTA_054600 MIEDEIYKTSTQFRIWSFTKESLKSLRANTNAVACEHLRAAQNR AREVPRSATPSTSGNLTPNPSDNEAKAEAALGKDVECLTAEEELMFVSYYCEQALELG DNYKPPLPTMVRATAIQYLRRFYLTNSVMTYHPKTIMPCALFLATKTDNYYLSLNEFA KSVPKIDRPADVIAPEYTLTQALRYTFDVRHPFRGLEGGIMELQAIAQGEGRPGPLNT GQTPEIMKQAINSIEPIPGSDKGSISSRISLAHDNARDILKKAAQMTDAYFFYTPSQI WLSALFIVDKPLAQFYLDAKVGPDVRSGVVDSGNPLEMIRMKLLTTLSDCVSLLESYT PFSANSENLKELKAIAKKVYQCQMIEKLDPAAPGSGQKRSTGISGAEGASESELERVA KKRRLERERREKEGKDIFGGELVARRKKAG TSTA_054610 MDDFDEEAFKKFFPRSFGKQEKKADLSTQFERSKRADIKAKLDD EGAGATGSQALTDDGGDKDKKEEVERDDSDDDDDDSDDDEDEDEFPVSHELVFKTHER PVTTLSVDLPGSRFVSGSADCTLKFHDFASMTPSTLRAFKSVDPSAKKNSAAQETHTV HYVAFNPISPSQMLVIPATPQPKILDRDGNVLTEFVKGDMYLRDMHNTKGHVSEVTSG AWSPTDYNLCATAGTDSTVRIWDVNVGRSQKEVIAYKSRAAGSAGRTKITAVAWASPR QGGPNVLVATGLDGSLVMWSGDGPYTRPAAEVRDAHTRDTWTSGLDVSADGRLVVTRG GDDTIKLWDTRKFKQPITAVTHQSTSSHYPTSNIKFSPTGTNIITGSETGHLYILNPA TLKPELVSPVTPGSPVITVLWHEKLNQILTGSANAETHVLYNPTMSSKGAVLIMSKAP KRRHIDDNPTLTTDITEGFSGDSMVVGANGLPQAAANSWSVRHPTVGLTVSGRPRDPR RPHLPAQTPFAKSQPDEKHIRESIPLSSMRDEDPREALLKYAEKAEKDPIFTKAWQKT QPKTIYAEISDEEEERPEKKKIRR TSTA_054620 MATAVPTTSALPVPQTSGSDFTFDILSFFFGSLDDGDEEGRHQR WSSFIGIVVAICGNILISFALNIQRYAHVRIEQEAEGERVRMRSRRHLSTSTYGSVEA PYRDEPDYVDNFQDQDGQHTQRSPNGHYQPYTDHEVRGGDSDNMEHSVLSDHTLRPDD KSSVHGDRASYLHSPYWWAGIVLMTLGEMGNFLAYGFAPASIVSPLGVVALISNCIIA PFLLKEKFRQRDLWGVLIAIAGAVVVVLSAETSETKIGPHDIWVMITKWEFELYMGIT AALIIILMYSSEKYGGRTILIDLGLVGLFGGYTALSTKGVASLLSFTLWHVITFPISY LLIAVLVISALMQVRYINRALQRFDSTQVIPTQFVLFTLSVIIGSAVLYRDFESATLS RSLKFVGGCGLTFLGVYFITSGRVRHDDDISYGDEEDEEAGVGFLNEERYRDSIDEPS LEPRPRRPNNLGFDGSSPIRRDSMRSAFSERESLRTPKGLLSPVGSDHEGSLSENSLA QELTTPSPPLRSHSLTDNPWASPSPADTTQTPFQQESIPATPPSRQPHIEQTPPLLLR FPAAPTHDENPSSTNIESHNQSLQLPGDIKTPAGRRRSTPRTPQSNQRNSVTLRLTPS PLIAPLSSTLSAVVADSLLRGEGNSVKHHRSKSAKVRRLAAAPLMHDGLHSDGQLVDH DADVMPGGHNIASSAVTVTPGSSRLNSDTDVRSPNTWQNNDSKSKNDETTINRIRSFS DSINGHLAWLGEALLGAKRLSKPMLPTQANEDGPASQNAES TSTA_054630 MYRDRVPYQRHTHMVHYLVMGRYLMRCQEFRKRCIYYYSKAVDY TGSNIVADVAYNLFTSYSASGSAQYEIMIWLAALGGANPISSSGSTIATPTIGGITWN LYSRPNGATTVYGFAASSEQSSYSGNLLDFFKYLEDYEGFSSSQYLLSVQAGTEPFTG PNAELYSTYCYCGVI TSTA_054640 MAKMPNMAIISLCVLLTLGTAWANSPPPYKNPRLPVEQRVADLL GRMTIEDKMAQLMQGDITNWMNQTTGTFNSSGLVANMEEKAGSFYVGYPISWEWLTSN IQKGQDYLVHNTTLGIPALVQTEGIHGFLIGNATIFNSPIGYGSSWNRDLVRKMGQII GQEARALGVTQLFAPLADLARELRYGRVEETNSEDPYLAGEIAYGYVKGLQSQNVSAT VKHYLAYSNPEQGLNTGPVHGGQRELRTTWAPPFKRAIIDAGAWSIMSAYHSYDGIPA VADYQTLTTLLRDEWGYDYWVTSDAGATDRLCTAFRLCQASPIDSEAVTLAVLPAGND VEMGGGSFNFKTIPQLVTSGKLNVSTVDTAVSRLLRAKFEMGLFENPYVGASADKWNE LIHTPENVQVARELDRESIVLLENHNNTLPLKKSGSIAVIGPMAHGYMNYGDYVVYQS QYRGVTPLDGIKSAVGDKATINYAQGCERWSNDQSGFDEAIKAAKKSDVAIVVVGTWS RDQQELWQGFNATTGEHVDLNELGLVGAQAPLVKAIADTGVPTVVVFSSGKPITETWI SNSTAALVQQFYPSEEGGNALADVLFGDYNPSGKLSVSFPRSVGTLPIYYDYLNSGRS IGDSGYITQNDTIVFGHQYVIGSPLPWYEFGYGKSYSTFKYGTVNLDKTNATATDIVI VSIDVTNEDISRNATEVIQVYVVDVYASVVVPNRQLKGFEKVVFGPGETKTVLMSLDV SDLGLWNVNMQYVVEAGAFDVLVGSSSLDIRGNATFYVT TSTA_054650 MDGAQDSLSQPSGIKKVFSRQSRQDPEINSSSNRSNGRSSLEST PERRPATSGDQGQEDSSSRLSKLINNRRKKKNATNDKRAGSIASADSILESSTNSAGM SLRNEPSVSGSSPNGSNNALARSAGSVNLLTDDSEPDQIPPLLSRDSHAEYLTASSPL ITTTAVDEDNDERKDASLLHSASSSRRHSISVPQASSAFLGTTISGPDAEISKKRSVS PARRLKDAFKPSQSKKSPSVSPDRESSQSTGSQRKNGQNLLGGPSRSNSLSERRSFKR SSSPPPPLPRTLSTNFIETSNPKVVDQADRLDAPSTTDASKVVTTVTPPTPTNISGEF STGLTESPETVKSESADFPPGTVVSPSGHMISHRRVRSAGTVTNPPSKLSHMTSLTPT AEEPRGTSPRSPSAAQGGFFSSVFSAAQNAASTITNTLGAQNKNRTTSQAADLGKNAT ESANDASEDQTEATRQSVAEGIEQQKQKELAINTLGSGDLDFSHLDMDLTAGGIVTTK DGVVITQPDLPAESRTSAIAAKRDRESARVEDSRAARAVSLAYEKSSDPPSTTVASTS DEASDVKVRPSSMPFEGSGTQTPPGGSIFDGDTGGSIKRTGSVRSRLARRHRGSSGAT GSTIGAIGLTVGSLGLPNNNSSVPRLTGFAVASKKRNRDYHQLFRSVPEDDFLIEDYS CALQRDIILAGRIYISEGHICFSSNILGWVTTLVISFDEIVAIEKENTAMVIPNAIAI QTLHARHTFRSLLSREATYDLMVNIWKINHPSLRSYLNGMRIDDGLGDKTEKANESDV ASEVADEDEIYDEDEDNDGDSLVDGDVSINGSESVGTGKQLSRENTGLTSQRAESTTA LQTADGKSNDKGVTNSTDGNGFPGPGTHPPTEYNDPAGRYDKVVKEDVIPAPLGKVYN LMLGPASGAFVSKFLVEDEKCTELQFEDDKKGLNEPSKTRQYSYIKPLNGAIGPKQTK CISTEQLDVLDLEKAVLATLTTQTPDVPSGNVFSVKTKYLLTWAPNNHTRFLMTCAIE WTGKSWLKGPIEKGAIDGQMTYGNDLVKSLKSAVNTRPAGTTKGAKSSKSRRKQSSAA ATKSADVAAAVSAALDANKRDSQGWGIFEPIHSLLGPVISIFKPLWNAPVAIAIIAFL LFTLYTRGPNSSTTSSDLNFLRHASSQRLAAYEEIWRAEENELWRWLEDRVGMEGINF PNNNRSPNADAKARKRNLKRHGQSLASKIDEEQISQREMDYAIQVVRDRLDRLEEIVS THQDRSRPSQT TSTA_054660 MESVPLTLAHTHARNAMLETRKSNPVAASEEHDLAAGEFATASQ HCLDAYALRTLKLLEEEHKRLAILLRSQHENPASVSSPDPSIATPSQSRTKESQADVS TPSKTNPISTSISAATNELLQHPPRLPGHHRQLQRDTSSIASNLASARGIPSYPRRAA PASPTLSAQPAGGQLSDSPSRAKTSEGRLRERAGKFPSAPRQPWTSPSISPTEITLRQ IVPADAHEPQQNRGHFPTTDETFQKFYSRFEGLISKLSAPLAFAGLPLGTDNSDPAKQ KSPADTKIDRQNAVSQRRTESAEPDINSIFSRAALRAVREANGGMPSSGAESFYVVPT TGGTVSYAGILSRAEKETRRGSVDDGDEDFVDARETPPSPEMRQSLSGSRTRLTRAGT EKSTKTMEELRMENEALKQLSDQLSKRLHMWEVNAQSSSMALQQSLKAMHHQQNTSSP TASSAMPHPSDDAVDNHEQRIRELEAIIQRSEKERDKVVRENEKLKSVLGRYRDRWEK LKEGAKTRRNAAESSSAAAGESPVTTPTLQQGEDREPSGLPDEQNRSSGNDEDAA TSTA_054670 MDRIERPEDHINNGRSSLLQPPSQESFPSLPEDPGSPQQQPSTR PSLRLRRSSRQPSIRLRRLSSASSFTTPQETPTPGTTEQFPQLTPQTTEHQRLAEQSQ DDSWEGNRRRSNSEPRPGRYSAPPIIVTSGLPISKQHPMSPVKEETGRPSMDLVTAER IAALRGLAPTNSDEAEETREEEPPREQAPSPRPGMLRRASLAAFSAMGRNRASTVAGA DPTRRSLPPDEYDSRIVDFLDVIDPEVSTLSTLTNVQNSLFIPDLGPFLNRAPTYTLT RRPSKRPESREAQKKPEPTIAEEPLPTIGEPTEPVESHVSALGPNVCFAVLPEGATLE GWTQEEIEEMNDHVRHMLHSRRSKFKRAMKGFGQYVRRPLGFLVTLYATLITLFGLAW VLFLIGWINVGGRQLYIINVIDNVLVALFAIMGDGLAPFRAVDTYHMIFIAHYHHLTW SLRKKRTLPKLADKNDLPSRRPEDMIDLEQDGGVTTTTSSEEKKEYLEYTLLTPKQQK RLEHHQRKFAKSHTFYKPHETATHHAFPLRLLVAIVVILDFHSIFQIALGACTWSISY HVRPFALTTVILCCSITCNITGGILISIGDRITRKKDVVERLARQGLTGEAMKKVEKR KMKETVEQSRKSMDIARKSMDLTRKSMDYNRKSMDITRTPPYPDV TSTA_054680 MKAFPEAANFLLFMAVPWELRHSTLICSLRIFFMKVVTEDSENI PASVLVIQFVSLQANQQHEIPASLVWQSPTAHSGISQVCLICLMKPVLKVDKLSEDVL RRLPFSQYAAMIWYSHYEGADRGTNNTLDGLVLKLFKDGANANTDTPGRVGTALHATC SERNPNIVEILLNAGAILQQLAANSERFYEQDLTGKKPKQ TSTA_054690 MHHVKPDASFAQAYNAIKAYFDTPNNQTDYYQEWTTVTLAGERQ SNPGKLLVEVVDLMVEKLHLCQQALGSAYASDEHLIAGITRAYRKFIGRTNRHDYPIS RQEYRRKNCDDAKQLRKSDRRCFICHRENCRSWKHSEEERREAQDRYDRYRQVDGRKR LSTHAYQTFLQEYEGTSSDEEDEDSTEEEVKQDVATAQARTI TSTA_054700 MMITLDEIIPYQPPLPPKPCISSKTIPPPSKLPPLIHPLPQKPQ SRHQSPSCPSQLHQSKCDHIPSPIDQEQMSGVPLYTNVFDRELAAWSDMAIKTTAFVS TATENTEQQQHHIGSDLFENGPRKLLSGDQSDNVQHASHDDEASPSISAGFLGHDRSC SAHQETTQLIHASESNGIHATLSKPPVRPSRNLPPRVRSSESRSGNVIPDTAHLDNMD SPHASSGTINPAESPNSIVPDHSMPVLPGSYK TSTA_054710 MIIDGWMFYYARSQAAIQAIDGAQKTGQQILGSIAEKWDELRSQ GVQVTIHWIPAHQGIEGNERADIAAKEATGWRLVQNNRGRQVPLDMDSTAPRLVGLQQ PLSALKRDLKTLAYKQWEQNWQQNQQGRTLFRVVDKPSKKNIELHTRLSRPLSSILTQ MRTGNISLRHFLYKRKIPGIDNGECQCQRGAQTVTHILLSCPRFKEERNAWKNERT TSTA_054720 MSTAADNLADSLAKTSLNDTNGDVKVDAAAASADEGRRLYIGNL AYATTEGQLKEFFNGYTIESVAIPVNPRTNRPVGYAFVDLATAHEAQDAIQQLSGKEI LERKVSVQVARKPEPAEAKEGAVSGGEGASGGEGRKRGIKRGRGRGRGGRFVRGGRTR TEAQNGETEAAVPTNVPGQAIPLTEAAVENEAAAKETKTRGPRPQKQRGPPEDGIPSK TKVMVANLPYDLNEEKLKELFKDYEPVSAKIALRPIPRFMIKKLQARNEPRKGRGFGF VTLGSEALQEKAVQEMNGKEIEGREIAVKVAIDSPGKEDVIPGEGEEQAAEPAAEQAT A TSTA_054730 MSKLWEVDPETRAKLLQISKTNGNDRCCDCGAPSPQWASPKFGI FICLNCAGTHRGLGVHISFVRSITMDAFKNAEIQRMERGGNDTWKHFYDEHAIIISEG RTFEDSTIKERYDSEVGEEYKDRLTAKIEGVEYVPGEKKKVVVPSGTVTETVSSRSST PIFQGGRASPATSMTGATTQKDRNEAYFAKLGNENASRREDVAPSQGGKYAGFGGGVP VSSKPARQEGVPGFNDFQNDPVGALTKGFGWFTSAVGKSAKTVNDTYIQPTAKQLAES DFAAQARIHAAQLGQNLQVGARDAADRFNRFVEGEASGPGAGAASGGGAQRRFQPERQ DFWDEFSAVGEQSAAARQKRQSSGAIGTAAMRNTPTTSSASATSRVNNTAAPAATGIG ASTTTTSTKEKEDWGEDW TSTA_054740 MWAEPDVPIATILFGGVGLRKALHIEMVSAPLLGWAAIRPIPVS RLKTCKYASNSLQSYNGIVASYLRLHYYRSLSSSAVLAEAIVHLEKTRNIGIIAHIDA GKTTTTERMLYYSGFTRRIGDVDDGSTVTDFLPAERARGITIQSAAITFHWPPLTGDG TSSSPSLEDLEAQNLPRSRASHTVNLIDTPGHADFTFEVLRSLRILDGAVCILDGVAG VEAQTEKVWHQASVYQIPRIVYVNKLDRDGAAFGRTVREVGSRLQGWPAVCQIPWFEG SNGRFTGIADVVSLQGLLWKEGGDGKSVKVFDLNGLENEDKSLAEELKRARVALVELL SEHDEDMVESFFEHEEDHLTVPSITILKSLRKCLLGPETQKIIPVFAGSSFRNMGVQP LLDAVNNLLPGPSESHDPEISLGSDKTSLGNLLSGELALQQDPKTASIEKSKQKKKAV VTRTSVDIKSLTANLESCALAFKVVSDAKRGVLVYVRVYSGSLNRNCHLYNTNLHVTE RAPRLFKMYANDAVEVDSIPAGHIGVVVGLKYARTGDTLISCTGSKSVPPEPLNTLQL RPIDVPPPVFFASIEPHSLSEEKNMQEALALLLREDPSLHVTIDEDSGQTLLSGMGEL HLEIARDRLVNDFKAKASMGRIEIGYRECVLDQSNPVTKIFDREVAGRKGKAGCTAVV EPYGQELESDGNDTSGSDDIIFTETVDGNKIIISAPGVNITTDKKGKEESTSLPPQLD LNSFRTSLYNGALSALARGPQFAFPMHNTKVTLTCNAAEHLFGSESSASALSAAARLA TQGALRNLTTTAASTGTGMMEPVMNVIISVDEASLGAVVHDISSARGGHIVSLDEEMP ISTSLSQSPESQDQPVAVDINKIYAPPDPFETPSVAGGLPIQAPANQPRTITAKVPLK EMVGYLKHLRSLSAGRGTFVMHVDRFERMSAQRQKAVLAELNR TSTA_054750 MDYSSIAHDPADPVEPSPWGSPHADRDAFGSTGHGTDVPAPLPP HQTLYGDNHDSEPHTGNAPAAASEQHDSLDTPRSVPASEHEHPQQSQPASQQQKRTET PARYQTGARQHARQAAPTYRLQGKITALERTGKKDPILRFDVYTNIPKFRTTQYRDVR RTHSEFVKLSEHLISSNPEAIVPAVPPPLTPAGAGTDEDEIRVKASMQKWLNYVFSND VLVHDDEIVLFVESDFGYSPVVRMKQPATGVRRKVLKQFAPPPDDTPELQDARPVVKM FYLGALDSSQKVDRVVKARRGLGLAESDLGVKLGHMHVQETHVGLSNAYRKLGRVIQT AGDYHAAQATAEATTLGDPLEYYSSDAFIVKETLTNRHILLRELIQAQQAARSKRAAA DRLKGSSSVRPEKVDEAISALDEALGHETYLTKKTQRVTLNLLLETRRWFDRTSNDVL SSLREYTLRQIEAERRTLALLESVRPDIRAIDSSGGLSRLGRESHPTIRRSSLASSQG PKGDAWSGVPRRNDSLNRSMTNSTVIVPVLSDEVSNGDSVGNGRARALSGVGSIAEED DDDKLDARNAASRLATSTF TSTA_054760 MKDSACKADLPSVSIFLDFPVEMSLFSRFRTRVLGWWHDVPFPL PALEVNASPVFQSWHRFDSFSNRWIPFNPPHETTQEESSASVDVGPAQGSRLVLVTWN VDATASGSETRISAIISHLQNSVPLVDVIFLQEVSRPALTTLLAIPWLRDHWYSSEAD TINWGTQSFANMTLVSRLRLDDVGRAANNAALGPIWRAKYPSRFERDALCCDILLTSS RCLSRVRLINVHLDSLPIQPSLRPIQLSVVASYLHAAGRGIVAGDFNSVLPEDDTLVS TNCLVDVWAELHPDEAALLRDLTETSHLRPTDSTRWLWLG TSTA_054770 MDTDHYLLGSHYFFVESSGRFVEVDGIRVGSLQLNTLSAVGLLK LKLSSWASTTRRKGPKRNGDMTELEDDEAEGLREWVKEFQDLKQWQELDSSYKS TSTA_054780 MELRDVLTVSVLDPVICLVEDPVTRTYRPRNYVESLEKRVAHLE NLLKQRRPDIANSDLPPHDRNQPASCTSKNDSINEDNDGLENLASKVGLLSLSAAGAE PQYLGTSSIFAFSRLINSTLRQVVSPDLPAVNSLDASGNRSCLLPSPCLLPDYDSAVQ LSDVYFQNVNTHYPFLHEATFRAWESMLIMDESVGIGNCHPNSVSLFFLNMVYAVGAL LLPNLGYSAESLYMSALMYIDDILAYDNLEAVQAILCCAIYSVRSPTGTSHWKLAGLA LRQCIDLGYHRNTKHFPPFGDVLGVELRKRVFWCAYVLETQAAVMLGRPLGIPYQEVD AEYPIDIDDLCIRDNELIGTPRTSPADAPNNMTRAIHTFRIRRLLNRIYTHLYSKPDP RCVGKHDRQRDIRGIRAEIENWRTETPPTPSHTNEELVLFTTEDWYDLEYYYTILQLY RGQIIDYRTDTPDSVFLECLGAAESICQRYRRQFLGKRTSCTWTALHELFLAGLTYLH CLWASSAARNACGYRRANTTCNDCIITLVIIAERWDAAAPYREIFMVLANRTISMMSG RVIESASTNMTSSYPGGGDEEDLTVWLGMVADAGVLHGYNGLFDRSKQIVVP TSTA_054790 MAYAAFKNYGFPKRTGYSISHWLQSVEGDPLLEHRTTSELPQSA DVVIIGSGMSGTTIAKNILDTWPERKIVVLEARLFCSGATGRNAGHCKPDQWRGFVNY EKDFGTEQALKILYNEQQTWTEVVRYINENNVDCELWTGDTLDVPLDSWAAELAKETF ERYKAAGGKVDHIKVTQDPEEAAKISQIKDAQACYAWPGSTLHPWKFAAHVMRDNIMR GVNLQTNTRATAIIRSEKNKHKWVVKTERGDVECLKVVHASNAYSSALEPSLRGLITP CPHMCNKVVPPKESEGFSELKGSYGVLIGKENLITINPRLNGDGAVLFGGSNPGQNDL LKWLENNSNRRTDDGMTGFDSISKAVQEFAVKELKGWSTDDMMRREETYKHAWSGILG LSADGLPFVGQLPGLPGQWVCAGHHGHGMARIFTASSGLVKLMGGSPWSDTKLPEVFQ ITAARAEALKEGLRKSSAVQARI TSTA_054800 MLIIDSRCWKEAFYILRMPGSVSMIIILASSLSVLCECPSAHFN MSPGPTNSTTMWPPGTLPLADITASQGGEIILQPQPSDDPNDPLNWARWRKGLNMGLV CFYVAMVAELINANSPTWGPMEKELGYTNDILNDSYAAGCAALAVGSVILIPFALKFG RRPLYLLSTMVQFAVSIWSAKMQTVGDLMAINVIQCFFGSLAEVIVQMTIADLFFVHQ RGRMNSLYVWTWLLSSYLGILVAGFVASGLGWRWIWWLNAIIFGVNIFVVGFGYEETK FCPPVSLPAAEEVHPLNIMMKKEDRNQDIKKDENLGKLEIKQTNADQGALGRASFELP EKTPTSTPVTINRNIPMKTYWQTLRRHLLARTTLSSSICISLSSFWQQFPPSLGRLLF TASWWHWEMSCPLLCPHTSLNTRTTSLPIRVIGVTIGALIVGPLSDWWIVFLSRRRHG IFEPEMRLWCMIPFLPFVIVGALLFAIGLNDHRSWPVIAVGLALYNVGVTPINTVTVT YLTDSYKEIVGDAIVGVTFIRNSFSTAFIFALAPWVAKVGLKNTFITILVMAIAILMI FVVFLRYGKAIRRIFASRYIHYAALQYKERGLD TSTA_054810 MNILQDQINIQTSRAMLIHLYRYASPLEKVLTLVACLSAIAAET GFPLLALVFGSNSESFQNTGIDGTIAALFRSRITFYVLDYVYLAIAVFFLNYISISLF IYTGEKITLRAKEEHLKCMLRQNIGCFDQLGAGEVATRIGKDFNLVQSAVSEKVGLVL TGTITFITALLVGFIKSWKLTMICLSTVVAIVVIMCVGSVMVTVWEKRAQDVHAVSGS IAKEVLSTIRDTVALGMRDKMAEKYSANLVEARRRGFRAKIEIGALFAIRIFWRNHLV RHPDHHFGCHYGGVLSGSGKSSIINIIERFYEPVEGSIVDGHDIRSLSLSWPRQQIAL VQQEPVLFHGPIKENIALGLMHSPLADASEEERAQRITEAAKLSNAHEFISALPQGYD SMLGVRGMLLSGGQKQRISIARAIIRDPKILLLDEETSAIDSKSEEAVRQAIDQASRG RTTVIFAHRLSTVRSADNIIVWHRGQISEQGTHNELMELGGSYHNLVLAQAGGMDSSS TDRDSGNTCLELAELFKDVPIVEPFEYSINDKPPDTHEDQYSQPYSLWSNLSFVSRLN LPEWRVLAAGLTMSSLAGLAQPAQSALYAKAIIALSKPLTEHVQIRHDINILALLYLG LALLLLMVKMVEGIALGLCSERLLSRAREMAFRSMLKQYISFLDKTENDPGSLVSFLS AETENLTAISGTTLGVLVSSFATVVGGIIITLAVGWKLTLVYVCAVPVILGTWILRFK VMADFGEAVAAYNAKSASLACEYTNAIRTVASLEMEEYFLDRYAAHHRDQLRQPWKAN LRNSVLYARTQSALYLARALAFWYGSRLLFSGEYSQFQLFLVFAEVIFGVQSAGTLAS FTGDISKGRRAVGWLRMLADRERRIDESSEGGSTLPQSDWSVESRNVSFSYPLRPEVL ALHNVSFSIQPGVYAALVSTSGLLGSGKSTIISLLERFYDPQQGSILVGGRHITDLSL RA TSTA_054820 MATTTSTFVPYITPPPGVVSNPTDPASLSYETHITVGISIGFVT LFFLARLWARVMIKKTWIFEDWLVLLAWLGTIVYDAVVSLVMANHGGEHAWDINHAQG KDALYWFNIASVVYGFVICLTKLSVLFLYRRVFSPVRWSAFDCTIVALIAIMTSFYIS TCIVKIMQCTPRAKIWDSSVHGTCINEPALLNTSGFFNTITDYTILILPVHAVLRLQL SRMKKILVVLVFTFGLCAPIFSTVGLVVRFRISGSPDITWNEPEILLWGAAEITSGFL IVCFPEMSFLINRKSRRYYSHHHRPTLELSSSTGAGQSRTNRPRKMAGSSHHHDDLTY YELDDDIVYGVRVSPSGSNSRLHEPANGTVQVHHEITIECNKKEEVVTVNGQR TSTA_054820 MVYDAVVSLVMANHGGEHAWDINHAQGKDALYWFNIASVVYGFV ICLTKLSVLFLYRRVFSPVRWSAFDCTIVALIAIMTSFYISTCIVKIMQCTPRAKIWD SSVHGTCINEPALLNTSGFFNTITDYTILILPVHAVLRLQLSRMKKILVVLVFTFGLC APIFSTVGLVVRFRISGSPDITWNEPEILLWGAAEITSGFLIVCFPEMSFLINRKSRR YYSHHHRPTLELSSSTGAGQSRTNRPRKMAGSSHHHDDLTYYELDDDIVYGVRVSPSG SNSRLHEPANGTVQVHHEITIECNKKEEVVTVNGQR TSTA_054820 MANHGGEHAWDINHAQGKDALYWFNIASVVYGFVICLTKLSVLF LYRRVFSPVRWSAFDCTIVALIAIMTSFYISTCIVKIMQCTPRAKIWDSSVHGTCINE PALLNTSGFFNTITDYTILILPVHAVLRLQLSRMKKILVVLVFTFGLCAPIFSTVGLV VRFRISGSPDITWNEPEILLWGAAEITSGFLIVCFPEMSFLINRKSRRYYSHHHRPTL ELSSSTGAGQSRTNRPRKMAGSSHHHDDLTYYELDDDIVYGVRVSPSGSNSRLHEPAN GTVQVHHEITIECNKKEEVVTVNGQR TSTA_054830 MQKANSKDVEAVSPSLESPPAYLDSGVDEAKLLRKIDMRVMPIL FIIYLVAFLDRVNISNALTLGIVKDLDLYGERPNTALVVFYAPYILFEIPSNILMKRF NPHMWLSGCITAFGIVMLGQAWVSSYGGLIATRFFLGFAEAGIFPGSFYLISFWYKRE EAQKRFTIYWSSVILAGAFGGLLATAISRMDGLRGMAAWQWIFCLEGIASILVGIAAY FLVVDFPKEAAWLTPEEKAFVTAKTRSDESHVVSVTTHDVLRYLKDVKSHLGAIMYFT IVVPIYAFSYFAATIVRALGYSTVQTQLHTVPPFAAAFAYALIVAIWSDRVKIRYPFI LASDALILVGVALMLHYHGKEHFSAEYCGIIFITMGAFGGGAIIVCWILMNLHGHAER GIGSAWTIGFGNAGGIVAVFLFLSKDAPNYTVGYWVLIGMVLVGILSTFLYGAMIWRE KRKALANGQVEKANSLSL TSTA_054840 MNQITLSPPGAFSSLSDTAITGPEVGNMDMGDSCKISMLWNWNT IDACFISKSWRITSNGMFAGSCIGVILLVMSLEFLRRLSKEYDRYILRHFKQALQIPR KAGASLPASSPPPKATASATSGLDETSSNPPTCANIPTTTKEMYYFRPTLVQQSVRAL LHMVTFAVAYFIMLLAMYYNGYFIICIFIGAYLGSFAFSWEGVSVSIDGPDMGKMTVA MEDVTVCCG TSTA_054850 MDNLLPLSTPHHLLEVPFFGHDVYDNLGFFTYPDRRGWDPRHLR HGDYGQRSSIDAASFTQAWLFFGTISEVTGVSVRGTNFIRVSEPDGRLLVTTQRLQFY LEIWRAKATRANRSASQKRATRERAKLCLDFMMKWTVPVLLLPEHPEVAVSIEILLVT LVDTFKEIYGLEAWEIPSAFADDVEDRDMQPGLNQEVHKYLKARMVAQGWCPYRLESF ADAVPTDALYTLSLMGTRELRSGHNRCNEDFCVGNHVDEKTYNETPRHVKEGCKCGFV RADVKEAISVIEQGQIPLATVFMDLEKQVCLKITPYKPGMTYIAISHVWAHGLGNPDE NALRSCQLLELDKLLTRTYKDQNIGDTSTDTKTVYFWIDTLCLPLRPPNCRKAGIKQM RRCYEAAAAVLVLDKHLRRCTAYTPSITTELLLQVAISDWRFRVWTLQEAIFAKKLIF QFIDGQVDADELILAHYSSPASNNFTHINLFLTMNLLFDLGFDMSAVRGGELRVRHSS LLSLFLSLQGRTTSKPEDEPLCSASLLGQDHVLGAILECRKEDRMKEFWRTQGRIPAW LPFIDGPKIEETGYTWAPSTLMYKVQSLGGTPIVSDDLYGSTQSAIKYKLQSLCRTLV VSQDLAEFEPGGPWLRIIKPGFLLLKQKEDNSSSARAMDNAFRITDDRGHRYDVVRSI DKFNPELPVFGGDVAVIVSGWPKFNHPQVLVVSVIKKDLDQGQESDCIRCRIRCRGII IVEKTFPRELFATDSITSYNARRVGEGQTWLLE TSTA_054860 MLGTIGQGGLNFRYQDKYVDTGKAGACRRTGRKHTNYIYLALVP YRAVLPLVLHMARLLDLPDELILLVVDYVQADTTQTALPFYKLGDAYRRSVEQNPPQR IKDLRSLRLVSARLSNLLKPIFYRNICIRENRFRNYPQNQLNWSLENDSKLKEHIISA IVPCNHSIHDVYQYFWFPNIQALSILRFNDWEPMEFEDDSHIGTSPVTALNLIGCGAH EDALAAVLSWPNALEVLHYDAEQVEWDGYYGDEPAKTWTCAAFVRTLQPQKATLRELT LTRPWLVHEGLGNGPRIDLSDFTALTTLRIYQVFLCGEEDPLEAWRSLPRNIEGLEVF YDDWELTRFDEDDFLRGLLAHKKEYLPHLRTVSINSPEQTWDSDIEEFKPAGQWTPPS PLARAFKTVGVNIHIWLGPVEPPKFEELDIPQLLEPPRKRR TSTA_054870 MENGRPDSDFASRQDDPFLQFNGLLYNFEFGSPISRNSRIFEAG SSDEANSYSRYRPRNPAARAKKSRYSWGGFDLAHRNQDAESQDDLIAILEHDESNMNE VTAAAPAPSSSSRTQRTTPQRRSRYQDNRRGGIVVDDILADSIAIGATVHRDSHTNLN ANTHDNNNDNTTEIIQAFIPQGNTPEPSETPTPEKKRNIIRRWSLSLFGRRKIDTMSR GSIEVQYPHPPPLPSLQECGLRRLHASGNTMARKGSGPDGPLQTALMESTSAATIRAA DG TSTA_054890 MASGAGGKRKRGDRSWSSDSGHDGQRPSPHRPGNLNLAQHNQTQ SPSSRSGSGDNNTGGRGRRPSRGGRTPSGRVQTLSQDQSKPSTDSSMAPPSTPQPNAV TESRQPNGASATRIALSKEGPLNVQENASYAFDYVTQQVVAGWVKDGKQIIMKQGVTA RKNGDLVTLGCVFQEIIRAVLSRNLDPSEAGEVVKQILTENGQGEMGQNERASSFFLN TLSILAEEKDGSNEILKPFVFATEISPALLRLELDTNLIQSLGLVRDTFTRIGIRKQT NILYRQSNYNLLREESEGYSKLVTELFTTSNTESPSSEIVEETFERVKAMIGAFDLDV GRVLDVTLDVFAAVLVKKYHFFVRFLRASSWWPKGDRLRWEEETDVYTGLPSWALPGW TGRDDEKKEDLARIRDERDQAFWGRVREAGIKAFFEIGRRSLSDEEKQIALAEASNSG SDAETRRWIEETGTLPPKGNRVAAQLLGFKLRFYSTAAREAGHLPENLIWVAALLIKI GFISLRDLYPHLWREDESMPELEEKIKKEKLEREMKAKPGGGVNALMLAGALPDDTVP PPVSRSRDAEARSGTPAKDNEAAVTKDDEEKEPLPDIREQKIDLLKSLLTIGAIPEAL YMLSRFPWLMDIPELPDYIHRIIHHCLSNIYAPLRPMQGSDQIRAPRPIPSADQSGVA KGHVRLADSQPRRMLRWAHPDKEDREDGINYRFYWDHWADTIPICQNVDDFFALASSL LNVSGFRIGQDPLLMSKIARIAQDSLNKDDSESNKNRWRDFCKRLLLPALSLSKKNPG VANEVFAVIRHFPRAVRYNMYAEWHFGQTSRLPEIKTAFDLATAQTRDTLKRLSKTNI KAMARALAEIAYANPGIVINVEITQIESYDNLIDVVVECARYFTDLGYDILAWALINA LGQKGRSRVQQGGLLTSRWLNALSTFTGKAFKRYSVLNPTPILQYVLEQLRQQNSTDL IVLERVISAMAGIVTDTNFNEAQIQGMAGGNLLQSQTMLQLLDKRHESRTTSKRLMKA LTDSKMAGQLLIAIAQERLLCIYRESEMVPELKLLGNIFDEIHRILTQYLDLLRSNLA VEEFDSFVPSLPELLGDFGLQPEIAFWIMRPSICKQIAEADRNLWQVQATQSTEASVS EPKAADADVEMTEGENTEKNNNQNTDGVMDVDKPSLGLESTDVTETRTPDLSDPTPNT TTAPWHPVLQGLMDCIQPVLPEGSWQTVGLPFYVTFWQLSLYDIHIPGKAYEDEIERQ KRKVQAISNDRTDVSLAGTQRKEKEKKQIQELQDRLLEENKTHLVSYETTRNRLQKEK DHWFPGMRGKYDVLNIALLEQCFLPRILLSPIDGFYCFKILKFLHASGTPNFRTAGLI DQLLREHRLTAIIFQCTSKEADNFGKFINEILRDMGRWHADKSVYEKEAFGSNRTLPG FATNVDSEGKVTSFLEYENFRRLLYKWHRLLLQAIKHCFNNGEYMHIRNAISVLKAVS QHYPAVNWMGRDILMLVNELSNDEREDVKIPAASLKGELSRREKQWLLPQEFMFNEKL ANEKGKAHTPRAGSATPKQLNAQAAEFKPPGANGTPSETTGKMEVEDGEIEDAKMADA SLAGGKTGAQAEIVELAASEKSRQEPPVVEAPAADSATKDQRAGTTGQEEKKPLPAAD RSATASFRDTDSARPRTESPAQSRQGSRPPDGSHAANIPKRPDIDRVTSQPPRLPLPP NLPNKPEAPRIYRHDSRSGRQADMKDDRRETGDVRPSDSSRSSRYPPDSDRDRLDGQE PRGPGRLERDRADPQRLGPPNDDQFRSSRDARHHREPEADRSGSSRAQPHPERANLIQ GHPDRLALIEGDNQRRDPSRLDRDDRRHRPSSPTRGDDRRAPRRDEYPAGPRSDRVGR ADMPEGRELRSGGDAPHGRGPQDSRTARQPEPTNEIPLGPRGRVTQSRGRNASITQPP PPGTPSRPSERLPPTGPSGRSSGRAPDTPAAPPPTTDKADTGGVHPDRLKNLQVSSES GPANTRSQASLPPSGPRSSLGHHASSPATRTPPSGPGNDRNRGDKRFSGINNMLQQSS SAQERGGQGTMIRGRGRQAQESAPASPQVGKPDIPTGQERTELFPGAPEADTRPPGRG RRGEASQEASRDSRRSGKHDSHSSAPDRDRRDEEEATKSGRRDERRDRGRDRDRDRER NRRNENAEDESHHGGRDSTNRRVTGSRDDHRKRDRRDREDAPSELPGPSGSEHHGRHR PSSSHSSNNNALPNPPPAPPGPPSEDRRWGGGSGRGENRDRDRNRDRGGRDRDRDFNR DNAPGNGNQSLPRKRGRPGDDTHGHGDGSSRGMRVGSESKRARRGT TSTA_054900 MSDTKEASASAPMKYQRVASQPENPFSQLISKQEIAIIPSFTLE SGDTLYNAPVAYTVRGQLSPNGDNALVICHALSGSADVADWWGPLLGKQGQAFDITRF YVVCLNSLGSPYGSASAVTYRDGDSTKGRYGPEFPLTTIRDDVRIHKLVLDDLGVKQI AAVVGGSMGGMLTLEYAYFGKDYVRAIVPIATSARHSAWCISWSEAQRQSIYSDPKYE DGYYSFDDPPATGLGAARMSALLTYRSRNSFESRFGRNVPDPSKRQNINCTTGPPSPP SEHWAIHNDGHKSSRASRPSSQTGSPKRPTEIEFTDPQFNGTTTFTAQLPTPKHSRPS TYFSAQSYLRYQGEKFVKRFDSNCYIAITRKLDTHDVSRHRASPDSPSPVKDALSQIE QPALVMGIESDGLFTFEEQQEIAAGIPDSRLKKIDSPEGHDAFLLQFQQVNTYILEFF REVLPDIMSKPGESGDASADSVGELTKSSTFGEAEVDDITAW TSTA_054910 MVSIFNVLILLCAVRQATATFSLNTGGPNWDYTSKDLADTTSQA CKDAYSASIDCDDVLVGMVASLNPNFDPQASDLERLCTTTCSDSLNQYVKNVKAACDN PGDLAGVCDGNKNLFLAEVETVGEVLQYQYSQACAKNGSDYCYLTFSTSSDWAREDFP CNDTCAIQFYQNAHNQPGSGYFFSYMDMCNRTTYWEQTFAGGWETVVQCGDGGNQTTS STSVGGSASAMTSVSSASTSVSVSTTSTKTATARSVSTTGTSATTGVVASSRPTSGAG KIRPPFICF TSTA_054910 MVSIFNVLILLCAVRQATATFSLNTGGPNWDYTSKDLADTTSQA CKDAYSASIDCDDVLVGMVASLNPNFDPQASDLERLCTTTCSDSLNQYVKNVKAACDN PGDLAGVCDGNKNLFLAEVETVGEVLQYQYSQACAKNGSDYCYLTFSTSSDWAREDFP CNDTCAIQFYQNAHNQPGSGYFFSYMDMCNRTTYWEQTFAGGWETVVQCGDGGNQTTS STSVGGSASAMTSSRRQAPPLLQV TSTA_054930 MGILNTLTHVLHTAEQQPNADYLLTNARLYPDMYPVSDQVRLAT QFSENIVARLTNREPVNFDHDLGSYSKCYERIETVLKSVKEADKKTVNALGDSVSPTP MGPGKPVDMSEATYAHIIALPNIYFHLTTAYGILRKEGVPLGKMDYYAGFAPIAIHES EVLGKTKSAESS TSTA_054920 MELTSTSLQYLNTMKAIVYKDPNQVVVVSDRSLPKLGDHNILVK TETLALNPTDWKHIRDAIPKDDCILGVDFAGIVEEVGPSVTKPFQKGDRVAGFVNGGN SYNKDTGAFAEYVLAKEFTLFKIPDTVSFEEAATLGCGFATVGQGLFEKDYGLELALP SEPTKETEYVLIYGGSTASGTLGIQFAKLAGYKVISTSSPKNSNLLKSLGAEEVFDYK DPECGKKINEYTRNKLRYAWDCIATASAAQICADALTTEPGARYGGIIGVKFPREDVK HTDTLAYTGLGEDFEKRGRKFLNNEKHGEFQSMWFEIARTFLAAGRLRPHPVSVRPKG LVGAIEGMETMKKGEYSAEKLVYRVSETP TSTA_054920 MELTSTSLQYLNTMKAIVYKDPNQVVVVSDRSLPKLGDHNILVK TETLALNPTDWKHIRDAIPKDDCILGVDFAGIVEEVGPSVTKPFQKGDRVAGFVNGGN SYNKDTGAFAEYVLAKEFTLFKIPDTVSFEEAATLGCGFATVGQGLFEKDYGLELALP SEPTKETEYVLIYGGSTASGTLGIQFAKLAGYKVISTSSPKNSNLLKSLGAEEVFDYK DPECGKKINEYTRNKLRYAWDCIATASAAQICADALTTEPGARYGGIIGVKFPREDVK HTDTLAYTGLGEDFEKRGRKFLNNEKHGEFQSMWFEIARTFLAAGRLRPHPVSVRPKG LVGAIEGMETMKKGEYSAEKLVYRVSETP TSTA_054940 MSDYSKWKVTELKAELKNRGVPQTGLRLKQDFIDKITELDSQSC EQPPAQDASKLTSAGEEDNQEESKEEEQEELKIAEPVPPQQQLGNGSLSTEGAPKSQK VNAEKDGTIAETPQPTTDESKDTEKETAQDETIQQLTLDQPSEEAKGAEQTETVAEIH KIDTSEPVAPALPEEPPTEENSVTEQSTPAEISTKNEGVDSGIEYLRKRKRRSQSPPP NVEVVKKSKIDNENPRVILKEDVPPQEVAPGGARQDPRFRDLLPITKPSQPVQPEQDL QDDDRQVEPALHPATSTIYIRNLMRPLQPSSLKSHLQLLATPTGKEPDGESLVDFFLD SIKTHCFALFDSVSTASRVRSKLHGAVWPNERDRKPLWVDFVPDEKFDEWIKTEQDAS TGRGSQRWEVIYVYTENGVQVSLQEVRNGARPGHTPTAPAHRYSPDAESRRQSQPSGT PLQQQQSGGKGFKALDDRFRSTITKPKLYYLPVSRDIADKRLARFGTLMRKDSGRYGH GDDDMRRITFEDTDLFVDGGPEYRGGRNRGGGRRGGRGPWRGRPLQKFYYPHGKGVCF GYVIRNVLISWTELGFPFDISWRGG TSTA_054950 MLRRSHKKSRRGCLECKRRHVKCDEGRPVCVLCTMSGRTCRYAC ESPQDNPSTPNTPKSGPNSTSSVPSISPLAIASVSNPSWATFPNAETQQSSPALDEPL NMNHMELLIHTTLDKDVFSLGSHDTNYLTNVTNGLRISLESSYLLHQVLAFSARHLAF LHPERADIYLYQAVSLQTRAVSLFNASWNGIDESNCVAMLLFSSILGHHILADTLAKR DAGGLDAFMIHFVHCLDIQKGVHTIARTAWPLLMESELENILSWSSQYTSRIPIGNHC QQVKELINKAEGLTEEENEACHEAIRYLQVGFDAVHAEKEEEYGNRFHMIPSWILLVP PALRGLFVAKRREALVLLAYYALLLHHGRYLWQIGDAGLFILCIVEDYLGTEWAHWLK YLREEVNKTLLV TSTA_054960 MPTLEPYKGDYYLWNYVPSLPAAVAFTAVFSFLTTMHTWKMVTT KSWFCLAFVIGGLCEVVGYLARAGAYNATGSLMPYLIQAIFLVIAPVFFAASLYMVYS RIVRAVHGELFSLVPPRWTTWIFVVGDWTCLNIQSGGSGLLNHASLASIGNYIIVAGL IVQVLLFVGFMICCVIFHKRFGAHIAKTGAISNAPWKSCLHMLYTTSILVLIRNIYRI VEFMMGQHGYLLETEWPLYVFDGALMILVMIAFYIWYPARLQPNSRDSMIELLPVSTV YGGLAPGTETLSLSLSLFLFASSIVTLPLVICLLCADDSEANSFISSINLTTFFYSYP IYYHRLTIAFQLMMIDLERRLSLSGVQWTVCNTTLESERISEVPLWDNGWTFHHLGLI VCAACGLIGIVCSLFLAFMHATHYSKPREQRHILRILFMVPVYCTESFLCFLFYRESV YFEVLGSCYEAFALSSFFTLLCHYAAPDLHAQKDYFRMIRPKEWLWPLSWFAKCCGGQ RGCWRTPRSGLTWFNIIWTGIYQYCFIRVAMTIVAVATQAFGKYCEASLSPAFAHVWV LVIESVAVSIAMYCLIQFYVQVHGDMAQYKPFLKITAIKLVIFLSFWQTTVISFLSSS GAIKPSEKLANQDIQIGVPNLLLCIEMALFSILHLFAFPWQPYQLKNQQASDDPQYIN GQIAYHGGFLGTKAMIETFNLWDLVKAVGRGFRWLFVGYKTRTNDPSYMHRDDSAFSL KAPNTADPQTSIPGPNVTAYGGPSHLDTAYHPGNQYETASDEGQELLSHAQSNPHSPY PAHRGTALDTLSDEESTTGGGYPHAHNRYYNDSYDLDVPPRAGNLSTAEPRPISPQPY RPYHPSHSPYEGA TSTA_054970 MAETTDNLLISTRPSDSGLHVALHPLVLLTISDYATRHAARQQT GPIVGALLGQQQGRQITLEHAFECHTITNPDNEIILDSGWFESRVQQFRDVHKDPPLD IVGWFALTPQSGPSSSLLAIHRHILQEYNESALLLTFHPSQISPDSSSGAKLPVTVYE SIFEGENVADTKPAAQVGDDKQALQIRFRELPFSIETGEAEMISVDFVARGAGNAMAI EELGRPVPKATATTVEVGDSNADESVVLSPENEEFIANLSTRLNAVKTLESRIRLIRS FLQNLPPSAIDGKSQTPTTQGTHSLLRNISALVSGLSLLTPQDSKSFAVESLAQENDV ALISLLGRLGENVKHIRELGKKSAIVETGKQIGDPSKGRKSHMALQSRFDDELRESGL QIM TSTA_054980 MVEYVLDNLNDDGCLTDGEDGEGCALSVYFLSQKVKHHKKQSMT TPSSYAAVKSNLDAILADPSTAFDETAIEKLKLELTEQTASSIPASILAHISQVLPVL QYDPTLLTTLGIRATAFLKFSDLQALDPPLNLLAGIQAPSPPVNLLTLSLLAKANRLP SDAAIIAGDSDLVATLVELWLSTPNTEVAQAAVEVLWSLLEVDHVETETVIGSPQNIA AGQGLLWRRIFTDKDVYGLLFSICSLTNTETTSGLSKREKTVAQGRLLDFIVKVGTLR WDCITEPQVPEVEAEYRSKSLLEFAACHMVDTSDVLMHMTLLNFFRELVLIDAPGLKT ASPPHKVSPFSSRSLDFVIEKNLHQRVLGYYLDPSQLDSINVTFLASPVMAYVAQYAQ LYPNHLLNSPRELLDKILSRIHKSLGIPSAQWAHGDVPLGDLTILSSLPRVMLVEASR RSLNPLQAVPFNPPNSACYQTLARVFHGPSSVSGSLNEAIIISDAPTDVFKESVAARI LYFTYLNEHANLWQCVVTAADIVAMKDTALAAISLIGAVITANWRTMSTEDAQHISSS PFKLPSEEDLNQQPSTGPGLLPTSGSWAVLTPPALTTVLPYLFKPPLSYSNFVAGGSG DPENAVWKLATAKYDVLVDLHKMLKDSTAQVEGFADIVRTLEQRIRDGPQGPAMQVGS RVEALEL TSTA_054990 MSFGGGRGARKPLPPGSEFNWQKLPGELPDGAPTPTFPPYDTPK AEPLSKRERAEVDQYRALRDHFQNGPYYAAVNAASTSAKQGTKERAQFDPFHGMPSYS ARYQKRKRTVPKIAGREYIVHFFPRELWRVVRPDYKPDRPDTQTTGTRWKRVQAQFED VGDEDVEEDEQDRKRQKEEGDDEEETQDDDGKDLDDDVEKEGSEEDDELRDDDFSEDD DEMGGDYNAEQYFDAGDDDGDGDGFADGGGGGGDEDFF TSTA_055000 MAGENGRTRSALILYGSETGNAQEIAEELGRTAERLHFVTHVKE CNDVKADTLASYSLVIFAVSTTGQGDFPLNARGFWKTLLLKRLPPTFLGGVKYTQFGL GDSSYPKFNWAARKLRKRLSQLGATEIYPCGEADEQHPEGLEGTFLPWATNLRNHLLE QYPLPEALQPIPDDIQLPPKWILEEASTQPLSLGDSNQRKKDILLEESAEPHLYRLES DNRPIPDTLTVTLTQNKRVTPQGHWQDVRHICLTTPETLIYSPGDMISITPKNFSVDV DEMLTLMGWSDVADKHLSFIPGTLLQGRPRNELPAPPIPYLANTESSPITLRTLLTEY LDIRAIPRRSFFANIAHYTTDEQHKERLLEFTNPEFIDELWDYTTRPRRSILEVLHDF GTVKIPWQHAASVLPIIRGRQFSIASGGELKELLNQKTGTKFELLIAIVKYRTILKKI REGVCTRYLSVLRPGSTLKVQLQRGGLNPTLKQLVGPVVLVGPGTGLAPLRAMIWEKA AMIKASQEDQLEAPLPKIGSTILLFGGRNRAADYYFEEEWERLEKEGLWNLQVLTAFS RDQQQKVYVQDRIREHAEGIFRLLHEDGGSVFVCGSSGRMPQAVREALIECFQHRNSS VSESITYTREQAEHFLLEMEKTGRYKQETW TSTA_055010 MKLSSLILKELLLVISNIRLTEALAYTPDQVGFNLNENKTATNP LDFWGQWQDHEYHPSPSNWRMPFYTVFLDRFANGDPSNDDANGTQWEHDILSNQLRNG GDVRGLMDSFDYLQGMGIKGLYLAGMPHINQPWAADGYSPLDLTLLDRHLGSIDDWRL MIAEAHRRGMYVVMENTMATMGDLIGFEGHLNSSAPFNAHGYNHVWKTSRRYHDFQPG DEWMNECKWEYPRFWDDLGHDIIETNLTIGCQNSEFDQYGEVSSFGDYPEWERQITKF GFVQDRLREWRPDVRRKLELFSCITIAMLDIDGFRIDKALQVTLDAQGDWSDSMRQCA RRFNKNNFFIPGEIVSGNSFGALYIGRGKQTNQTLDNITEVITMANDSVPGLHLRSQE KSALDAAVFHYTVYRALTRFLGMDGVFGAAGDPPTNFVELWNGLVQTNDMVNTNTGQF DPRHLYGTSNQDVFRWPSITNGVERQILGMYIVSLLFPGMPALVWGEEQAFYVLDNTN SNYVFGRSPMTSSLAWQLHGCYKVGSVKYFDFPTDSALRGCMDDSVSLDHRDPTHPVR GLVKTMLEMRQNYPVLNDGFYLEQLSNMTHDLYLPGSNGTRTETGLWSILRSRFVATQ DFTGQGQGNQSVWLVYHNDNVTVNYEFDCSDEQRALVSPFDSGTTLKNLLPPFEEYTL EASVHRLGLEGSAEVNGCLSNMTLAAYGFKAFVPKSAFIAPSPYITKFEPGHDARLLS TTATGERVPVSFTFSEEMDCDTITSRLSVTSSVLNGEAARFDNTSISCYSLTERQPGP YQGTFAGVFNYSIELENVFHGIHEIVLNNVTTRDQSRATNSVDHFLIRIGFQDNPMVW PKQANYSKGLLYADDSGDGNLWISHKASGADQWRYSLDFGSSYSPWIPYTSANVSIAP KNWSGTRLQAWDGEHIIVQYWNRVTGSSNHYQHGDLNWEGKPPRRFPHLWIQGDFNQY GYDSGYPSQMHLHDDTGQWEYNLMTEWPTHLSLNVWGINEDGHPDITQVYGDIDGDMI LDRIPPISLISNVINVTEPPAWPFLSWKLSLDDGNLRISRIPHGSQKTQIAIFILLGV CPVISAALAVWIYFKVFYQVKRIVFGVTQRKFRTHAQQLTDDSATDNSSGIDENGTSF VNMLRRNIYRHPSPNPLAHNQALNADAGDSRRTVLIATMEYDIEDWGIKIKIGGLGVM AQLMGANLGHQNLIWVVPCVGGVDYPVDQVAEPMKIKVLDQSFLIHVQYHYLRNITYV LLDAPIFRAQSKSEPYPARMDDLDSAIYYSAWNSCIAEALQRFPVDLYHINDYHGAVA QLHLLPRTIPCCLSLHNAEFQGLWPMRTTQECDEISQIFNLDSVIVKKYVQFGDVFNL LHAAATYLQIHQNGYGAVGVSRKYGKRSWARYPIFWGLREVGSLPNPDPSDTAESNQD SQITEAQVDPEFEAKRSEMKSEAQAWADLRQDPNAELFIFVGRWSMQKGIDLIADVFP SIMESSSATQLICVGPVIDLYGRFAAIKLSKMMEMYPGRVFSKPEFTALPPCIFSGAD FALIPSRDEPFGLVAVEFGRKGTLGVGSRVGGLGQMPGWWYTIESMTSKHLNRQFKQA IYEALHSNTPDRAIMRAWSRKQRFPVAHWIESLESLHVTSIAKHRKHSRQENPSRPNS IVRMSLSTISRTSMQTPVQPVEFLRQIGHYRRTNQPRPGLHRRATSQAVSLFSIENGN SSTEGGSSQSMDGQASVDHQWPLQVPRAVTYPCSEASEQPTITRISGNESSGTSTTPS LEGNSSQNASVLDQIRAVPEDAMSSRLSIREQNRNSSSLSLLSVDNIIREDHTFNLQK VNPFFTDSSGRYAQRFEKRLQHLNGKNSEDQLCIEQFLSKSEKEWFKMYRDMKLGRSR SPSRAVTPVFPTLAHIASSKEDVDSSTPASDGSLEGGNMSEEELKLPDGYVPPSGLKK FMLYRVGDWPVYSILLSFGQIIAANSYQITLLNGEVGEPSEKLYLIATIYLIFSIVWW IVFRSLRSIFVLSVPFLLYGLAFTFIGISPFVTVSTGRWWMQNVATGLYAAASSSGSI YFALNFGDEGNASVGSWVYRACVIQGTQQIYVSFLWYWGSRLAAASQAGLTGTSLADS HPILLTGVGLGIAAIMWIVGAVLFLGLPDYYAQAPGKVPAFYKSLPRRKIVLWFFYAV FIQNYWLSAPYGRNWLYLWSSKHAHSWMITVMVLIFFIGIWAIVLLILGILSKRHAWF VPIFAIGLGAPRWCQMLWSTSNIGSYVPWAGSPVVSTLFGRGLWLWLGVLDALQGVGF GMILLNTLTRFHIAFTLLAAQVIGSIGTILARATAPDNTGPGDVFPDFSAGVRDAFSK ADFWVCLLFLLSINVLCFLFFRKEQLQKP TSTA_055020 MASIQQQTPNNMGAASANFPGNVALPADLTPARLQEVYQKFREM QRSGVRHDDPEYIKAHNILSAVQKQQAYAKQRYQQQQLQQQQQQQQANGNNADVANGV NGRSASVSSSNGAQVPNAVGESQQQPGQAAQAGPAKKLATPAGSFSLEQLTILRNQIH AFKLLTKNLPVPISLQRQLFGANKRQTVSPVTNVSTAEAVIEAATESQGEKSTEPPEK EAETFNFYEKMQSPYELFPKTISYADHANRANRVRIPSIFPTGIDLERVREDRELLIY NKINARKAELAKLPANLGVWDVKSGDTAAPDDSLKLKALIEYKMLHLLPKQRKFRQQI QQEMFHYDNLGMTGNRSIHRRMKKQTLREAKITEKLEKQQRDARENRDKRKQGEKIQA ILTRGQEVLQNGAQQRARLQKLGRVMLQQHQYMEREEQKRVERTAKQRLQALKANDEE TYLKLLGQAKDSRISHLLKQTDGFLKQLASSVKEQQRSQAERYGGDQQFEEEEESEEE YDTDEEGGGRKIDYYAVAHRIKEEVTVQPSILVGGTLKEYQLKGLQWMISLYNNNLNG ILADEMGLGKTIQTISLITYLIEVKKNSGPFLVIVPLSTLTNWHLEFDKWAPSVTKVV YKGPPAVRKQQQQTIRYGQFQVLLTTYEYIIKDRPLLSKIKWQHMIVDEGHRMKNAQS KLSSTLTQYYNTRYRLILTGTPLQNNLPELWALLNFVLPSIFKSVKSFDEWFNTPFAN TGNQDRIDLTEEEQLLVIRRLHKVLRPFLLRRLKKDVEKDLPDKQERVIKCRSSALQA KLYKQLLTHNKMVVSDGKGGKIGMRGLSNMLMQMRKLCNHPFVFEPVEDQMNPGRGTN DLIWRTAGKFELLDRVLPKFKATGHRVLLFFQMTQIMNIMEDFLRFRGLKYLRLDGST KSDDRSELLKLFNAPNSDYFCFLLSTRAGGLGLNLQTADTVIIYDSDWNPHQDLQAQD RAHRIGQKNEVRILRLITSNSVEEKILGRAQFKLDMDGKVIQAGKFDNKSTNEEREAL LRTLLESAEAGDQLNDQDEMDDDDLNEIMARSDEELIIFQKIDQERARTDQYGPGHRY PRLMGEDELPDIYLAEDIPSAKAEVEEVTGRGARERKVTRYDDGLTEDQWLMALDADD DTIEDAIARKDARVERRRSNKEKRARKASGMESSPEPSRESSETPQVKRRRKGLQGKR KADDSIEDTPAIKRKRGKPPKVVDTLSSDDRAALQNILDSVYQTLTDLEQEIPPDDKD ADEEPMTRSIIEPFMKPPPKMHYPDYYMIIKNPIAMEMIRKKINREEYQSLKEFRADI HLLCQNARTYNEDTSILFADANLIESTCVAELKKLTTEYPQFADFDDRDEGTSSAADG VSTTDALGADTPSAQPKLKLTFNSNRNSAGPANGDGASDDDE TSTA_055030 MASESRGSTGKSSPSPVSLRSQQSKARLDPRPPNSVASYPIHHH FYPPKALNALPTPFSFHPGPFGPSLTVIFRRLCCPPNVATGRISSFDNAFAFAHIISR PILRLPIDPIR TSTA_055040 MNVNKKLDRFKQWAGERMGGEVKTNVSDDFKALETEMNLRHDGM EKMHRSMTAYVKAISKRKEGDDKEKTLPIAHLGSVMIQHGEDFDSQSEFGRSLTLTGK AHERLARIQESYSVQASTSWLEALERSLASLKEYQNARKKLESRRLAYDASLAKMQKA KKEDFRVEEELRSQKAKYEETNDDVYRRMMDIQDAEGENLADLTAFIDAELNYHERSR EVLLQLRNELPTANPPASHANSHRPSRARSNTAHSYQERYEPVVEVAPPSPPRPTIRS RRSSTFYPQNDETPQARPEYSRPAFNRVSTYDGSTHESPSTYTPNRVHNDLSIRSART NLRPVSKIHEDYQDSSSYGNVSPYDDRSESSATSQGGYFSRTPSSSALNSVSPATKKG PPPPPPSRATKPKPPPPPPPVKRNLIGA TSTA_055050 MVLNQSSGYVPPSNASEAPDPSLPIFDVRDVQLRFSTPDFVAAQ VANNVLVLALATGRILRIDLDSPEDIDDIDLPKKSTETGVIRRMFLDPTASHLIVTTT LGDNYYLHTQSRQPKLLSRLKGSIESVAWNPSGPTASTREILVGITDGNVYEVYIEPS TEFYRREEKYVANVYRVPDTSITGIFTDLIPGKPDQRYVIISTPTKLLHFQGHAGRHG KEGVYAELFQRNTPAVQENARATRSAPSSLAISPRQTDEHHVDGQTSELRFAWLSSTG VLQGLLNHNSLDGSKILEKASMIPRDVFPATESARGGKKLIQDPITAITLSQWHVLAL VEGHVVAVNVLSGEVVHDQAVLEPGQTALGLVSDRVKNTYWLFTPKEIYEIVAYDEDR DAWHHFLQEQRFDEALRYAQSAAQRDAIATASGDYLANKGKYLEASAVWGKSSKSFEE VCLTFINAGEHDALRKYLLTKLASYKKSYVMQRTMIASWLIEVFMAKFNSLDDTIATK AELAEGTSTGESKAQLESIRAEFEDFVTKFQADMDQKTVYDIISSHGRESELLFFANA TNDYNYVLSYWIQREKWAEALNILQRQTDAEVFYKYSTVLMVHAAVGLVDIMIRQTHL DPERLIPAMLSYNNTVKPTLNQNQAVRYLNFIIANHPHPAAAVHNTLISIHASSSSAN EAALLSYLESQPSDSPPYDADFALRLCIQYERVQSCIYIYSSMGQYQQAVELALKYND IEFAAIVADRPEGDEKLRKKLWLLVAEKKIRQQGTGIKDAIEFLRRCELLRIEDMIPF FPDFVVIDDFKDEICKALEEYSIHIDELRQEMDTSAQTASQIRAEITDLDCRYAIVEP GEKCWICSLPLLSRQFFVFPCQHAFHSDCLGKEVLEGAGGKKRYIRDLQARLNKGNLS ASKREKIIVELDSLIAEACILCGDHGIRQIDKPFITDADNLDEWQL TSTA_055060 MSGIQRFLTKRGERHRRSGKHEKEPQPTNPGVRSYLRGLVIKKR RLASIGILDLNTEQINGALTSPYAQGDTEKAFDLLMMIEDSIEGILRDHDTSIKLVGA VNRMGVTCYLDALLFAMFARLDFFEAILYRPFTDNNDPRRKLVILLRLWVNMLRSGKL ITTDITEHLQEALSECGWPEAAKLCQQDASEAFTFITEKLELPLLTLKMDIYHTGKED VADDHKFINERLLEVAIPPEHEDGTPITLEECLEAYFNNKIEVKRYLERRGTVNSMRS FDSTMKAATVHVESVEVGSAAPSPIRTGSPKIEFPITEKVSASSTLTRRPSIVQERFI PDKDSFDDLVHGRKRKGSYRKEVMMPAWQFFSLIPWYTDNTPINDAQVAAHFSSKRPI LGMCLKRYSVLPNGNAIRLNTFIDIPTEIGLPHFIQDDKLDEDGPLYGNFKLSLQSVV CHRGNSVDSGHYVALVRGTAANMSSTSHSSDTNSVLSAGNSEPWMRFDDLAAERITRV NIEEALKEESPYLLFYQIVPIDDSLTEENLSDKRVSFISGSEELHDDPEKEIIVSTTH LEHSADRNTSSSARLSFDLSSLRPTLDISEIDMSSEVQEGTMIEIMDGPQNGRTGLRD AFTTRRSLSIPRRSKESRSRSRSRGGDQSGEKRLSALSRFTSRISKEKGIDSPSTTTV DQEGRPKTEDGTIPSIAYDETETKQQLRGRDSTLRQSNGKQRQRKEKSNPDRECLVM TSTA_055070 MDPVLKTPALSEEQAQSESTENNSQTEKQSTKQPPPLIAKLTAI LLISCISFGSHWYSSVTSAMKSTLKKQLLITNTQFSLLEASEDFMATVLLPFSGLITD RFGGANMIVYGNIIYTLGSILVAAAATVESYKFMVASRVILAFGDIATQIAQYKMFSS WFPPSNGFASTVGFELAIGKLGGFAGQSTANIIAKRTGHFYWTFWVAVFMNLFTNAST GLFWIFSRYCEKRYIGRRDTATNENLTEKNKNFELKKVFDLPWPFWNVLCFSLFQTSV AVVFSQNSTELAEKRFNVDSIRAGWYSALSKYMGFILVPCLGVFIDIFGNRATAMCVC GIGMLLCMCLVNFANTSSGTAASFGIYAVAVSLGPVSIIDSIRAVLYHQSVFGSAYSL KVTMNNAMNIIIRIITGALQDVDNDSYRRAVRVYLFQAAASVAVGLSLLIGAFFTDSL GVLQWTRKYRLKEGGAIINSLKEKSLTTHTRRNKRISIVCFAVLFLLIVGSWVAYIWG AVTGHNK TSTA_055070 MDPVLKTPALSEEQAQSESTENNSQTEKQSTKQPPPLIAKLTAI LLISCISFGSHWYSSVTSAMKSTLKKQLLITNTQFSLLEASEDFMATVLLPFSGLITD RFGGANMIVYGNIIYTLGSILVAAAATVESYKFMVASRVILAFGDIATQIAQYKMFSS WFPPSNGFASTVGFELAIGKLGGFAGQSTANIIAKRTGHFYWTFWVAVFMNLFTNAST GLFWIFSRYCEKRYIGRRDTATNENLTEKNKNFELKKVFDLPWPFWNVLCFSLFQTSV AVVFSQNSTELAEKRFNVDSIRAGWYSALSKYMGFILVPCLGVFIDIFGNRATAMCVC GIGMLLCMCLVNFANTSSGTAASFGIYAVAVSLGPVSIIDSIRAVLYHQSVFGSAYSL KVTMNNA TSTA_055080 MFTFLRGSTPPKTFRAHDNPIYYEDGTSFVQFNEAGSKYILRNQ HPPFDSTKPSIMTPPFHWHINQTEHFSIVEGECHLFKENPEKPWMTISAKDPNAPKTA SIPKTVFHTIHNASTTEPMVVDVNLTPEDAESEQKFFRNFFGYLDDCRKAGVPPSMFQ LFVFLNEADTPVAVPLPSKFLSKVASRVMLSSVAWYGRWILGYKTSYPEYYDDGKSSI NHFGKSRNI TSTA_055090 MSEVLGDDHPDTLAAKIKYAARLLLRKDLPGAARLCEEGLSKMA QLLTMLQYKGGALNDPEIAEKEAHKKMTKMMGNKCSGITKAMLGLSYVLQILDSYLQA QDVEKNMIERSQSFLNEQTRRRKHTFGEKEPESNFAVENYTKLLAMLGSSGEAAASQR EVLEKWQLISSKEDPAVIESMGGFADILQAQECPSEAEPVRKEAIEKSKELSDEGGVI ENMEYLAAILLQQEKFPDAEEPQREALRKRQLLLNPHATPDASEGLAVILRDQDKLPE TERVQKEVLEKRRELSDEDDPEMLSAMDILAEILRRQGKFSEAEGLQREAYEKWKMKD ADSENTISSGSRFADIQFGQGNHEALGLRKEVTEKRKRLQDKDDSLLKGGVERSGKEP F TSTA_055100 MVKRKENSESMKSNGTSQKCGVEISQIKVDDAFAILSACACTWA SDRWSTPGKCASIRAVVGFLKKKQSQLSSPKQKHWVASHQMAVFNIVARMILEHRCSE LFDVVSNDQPSFARFLEYLDRWSAQTQVEDFIVKQRKNVLGETDRGAVNAKANYAKAL HRQGKFADTIARQREVLERENLT TSTA_055110 MKIESCISKYLDMGHDISHGNIICKTYRAVTSGPEKYPKFSVKP LENTIKELVEEHLGDREMLLRSQVHTDTAHSDISLPQSKSNLRSPNILAPIRMGNPEV AYTDGRLWFNNPIRQVLDEISDIWQSRRIGCIISIGTGVPPDHDVGLLRKFFEGLLID TEMRVEKFEKEMESIYTALNKRSTTASMSSKALERWD TSTA_055120 MAAREPSRCLQRALLRPSQPHKYQQFAFSKRNAFGQAAAAVDVE AESSLATPVPESVIKSYNPVARAKAQNKLPRSRYQFRSPKYDRGPLHPHRPPPPADPS SRLFIPGPFSLPRVQQTYESTIASDILTLCYVHRPPGFQPPPKAPRLRSWDDSSPYHK NRPLRGPRGGDVLRLLRKPITFRNLPKVERITIHSYVKYAATEGSQWLHVAGMAVQAI SNVRVETFKSKTSVGPWGIVPGRDTVAVKAELYREDMHHFLGKLVDVVLPKIKEWKGV KGSSGDSSGNITFGLEPEEMALFPEIEVNYDMYPVKMIPGCHITLHTSATTDKDARLL LSALGIPFYGKFVN TSTA_055130 MLASKPAYQTSLGHSTSQTTPSYQSTSFHRTGSSSMTSDTGMFT SPTESEFSVNHDGLDSVRSWDEKKLAEWLHSIRCGQYEPLFKANNFNGDNLLECDQKI LQEIGIKKIGDRVRIFVAIKQLRNKALLNRKKKNNTASLTKDPLAALEATTYAPLSND VYSSSGSRNPLSSKWSRQLDSISGRSNSRPSSPLTMDDRTRPLRHATSPLEGPRRDGI PGYLSHPSSAGSGSGRRPITPGDTSQMSHSRQNPSIDGYTIGSLPANSYVIRVIYTGG QTKAMNVKGCKSAEEIMLLVLRKLQLPDYQHRNYCFYVLDGLEPDPANCRRVTDSELM RICEGSQKSERGRLILRKIHTGEPDADELRRAAQLALDESQATHLNALSSSNVRNQLK IQQLTGEPWHNIKQPISPLTSERSRHLSNDEHEASPTSASMERPSASKLRSFFGARPP SEMIIHELTSYFPSHQREDIEKTMRLSVRRSQRLSRAASRLSVVSNISFASSLKDAPP IPSIADTWLTGGQSARPSRPLSVARSHLPQISYRDSIASSSLQPLQEESPIEPDRKSY ISFGSGSEAVSGDASSTQRSFLDETLSVAATDGGGSLNERLSMIVAEDGEEEDTGLAD FLNGDNFAAKNWMKGSLIGEGSFGSVFLALHAITGELMAVKQVELPSATKGTEFDKRK NTMVTALKHEIELLQGLHHPNIVQYLGTSADDHNLNIFLEYVPGGSIAEMLKQYNTFQ EPLIKNFVRQILAGLSYLHSRDIIHRDIKGANILVDNKGGIKISDFGISKRVEASAML GSSAVSGKGHLHRPSLQGSVYWMAPEVVRQTAHTKKADIWSLGCLVVEMFIGAHPFPD CSQLQAIFAIGNNQARPPAPEHASKEACAFLDVTFEINHEKRPSADELLECEFLAVNV TSTA_055140 MAADSHRTRPKQACLTCKTRKKKCNKGLPSCNYCILKDLECRYV PVTRQRVYGTPNTDISTPTAIRREILTAETIDGPTRTSIPEPATTPRKTTLYRPVFES LDGVHLEVQNIIRLSGEFMDDLTSRYFRNLHGNLPIISRERFQSSLTGMGTPPSADSS ILLLTVCLIAYLPNPKLSQRDGDTIGRRSLYLATKALLAQVQGCLQPSISLIQASLLL ATYEYANGRPEVALVTIAGCARMAYAAGIHKSKPHNMMDGDSRLEAEEAANTWWGIVI SERAFACEIDDYEQPMATILPSGDVQLPIDRQKLDIGDLLSPDSMPNIPASRLTITSI GCFGRAAQASCLLDQVLKALVIPNLTIRLPLLESLDRAIQSFLADILALIPGKGSAYC TALAVTVRTLFKLHEHVLSISQQAVFVNLRSLEEWKKSSLAALDTATTMVNDMAKWHY SILPLDGSNNTSPIYIYVVRASIKHMRTRPYNGDYPWPESSENELQLYLDRLQHQWVT SYDY TSTA_055150 MSPTQKAIVVSELGKPVTLVKVAVAGLNPHDQKSRDWGLFILRD LTPVPKDYSGDTDLPSVPTNDVVGRITKLGPGVTDLAIGDRIVYQPSFAPGSKQNGLQ EYALAELGALTKIPDSITDDEAATLPTNIIAPLVALFDALQIPAPWLPAAKDFDYANT TILIVGGGSNCGQFGVQLAKLANIGRIVVVGGDEAKLRSFGATHVIDRYAGYEMVLTK IRDIVGDDLVYAYDSINPAEGQLLALNALSSSKRGALARLIPRVPVDESKVLGKRAGF DVRDVFGSSQVHPELAAGFWSRVPSYLESRQIKPLAYVVKRGLTAENVNEVLDAYRDG KSVAKTHIHL TSTA_055160 MEADQPSPEKPRKKTTSAAHRKSLACEYCHRSFARLEHLQRHLR THTKEKPFSCDICSKSFARSDLLVRHERLVHPGEAAAHRGERRSGTDATASHQTVPLS PASMIPQAHHESRMLDMHDSISIQQQPPPPPPVPPPEVQVHHAPLIEPNHFNPSWGYD LNLLSHAASHVALENQQEIALESIRKPHLPTGPSPQLQPTIQEKSISDAYSVEPSFLD LTDLGDPVQDFSVFLESVGLSTDWDSGVFSSVETDPLLSNSIQFDSKTANREYGQPRL NPDILSEQRGSADEAPSFSNFGSRLPSLQPEPQESDERASLLDDPTNPRPAWDISNTD RQLFLSRLEEFAHVLPRGFIPPSRHALSRFIAGYINGLNEHLPFIHVPTLSVVRCLPE LTLALAAAGSHYRFENSRGIELFHAAKAVLFERIRRRDAKQVPTPTWDIYIPSMSSQR SSIAPSNTPGSPFQTQKYPHQLDTMSYMMGESEAHMEVIRTFLLLTVFASWEKQPELL REILALQSTLARLVREHGLSDSIMISDNLAWDEWARLEGNRRTKLIVYCFFNLHSIMY NIPPLIMNSELNLRMPCAHDIWKANNASQWRRIYRSRHEPIVTFQEAFAKLFLKTPPS NPCPISPLGNYILIHAIIQQIFFARQLRISAPLMPGTSLRPEDLAALENALNAWKAGW KRTPGSSIDPQNPAGPIAFTSTALLGLAYIRLHLDLGPCRRLVTQDPLQIARALQDSP PIVRSPKLIMALLHSAHALSIPVRLGIDFVARTHSFFWSIQHSLCSLECAFLLSRWLL SIPLTQNEQRLSDHERKLLLWIKSMMDETDMAVVSSSNDGNDLDFIQDPFKVRRLSVA VVRVWARTFKGNTSWAIVDLIGSSLQAYADLLEG TSTA_055170 MAKRSRTNDQTSDASPLHLTEPEQSTSPPTKITVPSPDNSSESQ PQQQCLMKCSLPPHKDTLTFSSYDDYEAHYLKFHVNRCSECGKNFPTQHILNIHIEEN HDPLILARRDRGEKTFSCFVEGCERKCSTPQKRRRHLIDKHMFPRNYNFFIVNDGIDK QNSLLHTSQNHGHHRRLSLPPQSPLEGRLRNRKTSVSLAPVNDGEGLDESSKEQGMEV GDDEIDVLASSLSALRFVPTSVIKRLELERK TSTA_055180 METAFQDAWQTAVQAEKDLLKTLADKEPTFAEIAHCLAEFRTTC RNAIFIDFDAAAAENAEARLWDAHVKINARFRKLLARFRDDAGKKKPVEKRKLEKHYL DFIKLSQKFYRGYIQHLALHYAGIPELEKVAKKFSFENLSVETPANPDLDLRSRILQS CHATLIRLGDLSRYRETELVSGKERNWGPAIGYYDLASIIYPASGASHNQLAVIALAD GNHLRATYHLYRALSAQEPRPGSKGNLEIEFKKVLSAWARKELIPREDAGIPGKALTP WFVYLHAQCYKGLDFPEHDELENEVLSQLAVDLKERSLEGTLQKFVLINIAAEELAKT RSKSEPTHNAQLFFQRINVKTFFTLLQILLAELERFATEDSESKESQHGSEKVTVVAR RVLPALRNYSSWLLTNCVSLTAQKQDKDTVLAVQIQEFWKIYANTLTLLASTFDVTSL PEVDYLLEEDEETLGFKPLINDATSRRYLSENASKTKPRMLDPGIERNHPNIEMLYRI REFVIDGLDLVISNRIPITLVDENERKMFIYQEEGLPSQFYASPRGPQPTISSTSVQR DEIRNAQRGRNVTTEGRSAFGGSQSASISASTNFNRIVEGVEKLVESDTYEAPPAMPQ VPEFMRPMQSSTTYPNVINLQSTPQHVPYQTPMVPPGFGSQGSPAPPQTYAPLYTSSS IWGPNNTSLSNNDVSPHRFNATQALGSSQLSAGAQEAYIPSPSLVALQNGLHLQQQEI EGRSSSQPFSSSWSSTNLTQPGWDRNQFEGGPPYRASLLQHESVPGYDYPSQHNQAFI ASTLSPSHASDNRQSTQNSSARFGAIGQQTPPCGQAG TSTA_055190 MASPQGYPLLCLENPLLDIQGVGDDALLEKYGVKANDAILAEEK HMGLYEDLLQNHNAKLIAGGAAQNTARGAQYILPENSVVYIGCVGKDKYGDILRETCK KAGVHTEYRIDEVQPTGKCGVIITGHNRSMVTHLAAANEYKLDHLKQPHIWSLVEKAQ VYYVGGYHLTVSVPAILALAEEAAGKNKPFVFSLSAPFIPQFFKDQLDSVIPYVDYLI GNETEALAYSESHGWGLSDIAEIAKKLTTLEKKNTQRSRVVIITQGTLPTVTAVAGAN GAVETKEYPVHEIPKEKINDTNGAGDAFAGGFVAGIVQGKSLEQSIDLGQWLASLSIQ ELGPSFPFPKQTYPRQ TSTA_055190 MGLYEDLLQNHNAKLIAGGAAQNTARGAQYILPENSVVYIGCVG KDKYGDILRETCKKAGVHTEYRIDEVQPTGKCGVIITGHNRSMVTHLAAANEYKLDHL KQPHIWSLVEKAQVYYVGGYHLTVSVPAILALAEEAAGKNKPFVFSLSAPFIPQFFKD QLDSVIPYVDYLIGNETEALAYSESHGWGLSDIAEIAKKLTTLEKKNTQRSRVVIITQ GTLPTVTAVAGANGAVETKEYPVHEIPKEKINDTNGAGDAFAGGFVAGIVQGKSLEQS IDLGQWLASLSIQELGPSFPFPKQTYPRQ TSTA_055200 MSHAALGIAETIQTASIKRHPSPRHDINPSTAASEKLPYEDADH SDTASIATDILDRPAALRPAARRRDLPPLPDLRFEQSYLASIRGAETWQRVTWITIRD QVFLPLIQGTLWTLALCGWRFWNRNAQLHGNTLGTRLRRWWYGVNNWPIPPQGIKSNK KFAERVQDFYTAQFSSD TSTA_055210 MSSSDTSSLSSAPPTDDESMSGVTFETNGKITNYFKEETPPPPK RAPSPPHEYVLADNPDIAFIVMFRSRFSDVFSKSLPHYGPQDIERGVQDTTPGENVEK LLCALIGLVLNRKKDVEKGHYQRALEEAVQTHASQWPRAWEGKNPLHGGRNFTTMNPE ERLCLLKALILWSLSSSDAVQAKIKESYKQVRHEDDLNQPLSVQPWGRDELKRRYWLV EGQDDTHFRLYRESNPALKSNTWWSVAGTIDEIREIAEKLVQEKSQHSKKLSQRIMQA IPRFEAGEEKRRRRDYRLARKAAFTRPEPGFSLYEGRTRGKKLKYTYSDDEGMFSDDL PATRRSTRNASGITTPGEPAGPTITASGRQVRARTGGIYGESILSGLREAASHDDEDE EVHPQRSTRGQNGYTSRYANDTSDEESDAASSWKGDDESNNENDFEGDDEEEPSEDES MLDIDEDKQHSLVVHLHYGKTKQQPNLNEGPLAEPNPTLPDTNTAKTEAVTDQSRQVE KPTAEGHSQPEAATNGPSEEKQHEQTVSSSAAIPPYEYSKENVSAQGMKTEDVNAKAT QEPPVAPLEPSRVQNGHE TSTA_055220 MEALKAVFFGPDPQAQMRKCNQLIRQNTRQLDRDIAGLKALETK TRQLIVNASRRAQRNPSQAKQATAETKTYARELLRIRRQNTRLTTSRAQLQSVQMQVN EAFSVRKIQGSLQKSAGIMKDVNTLVRMPELNATMRQLSTELVRAGIIEEVVDDALPN DELLEDELEEAESEIDKVLQEVLQGKLSKVEPVRPDIPVEETVTPQEEFEDQEETLAQ MRGRLEALKS TSTA_055230 MSSKLDQSLEDISKSRRQARGNNRRRSSTAKATTTKAPVGGIKK NTKPAKGPARGPVKGPAIGPTSPVNESKIIVSGLPHDVSEASIKDYFVKTVGPVKRVT VTYNQNGVSRGIASIVFSKPDTAAKAAKELNGTLVDGRPMKVEVVVDATHAPQVAAPK PLSERVTQAKAQPKPVTATKNAAAGGRRGRARPRKPKNPTQRKKTAEELDAEMEDYFV GAENAPAGTTNTNGAAAATGDETMAEIS TSTA_055240 MRFSYSFSGSTSSSPSPSSLPPPPPPPTPNDGLLDITPRKSSFS SAMGMSSACAFPSWPNRPSLLSPDSEESTASSYLSDEDLFPTDLPLTPPSESAIDEES AASDPVCLPSGADDLTTEEQIQMMRAAAEEDERRARFLAHVHAHARAQQAFKMAQLAA AERENAKRSTKKKRPVMIAGKKHRSSSSSTKTSSGRISSTRLSTSTIAFFNAPYSFTS VR TSTA_055250 MSEDSLLSQLCNICHINTPKYRCPRCSIRTCSLPCTRKHKTWSS CTGVRDPAAYLTRSQLATEVSFDRDFNFITGIERSLERAERDAENRGIELEHEYMLKT TAENGGKKRKRGDKNANAAPRMVKGELGFVRAAAEAGVKLVRAPGGLSRRKMNHSRVH PKHKCLNWSVEWIVPATGQTIVRPCLETTPLKEAYDRVFPISNSDRNNIPTDEQQSST EKANNSHRNVYLYLHRHRAATINIVLVPLEPSATLRDVLRGKSVLEFPSIFVLKGDLR QLETDTEGGVAMSGVSGKRRFILEEVYLKDRPGEVEDEDENSGNGDEEEEDYTSSEGS SSEESSDEDESEDGNENEDEAEVAAGRNETQDESLVDHSVILP TSTA_055260 MSISVSSPLLSVHRDITPPAMPDAGVAHLHDRLNYLDSRISELR SVILTKDSYVERRNREDLYIRREFETQRTISERIDVGVTILKTELNQIRNSVSSLNGD TAFLRNDVARLQKNVQQLQVDTAFLRNDVTRLQKSVLQIQVELEALKSEVCGCRTEIK QLHTTVQQLHTAVNQSERVRFNSLATTVHAPINAVPKIDQDGTLRYPNWFPRTVWRFW CLKKRSRIDRLLELAEFYEVEGYEYWGRNHLDDFVPWDDDDDSSDYSDHPNNLTRAEA VYQYPEACHQALAATLGLNYHKIRKAVGESPNSRIATATKRPVDDVVSNPQVSSKQKQ VKIGRRPRDVSPTLLQRLVYGVPSVASKSVSSELEDRLVWREHPREGSEISDEALSKL KGLSSDIGSILRAIERGKLYVKSEQMHASPIESRARHDIKGERSESPMAVEEEVRSTH TVSTEIISPTGSLPDTASMMS TSTA_055270 MLVAEIAAFHVRPVAILRVKTADEALQFFANYNVLYAITMQYYL TFFVLITLTTVSAARSVQTPFSTDSSTEKRGPPCDCYSVSGPDAGYFQHHRFWDFRQV PLNLLAAKDFKFQPITQADASTATENNDINAAPILLKDTPFAAEWLIQDWQRSGSQLF PIPIANSNQNAFLTRSLAGGTSFLAMRTKRFERYSSTAEIETNISNFMHVSFRVRLRI LGNDEPILSPPLIENKAFGKRNDEMADMRIQEDPRFQRIAARLPPSTGACVGIFTFFS RTSESDIEILTSDPPNRAHYANQPDYDPIRNIVIPGSQVAVDAHVPWTQWSTYRLDWL PGMSRWYVEDRLQASLAYGVPVDPSRLIVNIWSDGGLWSGNLTVGNSVHLGIEWIEIA YNLTGEAPRPCNVVCRIDGVKTPGVPEM TSTA_055280 MGGTQDSLQPPIPANTSNILADRPVLHATLEDYGPVVENLKKPF VFPSQASERPRSQKRPPPDWLLYGPRRRSTKVELPARREGPNYGFDLGTYNPRTPSSS FAGRSFTGHPRDKAMFRPGRIPHMFSPDLSPTEIRRWERERRKSIFDYGSGNTNIDLR AMFAESRVDRLRQEYAENPILTPPEKKLRIDPDLEVARLRRPIIGGSGQRNPWDGYIL EHKRSLTRDPFRKRRDADGEGSEPKRSRFNGDGTDADSPRTPVRGPIPGAWPEEDPGV EPSALQRKIVLATGSIYVQIRRITGLVRRAHRAVKQGIRASTRFAVTVGERIRETRQI QDVEGPPDIIMATDDDALAANDQLQTDMDAGVPSDYLDMTDSADNSSAQLQSELLDHT SRLSTRTATAAQTTATSANIMVLDHITQDEAMSDTSVSVPEVHPASTPQIQPATSTAE VSTTSVTEEHSDVPSTTEAQPTSAAEVQEGPTSSMVPALEMDDEFEMEAFQQMIMQDA GRDDSSFPSLSDSITWLTRFEQPAAEGDPGANLDVEVNKPETAQEHAEEAQESSSVVA QESATDTVQTTVETTTQIEEQTVEEIPGPVTPPPPPAEDEERIKTPEEEEAAWLAYRA RRLPFELADSLDELEDAEYAQKMHIWRKHNGQKRSKKAEELLREERRIDETADQLAAT ALQTPAPPKRVTWIDDDIDFRSPSAVQWFARESPPAHVGLRLRGEPAFRRGYEQFNAS VQRRQEEWERRKQKELIQERRQSLNRVGIPDGESPVRPLTAEWEQRLDSAMSGPANRV LASTGDADLTKQKLNTCYSPLAWLNDEVINAHLTYTVEHLRRKANNLARNVTPKYHAF NSFFYSSLRRNGYAGVQRWARRGKIGGKDLLNVETVFIPVHEGAHWTLLVVSPKMRTI EYFDSLGGIPDSFVHNIKIWLKQELGDLYKESEWVFLDTPSPQQDNGSDCGVFLLTTA KAIALGLKPTIYGPEQIPLIRKKIVAEILNGGLTGDFDPVGSYRFVQL TSTA_055290 MDKKSNGRTDAGLRSLNHYQNSLPKWRYYPRQKLLPVVRFETPY LAWFQDKVRSPMLDSYFAFTANLGTHTFFMIFLPVLFWCGYPSLGRGMVNVLAAGVFF SGFIKDLLCLPRPLSPPLQRITMSGSAALEYGFPSTHSTNAVSVAIYLLSLLWNNTTL SPAVRFASQAALYIYALSIIIGRLYCGMHGFLDVIIGSGLGALIAWFQIVYGPTWDNW ILEASGKEVLLLVLVVLVLIRIHPEPADDCPCFDDSVSFAAVFIGCQVAAWDLSKSMI TSAELTADTIPYRLDIGWLRTSLRFIVGVLIIFAWREFTKPLLLRILPPVFRGLEKAG LILPRRFFTHASEYGKVPGHLKDDEVLPNFSDIPSILTSIRHPRRRAISIGPQSEADA YETLAYREKRRRESISDNYGLSGKHRQASKHSVSKLEEYESQMGTVSPRQSPDAPTST QTSSAFEETANGSSTEDEAQMFSMIKKPRVRYDVEVVTRLIVYMGIGVIVVDVMAYVF DVIGLAP TSTA_055300 MAAVEYNHNYAPGRRHSVYNHSEKTPSPTVTPRGSHVRSQSIRV SNGSSGTVSTNTSMSSGRMSQATNITQPPAYSKKFVVVGDGGCGKTCLLISYAHGYFP ERYVPTVFENYITQTTHAHSGKTVELALWDTAGQEEYDRLRPLSYPETDLLFVCFAID CPNSLENVMDKWYPEVLHFCPTTPLILVGLKSDLRNKSTCIELLKTQGLTPVTPEQGQ AVARRMNAAYIECSSKEMKGVEEVFELAVNTVVGVEEQGYYGPGPSGKGGAVGRKVKK RTCKIL TSTA_055310 MYWPTSRNERWFCWTVFAQAVAVLALEIYNLAQWESWIRPNGTQ VPISYLIPINLSLIMFAVFYESLLSLKLVHDKSNILLLAICISKACVFAYSVMQYLSM EQNTHSIQTNQDMFGEPLVDLSRDLWKEIKPAEMLVPIVIGIATVIVCPVAYRLHKEY SWAIYQCVQGDPKIRFRYRGYEIYLVLIIFNVYFFIGFIVQYNLVDVHFIEPEFSLTM ALIPAAVMLMVLSAYFVRYENKIGTIIVITCYLGLIAYIISRIILLCNPTGRGNTPGK DMMLLFAFLALIFTFLAMVCAIYCIVNFEHGLQTVFNRKSQIPRRSFVFQELPSRYNS ARDSSRMSLE TSTA_055320 MSAFATSTTTDPRTEFEIQRSELVREIALGMESVLQYINRLNRN LESIIAVGNEFSSVEALWSHFENVMGSEEGQQTDVQKQDDNNAGEEVDIKQEEEYGDI ENGEHRRS TSTA_055330 MASKFIEILDENRSNPLSHHHHRSSNWDVKLEDVLADQEATIHN NQHRRSRSRSRSSTRSSSFRKSHEYSRGSNNNNQPRLSMKFVSFVVTDVADFTGAENK RLRKFTLTGGFGR TSTA_055340 MWFLINCIGRRAENLFITTLELTTLSFIIVFLITPFCWYHKPKD VTRAIVLTTNTPITAIHARYHPFPGSKWYQTPLDFLSRNEWFRSRFLAGITCMFMFAW NFQFPTSPERLLWRIAAAYLV TSTA_055350 MLSLALTAELQGVAGLQPKDTEEEPYYYTFKVLCSSCREEHPNW VSFSRYEKHDIPGSRGEANFVWKCKLCGKTHSASITAGPNAYQIPENAKNKTQKIIEL DCRGLEFTDFKADGDWEAKGAETSTSFSGIDLSEGEWYDYDEKAGEEVSVKDIKFEIR NIK TSTA_055360 MAKQDNRYDIVIVGAGPVGILLSLCLSRWGYRVKHIDNRPVPTA TGRADGIQPRSIEILRNLGLKRALMAYEPAKVYDVAFWDPLPGGKGITRTGNWPSCPR FIDTRYPFTALVHQGKIEKMFLDEIEKAGTVVDRPWTIVDFENNGTDAEYPVEVSLKC LDTNVIENVRAKYLFSGEGARSFVREKLGVKISYKDQISFVWGVMDGVVQTNFPDIKT KCTIHSDSGSIMVIPREDNMVRLYVQIASSTDPDWNPRKTATVEEVQAAAKKILRPYV IQWDRVEWYSVYPIGQGIAEKYTLDHRVFMGGDACHTHSPKAGQGMNTAFHDALNFAW KMHAVESGFANRSLLESYEPERKQIAEDLLAFDNKYAALFSKRPPSAEEVGNAKSNDA NAEENEFVQTFKSSCEFTSGYGVAYDPNVINWSPSHPAQSHLFGIDGVKLVPGRAFTP TTVTRLSDANMIHLEQEVPANGSFRVFVFAGTPQKSKKALEDFASYIEKEKSFLSVYR RPDIANVSYFERHNPHSKLFTLNFIFASEKNGVDMQSLPQIVKDYHHHIYADDIPDVR VPHAKYSAHEKLGFDPEQGGVVVVRPDSHVACIVRLVEGSGTVDALNAYFNAFSSKPL GQGPGSHL TSTA_055370 MDKDTKKKDEMLSKPANGIETKGSDSSEGDIPILLPRRYHPDFK GNMVVEEPQTESAPASPTCPRQTRWSFTRTARGVVESLLPEPLRPRYVNEQRPVMMEA LPANLVDARKASIYDAVLHPPRPSSSVYEDDDRWPDLTTSSGSTWHYEGNSSGINTAR NVRRHNTPRPGQLNTHKPLPLDPPNVVNLRREQSQYLEGSSFHQSSRPQTVFPGSSYL DDPQPIQECEETRSSLHTLDQSQTSSNNQQGDMYSQLAALRVNFSRRHSARLPDADDL NEKAPLLQTSESTNLAKKEHVPFDPIDPYHHSGQPKKKVLYGPAGYLGKSKDWKNSNL QRMTEKVESLGHRVKRGIQRTLERDPDDNRMELLHGIVMKPSISINVDPEAQSEIYSK LELFLCMSANSYLLVQLQNNRFVGNDSIKRFVNSWKSRNRPVVPEFQFDMKTQRDIIV HNLRTFEFPGRYGLDPVLLRGTLDAWGSVISQLNVRSYCWPDSAIRKLFHDVEPILEM LGASREVLATFLQIKQVVVAIVQQAKYKRKMEQLKLASSLHDPF TSTA_055380 MSGPVHTYGPTCLRSTPKLDLVAFQSSSDPPPVNSQFFYTSSLP IDDPLTPLPAAATAASGRTAFTPQPFSARDNVALEQAWRALADTASQETRPGISSRKD KARNLGTTSGAGSIASDAGGMPMKRSELFNRHEGPSTWPKKRDTSPLGRKFKSVKRNS ASLAGSEGHVSGSEGASSSRIQQGGESTANGQSTDFGTDDITAPATREEVTENATAEV LDTEFSPEEPEDRSARYKIPVGVSRLHLVELPGLKMKPIYWSPLHDISDVLRATWFYK NTMLPIEPYLANELEKGYHYMRAWTDTWQDELNSCVEHGADAEMKVVYKLWQDDFVSR SRPETAQGGKMSTDVSVDDADADIEEVTFAQNLAASGSTKETESGSYKNASVIYVDGK DAQILRPSLLPSVSRNRRPLSSIRKGRQIGIPVVRGFDRKQWDQLHPVKQTNIDMRHY LTRPQTRHSRGEQICYACDIEGSRPNPSDLVLVIHGIGQKLSEKMESFHFTHAINGFR RSVNMELNNEEIWPFIRPDHGGIMVLPVNWRSTLELADAEMDSLDTNDPTANHYTLDD LTPKTIPAIRTLVSDVMLDVPYYLSHHKEKMIRAVVREANRIYRLWCMNNPGFHEHGR VHLLAHSLGSVMALDVLSNQPTNPPSFDLQPAELHDDIFEFDPKNVFLCGSPVGLFLL LNKANLLPRRGRNKRGREGEDMQRGVAGEAKKYGCLALDNLYNIMHTTDPIAYQVNAA VDSDLAASLKPAVVPSSSSSFISSIFGFGPTNKSLTSSGLSSTALPSRPTNITKLPSN VELETHDFTREEIAEKRMLLLNDNGQIDYFISGGGGPLNIQYLNMLSAHSSYWILPDF VRFIVVEIARRQDKDGTLLALRAEKKKGWKA TSTA_055380 MSGPVHTYGPTCLRSTPKLDLVAFQSSSDPPPVNSQFFYTSSLP IDDPLTPLPAAATAASGRTAFTPQPFSARDNVALEQAWRALADTASQETRPGISSRKD KARNLGTTSGAGSIASDAGGMPMKRSELFNRHEGPSTWPKKRDTSPLGRKFKSVKRNS ASLAGSEGHVSGSEGASSSRIQQGGESTANGQSTDFGTDDITAPATREEVTENATAEV LDTEFSPEEPEDRSARYKIPVGVSRLHLVELPGLKMKPIYWSPLHDISDVLRATWFYK NTMLPIEPYLANELEKGYHYMRAWTDTWQDELNSCVEHGADAEMKVVYKLWQDDFVSR SRPETAQGGKMSTDVSVDDADADIEEVTFAQNLAASGSTKETESGSYKNASVIYVDGK DAQILRPSLLPSVSRNRRPLSSIRKGRQIGIPVVRGFDRKQWDQLHPVKQTNIDMRHY LTRPQTRHSRGEQICYACDIEGSRPNPSDLVLVIHGIGQKLSEKMESFHFTHAINGFR RSVNMELNNEEIWPFIRPDHGGIMVLPVNWRSTLELADAEMDSLDTNDPTANHYTLDD LTPKTIPAIRTLVSDVMLDVPYYLSHHKEKMIRAVVREANRIYRLWCMNNPGFHEHGR VHLLAHSLGSVMALDVLSNQPTNPPSFDLQPAELHDDIFEFDPKNVFLCGSPVGLFLL LNKGKISSPFYLLMLIRPQSELASTTRQK TSTA_055390 MSTDILRPAILIISDTASINPQSDKTGPILTEIFTSPQHSKTWS SPIVKIVPDDFDRIQNAVKEWADNQEDGFNLILTSGGTGFAVRDGTPEAVSPLIHRHA PGLIHGMIAASLKVTPFAMMARPVAGTRNASLVITLPGSPKGAKENLEAVINLLPHAC IQAAGADSRSIHAKGIKKLEQDAGLLSTGTESTTAKDHSHNNHHHHHHHHHHDHGHAV PKAHTSPEDRPQSNDPNAGPTRRYRSSPYPMLSVDEALKIIAKETPEPTIIEAPVTTD LVGSVLAEDVYASEAVPAYRASIVDGYAIIVPDDSTPGPRTKGVFPVASVSHAQASSM PPPLEPGNIARITTGAPLPPNANAVVMVEDTILASTTPDGKEEAAVEILTDDVKIGEN VRDPGSDVTKGSKILSRGDLISAIGGEIGLLASTGTKTVKIFKKPTVGVMSTGDELVP HDDPSALHGGQIRDSNRPSLISCLRAWGFETVDLGIARDTPAGELENALRGALRGISV SQPAVDVIITTGGVSMGELDLLKPTIERTLGGTIHFGRVSMKPGKPTTFASVPYKPSS SPIASSEQQQQQQERERRLIFSLPGNPASALVTLNLFVLPSLHKLMGLSTRNTPTTPP GSGLGLPLITATLTHSIKLDPKRTEYHRAIVTASRRDGRLYATSTGTAGVGQRSSRVG SLAKANALLVLYPGLGVVGDGQVVEALLMGPLMAED TSTA_055400 MALYPAGVATAALGVVGAYMLFHGEGEAFNVGQFLETVSPYTWA NIGIAMCIGLSVVGAAWGIFLTGSSILGGGVKAPRIRTKNLISIIFCEVVAIYGVIMA IVFSSKLNYVDPLNVYSGSNIYTGFSLFWGGITVGACNLICGISVGINGSGAALADAA DPSLFVKILVIEIFSSVLGLFGLIIGLLVSGRANEFK TSTA_055400 MALYPAGVATAALGVVGAYMLFHGEGEAFNVGQFLETVSPYTWA NIGIAMCIGLSVVGAAWGIFLTGSSILGGGVKAPRIRTKNLISIIFCEVVAIYGVIMA IVFSSKLNYVDPLNVYSGSNIYTGFSLFWGGITVGACNLICGISVGINGSGAALADAA DPSLFVPFPIPRCTSVRSKPS TSTA_055410 MASQTAAGSYSNPLKKFKLVFLGEQSVGKTSLITRFMYDSFDNT YQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDISNAK SFQNTKKWVDDVRGERGNDVIIVLVGNKTDLNDKREVTTAQGEEEAKKNGLMFIETSA KVGHNVKQLFRRIAQALPGMEGEAQRGENQMIDVNINPSQPTNNDGCAC TSTA_055410 MYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDISNA KSFQNTKKWVDDVRGERGNDVIIVLVGNKTDLNDKREVTTAQGEEEAKKNGLMFIETS AKVGHNVKQLFRRIAQALPGMEGEAQRGENQMIDVNINPSQPTNNDGCAC TSTA_055420 MATDKEGKQKEKSQSRTPKSRASDHPIKGRQGSSEDPKISSLSQ QSCFTKLVKDLVDPLYQLPRSSTVTGFISREVLEQCLQSTRITSIIALSRRDLATTLT GNPKLKGLIMNNFLSYSLSIMQEVQGAEACILALGKARMLDNETNKTISIEYTLTAAR AFNESFSAQKETTNDNNSKLRFPYLSGCGRRTGPDKVSMVHAGLQTEVSESYILRPVM VLSKGTTVRSVVFGMGPSVLVDDFAKVMLKLVLDGSQRLQILENSDINNLTI TSTA_055430 MALRIFRIPRPQRFLCVLSLQTGASLITLSLLLNKISGLYGLLA LLTGYHLSPIQLSMYMYSLAALALAVLLFPHIRKQTPLHCLGLAWLYFFDTVINAAYT AAFGVTWFLVVSQHTHNAPTTSGPGSTIDDTSGFTSPKYNVSSVHIPGPGDDSGITAD PAASPAGMAAGAPTGTPSLGDGIKQPESLESIIMISILLLIRLYFVLVMFAFARQTLR QKLLNASQPSYNQLPTHSRATSVATVASAPDIDREPFLPHTPEGQGWQGTLGRAMISV FKNYWLAPESPEVEDASWMKGFGRSLHSHSHSHSRNDSSFEGLSERERRRRSGTGPPK PSQSVLQAAALMQPIGGEGGNAGVDLQDLNEHR TSTA_055440 MASVTTSAQAAARIAYLASDVILSLQPSLQTDSIFSNSINTQAK NLDRSVLLKDTPEIRPVRFNEDPLLSAFHPLQQGKLVTVTTSSSVLLNSIPHLYRLAN HPIVLHVAIEASDFSAISSIRQCGFTFLQSETIQEAQDIALTAHALALKSGKGVIHFF DPANSANDAAITPEEYEVVKTVLDLDAIRPSYSQSADGQTLYADSGRLATVSENGMEL STTATSAPAPTLLTTSSAALSRDASSVGSSRRDSSSDSHDVSSAATTVDATSVRPVNS ADIFRWASEIWETIAKLTSRQYQAIEYTGPQEAKSAIFIFGSTGVFVDALSDASTSAD LKEIGIITARLYRPWIGSLVANQIPKSLKQIAVLEQVRKTTRWGPSFLDLLSSLSSGQ GQSPRLVGYRLGHVEPSTVGQALRGILQNLTSESPIQNLEVGSYEVPTTKQELVQPAL ENAYTKILDQLFGQRLYVANQLGAKDAGISATIAASPEYGFGSLIARSEHRERFIAEV EEAAKSPAFVTDKPAQWLSKWALSAQDTAKVNALAEDVIDHLKVDGSQVARQLLENHK LFFKESQWLIGSDAWAYDLGNSGVHHVLASGANVNMLIIDSQPYSEHAAADPTRRKKD IGLYAMNFGNAYVASVAVYGSYTQVLQAMAEADQYDGPSVVVAYLPYHKENESPLAVL QETKKAVDIGYWPLYRWNPDSDENGEPKFSLDSERIKRELEEFLRRDNQLTQLMRRHP KFANNLSESYGTEVRALQKRKAKDSYEKLLEGLFGAPLTILYASDNGNAANIAKRLGN RGRLRGLKTLVMAMDDYPIEDLATEENIVLISSTAGQGEFPQNGRAFWEVVKSSGDLD LSSVKYSVFGLGDSHYWPRKEDRIYYNKPAKDLDARISFLGATKLTDIGLGDDQDPDA FQTGYAEWEPRLWQALGVDKVEGIADEPPPLTNEDIKIASNYLRGTIVEGLLDESTGA ISASDSQLTKFHGTYMQDDRDLRDERKAQGLEPAYSFMIRCRLPGGVATPLQWLQMDE ISSAHGNETMKLTTRQTFQFHGVIKSKLRPAMRAINRALMTTLAACGDVNRNVMCSSL PELSEYHREVHAISKKISDHLLPATTAYHEIWLKDDDDKKVQVAGDAVQDYEPLYGPT YLPRKFKITIAIPPHNDTDVYAHDIGLIAIRGSDGHLEGFNVIAGGGMGVTHNNKKTY PRTGSMFGFVPADQAHVVCEKIMLVQRDHGDRKNRKHARLKYTIDDMGVEVFKGKVEE ILPDGIRFAEPRPFKFVSNIDTFGWQKDEKGLNHFTFFIENGRIEDTADFPMRTGLRE LAKLNKGEFRLTGNQHVILSNIQDSDLPEIKALLAKYKLDNTAFSGLRLSSSACVAFP TCGLAMAESERYLPILIDKLESTLEENGLSKESIVMRMTGCPNGCARPWLAEVAFVGK AYGAYNMYLGGGYHGQRLNKLYRSSIKEDEILDIMKGLLKRYSLERKTDGETPERFGD WCIRAGVINETTEGKNFHDNTAEEEDEE TSTA_055450 MAIETQIEIAAAPSRVREIVRNPFPFFSCRRLKHFKQLLDFSKY PQWHTSLIKLLEPEDNSKSFSSLQPGDKIKCNIDGMEFVADIMANTEKLFQWQGPLVY SLISGLHSFHFESANNDGSSTIFRQTEKFTGPIAFLMVPSLLGRKLLGQYNRFNRELK VYAEGSG TSTA_055460 MDSSDPIIASYDVFLTDSDIERYVFQYLDREIETKHGSGGPYDQ RHGQKPVSLKLKPKTGLVEIEVPITTSAGVYDVNRGLKYGEAMKQSRVLREGGSFGLA GGFNTQHTGGGGSNGGSRVKTEPGEGDEMQDVKGGKSGQTILRTQTLAGRMKEPVDGE PVYMLGAFRGSHFFLSPVSALVQLRPQLHHIDASDEASRIRLMRGRKDLDEDGGPVQA EARAVDVKVKSAEAGETTPAGNIELLKKIQDDKWETYEWFDAESEEAWVTYENYMIHQ EPEDLPQLESAVDGETYLDVMSAPRVDPARPEMTGWAMKQNRRKQKGFIDVDG TSTA_055470 MLPRAARRRNPLHHLRLSTEQLTLPWLCPAVLRPHTQSHRPGNN NDSKIVSSTPSALHRRRSFSSHSRRHLATATDGMPISSSPSPTPSWLSPLLGQSLYSE PAIMIQESQLITTPTLKRVRGIGGSLEEMMARFHVLLQTREIEKAAQVLDRMSTAFAH GDSRFLDLHNQYIEAVVADMIENKRSGDTLKKALSLQTWFEVKLPEGFLKPNARTMAI MLRMTLRLFHGSRRDRTVRRYWNMVKQNRFEVEVLSMPDLLTERDLGEISQLCPNELP NFDLIVDDEPEPPQEATPPHKETSVRATKQKGLGLSSLKSTLSILPEYDNAVDLDDID SEEVRELQRKRQAELEGKAVDTAKKRWQREMETMRAAGIDTSGGGKTIASLLEQWYND MVLKLKEEIKIGRPSERTSKLSADEKNREHADFLRILPPDTLAAITVMHVIQLFARAG VEKGLKVPTIMTSLGQEVQNEFIAQSMIAKSERDSRHHKFIKQVVENRKKKAGRIKFK KLLTEMKSNSRDVESEFTWPVDVHAKVGGALLSVLFDCAKAPVIQEDPDTKKRIMVME SAFQHSYEINRGRKFGLLHAHPEVTRRLIREAPNAVQARHMPMLSEPQPWTAFDKGGF LAQRSPIMRTTPGDTLQHSYMKKALENNGLPEVRAGLDILGKTAWTINNDVFDVMLEA WNTGEAFAKIPPLDPQIPMPEKPADDNPEAIKVYNNKLREVENLRSGLHSQRCFINFQ MEIARAYKNQTFYLPHNMDFRGRAYPLPAYLNQMSADNARSLLLFKKGKPLGEAGLRW LKIHLSNVYGFDKASLKEREEFTMQHLEDVLDSANKGLHGRKWFLEAEDPWQCLAACC ELRNALKLENPTEYVSRLPVHQDGSCNGLQHYAALGGDMEGAQHVNLEPGDRPKDIYT GVSDFVTEKVERDAAAGHEIAKLLVGKIKRKIVKQTVMTNVYGVTFVGAIRQVRRQIA AHYPGLEETPGISKYIASAIFEALSTIFSGAHSIQYWLGDCATRISQAISPDQLDALA KNVLQDNTSAKEDVEMDPLRMFRSTIIWTTPLGLPVVQPYRTVKCQRVYTTLQTLNII QDSTSGNVSKRKQLQAFPPNFIHSLDATHMMLSAIECYRQGLVFSAVHDSFWTHACDV DKMNIALRDCFIRMHSDDIVKRLAAEFRARYEGHIFLAKIPESSPIARAIAKYREAKY ANSKKKYYVEELLLEHQRQTLLRSDDPELQEKGRAMVTAASIFESAGGSHNDLAIAST LGQTGTGNVTTEKRAGVFGNSVVDEKDPAVASLFSDFDPQYLDAEIDAKTPTDDVAGE GDVVEMEDSEPFEEEKTKSVKTRSKMEWAWLPLNFRDIPKKGEFDVKRLKDSQYFFS TSTA_055480 MAQPSQTSGLDTLAESSHYALEQLRLARELDMNNINNNSNDSFI KKDSQSDSAGSKMPIMRSPLSEARSSIRKHSAETTAAVRRRISRACDQCNQLRTKCDG QSPCAHCTESGLSCEYARERKKRGKASKKDLAEAAAKAASGGSRDSGTPGYETIPDQS SQMSVVMTSESDARIDQARRSFSESQVPQQSLSSLRELAQQPPQTTRPRQYFPSNMTP IPMNGFGQVQNVDRSFIQMPDLRELQPRSPSALVPAGLNGFHDAYNMVDHAPPSINQY PFSQPEDASANHFTGLTPPVQSPGWLPLPAPSVGFPPINVSNGFTSTLKYPVLEPLLP HIVSIIPHSLACDLLELYFASTSSSHVFPQSPYVVGYVFRKKSILHPTQPRTCSPALL ASMLWVAAQTSDAPFLTSPPSARGRVCQKLLELTVGLLRPLIHGPTPGEASPNYAANA VINGVALGGFGVSMDQLGAQSSATGAIDDVATYIHLATVVSASEYKAASIRWWAAAWS LARELKLGRELPPTPSQPQSHDRDGNVDMEPKPSRYQSVTEEEREERRRIWWLLYVMD RHLALCYNRPLTLLDKECEGLLQPMNDDIWHAGDFANAGYRRAGPSLECTGHGMFGYF LPLMTILGEIVDLNHARNHPRFGIHLRTSGEWDSHTTEITRQLDVYEQSLHEFEARHT ASLGIGNEGGAPDTGFNAAAPTGIDHVSPSARSSSTVGSRVNESFMQTKMVVAYGTYL MHVLHILLVGKWDPISLLDDNDLWISSEAFITAMGHAVKAAEAAADILDYDPDLSFMP FFFGIYLLQGSFLLLLTADKLQGDADPSVVRACETIVRAHEACVVTLNTEYQRNFRKV MRSALAQVRGRMPDDFGEQQQRRREVLALYRWTGDGSGLAL TSTA_055490 MSITRTGASLLSKRATTSSLLRPYRFQRRDPIIKRHLGIPPQYL LDDYIPRYQLLTPLQAAQKRSSAYSHLRKCNLCPHKCDINRYEKTGVCLIGATTAKVN VIAPHRGEEPCIQGNGFDLTPEELAEWMIKLQNVGGVHNINFVTPEHVVPQVALAILT AQEMGLKIPIVYNTSSFDSIESLKLMDGLVDIYLPDFKVWDPSTSKRLLKAENYADVA KESIKEMYRQVGDLSFTSDGIAKRGVLVRHLVMPGRIEEGREIVRWLAENVSRDLYVH VMEQYHPDAHVGKKKSRKRRQVGGEEEVEEVRYADINRAVMDEEVESVRKAAEDAGLW RFCEVSEQPGFHL TSTA_055500 MSPALLSNGSVNIVDIRDKDANFSAAAAIQDGLDPPAGKARSFP TVLLYDAVGLRLFEEITYLDEYYLTNTEIEVLEKHARTIAERLPDQSQLVELGSGNLR KVEILLREFENLQKRVDYYALDLSLEELQRTFAQVSPQSYHYVRFQGLHGTYDDALEW LKNPQNRKRPTCVLSLGSSIGNFNRKAAADFLRQYSQLLGPTDSIIIGLDGCKDKDRV YRAYNDSKGITHQFYLNGLSHANQVLGYNSFRPDQWDIECLYDEADGCHRAFYVPTQD VTINGISLRKGEKIIFEEAYKYDAQEREELWRDAGLINVAALGNSHDNYHLNMLSPAK VSFPSRPSEYAPSAVPAWEEWRSLWTSWDVVSKTMVPRDELLSKPIKLRNALIFYLGH IPTFLDIHLTRATRGKPTDPKYYPQIFERGIDPDVDNPEQCHAHSEIPDEWPALGEIL RYQEQVRSRVQSLLRTEDVSQNRLLGEALWIGFEHEVMHLETFLYMLLQSDRILPPLG VDSPDFKGIARQAELDAKPNQWFSIPEQTITIGVDDSDLSKLPAQSFTWDNEKPKRSV RVHAFEAQGRAVTNREYAHYLKENSIHRIPTSWVIQAANSWNNTFNGLHTNGVSNGHG NSMDNYAVRTVFGPVSFAWAADWPVMASYDELAGYAEWKKCRLPTFEEVRSIYKYAAA LKGQPNGVDAVSNGLAILKKKDPTDAVINGANPESIFVDLADANVGFKNWHPVPVTPN GDKLAGQGEMGGVWEWTSTPLSAHDGFEEMKIYPGYTADFFDGKHNIVQGGSWATHPR IAGRTSFVNWYQHNYPYAWVGARLVRDL TSTA_055510 MALETLKTISPITNKPILIRTGISSEELALLPETAQKAFQSFSQ STTLAQRQEIVRRALGILARKKDELGREITEQMGRPISYTGVEITTAVKRGEFLLSTS SSLLGEEGVVDGEPEKGFKRYIKRKPVGVVLIIFAWNYPYLILVNSLIPALLAGNAVI LKPSPQTPTIVERIASAFQEAGLPQNVIQYFHSGSLTQIQTLVRSPLVNHICFTGSVA GGLAVQQAASDRIVTVGLELGGKDPAYVRGDVDLAWAAEEIVDGAIFNSGQSCCAIER VYVHESIHDKFVEEVKKVLSRYRVGDPFDKNTQIGPVISRRSQETFNAHVADALAKGA KDETPENETFKDLPADGNYVKPTLLTGVTHEMLVMTDETFGPVIPVMSVKSDDEAVRF MNDSEFGLTASVWTKDVATAEQLIEKVEAGTVFINRADYPSPDLAWTGWKNSGRGVTL SRFGFDQFVKLKSYHVKDYPK TSTA_055520 MSSILGMINIHYRYEDSPGLRHSCGVCGTPLNHPRARKSCITRH TEPCIAFHHTLFRVGRGHSCEPCNKSREMHLKRHRELLETIRDIHGKMELKTPIPSLS QIEELIHGYRHENLGANRTALDRAMIRDVERREKLSADMDRRPPATMDNELLREIAKK FGIIVKGKLPKDLQVSILRLCERLVKDIDTLCNESRETMKRQFGYYRYADKRSFNVLL RRIDPNNTEDLLEITDDEGGNDGDDDEDDEDDARQPAPPPPPPKRNSRNRPPSRTTGP MTITIVPNAPRDGPLPPRTPNHPIGTPLIRKEDREEERY TSTA_055530 MVNPAIAVPAVSALVYRAWSRKTLTPAGILAASLTAVVHVLHPW IAPFLLLAVFYLAGSRATKVKHDIKAQLTLSASGAAGGEGARTHIQVFANSIVATVLI ALHTYLIWNQGRYSTTCFAKGGDIGDVLMVGVIANYAAVAADTLSSELGILSKSSPRL ITSPTLRVVPPGTNGGVTLTGLLAGSFGAFLIALTSVLFVPFCAESWSLLDRAQFVVA VTVCGTLGSLLDSLLGGLLQASVVDKRSGKIVEGTGGRKVLIHPGSTKSSVATTGSDA RKYNSDIHATESVVNAVSARATRTDNLPAKVASAEEGSRKVESGFDILDNNAVNLLMA AIMSLGAMVFASYYWGVPLNVAEGFV TSTA_055540 MPRLLPWLTKDDLRGKRDRSTPTPVAEVQPSTRRRTGVGLRTPS PGVSSNNGGDRSGKQKSGQNTTNIDFLRSSRSPPTSPIASPPTEEYIIPGFDNDDMYI MVEDEFYAIAQQFTRHLHHAEYIRRKKQAKKLNASVLRNMERPTDGKTAMSKRMLKRK EAERLRERQKKGLEPMSRRPDNDSDTDGDGGLEEIGDEEEECEDDPWYGTSLHAFMTS PRKNKSLVGLERIRSKTRAAAGFESEVTARPPTSNSSRPGSSLWREDAQSAGNDELQA TSSGDDDDLEIVEAKSSRPNPLPESKVRVRTNTVKKESPEVGNIRPKTQQNTVKRREV AFENQRTSTTTLHPQHAVIKQKHVHAKDLKSEFKKETFTTSTKPSTLNRPRKRIFLDE LDDTDVKTNDTSRNDDIIQDQPRKLLSTTAAKESRSTYRTTSEQKQQDKTAKRSRISD IPMFLV TSTA_055550 MSTQAYYELYRGSSLGLSLTDTLDDLINEGRIEPQLAMKILSNF DRYVTEVLAGHVRARLSFKGHLDTYRFCDEVWTFLIKDVTFKLDNQTTVQADKVKIVS CNSKRPGEA TSTA_055560 MTEAAATFARDLDQQTTVDDVDDEDVASEPEHTHAEEPKLNVDP VQEFLKPLVLEESRLYNLARRFSDVYRHLALTSDQQFLPTPVTQLPTGEEVGRYLAID VGGSNLRVAFIELLGEDADSDKSPGSASERSRDTIRKAQRQRVRRTLEKAWPIQEHLK KDKAEDLFSWIGDCVAEVIADSLSSDATKGDIPEELEMGITFSFPIMQESVDEATLMP MGKGFAITSDLNLRNMLLSGYEKHTRRNDDDEPSSKRRKLYALPKLKIAAITNDTVAT LVSSAYCVKSLPNSRVTMAIIVGTGCNATIPMSLSDLHESKAKLVSSRDPMATQTVVN TEITISGAAAPLKELNIETKWDIELDRACSRPGFQPFEYMTGGRYVGELVRIIFFDYL TNVLGVTKKELPANLVQEYALSTTYISHKVARSRSDQELAVELTRSLPPPESSEWSWT KDTAGAFRKIARAVQTRSAGLIASAVVGLLACTREIQLRDDSARNSPQPPLGEEDPSL NSTTSTYQDNQFSAAVTSAVTAAAKSLSTTSGNNTNNQRGLIVPVLAPAPADWQSGPE ELVVAYTGGIIQNYPNFKETCQSYIDRMIMRTGPQKSGKSVFLREASDGGVIGAGVLA GMVAGRV TSTA_055570 MPPTAYQVYQDLEREEQFAPQEGKTHRSYSSWRERAMNRNLRRG LAIIGACWLVYIIWLNRLYAAALLPGSSNNRANVAGQQTEGKTVPLDVHIMSKCPDAK DCLQKLILPAMERISDKVNFQLSFIGSVSNTTSDVECKHGPTECIGNMLMLCAANLPF PTSQVSTTPRTPVIRSLGFANCLIDDYPEIPSRPLVQNCALEHGLDFEAINACASRED DGFENPGDPTSEDPSGIALLRKSVRHTQDVGVTKSCTVRLDETVWCIRDGGKWKDCAH GSDVSTFVDEVERLWKERN TSTA_055580 MTIYPHHQSSNSSPLDYVDALVSEYESLKEPSDGLCYIRGSPKL YSSFCDLIKHHIGLIMSRPKAIEDGYVTVYDKCLLELCRNGKDVDNLAGQEFYIREFM GIDPDSRLQSYVEDMIDREVSVTDDLLRSYRSRSESQHQQIKEEVKTNTVTKSEATPS QNLPFSETVFAAYATVFHPNMSINANINRMLHTYFKAKSDFYALHESARDRDDPKLAD AAKFLRDSAENALSYLQAQGILSLCDSSLVMELQTVFGFAKSKAIEFLGGRKRRFEIE SFYRDGGSGSIKSNSRVLGPERPLKPRKRHAIDRYSGAYADYSWHPYAQYETSRTVRR RSS TSTA_055590 MASHLDPHRPAAQSDSSSFSSNFVDVSPPSLGDTASSIHSSNSQ LPFTPDISSHNSSESGNEQLNTSASTVGRPQLGSVGYPRLQTQLGHGNAQDNVEGGDG GAQLAAETQKFISVTDGYDEEGYDSELEYKNRHRMRRQSTMGTGTNGEYTAEEEKEVV KRLDRRLVLFLALLYMLSFLDRSNIGNAKIAGLSDDLGLSSSQYEWLLTSFYITYIAF EWMALMYKMVPAHTYISLCVYGWGILASCQALTRNFWDMLIIRALLGVSEAAFGPGAP FYLSFFYKREELAYRTALFISAAPLATSFASSLAWLIVKLSSSIPIAPWRSLFLIEGF PSLIAAVFAWILIPDSPGKASFLTPRQRKVARLRLQSKNKVERHNAPSAATEKFNWKA VVETLCDPTAYLIAFMFLGCNVAFSSMPVFLPTIINNMGYSSIASQALSAPPFLVAFG VVLVTASLSDRSRTRSPYLVFHALISATAYLIIGLTGYLHSYMPTSVHTMIRYLCIYP ATSGFFSAVTIIITWSMDNRTAQEGKGSSVAILNIIGQCGPLVGTRLYPDSDKPWFVR GMLTCASFMLIVAVLAFSLRLVLLRRNRRIAEKRDQMGIEMAEGEGLIDRGRDGLGTQ DEMFTYIV TSTA_055600 MGSIAQDDGYHGNVVERSNLYPNGRLSSDLAEPIAIVGMAMRLP GGVRNDQDFWNFLVEKRSGLCEVPKDRYNVAGFCDPSGKPGTFKPEKAYFLQDVSIQE FDTSVFPITKTELERLDPQQRQLLEVAYECMENAGATSWRGTKIGCYVGVFGEDWQDL NAKETQHRGGYRVTGYGDFVLGNRVSYEFDLHGPSMTVKTGCSSSLVCLDMACEAIRK GDCDGSLVCGTSLIFSPTMTMALSDQGVLSPDGICKTFDASANGYGRGEAVNAIYIKK LSKAIEDGDVVRAVIRGTSVNTDGRTNGMLTPSPVAQEALIRQAYKQAGIKNMCETAF VECHGTGTPVGDPLETTAVAKCFGKEGVLITSVKPNVGHSEGAAGITSLIKSILAIEH RQVPPNIHFTTFNSKIPFESGKLRVPVDVEEWPRGRAERVSVNSFGIGGVNAHVVVES LEQYFRHNPICTKQTSLVTGPRLMSETADVQQLMLFSAHSETSLKEAIDQHRKYIEAS DVHFQDIAYTLANRRDHAVRSHRAFAVAMKVNGKISFDVTGPTAVIEPVPRVGWIFTG QGAQWPEMGAELIDANSIFRNSIRGLDEFLASLPNPPSWTIEDELRKLPSCSRVSQAE FGHPLSIAVQIGLIDMLRAWNIKPDFVLGHSSGEMAAAYASGAISATAAMAAATFRGS TSSDERPGGKPRGGMAAIGLGAHEMDEFMEPGVVIACENSQCSVTISGDIDQVAIIVE NVQKKRPGVLARFLRVEKAFHSHHMKEYGASYEKHLKPFVQSVDPIFPFYSSVTGKQL VGDGRLDPDYWRKNMESPVLFNTALRSALKSRKERMVLIEIGPHPALKGPIGQILRNI NRNEISHVGTLQRGKICSDSILETAGNLFLQNIPLDWSVICPPGKIVRNLPQYSWKHD TSFWAENRLASQFRFREFLPHDLLGTRVVEVFNENCWRNKLALDDLPWLQGHEIDSQI VFPGAGYICIVGEAIRQLSGATSYTLNNVSITAGLILEHDKLVEIVTRLTPNAVESDE TASYSFQITSYDGSRWTKHCSGEIKGFVDKSVSTEISDYPDLSRQVDANEWYTVLNRI GFNYKGFFRGLQQISASPVNMEAVATVPFVKDTRTHYAIHPGIIDQCFQLFTVAACRG LGRNCKNVAVPTFIEEMVVCPANLDMQVVAKINNVERGSFEGSLTAQGQGRLFLSLKG FKASAMTNSGDDESLPLITQFEWRPHADFIQMKDYMYPRTFIPHEWPMLEEMMLLAII DHQEAIRLTENSPVHLEKLFKWIQDYIASYKAGGNIFLSKDMSYESMSREQRLNRIEH LAHELSSSHYDAFAIAIHRLFKKADQIFAGDAHALHILMEDDVLTRLYANGDELLYGD AIRALGHTNPRLRVLEVGAGTGGTTSKVLDALKTSYGERLYSSYTYTDVSAGFFTAAK ERFADFEAIHYATFDISKDPEQQGFQKNSYDLIIGYNVVHATASLQESLGNLRSLLSP SGRIFLQELCPAAKYINYIMGFLSGWWLGDKDDRAVEPYISPERWSKELVAAGFKNPE YVLDGIYPYHQSAGIMATVSSQMEPPSNVSILCYEPKAPMVHELKTSLESQGISVTEI VFGQKLPSHDIISVLDMEKPTAHELNEQSFKSLVGNLQSIKSKIIWLLGSSQVKCEDP KAAMSIGLLRTARNEYSTSIYTLEIEKVASTPVVAKAVSDLLFHIQAHESKIDDVSQD WEYALINGKMLVPRLHWQTMSNAFDNVGGGSHKSSFKYLTIRTPGLLHTMGWAEDARK PLGEDEVRVTTKAIGLNFRDVLISLGVLNNSTREIGLEGCGVVAEVGAGVTKFAVGDR VMYMSSGCFTSEITLPQTLCVKICDFMTFEQGAAIPCVYATATMALVDKANIRPGQTV LIHSACGGVGLAAIQIAQMMGAMVYCTVSNEDKSKYLVENHNIPRANIFNSRDSSFVR DVMRMTNGRGVDVVLNSLSGDLLHASWKCVAEFGTMIEIGKRDFRRRAKLSMEAFEQN RTFVGLDLWQVSQVRPEQASELLERCIGWIQQGLLNVGAIAKVFDAIQIQDAFRFMQG GRHIGKIIITMPESTDVLQSVKQRPQPQIRSDRSYILVGGLGGLGRSLARWLAENGAG ELIFLSRSAKPGTDVDVFKAELTSQGCSVQFVSGSVANAVDVQTAIKSATKPISGVVN LSMVLRDVTLQDMTFEDWVTAVTPKVQGTWNLHEALPTDLDFFILCSSYSGIVGQWGQ ANYAAANTFLDAFIQYRHEKGLAASVIDIGVMGEVGFVSKHKEVLDRFEKSGMRILKE QDLLDAFNLAIQSSAPTDSEIHCHNGDVGAYNSPGQILLGLVTTLPVTSPNNRVVWKN DIRMGIYHNINCAEDSSTKAMAEKDDIANLLSTASTSPSVLDEESATEIIAKTIAASL AKFLIKDESSIQLQLSPEKNGIDSLVAMELRNWIRQKFSIETSVMVIIQSSSLNSLAD AIRQELVQRFSQS TSTA_055610 MDLQSSIWSLSVADTSLCIHRIIFHPLSRVPGPFLAKFTTLYSA YHAWKGDIHLDIYRCHQKYGDYVRYAPNRVLINNLSAMYDIYGHGSKVKKYKNYKVLA QQAPNILTIRDKQQHARRRRVVSQAFSESSIRNFEPKLLGRLNRYCEAVRNAADLSRD MSQDFSNLAFDTMTAVSFDIDYNTINNPQYRYALAALEDSNIRLSVLLQEPKFVMFNL DQWLFPSSIVGRNRFVKFIRMLLKQRLRARAEGAITGTDIFSFLEKCKDPDTGKELTP MELSTETALFVVAGSDTTASTLAATAHYLTGCSPAYRRAVEEVRSTFQSIDEIRIGPK LNSCSFLRACIDEALRLSPPGGAALWREVESGGAVIDGNFIPEGTEVAVGIYSIHHSD RYYEDPFKYNPERWYRPADNESRHKDSGRQAYMPFSIGSRSCVGKPLALAQAMLTFSR LLWEFDIRRADADPNWPELNVQPSEYTTKDHVSAVKEGPILKFKPRFQ TSTA_055620 MGLPLPKADIEWNGLSALTEVNGHVQSRWNRETGEWSKPEFVSD PFLRVHGLAPIFNYGQGAFEGLKAFRGPNDNEINIFRPEFHALRMKHSASLVSIPQIP ESHFLRCVRLAVVNNAEFVPPHSSDGMLYIRPVVFGSGPRILLSPTDEYTFCVYVTPA SAYHGIKALDALILEEFDRAAPKGTGSAKVVGNYAPVMKWAEKAKSEGFAITLQLDSQ THTAIDEFSTSAFVGIKNEGEKIKLIIPESSSIIASATSDSIQQIARSFGWEVERRLV KYEELSQFSEVIACGTAATVMPIKSITMKSTADKFVYGNGSSEPGPIASKLGRTIEAM QKGRIKDNFGWITRLADI TSTA_055630 MPNSVLLSVRGIMVDRLFATLTEYTEKNSGNVRKPKAGNEIAFT DYGFCHDGPAILTFSGWNSDHRGYSYVTSYLMQHYRVINMCFRSHGPNRDFKGDFSFE DHARDAISLLDSLGVTTFICLAASHGNWAAMSLAEMVGSKRMLALVILDHLSLEATPQ FYGALKALQGKETWRKTTIAFFKSWLGGEVNDSIREQLLKTIGGFGYETWALSGKTVE AAYKTWGTPLKRLERLKDPPLVHHVYSQPKTEEYRNLHEEFRKTHSEWFTYVQARGQT HFPHIEDPEFVYKETLEVIDRAIKRQTA TSTA_055640 MNGKARSEEWQQYGPVYRVWAGPRPEIVLTTPEDIRTFHTDSDK HGKPLNGNFGWYFGQLLGRCVGLLEFDEWRKMRQVVDPAFKHSSVVNRIDQTNSSAQS FVENLRTFAIEGKNLGVNDRFTIHAATAFMKFPFYFTAEVVYGTMSNEEKNELWVLAE KRLALLPWFFKGGVYRTIYMKYWDRPAYNQLMDFVKHWADFNSRIANIRRKQNREVPI VSYWDEYEKGNIAMEQVTHTLDEMLFANLDVTTHVLTWAITLVADHEAEKDKLRAEIA ANNENLEQYIAKTDTHLHRCYYESLRLRPLALFSIGESAETVKNFRGILVKPKTMVLV DTLSINVRNPFWAPDSNRYNPDRFKNIKQTDLRYNLYVFGFGHRKCLGQYLAGHMVKA IMVHLFAQHEVIIKNGRSGKDDYMIDKNTWVPVANVTIELSKLQ TSTA_055650 MSNEKPLNQSRNSENENFNDHDEMKQPWKISKRGLLIFVTICVL TLMVALDSTSIGVVLPFISRTINSSAIETFWAGTGFLLCSTVFQPTFVSFSEIFGRRP LFSIAILFFLVGAIVAGVSQNAGQLLVGRCIQGMGGGGISAITEVLIADLVPLRQRGQ YYGIMNGTWSIGSVCGPIIGGAFASANWRWVFYINFPFIGIGIVCVIFFLRLHFIPTS LSEKLRRIDYIGTVIFIGSTTSFLIPLSWGGVMYDWNSWRTLVPLIIGVVGCLGFGVY EAYVTSALIIPVALFRNRTTTVSFTGAISSGLLVWCIVYYMPLYFEAVKEYSPVVTGV AMFPLTFTVAPAGIVAGVLITKFGKYRWAIWSGWSIATLGLGIMCLLEVHTSIVKWVF LNLISGIGLGFIFPSIASAIQASVSRENVPMALAMFSFFRSLGQAIGVAIGGAVFQNQ MVKNLRDYPSLAPHAQEYAADATGLVQIIKAMSYNQDKLDIQQAYTDSLRIVWAVCCG LSGATLLVSLLTRSYSIDQDQATNQGVIEKHERKVEDASPVA TSTA_055660 MMSIAPNGTARQCKTVCDKIEQWAVLQPDHIAISFGDRKVSYSE LDNSASHIAWLLSQRNIGKGDRVPVLAQRSPEMVACFLGVLKSGALYVPIDTESWSRD RIQWTLKKVSARVILNTTTDHFPEYEEISHDMIDSAFSPTEELTARRKADQKFHRPWE RIQPDDLAYIIFTSGTTSTPKGVMIPHSCVLNYVEQGGPETPFNLNATPEDRVMLIFS PGFDACTGVIVSTLCNGAELQIATTSDFLYTVTLCTTMVCTPSVLNTIQDPKTCSKLR TIVLGGEAPPISLVRRWATTLPTCTLYNFYGPTETTFASLVARLHPDKPIVLGRPMSN SRVFLLDGEREANYGEICLAGPGLGKGYFQNESLTNEKFVHWRGERIYRTGDFARKTE HGLEFAGRKDSFVKNRGFLVNLESQVIPILYDDPVIIAATAFMHRGRLVAFVTPDGID TASLRERLASQHDSFVVPDMIRALEFLPLTANGKANNRALEQLLDSETSETNHTDTTE FIQKDASVIDILKGAISHSLHLPISNIDESHSFREMGGNSLAGLKVLSFLQTKGLKLR LIHLFDLPSLSSVCEVIEHSTDSQIAPANLDPNTIAHLTTGPMTSIQTKMVQAGLKNP TVNYILLRITFPHPGTTLNAQKLKDSWYRVMQRHSIFRTTFVLKEGLQIVNPSLNITW DSEETSEEQRERLIEFRSRELRERISWLRQNETFTPVQACNFITVPAKSSTLLVLVHH IQADGWSFSIILDELRSALDDNDLEDPPRYMHVAIAQKRLEDDVQGKAFWSQMLENLP DQPNITLLPPSINNKDTYWSSSIKLELDMKPEELETAARLRNVTAATLVYCAWGLVLS NYLSMDNVSFGVVFSGRNIVIPGVDKVVGPLLNTCPFVLDLGHDETIDGLLSQAQNRL LHMMEYQWSADEALTKIPASRIANIFQTVVVVEYDLPTVDQPCSTLPIPWVIEREDKM EFDISLLLEREKDDLRARILFDGSQFAESSIRGILSHFRNALQKLLQSHNSSVQSVRE GIITGKERNYLLTAANQTVEYTGYPTLKDAFEAAATQWPDLMAVESTSGSITYQQLNI AADSLANHIRSLIDPKTVVGILTDGSLYWIVSILAVLKAGCICCPIDINLPKTRIDTI ISQSGARLFVASNRRCTTVVPNGHDNVVICEEFLGVSERSNSQLPTVSRARDVVYLVF TSGSTGTPKGVALHNQSILMAIDSEPVRLFTTPGRRNAQVYSLGFDVVTVEIFGTLCY GGTLVLKDPNDPLGHLKSVHAAYSTPSLLASFSPDDFFGLDTINLAGEPVPQSIADTW SYKRLSNGYGPSECGPISTFARLLPGKKVTIGKAVPHLNVYLLDHRQCLVALGVIGEI YLSGPQVTDGYWNLPDQTKKCFLPNPFSPGQLMYKTGDLGLWTEDMSLAYMGRIDNQV KVRGFRIELEEIDRALVLANPSIQRAAAIVADHIRIVAFVTPSNIDTLAVIARLRDLL PAYTRPSQIIALDTLPQSANLKIDRKALQALASEFKDQGDPPSTSTECLVAEVWHSVL GRQEGRRINKHDDFLGIGGNSLLAIKAARLISESIGYRIPVPLILRETVLSSLAKAID NYSHVEESENNNAQSFKSYIANLKTPVDLASLHPLSELEEELYVWHMISENKSLLNTA FRFELDGVIDIEILRHSLVSVIRQNPILRARYLSQGDGVVRRISGAISAPLMFVGNAL DIHKLQSLVNQPFDLSQDQLIRVIIWERTGVSTSLILVVHHIVIDKHSLSVLLKSISD QYGAKLGLIREKQTERHLPKITYLDWVQWRQQRPCTVSLNQKKLDFWKEKMDKLTTIS TLRQGCILGLELGSYESLLIPYTGVTHVSQRIVLAATALTLQAVYGHSDVTLGIPYAN RDEPGASDLIGLFLDRLPIRLSLKSANISTSDKLLQHVIDEINMAVEHQLPYSQILAA AGKNGKPLFDVMVIYHWRSDALDMSLNLPGVKVSSTPIRARGAKFPLQLEFTETDEGL HCGLEYNSRVTSPSQILTIMSFLSTAIHGLACQRTIADILSEFEIHKYDTRQTISLAY KNKIDKVREAFSATLTMPIDDIKPDTSFFDVGGTSMTAFRLHHRLEELGLHGYLRDIL HGPTPEKIAWMFYDNLGSI TSTA_055670 MAKLGKPKGTRNKKTLERLNQMAQNNRDTTSSSESQQSRGQDIE LEQDLTGSASTPASITQWMNWPALSSTCTGIDSDIDLGLDIPSIPSTFGLDAFLSSLP IDEGLQKPLASIFTDSGNPSGKRPLIQTLDLSSSDDDESGNSCECLRILTEQLCILNT MERRHAWISLDAIFSKTSHILDIAASVLDCQQCGIDSKVLLLIMILLQTVFNWAMLEQ HHCGDNSNTPTVVFGKWKMSGEESDMVKTMLVNRVLARSSSITDKLRQRVTHISHMAN NNTVPYQLMDAGTLEFALQRLVFSVREVVHRVKSKTIQP TSTA_055680 MNFSLFERKQWPPSWFTSGSHSMPGVWAWRVLSTFRASFKAQLR QTVRSPSADNKSRRLAIVTKASSSSSIKEHPLFQPTVYSTHAPLTEIDINLHKSNSTY FIDLDVSRAELMGRLLAPAWPMDNMLIEYTGRDGVQKREKVQGSPAFILGATYTSFKR EIGAYTSYNVESLVLGWDTRWLYIGSWFVDRKDKKKLYACSLSKYILKKGRITVRPDL FLMEAGWIPSQEPGNGAIGDSWTWDEIEAQRKQGMAIVDSWGDTDLRLERVYLADAK TSTA_055690 MSAPTGKELGVAYATAGEVYAAAVILPALGIISLAFRWYQRYRR RQGIGIDDWLMVPSLLFTIGMGIMLCIGVHGKAIGYPTPYEPNLTHEEKMYIVNPTIR LMGVVQFVVIFLLALASGFLKLSIICLYRRIFVSVLCGSIFDWITIAALVIVAAWMVT FVVATTLTCGVHVAVKWGNLADKNQYCGMDLDIGNAFVISDSVTNLLIWLMPMPMIWR LHMSWRRKLAAVGILMIGSISVIASIIKIVVGLEIAGGGLSADVDEDLTVSTILYWCM IEAGLANLASNLPLFRGLFKDTSLNGFFSIVRSSISLSSLRQSSNRDSKTEEQDSTRV TSTA_055690 MYIVNPTIRLMGVVQFVVIFLLALASGFLKLSIICLYRRIFVSV LCGSIFDWITIAALVIVAAWMVTFVVATTLTCGVHVAVKWGNLADKNQYCGMDLDIGN AFVISDSVTNLLIWLMPMPMIWRLHMSWRRKLAAVGILMIGSISVIASIIKIVVGLEI AGGGLSADVDEDLTVSTILYWCMIEAGLANLASNLPLFRGLFKDTSLNGFFSIVRSSI SLSSLRQSSNRDSKTEEQDSTRV TSTA_055700 MIERLDDEMLPLTGEEHPVNRHWPCYRVTVTPNMIAMLILLCLS VVLHGLFFTQSHCPSGRSGFAHLSATLSVPWVSKSIYFGEGTEEDADRAWDAISINNG TLALDSVYVQGKGIPHAQPFPWDGSKEIYVLNGYHGMHCLKTLRTWIRQVDQGIPTTQ PAGHMLHCLDALRQDVQCYADDTPRYTGFQEQGRSGTGQVRKCRDWNQMEIWAQQHTA CWRYMPELEREGRSMLEEYRFCPDGSPYKEKMEEYFRNVG TSTA_055710 MADICTAVLLGLLVILSGTRILSWARIRVKRRLHGCQLPPRYPH KDPILGLDYFLTELKEREDGISWASERNRFARLGRTYEVNSWGNRRIMTMDSQNIREV LGTSFDRFGVQELRLPISSSFMGKGVFTTDGEYWEYSRKLLAPMFSRSQVSDLSAFDV HLDRMFERLPRDGSTVELQGLLKLMYLDHATEMIFGKSTDTLLKETPDESAHELLDTF AAVLKRTGIRILLGRLWILTAWDTTFQKLSNNVRAVVMKYIDEAIQRQHHDVKSNANR YIIVDELVKSLGDREEICNQLLNIFLPARDAASVSLSACLFYLARHPDVWDRLRAKVL AIQGPITRDGLRSMPYMQAVLNESLRLHSPAAGNRRICTQDCILPTGGGPDGRSPIFI SKGDNVHVIFSAMHYDKEIWGEDADEFRPERWLDENGLIKTKNSWTYMPFSAGRRVCP GMDITLTENAYVLVRLMREFEKLENRDPVGEYVERTRLTTESRNGVKVGLIRAQ TSTA_055710 MADICTAVLLGLLVILSGTRILSWARIRVKRRLHGCQLPPRYPH KDPILGLDYFLTELKEREDGISWASERNRFARLGRTYEVNSWGNRRIMTMDSQNIREV LGTSFDRFGVQELRLPISSSFMGKGVFTTDGEYWEYSRKLLAPMFSRSQVSDLSAFDV HLDRMFERLPRDGSTVELQGLLKLMYLDHATEMIFGKSTDTLLKETPDESAHELLDTF AAVLKRTGIRILLGRLWILTAWDTTFQKLSNNVRAVVMKYIDEAIQRQHHDVKSNANR YIIVDELVKSLGDREEICNQLLNIFLPARDAASVSLSACLFYLARHPDVWDRLRAKVL AIQGPITRDGLRSMPYMQAVLNESMSSKLLDPVDL TSTA_055720 MSSALSTSLKRTSMIKHVPRALSLSQHKLIPFLYRVISNYGTES TSTTPPTSATDHIQTKLPPAFGTKNAQRFREFNLEGRVIAVTGGGRGLGLSMAEALME AGANVWCLDRLEKPDNEFNAAKRRTESSYGGSMHYARIDVRDKEHVDSILGEISSQNR RLDGIICSAGINHLHPAIGHSQKALEEVMAINYNGVFNSATAAAKQMFEHKCPGSILL VASMSGLIANKGMTSPVYNSSKAAVIQLGKCLAMEWGRHGIRVNSLSPGHIVTPMVEM VFEKNPSARATWEAENMLGRLASPEEFRGAALFALSDASSFMTGSNLIVDGGHTAW TSTA_055730 MLHEILLSLSGQPSPLLDLELEPNGASSGPFPLLSPPEKALLAS LARLSRLHASLRTHTARISSSHNSTVCRAVSSAISIEGLGKFQKQILNVERAILCNDS HYVGGYGIVPLSTLVTEFSPWIRRLEWLWGVACFILPEAAAKYATTTTTATSGASLID YLRSESQTGYLDLQEIALQLIKAAETAWMRQLSMWLLYGELPTFGREDFFVQAAEIEL EDDELSYPSRRNVDYVLRDDLLPKFVSAPTASSILFIGKSLNHIRSQRNRSTSDNATG SITGITLETDHLRQLSSLKSPISTSLLANAVTAIRLSVSQISLSKLLPLPKIVEILSL IHDFLLLGRGEFVTSLVSNADSRLQARMTRPGGTASGLDGVMIKEGEVAAALAQTWTE LYALQSEEAPVDEELDLARELLSLSIQPTKKADRVATPQDNVGTNLTTGISSISFADL LFPTETALSLKIQPPIDLFLSNSDMSVYSTMHSYLLGIRRAQLRLSSLWKHTTMRRLH PSPLGPPRSNTRGGQYHLQSQRQRHNARSVEMRQVWATASASLFVLSEIGGYLQGEVI QESWQHFQSWLQRGVNPTGITSRPASQHESVIPASRLRGSFAQLKQSRPSTANSTAPA VTQQHDPETITVAHRRYLSSLTQSLFLTEVPFTSSLRSFLTSLDHLIALVSRLETVQR NLDLEADEGVVDALADYAKEERTIKDELQEAHEAVQTNIKDIISRLRDIDDNRSVDQG HRNMFEATESGVEDRNMYIPRKAAGVNQLLMKLDFAGLNDNPVFAEDGDDGDEGDVVH DTCMISNNK TSTA_055740 MTDGKMQLDKAQETVEAIKHGDIDESLYSRQLYVLGHEAMKRMG SSNVLIAGLKGLGVEIAKNIALAGVKSLTLFDPTPVAISDLSSQFFLQPQDVGKRRAD VTAPRVAELNSYVPVTIYESDNLTADLSQLKRFQVVVLTNTSLKDQLTIADYCHQNGI YVVITDTFGLFGYIFNDFGKNFTVGDATGEDPVSGIVADIDETGLVSALDETRHGLED GDYVTFTEVKGMEGLNNNDPRKVTVKGPYTFSIGDVSGLGKYEGGGLYTQVKMPKFLD FQPLREQLKKPELLISDFAKFERPQQLHIGVQALHQFAETHNGEFPRPHHEADAEEVL KISKDLAGQTEDKVELDDKLIRELSYQARGDLNPLAAFFGGLAAQEVLKSVSGKFHPV VQWMYFDSLESLPESVTRSEETCKPLGTRYDGQIAVFGKEFQDKVANLNTFLVGAGAI GCEMLKNWAMIGLGTGPKGKIRVTDMDQIEKSNLNRQFLFRPKDVGMLKSDCASAAVQ AMNPELNGKITTLRDRVGPDTEDIFNEQFWSELDIVTNALDNVDARTYVDRRCVFFRK PLLESGTLGTKGNTQVILPHITESYSSSQDPPEKSFPMCTLKSFPNRIEHTIAWARDL FQTYFVGPPESVNLYLSEPNYIEQTLKQAGNEKQTLENLRDFLVTDKPLSFDDCIVWA RNQFEAQYNNAIQQLLYNFPRDSTTSSGQPFWSGPKRAPTPLKFDSSNPTHLGFIIAG ANLHAFNYGIKPPTTDKNYFKKVVDDMIIPEFTPSSNVKIQADDNDPDPNAQSAGTSD NEEIQKLVASLPSPKSLAGFRLVPVEFEKDDDTNYHIDFITAASNLRAENYDIPQADR HKTKFIAGKIIPAIATTTALVTGLVVLELYKIIDGKTDIEKYKNGFVNLALPFFGFSE PIASPKGKYQGKNGEVTIDKLWDRFEVDDIPLQDFLKHFSDLGLEVTMISSGVSLLYA SFYPPSKLKDRLPLKMSKLVEHISKKPVPEHQKNVIFEVTAEDQTEEDVEIPYVMVKL TSTA_055750 MSFALLRLRTAFAISNKASVSISATILYGTRTMSTGNKNPITEW VSRDSKTGEFKRGVSQFRSFISSEPGAEFPPEKGRYHLYVSYACPWAHRTLITRKLKG LEDIISVTAVHWHMAEKGWRFVTPGENVPGENVRPDPIHPGFTHLRDIYFDVDPEYTG RFTVPTLFDTKTKRIVSNESSEIIRMFYHEFDSLLPEQYAKIDLFPESLRAEIESSND WIYNDINNGVYKSGFATTQEAYEKAVRTLFAALDKVEAHLASRQASGKEYMYGDHISE SDIRLYTTIIRFDAVYVQHFKTNLRDIRSGYPAIHRWVRHLYWDIPAFGETTQFEHIK KHYTKSHGQINPFGITPVGPIPDVLPKGEEVAAVKL TSTA_055760 MPPLEHPDRVDKLWEIIDTRRQGSVDFNGLKKGLRRMDHPLKNA DSMLQQVFKTVDMNGDGRIQYGEFRDFVSRADEALWELFKSIDRNQNGEIDRAELRYA FSSAGITVSSPVLDEFLAQMDRNNDGVITYNEWRDFLLFLPTEDHDLRTVLSYYKATG NLNPEGDVDIGDSRQGLGIGFPTQSHFLLHALETALYYTLQLPLSIILNAAPVNLVAV AYAETPNAEQPASADLVVFDRDGVDLDYDELEWLSVSKPIAMWLSVRSYELQLTDIFP HLGYFIAGGLAGAVSRTATAPLDRLKVYLIAQTGVRKEAVRAAQKGAPVNAVRKGIKS LVDAMKELWKAGGVRSLFAGNGLNVVKIMPESAIKFGAYEASKRAFARLEGHNDTKKI KPTSQFLSGGLGGMVAQCFVYPIDTLKFRMQCEVVQGGVRGNKLIAETARKMWQTTGG FAFFRGLPLGLMGMFPYAAIDLSTFEYLKRRLVARKARQEKCHEDDVPLSNFTTGAIG AFSGALGASFVYPLNVLRTRLQAQGTVLHPATYDGIIDVTRTTYRTEGIRGFYKGITP NMLKVAPAVSISYIVYENAKRFLGLK TSTA_055770 MAANFWVSTQRRHWMFTRERLAEVRENLKANSPDHQIQLPDMRV INIFLKTELCRLAKLTHSRQQAISTAQVYMKRFYTKVDFRQTNPYLVMVTAFYLACKM EECPQHIRVVTSEARQLWPEFITNDPGKIGECEFYLISEMHSQLIVHHPYRTVLELTK VLDLTTEDVSHATTLISDQYQTDLPLLYPPHVIAVMAILLAVLFGGGGGGGGGGGGGG GGTHRNPYGHGAIVANNNPPISTSLREAGLGATLSALGSGGTNTTTATRPDPRIQRII AWLAESEVDIKAVIECTQEMISLYEVMDGVNIQQCKETISRMIKTRNADK TSTA_055780 MASAYFYSQHQHQQHHAVNAHLQQSNNHHGGRRRGPRSMAAQNA QRQSRSVKTMREMVEAPTVTAFRQRFEAGRSFDLDDDLEFCPNLLTEDDLHSINSSAS DRSSLSSGSPESSPLQHQIQPAQQQVTPSISLSPGPSNTSSYVSNVGAMNHNINAMHF QQPAAARARKVIPIVNPQTGITLSSPPTSINPSMMQNQRRW TSTA_055790 MASLPYIRQTIRPFTATSLSLGSAPVCSSTASFSTSSIQRALKE SDRNRDGLENEYETYKNENLKDVKSGKGYWREQLASESEATVKADRGEAVIPGQENFV KGGLKEGETLKKDKK TSTA_055800 MSHPSQLFLLADHIKLSLLERQRAISLNLEPNTQDSEISRSLES LRDGLERLENDTNNTGTDTDTREQISLLRSHGSSEPSDATKVPNDPALGPDFAHATRG TNLQQPVPQHPVSKSVRFTDANDDGDDEYNSNRAALLQPYRDDPNTTREEGTARYLDT TNLDNQQIHAHHQQILSEQDAQLDSLGESIGRQHQLSIQIGDELEGQIALLDDVDEHV DRHQGRLNNARRRLDKIRRKAGDNWSMMTIIGLIIVLVILIVILK TSTA_055810 MDIAEFLTTMDLERGVEELVDLRALDYVSTYDEHLMCPICHCPF IRPLRLQCDHVFCQKCINDAIVSNSRDFRCPSCRARGDISGKVPRILINMCDDVRVRC PYSTEGCAETMARGHVQLHVDKYCDYKLMKCPDLTCGQKTRKKNLNPEKCMHNMVKCE SCEESVMEQDLEEHQDQHCPSLRTTCPDCGAMVFRSALEQHIDTCPEAIHPCQASKYG CPVKLKRSELAIHERSCPLITIGPYIEVQNSRIDSLDMTIRQLRQRNEILEDGIASIR STLMESARFSPENRTQRQSPGEDMAQDSSQSGPTEIEDSDRSSQLSTSTAMTYLLSLH ESLREEVSQLSHAMTDLDARASMAILNESMRVNEDLAHTSAAINTIRMQIHWLLNPRL QHGHRLGAATGAGGESSPGPSTRPGPGHGGLTPRRRLSDSGREGTKL TSTA_055820 MPPKKGADQAKKKKTTVEDKTFGMKNKKGGSARRQIAQLEAQAK HNKNADEKRKEAEKARRAAEKAAEEQAKREAAELFKPVQVQKVPFGVDPKTVVCQFYK QGHCEKGKKCKFSHDLSVERKSAKRDLYSDTRDSDAANGGKEKDTMDQWDEAKLRDVV LSKHGNPRTTTDKVCKYFIEAVENQKYGWFWTCPNGGDKCMYRHALPPGFVLKTKEQR AAEKALMEKSPMHTLTLEDFLESERHKLTGTLTPVTPETFAEWKKKRLDKKAAEEEAR KAKEATGRTLFESGNWRTAGDSEEESDEEDDEDGAWNLEALRKETERLRMEKEEERLA KLHGLSTGETIGEAVAVDGDG TSTA_055830 MSTKKAIAVIAGVGPGTGSSIARKFAQHYPVIVLARNPSNYAEV VQTINSSGGQAIGLSTDLSDSTSVSSTFKKITTELFPDSPIAAAVFNSGGGFVRKPFL ELTESEFAAGWEGQVKGAFLFAQATLPLLLKGVEEKVEFPPSLIFTGATASIRGSANF SVFASAKFGLRALSQSLAREFGPKGVHVSHVIVDGVIDIPRTKEWKFEHEDAKISPDA IADSYWFLHTQPRTTFAYELDLRPYVEKW TSTA_055840 MVLDDSSSSDSTTLDPSTNTDTWDSPDLSSRYASVFPLKINRQY LQRPNPFLLWVGFAGPWNWERTVMYRLSAQLETVKGLLRRDPTQPEVDALVEYTSREV NTRRIGLPIGVTAGSVHAYYTLRKKLSIPSELSFLEGLKVAWRLSPVLERRQAALQAG LRFGVWVIFTLGNFIALSSDPRLAEFRDAVRRYAEARMQRMEGYKEKTKQRREQRDAA RHEGTTVSAEEPEENYKAESTEGTTDDQSHSSPSPSYQTPPRSYETTRSYVPEESPSS DFFDDASPTVPEYQASTASPSRPTPASNENAWDRIRRETASHASISAPSPSSWGRQQP SASSEYSSYESEQQNGQREREAAQRQFDRMLDSERQLSQDGGDDNSNKKGWRRW TSTA_055850 MSDLSSWHRPVGSTTQSTAPATPLDPAWDSEFQPCASTASLFLF SQGSVILCLHHDTLAIERRFTLHEERIAFICVDNVSERGAGRLVVSYDFAQTAIVWDL FTGSEISRFASYEPLRVAAWMRNGNVAFGNGKGDVILFEPSTSEHLSARTIFDPITSL APSADCRTYAIGYQNGSILIATLHPAFTILHTLSTSRGPSPIVCLAWHASSSKQKSDM LATQTLDGDLRVWSVAKAPLNESPRVIRALKRTEPYSTGPKWIAWSKNGRIVQYSDRE TWVWDVRTKHVTYVTIPTIEDVLGMANYGPTATLFTLGPNNTVQQYDLENPAMVKNVR HLPMDQIALVEESKVRPMSPSYFSRSGTRSAQGFRGPEVSIQELASQQRAATASPMSA RSRTNSISSHASSGRVRPFSPVNKSTYSGTTFSMTSPLGRLPSQSGTSIAYGSTASVS SVRSRGGSRLRNEITQSPVDKPLVDLFPFTRERVNEVSYMQQLPPLDESRLTPEALRQ QMLRVVFGWEGDIEGLIRDELSRHPPASQNAIFLSRWLGENDPMQMMAMLSSGPVNSS AWMFLALSQMGGEEQANKVGQSFVQRLLEIGDIHASATILLALGDRNDAIEVYVSRNY YMEAILMTCLLLPNDWQRQSYLVRRWGEHVVSNAQQQLAIRCFMCTGAEPSEPWMSPT APNAPYRELHVASPVSEPPPKPVDQMLKPESANRMTVKNSALKLITSFGPQGNQAFKF PGLKSDDRTPTNAPGITPIAESAVGESALSPGGLGSYRLNNARSLNNALSRVGTPSHA HRHRLPSIGETPVDVHPPNFPPRSLPTPVDSSSEKGKRNISQSDPNESSDNNDAPILL LSSARYEPAKDSHGPSPQTAVQTGANKFENIKGLPSPAAGVFETLKGQAAARNGSRDR KPEGLHIQWPPVDTSESEAGPYTGGTGGGTPSSVFQSLRSTKSPSVSSRSIDQYISSL DEANYYANVHRPHTKTRRHEAGSEHGKKSSRNGSVDSRGRATNRYIAPAKRSPSSPVP MSPEEFAKYNASVESLSAEITKRRSRSHTKGSSRVRASSKADRHQRSTSRGIERKHKV KSRNSSRRSRGRSGDRSKSGVRSPTSPLPMSPAEDMRGFEDSLRFVTSDRERLHRSRQ RSSSRRRGTSSVRRDTSPDKRRPRARSSSRQAREPNQSVETPSEVILSNESFVEESSR PEFSSTSNMVLSAHERAKRELAAAELEARRLSLARRPSAPKIPHPGDFQTAAMMSAVG GNLPESPPSSGGSFNKRIRSKSNASKRSPTFANGSDSGSSTRGRSGTVGLPATPKAMR RPKYSDGYVDGEAPAMPEIPLTLPSTVYQDEAAKISRSMSVPVVEFHQTPVPADLPHH PRFIPSMPRSRSTSRTRGPGPRGHRRENSSELGQSTFGSPPSVSVSIEPDIIVDNTKI VPPILPELQHLTTPPPPPPAPNAGTRGRDIESSQIMTDNSRAGYELARPMTTGADAQS MRRMSVDHRRGRSINETFASKFRNFTGRMRSTSRGPGVQSPPTESFDKNASPYESVTI AKD TSTA_055860 MCLRAMIRVESKEIGKVHDKTLLIEAKISHKDLEVRARSWRQFP FSERMSKRTLDAYLKPVRSSTDTSSSKKLKETTATTAATRTSVVDATDIKENTAASKI SRNETKPPDYTADTHPSYPVPITAIRPHIYTALKATTPARTPKAINNQPHLDLLYYEP FIPQPTADEYFQFLRRELPFYRVRYTIKRGPTETVINTPRYTTVFGVDTTSYFSLPPG ERDSDCKDKGNPEGKLLFDSKTHQPISKNKYKCTPRPIPSCLDHLRKTVEATLNHGTS YNFVLVNYYASGDDSISYHSDDERFLGPLPNIASLTLGARRDFLMKHKAVAGAAPRKD KPLKLPLGSGDLIIMRGDTQSNWLHSIPKRKGGESGSGRINITLRKAVVPGGTENYYR YNVGDGGLYRWSDSAKEMVLAA TSTA_055870 MPSSKTDTAIRYPERADQQRRIRGVTQDRKWEARMRDKAASNPE MPSNATAWGPESTLELHMDQAGNPQPDPSQFSDGNRAARQGLEGAGL TSTA_055880 MATNAGQNVITDMIAAGSPPQEVVEQIAARDSLHIPHNPDAKTA VFGDVASSSSDGDIEHPLPTEEQSATLRKVPGNLSLVAFALCLVEFAERASYYGAQNL FANFIEFPLPKGGNGAGAPPKGTQETAGALNMGLQASSGFVLLFKFLAYVIPIFGGWW ADTKVGRYYAIVVGVLICGVAHIIQIIGAIPAVLQQGTAHAAPPFIIGLLILAVGAGI FKPNVAPTVLDQQLHAKEYVMTLKNGEKVIVSPELTTTRTMLIFYGFVNVGAFYMLAT SYAEKDIGYWLAFLLAGIIYFLLPILLLVMYKRTKKAPPSGSDLINAVKIIGTALKKS KFQIWKKGFWDSAKPSVLAQQGIIVGWSDKLVDDVRRTIDACHIFFFFPIYNLNDGGI GSVPSNQGAAMITNGAPNDLLNNFNPLTIIAFVPFLSYVIYPILNRLGIRLGPIDRIT IGFFLAGLSSVAGAIVQHYVYKLSPCGYYASTCDEVAPISIWWQIPNNVLSAASECFA NVTAYELAYSRAPPAMRSLVVAVFLFMTALSSALGEILIPVQVDPYLVWLWAAPAVAL AVQTIWLNIHFRHLNSEEFMTSEVIVESPVSRETQQTKV TSTA_055890 MRSFWWVTTILSSSVIAAGQNNEAYSQPSYPSPWGDGKGGWAEA YEKAREFVSQLTLVEKVNLTTGTGWMQGSCVGETVSIPRLGLRGLCLQDGPMGIRFSD YNSAFPAGVNIAATWDRSLGYLRGRAMGRATEGGRNWEGFSPDPVNTGVMMAETSKGA FRLVGEANGYACANSYTLNNLLKRELDFQGFVISDWGADTSGVSSTLAGLDMSMPGDT LFSSGDSYGSANLTISVVNGTVPEYRIDDMAIRIMAAYYKIGRDKYQVPINFNSWNRD IDDPIYATAGREYGIGRVNEHVDVRGNHASMIREIGAASTVLLKNTDGALPLSGEEKF TAVFGSDADADPVGINSVLIMAVTMALLPLAYNKIQALASQADVALVFVNSDSGEEFI VVDGNEGDRNNLTLWRDGDTLIKAVTQNNNTVVVIHSDGPVLVGGWYENPNVTAILWA DVLYGRVNPGGKSPFTWGKAREDYSADVLYAPNNGDEAPQIDLTEEPISPLYMNSDHG LSYTTFAYSNIRVRPLRNPAPYVPTSGLTEPAPVFGNFSTDWSDYLFLESSGDPDYGL SADEYLPENATSAVPQPLHPAGGQPGGNPGLYEQVAIVTVDITNTGTVSGDEYISHGG PDDPKIVLRGFDRISLRPQETKEFSVVLTRRDISNWDVVSQNWVVTEYPKTVYVGSSS RELRLEADLSSLS TSTA_055900 MKLTLFFEICLLSLAAYGLQFPSEGHEWHPPVPGDSRSPCPGLN VMANHGFLPRSGKNIDLAAVRSGVSQAYNYAPTSFDTAFEQAVAFNLTTTGNISTFNL EDLKKHDDVEFDGSLSRNDAFFGDDNTFNHAIWWTTAHRLGLYDYGISEKDKYVTVET AARARAARVRDAMRINPVFNASANEMTGSPGTTALYLTTLWDDEAGGAPKEWVRAFFE NERIPYLEGYQPPIVPKTSDDINAMFARVTAVNVSDIIA TSTA_055910 MYLHFRFLSLVAFVGAGASIVAATGSSSTCDALCLEVVQNGSSW EIDQHASRDFSFYATPSNFSFDLSPGSLITVEPVTQLLNYSIPSGLSMSRIIYTTNDL NGTTLPTSAYILWPYTPLTTSGHKDQGYPMVAWAHGTSGVLRGCAPSNYRNLQYHFMT PFLLALQGMVVVAPDYAGLGVDTLPNGQKIGHPWLSGPAQANDLANAAIAARKAFPDL LTADGPFVAMGHSQGGGATWAFAEKQVKEPISGYKGTVAIAPTTRTFDHLKDAFSNIT EPWAQVIIAGQPKLISAVTAAFPSYNYSGLTPVSYDRWFNVLKPLDGCLPTDSLAFTN VTVDELAVPNWYDAPEVQEYAKLAENGRKKFKGPLLIVSGEADIVVPLDTVESAVDDT CKMLKDEHWNESLELVTYSAMDHFPSIQASQMKWLPWIKDQLTGAPPPRPGCFKSAVT GIRTNDTVQTATPNFLEGWASAEENEITRLLAYNPLTGL TSTA_055920 MSRNINTLEEASSPEAGGFRTRRSHKKSRYGCTKCKKRRIKCDE RLPKCSRCERMDLSCQYPNWNPKSILADVFMVDSLDVLPLQHKSRAKYGRGSPANSNH SFGISGSPLSSVSNLASSQLSTVLPKQDRNLDSALLSKAAQDLTPVEFELFQHYLDHT SKDLTVGDEEQNTLQIRIPTLACESKPLMKSVLALSEVCKCLDIINQLSASDAGRNQV IELLSLANRYHMDSLRAVQATLPQTKHYDHVLANAAMMGMYGSGSHCARIWLAKTASL GDRLQEDLLPRHSQWIRLFRAVHMAYGGLLNNSQSTDTAQFDWDRSPNLPTAGNDSRI RSYFRASSQLQQQRPPINHALYPIISATVGPSMEKLRRRTREIAILEASSEISGYDSP LTLSIHGNPEIEACFVALSILGSIVTETFPTNDSMASTPLAFEVDVDPVGQLSEVSPW LRRYAASITSMVPSPLPRRFIMAFIHKVPNKYLNLIEDMLNLIQTDAPVGHGMVWTPE PSPAHQLALDIFAHWLVLVLMLDNVWWIGDIGAWELGQIASFRNDARQPMWLWNQEED WWPGSMLEISRQFEKHRTKS TSTA_055930 MAQPGAFDQKLESRTAPGNHNNATPAPVTPLENARNQGEDLEAS LPTANNPNGNLSKEDDDQILQQKSARRGRWGEIVSTNHADLIFILCSLTTGLCDGVVY ASFGCFISMQTGNTIFVGLGASGIPTNKPYGWLKSLISITGFFTGSFVFAKIMRAVGN RKRGALTICFAAQAIFIFIAAAVIEDNQPTSGSLFLELIPIALLAFQFGGQITASRGM GFNELPTVVLTSVYFDIASDPKLTDGVTKNVKRNRRIGSAVAILVGAIAAGWLCRSDA EMQSALWISGFLKVCIAVGWTVWPVAEKTYQTNENENDVPRPDDGENWGSPGLLLK TSTA_055940 MTETIPSATSVSPKKQIILNAFVMNTPGHQAPGLWRHPRNKTDQ YKKLCFWTDLAQLLDKAGFHAMFIADTLGPYDVYKGPANVVPALASGAQFPVNDPLFL VPTMASVTKNLIFGVTASLTYEKPYALARSQGRVAWNIVTSYLDSAARNHGLKEQIPH DERYAIAHEYMEVLYKLWEGSFRDDAVLEDRENGIYIANDAVRQINHKGKYFEVPGPH FCEPSPQRTPFLFQAGVSEAGNGFGGKHGEAIFIGGQTPEGVRATVDNIRAIAKKEGR DPNHIKIILAICVIVAATDEEAQAKREEYLKYADYEGAYALFGGWTGVDLSKYSDTEN FLVADSPRVQSLIRRWAASVPGTEGQPWTKKTIAEYLSVGGLQAKLIGSPTTVADQIE QWVDLTDVDGFNVIHITNPGCFEDMAEYLIPELRRRGRFRSHVEKEGATAREVFIGSR RLPEDHPGSKYKWRAGESIPSYQKEEQTSSLAN TSTA_055950 MSSSAQKDTAVTETNVPSEIQSLPEDTIKETSYFTSIRRYIWDD PAKSSKEKWFLFKLDIFLLSISCLGYFSKNLDQANVANAYVSGMSDALKMKGSELTYA GNVFTAGYVLGQLPAVILVTRIRPSILIPTMEVLWSIFTFCSASVKTTSQLYAIRFLI ALCEGTYFPTVVYIIGSWYTKSERGKRMTIFYATASFAGMFSGYLQAGAYKGLNGVLG HAGWQWLFIVCGIISLPIAITGYFFYPDFPETTRAFYITKEEAEFARRRLIADGMKPL GASAWDRTKIFRIMKQWQFWVLPVGYFLVQGSYPIYQPVFALWLKSTHHSIYQVNVWP TGQYAVGVVVQLLAGVISDSPLLRGRRWQTLIAMQIPTIFACIVLAVWDVPIGLKYAA YYLTFTAAGVPGIYYACYIQSIWWTLTVWRTVLAPRFHAAFIGASCLGVTLCVLAVVL RLLEKHDIKKRALVDEGTGDEETARTGAR TSTA_055960 MSQLTSKKISGHVSRMEKARDPSKFKARTRYSGRINKQNTMATT TIEPTAVQTPAVDLEQYAYKTLKHYVHPPETKANLPWSELVTLDLEDYHRPGGKERLA KQLEHAVHHVGFFYVKNFGLTQEQVDQQFTLAQNFFELPVQEKEKYEVNYGEADYNGW RRPGRYVGANNTRDNIEMYNIPKFTKDFEGKYNHPDLIKAHLPEIETFHRALHANVVL PLLRLFAIILELPDEDYLVKQHTYEEKSEDHFRYMLYHPRTEEEWEANNYGKGGGHTD LGTVTLLFRQPVAGLQILGEDNNWTWVSAQPGTITVNLADTISHLTGGWLKSSVHRVV APPEDQRQYKRTGLLYFARPHNKTVLVPITDSPVLQKSGVKPRFEKLVTMEEWVRAKQ TLQLNPEIAKKRWAEKGDGTVEVLAGFHDRKYKE TSTA_055970 MPPIRNKNKKDLAEQEGRILLAISDLQNGRILRVARAARIYSIP RATLQDRLNGTQQRSQVRANSHKLTQCEEESLVKWILDLDKRGLPPRHSLVREMADYL LSQRGNRRVGENWVYNLVKRRPEIESKFSRKYNYERAKCKDPKIIQEYFDRVREVILE YGILPEDIYNFDKTGFAMGLCATAKVITGSDRYARPKLLQPGNREWVTAIEAVNSIGW ALPSYIIFKAKKYTRLGWFEDLPDDWKINISDNGWTTDKIGLEWLKTHFIPLTDGRTL GKYQMLILDGHGSHLTAEFDRTCTENNIIPPLDVGCFAVLKREYGRLIEQRMRLGFNH IDKIDFLTAFPKARTMAYKAQTVRNSFMVTGLVPFNPDRVYQQLTVRLKTPTPPPSRS SDTQSSCLQTPQNACQFKRQMTTTKKRISRHTRSSSEAIGEVFTRASKAYGMSINKLT IAQKELHDLRAAHEKEKQKRRRSKQQISHEQGITREEAQALVQGWVEASQAVTTAPAE PELPVCHPPVRRQFRCSDCGVAGHKITGCPNRIRN TSTA_055980 MISTSGPDAGNSSALCQFQGFCLQMFPMADVLWTVAMAVDVYLV VYHRFEAEALRKLEIYYITIITGVVAIPALVFLFIHTLDKGPISGAQFLLIGFSFGFC FTTGQSVLILYILVGVKVVKLRHQFNASHADHIALSSNISTKNHSFDHPSNTLAVTVE VNIRTQPSLSSHPDQKGPQSFIEQSALPSHMVLDYNHSKQSHVSFRQYILMPMVFFLV LLATWVAPTINRISAFVNPNHSSYPLMVTVGAMGSLRGFWNGVVFISIGMKSWRRQTR LQKWKLSDKVKGMAPAKPRAPR TSTA_055990 MSTTDENVNSATPPPSRPYRSHKFPACDFCRRRKSRCTQQSLDQ PCVECVMHRVACSRTSLHSEASISTTAERSRKRRRMSSKTPASRRQSLSPATPAEAST AGDHPPPPPPFTPNDASKTQSTHIVGPEMARDAQVLERYMSPAYNTAASRARPNPYSV YSHDPRNPVVYMKVPRHRNIAPSGNGTAGFRQYEAMEKIVEPLGPELCRVYFDNIHPP FPILDEKAILEAYPQEGLPYTLVCELYAVSLILWRTSKAISATGRPTPDVRYMWNLAV SAMNDDFIAPDLSTVLSCILDLLGRPTTSITYNAVNVGRVVALAQSLGLNRNPLNWDL AVRQKNLRIRTWWGILIHDQWASLSHGTPPHIHRSQWDVPVPDSDTLGVNSITDEETI SVVRVQGALSFIALCQLTMILGEILPLIYTLQPQGSGHAFRALRRHETALDEWEEKLP TWLRPGSASFDRKAAGALNLQLCYLVIKMCLWRIGLLVRLSPVSVTSSLILTCYKSRS FIGWMRLTSGTTKRIINRDAGKAACAVIELVTSLERDELDAFWLPYTTYHFNSATTLI LRCALEAENPETAQECVASAKGLIDFLREAKDETNWDLANTCLDHCETVVENLSDKHN LATWRKNPPGNQNSGRGESGGNFFPRRNNQHAQGQTGATFSHRNHDSSNLDPGIVGGR DGINLGVINPIFFQEVMTFGSIPGSMPDNSVFSDMVQMPYLEGYPYRNFY TSTA_056000 MDVEKKTTSDMKDPIAQEKDLQTGVITDLDEGEVFLRENGITND RVHELLGDKPRNKRLVRKVDLLLMPLLAGTYTLQYIDKSALAYSAVFDLFTTTHMSSN QYGWLASIFYFAYLAAEYPWGYLAQKTKMAKVVSGNIVAWGAMLMITAACTSFTGMAI CRFLLGVFEAPITPCFMMIVGMWYTRSEQPFRAGVFYSCNGLGAMIGGLLTFGIGQIK TIAVWRAIYLILGGVTILWGLLMFVWLPDDIMSAKRFTLEDKALLIGRGRLNRTGIIN RRIKWYQIREAFIDPQVWILFFFMLFNETINGGIASFSKLIIKGLTGSAITTVALGIP FGAFQIFWVLSGTYLASRIPNFRTIVMFAYLIPTIIGICIMWKLSHKTHKVGVLFGYY IVGSYVCSLVLALQMPATNLGGYTKRTTSVGLVFLAYCVGNIIGPHAFLAKEAPIYQT GCKVILACSSAQAVLAISLRLLFIYRNKQRDAAAANVTGVEGIEASGGDELHDLTDFE NPHFRYVL TSTA_056010 MGTLKSVEKIGVLCLGKLPKRPSRYLAGQGKRPLICPAPTSEPE VCLCTIYLSHCSALILISKSETPDISKHQATNHIMSSNSASLSVTLPQGTVVGVQLDN AFPQPVNAFLGIPYALPPVGGLRFRLPVKVPNSTKVIDASKYGPAAPGKALLMGGPKV EKSEDCLTANIFQPVRHHTKKLPVAIYVHGGAFNRGSASMHDTASMLAWSEEPFIAVS FGYRLGALGFLPSTLSKKEGLLNLGLHDQIFLFQWVQENIEAFGGDPGNVTLFGLSAG AHSIGHHLLNYDEHRKPLFHRAIIESGSPTSRAVRPYDAKVHEQQFQDFLKEVQCPEN LPESEIFPFLRSLPSSVITDAQAAVFDKWNPSLRWAFQPVIDGDIISRKPLDAWKSGL WNKVPIMTGFNTNEGTMYVDKTMSRPEQFRGFWHTLLPELSAADLDTIEKLYPEPSTD PKSPYVETRLEHGLGAQYKRIEAAYGHYAYVAPVRQTAHFASSQGVPVYLYHWALART VVGRANHGDNMYYEAYSNNIISLSESQKELSGTLHAYITSFITQGDPNAISGRYAQRP EWKRYLPDEPGVLIFGQGNEELIGGNVAPAAKFEADSWDREQTDFWWSKVEISQLA TSTA_056020 MRSLITLLPVFFLPVLGSPITEGFSKRDDRGSKTVTGISAHKEA ILDAGGNTLDLAIAMLEIKTMNTADYSYSDGKTYDAANFSMFKQNWGILRERAYRYGF KGQSQDEWDNSARLK TSTA_056030 MTADRTLCLVFELASKPLPAQQFMRYYKSRGQKRERKEFKDLVF VSFVWITLISRSEAYANIQGAWRCHQAHQVRISRSHVPP TSTA_056040 MASVQLPEPFASIPRESFLFGPSPIQHLPKITKALGGKVGIYAK REDCNSGLAFGGNKTRKLEYLASDALAQGCDTLVSIGGFQSNHTRQVAAVATQLGMKV ALVQEKWVNWEDPVYDKAGNIQLSRLMGADVRLDPSPFGIEHKGTLQNLKQEIIDKGG KPYYIPAGASDHPLGGLGFARWAFEVEQQETEMGIFFDTVIVCAVTGSTMAGMVAGFK LAQKKNNSKPRKVIGIDASATVKQTFDQILRIAKATGVKIGLSEDDITEEDIILDDRY HAGVYGIPDQQTIDAIKFGASTEAFITDPVYEGKSLAGMMDIVRKEEVAAGSNILYAH LGGQLALNAYTGM TSTA_056050 MYHQTSPSRGWQAYDYTTSPPSSPYYQSFYAANTASPRAPPKRH SRKASYASPRESGWYSHYPQYQEPIPEYASSRKFDNKRKHRASMPGDVPSYGYVFGYD YVRPSRTPTRPIVIDVVDEADDEPTYIYREPRSVRFQRSSYTTARKTKTTTDPYSFSH QAPPIYEEDVKRSRARRASTSTRTSPQKPPKMTTPPKSPSKATAEDAKRAGIPHGYSI KNWDPDEAPIILLGSVFDANSLGKWIYDWTVYHHGASTPMADVAGELWLLLIKLAGKV KRADEFLDRGGLSDEAKDLLEDFVESGERLWIRFKDLLESCEKFMYDAAKRETRRGAP VSMGRNAGCEFVESMFGRDRNLHETEKLMNSIRLWDMRFDANCEAILRPSRRSSRRQS TV TSTA_056060 MAPQKILIIGAGELGFQVLRSLATHPRKPSTIAVLLRPSSIDRT SANTSKQKQEQNDALRSMGVQFVPGDIVEDSEQTLSSIFVEYDTVIGCTGFVSGRSVQ TKITQAVIAAGTPRYIPWQFGVDYDAIGRGSAQDVFDEQLDVRDLLRAPGQTKTRWTI VSTGMFTSFLFEPSFGVVDKDNATINALGSLENSVTVTTPEDIGALTAEIVMRDLFDN QPIFVGGDTVTYERLAQLVEKVTRKTFRRNVLTVENMLATLAGDPGNGLLKYQVVFGQ GRGVAWDLAATWNMEHGIRTETVEDQRCYFLDVT TSTA_056070 MVQFDGPFGKIGPGYLYRGARDPPAEPTQSFHGKTVLVTGCNTG VGYQAALKIAALNPKRLILGTRTLAKGEATRDKILAQTPSLSRSVIDIFEIEYTSFRS VIGFAEAIKDSTSALDCVLLSAGLINPSYVATSEDGVGTWDMAIKVNVLSTALLAIEL LPLLRRTSGSILEFVGSTGYCNVTSQQIRPVLDRTTNSMSTEDVDALKFFNDEKRWNP EGSYCEAKLLLMFVLQGLVESLGGSQGRLSPLKGEIQRDSGPIILACCPNQTKTDLGR NFPVGMKVFMFFWNSVFARTAEQGSRTLVSGLTLGEEANGRMWSNDRFDDRSPNITAS EWEGLQKVVWKEIVQVLKGYKPDLAI TSTA_056080 MSEDADDVAPKQPPPSSTTAPRKKRKRKATGGGAADDCFTCSSA GLSCDRRRPYCSQCLDHGRDCAGYKTTLTWGVGVASRGKFRGLSLPVSDGIQPTSPPS PGTKQRKQSTVSSNPVASALPTAQQPRRKSSTNFPVTVTAERHAPHPSAASPLKGPQH PSNWQHPAPPFATATTVSELIFPESHNHAADGSLGALQYPTDGSLYTSNAPLVPPRTS TAATRAWAVPSTAASTYPVVSRHNADYHGRIQRPQTGFYVWPQPITGKRRASSIEDDD IEQQEYVISPVYSDPVYMDQFPVFAYQPTQDPWKFAASPFANSLPDLLLEQSVGRTPR MRYLISYFAEVIAPVIVAFDRPSNPYRTRILQLAHHSETLQHAIAALAASNIRQRREE KILSTERTEQARKSSMAHRALTDVSFQERHGIQDLGGDNREEVYHKSLAVKSLNALLA DPDKRHHDSVLASLLVLCLFHICETGVAQFQTQFAGVRKLLAMRHRLNIPESEEARWC TRMFTWFDAMTATINNREGQLQGLYLDMTCASDEEWAMENLAGCDGRLFKVMARLGRL NLLSQNQHVDPSYNIDMPAAMPVVPPALSHFTPNGMNAAYAATLYAHPQSAQWGNPEF WAEWHSIRQQLESWRLDPTQFAGALDINQLTQSPSNSCGSLSATSGPTIMSSPTTPNS SVTVAPSNLADLSNISEAFRYAALLYTERLAHPGIPSTHPRIQTFVLTAMHYIAAVQS DVYLLWPLFITGSECVYEEHRTAIRNRCRDIQNDSGFYNNISCLELLEKIWAQNPPVA SQQQQHLSVPYQSHQNLYPSHGYSGTPVSNIRAQTLAPNTMPQDGAGFRWRSIIEGEG VDGEYMFVKDLVVADVLFEDEALGCARCSSTFVFLGCSRTRDFDVKESVTRRRIAGLV EGFVGTCEVDEVVLVEGEDDGAEGLKGVENNEDVCYKEIL TSTA_056090 MVVASRPGYSRKNLEAERKPDSVSSKVKARTDIVTSIELWTDLP PRPYAAHVPANQCNATDPCNQCIPVLLFAWFSTLPALNVNTPIRLNLPRLELRLQGKP NKSTMVVQRRRRLRSFCLMLAALFFIFFSIYISSKPSSNNRFYNAHFTESPVDPFRGR QLAFWNSFREILDRHAPECPPPVLEGDAKPVTFSAVEAGPRPDLIVLPDEHLEAMRSA HAAFVEEIHTSKIIKPIHTPGSRGLVSMAGGPYFPLFMAQLRMLRRNNSTMPVEVFLR DSSEYEHHLCEKVLPQYNAKCVVLSELLGSTKHKGVEIEHYQFRTFAVLFSSFEEVVW MDADCFPLYRVEDLLDSEPFVSTGLVIWPDFSASTTSQLFYQISQQEIPPMTLRAATK TGVFIISKKTHFLTLLLAAYYNYHGPSHYFSLLVQGAPGQGDKEAFIQAALALKEPVY AVSERVGALGHTTEGGEFIISAVAQADPIEDYQLTKEGIWRVKDPESGKAPRVFFIHA SHPKFDAADNIFGFRWDGTPDFIPGRIWTADTEAIQRFGYDAEKAYWEELKWVACNYE SFFQAWATKHEVCENIQGRWRNFFQSSHDESLKFGEDDSTSSES TSTA_056100 MASVGTSGSANHSYKATATSGSGASNVGSRQIYEAGDQRNPSQS EIRERERYKEGQSGSHLANDSKDERSIANRLGREEQRSRKDERPYDPEAELSKKDPTA PATLHGNNPSKGAQIDAELKADDEQRLREKSGK TSTA_056100 MASVGTSGSANHSYKATATSGSGASNVGSRQIYEAGDQRNPSQS EIRERERYKEGQSGSHLANDSKDERSIANRLGREEQRSRKDERPYDPEAELSKKDPTA PVCRLLKLSDMRIQLTCNPPGHTARQQPLERRPD TSTA_056110 MAKRKSLKDNDNDVSMTDRRDDDSGSDSDVELVNVEFEWFDPQP AVDFHGLKTLLRQLFDNDAQLFDISALADLILSQPLLGSTVKVDGNETDPYAFLSVLN INEHKDKPVIKDLTKYIAQKSSSNPSLASLARLLANPENPPAIGLILTERLINIPAEV VPPMYSMLLEEISWALEEKEPYNFTHYLILSKTYEEVESKLDVEESRPQKKKKKTTTA GNNNENKFYFHPEDEVFERHTLCHGTFEYTHKQAEGASDSKRAFQDFGIKPAGSLMLI EEGKFGNAVKAITEYLKPPV TSTA_056120 MDAAIDLTDRSKALDLNNIRAQLIRLEDTITFHLIERAQFPANK TIYVAGGVPIPGSNLSLMDYLLREQEKLQSRVRRYESPDEHPFFPDALETPILEPLQY PEILHSNDVNENPAIKKRYIEEIIPSVCRQNRVDRGVSQENYGSSATCDVNLLQALSR RIHFGKFVAESKFQQDPEKFVRLIKAGDRMGIDEAITNSKVEELVLKRIETKAQTYGS DPVLAEYSNKVDAEAVVKMYQNIIIPITKVVEVEYLMQRLIGTQWQ TSTA_056130 MEDHSHDGWPEGSWDTGSTDDSLLGTGLTTRHLEAFGRKVTSTA THLMGPTSDPHYQSALTDIHRELRRPALQRRMFSLTRTSPTDLVRSKLSTAEIQSRAL SSLPDELLANLPEDNSSYSLFQGFQATLPESEHEHHRSHRRRSSKHQKVITDAEPTKA LPHSKGGLKAERERLNHRLEMMGIRKNMCSAEIHEIDNKITNLHNMRQIVLDRLAGLE VDETELENQIVELDNKIEDMEDEEEEEETTAKATPTKTNDSSEDAALDASFMSESIYQ KLPTTSPRGRKVRSIRKKSMPILHEHFAPGTAIKEIPAHNDIITALDFDYPFGTLVSA ALDDTVRVWDLNAGRCVGFLEGHHASVRCLQVEDNFVATGSMDASIRIWDLSQAQPVP QNDRINKSSKDEDAEEGDDSAAAAPSYTASNMADCEIFSLEAHVDEVTALHFRGETLI SGSADKTLRQWDLVKGRCVQTLDVLWAAAQASTSISTNSNSQWRPTGRLPDASADFVG AVQFFDAALACGTADGIIRLWDLRSGQVHRSLVGHTGPVTCLQFDDVHLVTGSLDRSI RIWDLRTGSIHDAFAYDHPITSMMFDTRRIASAAGENVVKVYDKTDGHHWDCGAGAGE GGENASIIERVRVKDGYLVEGRKDGIVGVWTC TSTA_056140 MPRTSHQRDPAYSPSGRRYSLEPGSPVRRSKRLEHGSPSPTPFA LRATTPDARQLHETLRAASESPSKERPDTRARSSAHSSVTPSPPVQRTISSTSTPAPP SLNPAITAGNETLEPQTDRGFSFFRYPRLPSIGFGRKEASIVLSSPEVEDDNASVVSW QLERELHRDNLQRTKPEPEPESYSLVPREARNIRKPPRRLSGLTWTNDTTHSADNTNT TSNYDDDGKDDDKEDEEDKKSDTSDIRTAAARTVISSNNNRDSADDSVSGNSRPPTSD QSALVDRPRRPPLFNQQDITKETHWSLFLLLMTLFITIFIITTYFLGGYLLSNDLFPH QARNYPTLNTTEGNIVSQLSDELVRLNTQMTSVSTYVHHLSYEQKKIADQVTIVRPNP EFEPRINFLSPGLGTRVDPKLTSPSIGTRRTLPRRLYESLSRKRIPQPNPPGTALEAW NDIGDCWCAAPSKTGQAQLALDLGQRAIIDEVVVEHIPAGASPDPGVAPREMELWARF RPFRGGQQQQQQQQAAKATETAITSESSNKRSGWFGLFRSSTTSSSTSSSSSSLSSIL DAIIKTLQQAYPSDPETAYANDRLLGPSYFRLGQWEYDRAGGAVQHFALDALIDYPMV RVDKVVLRVKSNWGGNSTCLYRVKVHGHV TSTA_056150 MRVTPSVSVFIFLVFFLAYRFLKNQYKSRAHRKLEIAKGCQPLL RTWKSRCPWGIDILHKAYQHESKKQILQFFLDVIAKSGNTFQQYLFFSRSINTVEPEN IEAILSTQFEDFGLGLRPIHFDPLMGNGISTQDGTQWRHSRQLLQSQFMSNRLNNLDQ IKSAVDDLAIGIPENESVDMQPLFFRLTLDTTLFLLFRQLLPSLKSQGITDCESEFAD AFKTSQEYLAQRGRLGDFYWLLGGQKFRRACGIVHDFVDSAVQMALKHSAQFPPDAKI GSQEGHALIDALIQENKDPKYLRSQCLNILLAGRDATACCLIWTLRLLVQHPHVLSKL RREVKGAVGVGLNASEPTISQLKELSYLTNVIKEVLRLYPSVPVNSRTAIRTTTLPTG GGPHGTDPILVHKGEAVGYCVYAMHRRKDIYGPDAEAFRPERWEDQPLATKARGWAYL PFNGGPRACPGQEFALLETGYTIVRILQMFETIEMVEGRGMDCSVGKEKQVLTLVLSS GDGCWVRMRKYQH TSTA_056160 MTASPPGSPTGPTPTARPMSAVIRPPRSMSRMSVGSRPGGSRAS DEESKTAVKVVVRVRPPLKETDPGYDLIPQRFRRSMVHVTSQTSLAVDVTQGRKLFVF DRVFPETTDQEGIWEYLSDSVNSFTQGYNVSILAYGQSGAGKSYTMGTSSPGDQIDAR AMGIIPRAAQLLFEKLDGPRHSRTQSSGLRTPARYSMSSPQSFNRSPAEKTWQMKATY VEIYNEQLRDLLVPEATPVGDRSNVTIREDTKGRIILTGLHQVDINSFEDLMGALNFG SSIRQTDATAINAKSSRSHAVFSLNLIQRKSSAQTMSAREKRMSVPVEALSSSDAVVT VDSKLHFVDLAGSERLKNTGASGERAKEGISINAGLASLGKVISQLSSRQSGAHVSYR DSKLTRLLQDSLGGTAITYMVACVTPAEFHLSETLNTVQYAQRARAIQSKPRIQQTTD ESDKQATIERLKAEVAFLRQQIRNSENGDRKSVVQPERSDRSTDREMELQNHLLDMQE SYNSLSERHAKLISELSKASDLQTENPNELAAAIENSSVERLKRSHSFAKSVEQVVLE YEKTIQSLESSLSSTRASLAATESSLLERESKCAYLETHNAQLQTRVQKLMDRENSTE HYLHDLESKLDGHFTGEEKNSALVYELRKELTRAHENEAGCEDYISTLEERLAEADQD MELMQREIHRLEHVIDRQRNLGKLDNLLYELDHIQQNGSKSQAKPRTNRTRGNTLDIL TEAVETAIPEDDDEDLGEDTATNVVSEEIRDLDNFSVEDNVETAEEALKDEEMVEDHK QSPAQSKSCREWQIQHEMTQNEYDLLAAKYEEALRAMAEMQDQIDFSRHPAGPLNINT PVDKSVKTEEAKTGGQHSSSRSLSSELSSVEQSGISQDTFDTTVMSAPSMDNGPLQSE EEIKTLRQLLREHEEGMNLVAQKYAQLEAEHDDTLILVEQLKTDLQKARMPQSPTTPS PGGASVIRRMTSQNLMSTVDRAHRSLAALRNIASEEFEERPDAMQNFEQNLNAAMHEL HIRIERIQALEAENANVKKEMEMKATIISGLTRERSSLQGAKPMDMAMVSQMRDQIFQ QENQMREMQEAHESREQELRSEIEKLRAELSSHTKTDASETPATNSSVLSEESQVRLA QLETELSEWKGRHQVAIESLEASEQKLRATAAELSEALASVDALRAEHADSLASLANE KAVLLREREEERKQQESYVAALQSQIDGHQFSISTHLATIAELEASHSAIQDQLTHYI STKEANDANSAVYQSRIAELEDQLESHRAHVADHDKELASLRQTHQEQLSELQSRSTA VDSTKSDHDSLMAQLNAQHEENLAALRSEIASSKQDLTGLLNAISRVLETQVTPVTVG DQLEDLISEKRSLESKYADLIDAHEDLQRQLEYKDASVEEPKTSNEEHESRITELATL VATLEDKLKEKEELVQKKDATIEEITAEKQKSVRLVEELEEQITNTFDQHHNRLSVMQ NERLQALEEANAKVANLEREVETYQVRIEQLELQLKNSGTEVVPMDRTNSLSSVRKSA STTSLPSPPPAIPLPPLPNIASATAGNTNSMSPPSSRHTSRELVSTQLMEDQEARIKT IEKHLYAEKQLTATLEEALGDLEAQSNKIKADMEAWKKKAWQYEDELQTLRKERNSTR LSLQAVEEERSARREAEAARAQLEERMNAINRKKKKSTLNCF TSTA_056170 MTADLYDEDQVICQDFSYLDVLHVIPPCLMERKGEDSDMGVENM QTVYKIQRMLDPDVIESIKGSNINRPSNALTMDSGMLALNFRKFQIRFEQVVESTTPH TYKIDSSGFPFLRKDPVTRTLYLMPDESIESLSPALLSIHCSIGRILNLSGAKIYTRG TINRLQDLPEDAFVSEDGHSYR TSTA_056180 MQGAGRNIPTNHKFLEFLRTAVTRAGTVLIYDKIITSKFHKGEL QEYHGIYLDMTTVATRVAASEILTTEKLNQCNTLDEKLQDGINKIMARTHIISVPLDL EVQLVSSMALLYYETLEQKWARLPGFSATGNTSFLHIMPIGETKLTNLLRTLSPILSP ETYVFATTTQSLSSLPLTTLQPILIFHEVEGLTLITTKESANTHGLEYTFPCKKISLK VHSSLEAVGMMAAISAKFTDLGISSNVVSGFFHDHIFVPEGKEGVAMRGLAELMREAM EDV TSTA_056190 MDLKIRVKGESSITRLADQGVLRLTVESEGSELETVSKEVISRT NELRGLFKTLSPKTDDGTDAADVAVTKIASTILRTRNHTPHDKDNKSLPKVYQASMSL SIVFRDITQLSQVVGQLVTYSNVEINSIDWSLSETSQKALHSQMRREAVRDALSKAND IAGEVGREVYPVEIIDEGQSAVTQHPPAKRSLFGATAGFGSASGGGLFGGGGPSNPPE FSETLDLSPPDIQVTSLMDVEFQSFPGK TSTA_056200 MLDVNFGIVQEQERTDTNAYTLGRNGHQYVVIACLPDGQYGNTS ATTVANSIMRISSEGSRGRKTDKDGKIQPVGSLNSPPKSLLNALAQMRAAKLYDNP TSTA_056210 MTSYFKIEHKYPENTTSYDQCRVEWGEDYIICKDGDPYTHYRTF TSGNTLIKDRKTRETIRKETDALCFEMEAAGLMADFPCLVVHGIFDYAYSHQNNNGRA LLEDIKQGQNRALDQQGSSHSEKMAKLLLDSHHRCHQVFKTSTYEKFKNINPNRVEGT CEWALKSPEYQRWWNTTSNDLLWISADPGCRKSVLAKSLIDEVFAAADLTVFIVYLFF EDNDEQNSIATALCVVLHQLFSLQPQSAATRIAVLGKKLRENSV TSTA_056220 MSLKTLTLWTLRGHAGTPNPWKVLMILEELKVPYEPKLVDLGDL KKEPYESINPNGRVPALEDPNTGITIWESGAILEYLVDTYDKQNTISFAAGSKEYYES KQWLHYQMSGQGPYFGQATWFTIYHPEKVPSAVERYVNEIRRVSGVLNRSLQKKEHLV GGKYSYVDAAFVPWFEVAALFWSNEMNLEKDFPHVNSWLNRIKARPAIAKTIDNKAKA AAAEGK TSTA_056230 MAGASGHGLFSSSTREGRTLGKLDNLSADTLSLVEKTALVTGSG RETGIGAAIARALARNGASVAIHYVSEGSKARAEKVAIDVNREFSTTTTVVQGGVENY DTAKNMVEQIPKAFSVDHIDILGMSWAA TSTA_056240 MRRASRDSTQSIPIDPVSSRSGFCRSWDDPESALPPMKSQLVIE YAYQIHEREPETWIFWIYASNAARFEQSYWKIADTVKLFGRQNPKANICRLLYNWLQD STNGKWILILDNVDDAHFLLDRHDDDVRGPADVIELAAALDFIPLAIVQAAVYISDSE RDCSVRQYLDEFRRSDRKKVRLLGRGEGQLRRDWEARNSVLTTWQISRRSVADLLSLV SFFDRQGIPEAPLKSRSEQRHVEPSHSDDDGGDDDDSESQSSVTDEFKDDILILRRYS LISTNADQRTFSMHGLVQLAVRRWLEMNGELEKWKQQYIRNLNAEFPTGEFENWAQCQ ILFPHAKAAASQRPHERDSLMEWAAVLYKAAWYDMRKGNGAEGRACPEHEDTLDSVEM GRWKAAEELEVQVIETRKKVLGAEHPDALASMANLAETYRSQGQWNEAEKLEVQVLDT RKKCARLRAKNLGTTHRDTLSSNDALTEWQKIEHHKSSSPGKGRRREALKRVLSFK TSTA_056250 MKTSPRLNIIIIHPDLGIGGAERLIIDVALALQNRGHQVTIYTS HCDKSHCFEEARDGTLDIRVRGNTIFPPLLLGRFHLLMAVLRQLHLTISVLSEMGRTG KTQTKAGEKREESEEYKDDIFIIDQLPACVPVLKTWGRRFAQIRGGKQRILFYCHFPD QLLARRNEGYIIVRLLKEAYRYPLDWFEGWAMSASDKVVANSNFTRGVVKRVFGSDRL GDVKIVYPCVDTKESAPTETEVVKGELWGEKKILLSINRFERKKGIDLAIRAYNGLSK EERIGTRLVIAGGYDNRVQENVQYHKELNDLALRLGLQTATSKTVISALSIPDAVDVL FLLSVPSAFRDTLLHNSKLLLYTPVNEHFGIVPVEAMHAGLPVLASNTGGPLESVVEN ETGWLRDTTQIEEWTSIMRNVLLDLTDQDLAKMAASGKKRVKDVFSLHALEDKLEEEL RDMLESNRRPFMNLQYLLLAFLFSGVVGAVMVALILRRVWQILEERDIGYTSQDKMPE VIDLLDSSPLEPSHLQPPPSTQARHSSVRFPSPSNATTASAAAIPASSPNFLSDDFDS SLFTFDTPGRSAKKRKLTPQAERTASLQPRVEAQPRAVAKSSTQNVIHSDYSIFTFSD DIESPGLPHVNRANTGTPTAITKKTTVSTTSTTFSGLSTTKVKAYKRNGEESDPIVFT SSAPEHTTAKERQQSRQNKLLDDSGTKSWPSVITIDDDDYDEIQDWGDPFNVSPQNAL DKLLGDPRPSLKPAYSDRTAALLASLRTQDSTEKKLTTTSKGKNSTTSFDDVDFDFGE DSLSDVPQRPTDIPKTKRKLKVDAEEKVAKAQEREAAREQRKREKEAEKERKRLEKEK KAKEKQLAADIAEVNKSKIHKKESIPEMIVDLASTFEGTSVGNQVVEYLKNLSAEHTF FESSMPNIVKWRRKKRSNYNEDAARWEPCQPYIASEDHVLCMLSAQEFVDMVICEGDM QTLDGHVQRLKGSYRDCKPIYLIEGLTTWMRRNQNSRNRAYQAEVLRQINDVDDTPED TNAQGKQRGRKTKKAKKPEDTPPVADDTIEDALLELQVTHNCLIYHTNAPGETAEWIK NFSEHISTIPYRHVQMGNYDGAAFCMETGQVKTGEDKVDTFVKMLQEINRVTAPMAYG IVSQYPSAVDLLHAMKKHGPTLLENVRKSANKNGALTDSRIGLAVSKRLYKVFMGLDE TSADI TSTA_056260 MPPATNKQIKIDKRDFDVNRPLLADHGNIDEESGRIRTLSDEPR ISRLSADSDGSDRPGEGLLSEVVETIVERDRQKMKKEVIRVMSFVWSVLSCLGAGSIT AFSLYGPLLLTRLHYSQDRVNGIAVAAEVAMYLPVSIFGYLCDRYSPSPVSLLAGIFF GAGYLLAALSYKSGPPVDVGGSGWPYWVMVVAFVLIGMGTCCLYGAAVTTCAKNFGRG KYKGIMLAVPIAAFGLSGMWQSQIGSHVLCERRPDGSCSEAVDVFRYFIFLAVLLFVI GVGGTAALRTVDGEEQEKYIDEAVSELERSGILGEDGFFRPREEVRAAYGTFQNEGSD SEDLADDRSITLSEEERAELRRQKEREEEERQKKNWLLNHETRLFFKDHTMWWLALGF FFVTGPGEAYINNLGTIIHSLTPISYPLQAPPPAGSASTHVSTVAITSTIARLFVGSI TDLFAPPATHQFIYSLDNPNRQPIPHPADRGYKGITISRLTFLLPSALILSLGFLILA SPLILPHPGLFHLTTAFVGFGYGASFALMPIIISVVWGVENFGTNWGIVAMVPAAGAA FWGFVYSKAYQDAIISPPHAPPDERQGQCVGWRCFGFWAVGCTISVWMAIVVWLVAWR GWKKRGVVV TSTA_056270 MSRPDSATGHAPPGLPVTPPTSTSTTQDVFSNRESPDDRGPSPS FAHLVHPRPEFEHERHQRIPMDGNTSHTNSLVNTNHGIADPLSVFPLGRSTTTLPPPP SDVALRRSSTTPAEHLRSSRAFSSKHAEPFRSQTPQLSERDSIFATHYLPSDNNDAVS SSPPSSLSVQEHRNADEGSIGLTKSGDAPSSLTVPVPPALSEGRLGSANNDQTPRTFT KDNAATSPRKYISRPAVFRRASDLLLQTPPVPLTGSHVGWVKQQKTVESSKVAGTHRI AIRETFHPLRKIVSDGPDIENPISPDHDRPFLASSTSGDSRSHISDPPMLHPPLTNHD ITLADSWQNARHLRSRDGTVDVTWDEDASQNSGRGRGARVEESIEANLTNAEPAANVR SRKSSHYLGLFKENTTSPDRKRREARDRPMEHKRVFDDFREDTSGEDQASSLTPRPSH AVLHKPQQQNEYEPERAESYEDGFPPQSAHIERVANADVGLSPHPIRTIPRSLSEEIR NFHLTSGATRGSSFSPSIPTLYAEKLRNEAREKGRPLSSVEDRPPSRETQTPDIAPDE EEDEHISSALYFPHEVAPAEEEEPFSKYRHEEAAFDHRGRPVRDQLETEPNGHVDISL HSKTDDSILHGDYQPHSDAEERAFTTQSEYSQETTSESEAESALSTLDEISSLTDDAE HIAVTPTQSQRQSRHRRKHTKGGLVGAVELKPYRHQVGGHTTVFRFSRRAVCKQLNNR ENEFYERIERRHPEMLVFLPRYIGVLNVTFSKKSKHSKKRSNGAIKKANEDAVSEGQP AAHSFKKETVSSPPKAADQPRIFSQQQTTGIIPKVTLENNRHIIPSDLFLSSPPRSVG EAKHMDYLPTDDPSRSADDTPRNSFAENLDRPVMPQMGKPKIWGATTVNRKLKEQVLR EVFSPPPIHHHRRLVRNHPGLPRYRSDTGRLNGDGSEDHLSSTNTSGGKSPSRSLAID IAKKGAKDGHNLSSSVSSAIERHSNPLEKLRRSDTPPRASSLSSDRRVRRRHSGSGLL RRGSITSGAGDLMFFEDDGYGGDHEDGIFAMEGDTSEPSPAAPVPLRTNSNTSRSPNQ DSAKLGSPLQFASRPPEIEPEAVHVPTNPKEAQTQRDDRVQFFILLEDLTAGMNKPCV LDLKMGTRQYGLYADEKKKKSQRRKCQTTTSQQLGVRLCGMQTWNVKKQEYLFQDKYY GRDLSSGREFQDALTRFLYDGVSYRSVARKIPSILQQLSTLESMIRKLHSYRFYASSL LILYDGDPAGKGQGAGESKKDSHSTDKRPRMTRRESDDWHNGEDVKLKIVDFANCVTG EDELPPDVTCPPHHPGDVDRGYLRGLRSLRMYFQRILKEVGQEDVVERGEGEDLAPGN RIASRENPGDAFWVEDVLESDPGEVSV TSTA_056280 MPKEPWLAGLDEDWPSQPSTPCSLSHSPTLPGSGHKHSARKCIS CEERSRIPKPEAPSPRIRGRITPRGAKQSQMKRGGSTTPTSARASPSPRASPSPRASR TSKSPAKPSPKTSVNQPTPARKRPTSTPLKNVMSARSSSMASTRTSEQGTVQVRADKE DTKGTPEWKRRIVRGEISADEQRDLFAPMGLENIFRQPVNAAGSDVLSSFALFNHTES DAQFQAARGTADKGSRRKVSFKTNDTVDYDHNVSADSVHLKVRTISKRGKYGLDGVSD TDSRLRSASGEEELRNEDLTPIFLSTNNTVERRTGSGILQSALKQLNDMSGGSDHRQS TTTGEDRGNGEATTLNPNELVGFSSPYFPEDLSTGTQDALPTTQPFVTIERGGHSEGS FQMRQLSASSFPSNLQSSVLTNSKIRSSPPMIDYDATPQSPNDRHAVPIISFSPEGEP SSGRPQTANMSSPLKLFANHDTFTNNKLLRRMSQFEETFQDVSQDDEPPSPSLIAKRT RTTKRSLPNLRRGNSHPQKTVTRPATQDAVNPRMNSFGNSHFNFGFASQEASLVPSIS FQQSYIRERQLRQRSTSRNTANENVRRAKSLENLLDTGSSTRALQRRLSRHPSSRRSS LGIPQSNSNVRRISNMSSRTTARKRRRTLQHTEGQAVDATELIESILNMSLEEKTTRD QSQIEHTEVPWNRVSSRSGFHRADDFDEDSSSPEDHKRSLTTQDFMDEATKVMSIIRA KSKYTNGLASVEESNLTGQDEEEDDMSSRENLSRPPSREGVDMRKFREPRPLHPRVVS HLKKFADKEDTEQLMASVMSDLYKGNELIVDDSKVGGDGTNSLQNVRIFPQRKRKYSD DDTPMHSIPTVTSSGSNTKGVIASDMVSHLIPEQVNGMNYDRATKSWIKQKVNLANGN IRSEESEDDPFGSIPDLSYDELEEIKRAEQFASPCKNGPTTETGRIETTINEKITSQG SERPVTRDGNPLIADSSSVHSKTTRFTSSGPQPETRATSWGTEHITVNVGRFAQHGAN KLSGEPIADQDQYTRNSKQSMGSNSKRPGTISFSSPLVSHISYLDDGEEVKTPKINTT EEHDERQFDHRPSAGAPSRRTSLDGIPFIGRPISRIDERTEESYAEMSLVRRNSTGQL GSTPMSQRLERSMVVPSSREQDTYSFYLSSLAEFTVNQTDDPIHPEPSYVAPRTHPTS LRQIHGTFALATEDLIRHITDVEPTEPFWGQLRRLSLRDKGIVTLHQLDKFCPLLEEL DVSENELAQLDGTPSTLRDLCVQHNCLTNLTAWGHLINLQYLDISGNQLETLDGFSGL IHLRELRANNNRIRNVKGIFGLNGLLRLELKNNNLTTVDFEGSELIRLSELDLSNNQI GAVHHLEYLPAIETLDLSENQMRELHAHVPIRNLCNLKVSSNRLSAFDASCYPKLRLL YLDNNYLTTVTGLSGCGIEIFSVREQFSGQSPELGASLDIDLTPLSDARKIFLSSNRL SDRTLSPSVPMLALQLLDIASCGLRDLPSHFGKNFPNLRVLNLNFNSVSELGAIADMR GLSRLTAAGNRISRLRMLCQVVARIGRSSQHDYSSLRTIDLRNNPLTVGFYPPQISGS GRAETHIKVLEDKLQKTHQRQNRIETGADLLPAMLGETEDNAVVGFGSQTNGKERGAD VEIDDPYTLPLADAEADQKYRSRLDGSTKSRRMTLEVLLYAGTSGSIRVLDGLELRPI LENEKGDVDRLWSKLEQLGVLTKKSPDNALCQ TSTA_056310 MPTRRQITRLNLEEATSEDYEECLLLGSDDELDETARTVKRRRI ETLGEAYLQGRPLFISSASLRGPFDKGWVNPWRKHRKQHDSGITLAATVGPVNDVIEY TVPDTNDSISRMGAGGSSRARSIRSDVQGSSSSPIPKRAWNHRSSTAPTGGNSPSDAQ TAQAWLKRHRNFANIQNYDPPKSPSTRYASSGGNGTIKLPKNTSKSAESGLARAMKSY QTDLRNVRRNKPVSGDLQEKHIQPSAGSTSFALPENEIYHGSLSDTKQTKASSLTKTT ASDKSSNRLRKVENDVVTVSDPTPRETNMLASASSVHIVPPSSHLPEFKYRIAKVNSR GEPENQTYHSLFTTENGAFQNNSGHDRESSPIKLTPLNRQQDQASIIAPSTITLNCSE KQHNSLTESEMLPSAQVVPKLSGTSNCFISLHSAEYRGPNAAENGTASVDGELSTQAA FELAQKSFQDDLATPQTSFQGPPKTRLGANSSVKRITPFADVITDESAINTKIGFRNT PGTNQNMNTQAMIDTFTPFSDAFTGGVGKEAAKRSSAHLDIVNADATNRTDVVALPHL QPRLKSPHGPSFQEAQTSEQDSLPALPLTLSGTTPASNQQDGQGYLFSMDVFDVSQVI KDAGTLEAEHAIFNAELATRSGSHVIDPFWSRLHRL TSTA_056320 MALTSKKAFNFFEVSQVQIPDDSSSVINADVACICTGSDNLFIG TNDGKVHILSPAFKVVRSFHAHNAGAIRHMRQVEGTSLLVTIAEDLPNEPILKVWALD KIEKKSGAPRCLSTVSVQNGRRPFPVSTFVTLEDLSQVAVGFANGSVAIIRGDLINDR GARQRIVFESQEPITGLEVQHGNTITTLFIATTNRILTLTIAGRGQGQPARVLEDAGC AFGCMALDKDTGDILIAREDAIHTYGLRGRGPSFAYDSPKTSLNLFKGYVALVCPPKT VVFKSDTLRAYNGAQTDDIFNTTTFTILDTDLNFVAHSEALISSVKSIFTIWGDLFLI DLDGKITRYHEKNLQQKLEILYQRNLYILAINLAQKAGIDTLQQNVIFRKYGDFLYQK GDYDTAMQQYLRAIDNTEPSQVIRKFLDTRRIHNLIEYLEELHDHDRATADHTTLLLN CYAKLKDTSKLDSFIKAPGELKFDLETAIAMCRQGGYFEQAAYLATKHGENDMVVSIL VEDSRKYAEALEFISRLEPDTAYPNLMKYARVLLGHCPQDTTQLFIIFYTGRYRPKKD IEPPSESQTPQHNAVRNLAAFIPLPYVGTSSNSKSQPSETQLSEESEDVDKIPTYDIP KPRSAFSAFVDRPAEFIIFLESLISQKSWNEQDRIDLYTTLFEIYLDNAKKARDPSVK SEWETKAKNLIEGRDIPISTSNVLLLSDLSNFEEGTILVKEQAGLRSDIFRSYTAAKD TQGVIKALRKYGPEEPHLYVDALAYFASSSKILAEVGDELNVVLKKIDEEGLMAPLQV IQALSTNAVVTMGMIKKYLSQNIERERKEISTNRRLISSYTSETETKRKELEQINSQP AVFQARRCQSCGGALELPTVHFLCKHSFHQRCLNKTGEDAECPICAPQNATIKAIRRR QVESADQHDLFSEELKRSKDRFGTVSEFFGRGVMGSHSTE TSTA_056330 MSSQRVIQDSDDDDGDMISDIASSCDPLQDTSFAPKATNTDVER IHENQPAVEVPFATNDLPQVDFDRFLRSESSIMAGDYEDERWVSTANSNSGNNVIQFG NYSTGQLQDDSFQHTTGNPNHSGLSILPPTSDGEYGTITEDIDHPSKRGRVSNTQVTF QHEPGDGTETDSSYNYFASMGPNGPPQTANSLPSVLISEEKNSILHHFDVKTQPMPIG TPPATIPFSEDSLPQEQEEILERGTAAEFEIQEVTLQQPPSETDTEVWGTKKKKGRPK KQDTSEAADIEAKVTPENPSDVIEQQEVKKKTGRSKKQEIDIVAEQIGADDSTRNQNG IKGEPDSTTATKASKKKIKRSKTTSDLPHNKSSDMKSEQDVVWIETNPMDSVKRTVDK PVVSAVDEKTSITEQAASEEIKVPRKRGRKRKGTVEEPTSPATENQAALQDISNIQQP APQQDKQKHIEIVIDSAKNQVPDEPKTSNATETSDTLKPRDESSAPNVEIQSKEGGVE APETPTTNEKSKPMKQTLISSTGRVPFRVGLSRRARIAPLLKVVRK TSTA_056340 MIFVYILFLLYASVSLQYHVPVANQYGQNLLSARDNEQDSRPNR IAVLEEPTNDIHPDQHDGLRKARLGERCGQGHKKCKAHLCCSPAGYCGMTAAHCKSPD CQIGWGTCDADKVPQGLPTKEIQRPQNGPVPYGEVIVTCKTSYTFAMTFDDGPNEFTR DLLDLLDKYKAKATFFVTGVNSGKGQIDDFSRPWGSLIQRMHYSGHQIASHTWSHQDL TAVSREQRHQQILKNEAALRNILGGFPTYMRPPYSKCDHACLRDVEQLGYHVISFNLD TADYLNDSPEKIQRSKDIVNKALSKPSPAGRPFLAIGHDIHAQTVYNLTEYILRRVNE AGYRAVTVGECLEDPRDNWYRWDYRKKSD TSTA_056350 MRKVRDDKQPDCQGCIRRGRSIFTSHFRPRQANEFIPSIGANEI RKRAGSSIIEILSRDLSPSTDDDAQIRFSVIEEALRHLESINSALVSAVNVGNEAQQQ PEDDREDAKGRRVIYTLLDLISIEGVYPCLSSGVGIPLEKRVISNLPTGVVARQAPEM TSNNPRNGVLLSRILLALTSILFRDAEGGIQALLRGRVLSDVISAAADLAFNSRQISE DERFSARKIFDRIITESSTSLLLPTLSSFLQPDAAPWFKAIISNRVSKIALRDDGVVH IIWFLASQFAPALGEGVSEPSPNGPPITVQAIMQISKLLSSVPQDTEPSYYFETIGSQ LLALIDGEEPDLKRTAAYVVGSGILGKKTYGAPGTIGHSIFVEPLFKAMTASVDTNSS KWLHFFGPQGQDTVQSHTSSADTLVGESTLLLALDRLSIMALQHPNPGLVKRLVQPIL LPLWGLMCFTEPKKNKKVIYDKCWALLQTFFTLSPGFPSYQKLTDNLLWDGGINWTYK EGTGGGVILVKRAESEFDIIRLLDELDLHADNFSKLLGCDPRSEDRTSDVFLHVSERW LVDASKQAVDAPNLLDNLQLDPRTESNAIVAKLVSAKIAEKLLSDFKDTLSKRPLKIL ELAQQIIQSELKAMNERDRRRRIRESGKVSLESLANIARPVDVQAASNEDDDQNTSET LSATFSLLSSILASPEFSMSRSLLPLLEDIKTQLDELRPTLTPALSKSSFTASMLLEI QIQSPDGTQQTNESAPQQNTDLDMHRQALKDITSPLPPVQAEGLSLLSKLISKSSPVL DIPSTMTLLLSIITGQTSETAASEEFIYLNAIKLIGQLASNHPRTVVKTLVDQYADKG EERTLDQRLKIGESLLRTVEDLGKALTGEAARTIGEGTISVASRRGSKPQTQKARREQ VAKEAREKERKKRENSINLPDGWKVSSPALHVTPDIETLVPDNGDSDNETPEQAAHTA NIISAWAAGAATDIEPDDIRVRASAISILASAIQTNLAGLGPSLASSAVDLALSTMRL ETGPESAILRRASAVLLLDLIKAMDADMQNGSKKLGFGFSVDSSSSTDGLAPSLGPAT IGNIPSILNTLTYVESRETDFVVRSHIRALVEDLETWMEKSLLWGINAQSSMSQDGDL RLGDRIAGLNINPLAASSSTQKPRIEEIE TSTA_056360 MSEMEISRGVRIALEGCGHGKLHDIYAKVTEGAKAKGWDGVDLV IIGGDFQSVRNANDLNGMSVPIKYREIGDFHEYYSGKATAPYLTIFVGGNHEASNYLF ELYYGGWVAPNIYYMGASNVLRFGPLRIAGLSGIWKGYDYNRPHFERLPYNEDDVKSI YHVRELDVRKLMQIRTQVDVGLSHDWPRGVELNGNYHHLFRIKPFFREDSNAGTLGST AAKHVLDRLRPPHWFSAHLHVRYNALISHGDYQHPRAQYVASQRKQPFAHGMDGTSDT VDAPPTTNSNQVPGRQQNTLNNVIQAWNNFGKVAAQQEVEDQAKFLRQQAEWDPNRSL NVQNIPATFKQIMPDDYQRKIVTVEGPPADQGNGVVKNSAEIDLDLDSEEETSGKNNE DNRMEVDSKPNTEITSEPKIEPESVPQEENQQETAIHDESNPEAIPEALREQLPDAFK RPTHETPVYGPLPVGISNKHTNFLALGKCQNGSACHEYLELMELFPISGEDEMQPPFS LQYDKEWLAITRVFANSLELGNLRAHFPADLGDEKYKEQIEKEEAWVQEHVVEKEKLT IPHNFEITAPVYDPSVPIHTKDMPPEYNNPQTAAFCDLVGIDNKFHLTPEERERRTLD GPRPSRGGHSHWQSRRGRHNVGGRGGGGRGRGRGGRGRAYY TSTA_056370 MSSTQDATAQMDHMLDTLAHTKPEKESQFQKLGEVVENDDDNGV VEVESLCMNCHDNGTTRLLLLRVPYFRDIILESFECPHCYFKDNSVKSASQIQVLGSK YTLVVENEEDLQRQVIKSDVAIFRLETLEIEMPKGESQLTNVEGVLQKIHSTLESEQD LRKDQAPELYKALQPIIEKLAKMLNREAFPFTISLDDPTGNSWIAPTPHDTGNKYRRR DYPRTHEQNEELGIAADPDAQKAEATNMVQTAGDPEDLDIIDGQVYTLPAECPGCTKS CVVNMQKVSIPYFKEVFIWSTICDHCGYRTNEVKTGGEVPEKGKRITLKVETVEDLSR DILKSDTCALYSHELEMSVQPGTLGGRFTTVEGLLTEVRDQLHGQIFDVGDSGAGDSL SSSDKETWTRFFDRLDSAIKGEFKFVITLEDPLANSYVQNLHLPDPDPQLSEEDYTRS EEEEDELGLKDMKTEGYENDAENTKKEGEGQESKA TSTA_056380 MSVTLHTTHGDLKVEIFCETVPQTAENFLALCACGAYNDTPFHR FMPGFMIQGGDISLSPAATSPDSHILPFDDIPKGGTSINHPSALNQEIRIPALRHNAR GVLSMASRPVKDRTAPGMQNASGPTVNGSQFFITFAPATHLDGESTVFGRVLNLTAQD EGGDVLGILEKANVKVDKKGRVVQPKDGQEIEGEWEALKLRSVTIHANPFAK TSTA_056390 MAAFVRVSGPPNGNFLIGYPGISATLPRVEGRVEIRPSVGITAP VNISLVTICLQRKETIHPSADSVTKKHLAPPRKEVIDLVGKEMLLFRCPAGREYEEVM SMDLPFVLFIPYGRGGQEVSRRIPAASLQLPSRTAETYYEIVVTVQQGPSDQRKYAFP VPICRYDTLSTFGMYNRPETAEKVTDHLVTLGISLPRGSYGPLDPVSVYIRLSPNPEW LVKARKVTINKITIGIDEEIIYNHEGDEPQRKVKTIIKRTESVGIKLSDMGWAANLGL VFPAKDTRDADGILPRGKAAFPSYAVNGFTTTASLYKIEYYLTVKAHLISARDILIRQ PIVVCPIDHAGCKEEMEAIEQSARDAAMINPENPALPHPTIVRYHDYNALSVLGVAVV GNQKRPLID TSTA_056400 MSPDSDHNDLLLQISTNLKNTLITFGASSHQYQAVLQTLKDLLQ CIEQHDKQHDKHAQIDPDMLSQAMEFLKLG TSTA_056410 MADLDEDVIHQPASSQSAPQADTDLSDEMQDFRFLNSLSLIPDT SQTTLPRRGEKDFEPNPTMLQSDILAASRHAMHTAISHPRLHAPKNLIVGIYAPEGPT PLAEPEKEERGVGEKPKKRIIIKDPMAGISPDACVYVPQPKGPFFKTIGRADRWNRVW LLPEEAIYLVERGTLYLKWPSTITEPVQVDGEDMGVPMSLEAAYACMMGHAGLTVERY VVYAGLKRGGYTVVRAPSWYGTQEEPEHEVQDQDLSGGSYFKGISGCWSRFYESIANI LESDYSAQGPLIGVSTPRNYTTLYRKLALIPAHNAANPKPERKPTEAPFQFTYYVYKP STPYKISAPQAPDFRIAVVDARSHTSIPTMRQLSTLIGSSPYEPPRGEKMERNLYSRL RQGYRSAILAIVDQGVVSYIRFADAGFSREKIFESQGPPRGNKGGYRGKNGGKGKGR TSTA_056420 MTTSSTDDYVTLVSGDGFEFVIPRSTACVSGTIRRMLDSSSKFS EAITGTCVLENITGVVLEKVCEYFCYNEKMKDQVNVPDMDIPPELCLELLMAADYLDA TSTA_056430 MNTVCSPRITHSIGVRHARVPQHPIPLLYTDIRPQRISIRPTGR SNQSKASYSSNSRPSIKKERGRLYGRTALITGGSSGIGYAIAERFLAEGASRIVLVGR RREKLQDASKRLSESIIKRINQFGHVNGEIATRAPEEDVSERTTSSGQDVDILVGDIS VASEWMTDLEKAMIDVDILINAAGISISNILPRTSPDHISGMLKTNLEGAIYTSRAML KSCMRARSREKNRKLNDTEQSHQKISKSIINISSLLALKGVTGTVTYAASKAGILGLT RSMAVEAADILKVSNVMLRCNAILPGYIDTPMIQDFSVDKVNELRAQIPLNRFGDPRE VADAAVFLSTNGYANNCVLNLDGGLSAV TSTA_056440 MESNANGRLAPRDAYLKHSLGTLSARIRKSRVLLVGAGGIGCEL LKNLLLTGFGEIHIVDLDTIDLSNLNRQFLFRHEHIKKSKALVAKEVAHKFRPDSKLE AYHANIKDSQFNTDWFSTFDVVFNALDNLDARRHVNRMCLAANVPLVESGTTGFNGQV QVIKKSRTECYDCNPKEVPKSFPVCTIRSTPSQPIHCIVWAKSYLLPELFGTSETDGD EDGFDHSEDAENAEEIENLRREAKALKAIRESMGSEGFAQKVFDKVFNDDIERLRAME DMWKTRKPPTALSYDSLQEKASSVEATVSKNDQKVWNLEEDFVVFKDSLDRLSKRLKE LQANKSGNIEPIITFDKDDVDTLDFIAASANLRSVIFNIESKSKFDIKQMAGNIIPAI ATTNAMTAGLCVLQAFKVLKDDYDHAKMVFLERSGVRAINSDSLRPPNPFCAVCSVAN GKISVDLEHATLNDLVEDIIRNKFGYSEEFSINTDAGMIYDPDLEDNLPKKLVDLGVQ AETLLTIIDEKDDDPFVNLELLVEARTESGEDIKPITLAREIDIPKRPKQKPPHDEST VNGTSTNGVTGKRKRDAEDIAITGEPDAKRVAATNGTDNEPIVLDDPDTGAILIDDD TSTA_056450 MSDDEADPELLELLRQSLGLGKKTGNEPPETKVLQNARWIFDNS IDVALDTRQTKAAAETIWQQIQQKQYSTSTWSGHELHPKAKNEKTVDFIFTMDLLNFS FWSEEKDESKRFGIEYLGRKWTGYWSLVAALQRALDEGIPITTPDFWLNEEEFTEDLL RHVFRSTSEEEIPLLKERFDCMREAGQILDEKFDGSFVNCIYDANKSAAALVNLLADE FSCFRDEASFDGRTVRFYKRAQILVADLWACFDGESYGEFNDIDKITMFADYRIPQML HQLGCLLYSPPLESHIRRREPIKSGSKWEMELRGTSIWCVELIRQEIERTRPETRMVY STGNSSTFEVNIDDQQDKEVTETTTELHKLQEHGEKEKTETKTESKSSTPQEKTIGVN AILIDFFLYDSMKELESDGKESIPHHRTRSIWY TSTA_056460 MNNKRPVERALATLLPTHENEMPSELLRLAQSLFAQSRSYSSSL KPEEEIARPHACAEIACRRLARTLKLPPLLGHPPCPPRVYKKLYTFLEKSIGGGNATA KNKSAPSTPHKASSIPRKAAGDDTATSRTPSKQVNTPSKCTPLGKRALENETNKTPSG MASVKRARFTKEPLQLLQNIKDAPQFVMPSIRTVCKALSTPAPRMTLWSRPPISRTLP PHIFAGVSSILHFISKMTDKEVDELDDEALDFVNIIRSPEEEENDDFKEVIMALIVAV YFLVLARRRSPPPLNTSASHKTSTTTHDTPKSPATESRKMDKKTFTEMRQTALSSLGL PATEKRHGEDVDAWIEFIQVQKWAKGQEWFDNIPLAGEREDELENGLYDDDDDDDAAD GRDKRKTQRMKRTNIFLHAGKDEEGLLLPGLGTMMQDRIDYLSEDRKEDYLEWKADIL ERIGKMMKGKA TSTA_056470 MPPRRSTGRTASGQQSKLSFGTQSRVTKPSTTAPGKQIKNIDPT IDQEITKKASSPSPSASPATPDTAFPEQVPVTSSTASSKPHVAELAIREQAKEELSQP QTEEDRRALKISEAQIRKYWNEEEHSRKAPRVHQNDIDIDEKILRHFDLSSQYGPCVG ISRIKRWRRAHMLDLNPPIEVLAVLLKDRKTPVTQRAYVDELLS TSTA_056480 MAEHNSDVSQNPKGTAVTEQPDSPRDHKVDSVINEKTGEVSVES PQDDTEYVKGHPVIRNGLDVSKFIVSTRDDGDPAFTFRSIVLGTAFTALSSVITMLYV FKPYQAQVSATFLQLLVFVFGQAWALFTPSPEKFKSKWIRNTLRFLNFGQSFGIKEHV VSALIASCGNNGLSGVEVYAVERLFYSTSVSATTAVLGTFSISLVGFVIAGVLRPLIV YPAEMVYWSTLPQVVLYQNLHFDTRANRGRLIKFGWALLASAVWEFFPAYIVTWFSGI SIFCLASMHAPEHTRTVFSKVFGGASSNEGLGILNFSLDWQYIQSTYLSLPLKQQLNT WIGYVIFYAVMLGLYYGNAWNALRLPFMSTSLFHSNGTRYDTSSIMNNHGTIDFEKVD SVGLPYMTSSTVWGYLTESLAIGALITHVLIFYSKDMWLALKQAHSRTQPDPHYQGML KYKEAPMWWYFVLFVLAFFAGLIVNIKGQTTLPAWEYIVSLILGAFIAPFSCILYGLY GTGVGTNLISKMIAGALHPGRPLANLYFASWSHQVILLAVNLANWLKVGQYTKIPPRV MFLTQVYGTLLGAFLNYAVMTTIVSSQREILLDPIGNNVWSGSYVQSLNTNAITWALA KEMYGIHGQYIIVPLGLVIGLAMPVLHWGVIKVFPRVAKWEINTAIILTYAGLIYFGN TSWIWSTIVVGVFSQVWLRRRLPGVYNKYNYLIGAALDGGSQLIIFILSFAVLGASGS QVPFPTWWGNPSGNPDHCL TSTA_056490 MSSWIIIIIIILSMAVPFSCAVLTGGAGGKGVKVIIAGRTESNL RATAQEIGATDYYLLDTGEVDKIPSFVSSLLQKYPDLDCLINNAGVQRPLQVLKDDPT EFLLKADQEININIRGPMHLTLALIDHFKRRVENGSGATIVNVSSVLGFVPFSVINPV YNGTKAWLHSWTVNLRTQLIRSGFDKIKVIEIAPPAVESDLHREREDPDDNKKHKNPA VLTIEEFMEVVSAGLEPGDDVIAPGTGKEIVDKWYEEFGTSYERLS TSTA_056500 MLSPSIFSKSSLPQSLVQARNNLQQHLRMQLKYLTILISTLGLT QAQLPHLPGCSLSCFLPTLQNDGCSSLTNFTCHCAVPGLVSSITPCVQSACDLSDQSS VSNAVTSLCSSAGVPISIPPVTGSTTGPAAATASTTTVTTSGTPTPSKTSCPSKASSS SSTSAVGGGGASTSGSSVTSAPNSETTSTSTFTGTSSGASTTTHTTTTSTRAAQSTGA AGSVSASFVGTGLFAMGVAAMFAL TSTA_056510 MSAKSIFEADGKAILNYHLTRAPVIKPTPLPRAATHNPPPKLAS LYFPEDADINGVLDQAEATYPWLLAKDAKFVAKPDQLIKRRGKSGLLALNKTWPEARA WIEARAGKEIQVETVKGYLRQFLVEPFVPHPQETEYYININSVREGDWILFTHEGGVD VGDVDAKAEKILIPVNLKNYPSNEEIAATLLKKVPSGLHNVLVDFISRLYAVYVDCQF TYLEINPLVVIPNANATSAEVHFLDLAAKLDQTAEFECGTKWAIARSPSALGLPAPKG DGRVNIDAGPPMEFPAPFGRELSKEEKFIADMDAKTGASLKLTVLNANGRVWTLVAGG GASVVYADAIASAGFVSELANYGEYSGAPTETQTYNYARTVLDLMLRAPQHPEGKVLF IGGGIANFTNVASTFKGVIRALREVASTLNEHKVQIWVRRAGPNYQEGLKNIKAVGEE LGLDMHVYGPDMHVSGIVPLALSGKKTDIKEFGSA TSTA_056520 MPSLAPSNGVQSANDNITRFNPPSRLRSPLEQHTLFHPKTRCFV YGMQPRAVQGMLDFDFICKRSTPSVAGIIYTFGGQFVSKMYWGTSETLLPVYQDVEKA FAKHSDVDTVVNFASSRSVYSSTMELMEIPQVRTIAIIAEGVPERRAREIMVVAKEKG ITIIGPATVGGIKPGAFKIGNTGGMMDNIVASKLYRKGSVGYVSKSGGMSNELNNIIC QNADGVHEGVAIGGDRYPGTTFIDHLLRYQADPECKILLLLGEVGGVEEYRVIEAVKN GTITKPIVAWAIGTCASMFKTEVQFGHAGASANSQLETAVEKNKHMRAAGFYVPDTFE ELPQVLNDLYKKLVADGTIATFKEPVIPKIPMDYSWAQELGLIRKPAAFISTISDDRG QELLYAGMPISDVFREDIGIGGVMSLLWFRRRLPPYASKFLEMVLMLTADHGPAVSGA MNTIITTRAGKDLISALVSGLLTIGSRFGGALDGAAEEFTKAFDKGLSPREFVDTMRK ENKLIPGIGHKVKSRNNPDLRVELVKEYVTKNFPTHKLLDYAIAVETVTTSKKDNLIL NVDGCVAVCFVDLLRNSGAFSAEEAEDYLKMGVLNGLFVLGRSIGLIAHYLDQKRLRT GLYRHPWDDITYLLPNISKGAPGAEGRVEVSL TSTA_056530 MVRIKHRYLLIDILHPEPSQIHKLAASSSSSSSSSSSSVPAHLY FHPPTPDTLTSSVLARLLRETISDLFGDYGIGKLGGASSGNLIVKYLSPATSTAIIRC PRAAYRLVWAALTYLNAIPVPATTTATAKKSETTMRNAVFRVARVSGTMRKAEEEAIR RARREIARAKRDNVGVWGALFPGKDGGGGGDDEAVFGMDIDSDDDEDDDEDD TSTA_056540 MPFAQLVIGPPGAGKSTYCNGMHQFMGAIGRRCSIVNLDPANDN TSYPCALDVRDLVTLEEIMAEDTLGPNGGVLYALEELENNFEWLEEGLKELGDDYVLF DCPGQVELFTHHSSLRNIFFRISKLGYRLIVIHLVDSYSLTLPSMYISALLLSLRSML QMDLPHINVLTKIDNLSNYSPLPFNLDFYTEVQDLNYLLPHLEAETSRLSHSKFGALN QAIIDLVQEFALVAFETLAVEDKKSMMHLLQVIDRASGYAFGPAEGANDSIWQVAVRE GWGDLNISDVQERWLDAKEEYDEHERKELEEEAKAKQSHGQDDELDFQGIPDSGTKVY RKSKS TSTA_056550 MSNQFTVASPPTDAISALKFSPEPDSTRIVVSSWDKNVYLYDLR DENGDVGTGKLLQKFEHRAPVLDVCFGANEDEIITAGLDWDVRKINVNTSAQTVLSSH EAGVKSVVYSKEHSIVISASWDSTLHVHRLNADSTPAVIPLPSKPFSLSLSPTKLVVA MASRALHIYDLKSLALITDQADFQPPSVNKVEIEPWQRRESSLKFMTRAVACMPDDAG YASSSIEGRVAVEWFDPSTESQARKYAFKCHRQTVDDVDVVYPVNALSFHPIHGTFAS GGGDGVVALWDGIAKRRIRQYQKYPASVAALSFSSNGKYLAIAVSSGFEDGKDDIEPG TVQIFIRELGETEAKGKGAK TSTA_056560 MKPFLRLFSSPREFSIRYRTTCVSKSNPSAFGFLATPCRQTYRS FFASRSKHTMAASIEASKRAAAKVAVQNHYPLNAKWVGIGSGTTIVYVVEAIKELGID TSLTRFVPTGYQSKQLIKQAGLTAVEFDALPEDVVLDVAFDGADEVDDDLNCIKGGGA CLFQEKIVALQAKEFICVADSRKLQNRLLTNWKYIPIEVAPIAANRVIRKLTELGSIK PAIRLNTVAKEGPLKTDQGFFIVDAPFLPLLTPSDVKAGKDGNGTDGVWEVETLANKI KAIPGVLEVGIFCGVTGPEAQSLGSTGGQKPVAAYFGMPDGTVTVRKAAI TSTA_056570 MTDAFPITLVGPSSKERKYDRQLRLWAASGQQALEQSRVLLVNS DGPIDGENTSIGGVAGVETLKNLVLPGVGGFTIVDPAIVTESDLGVNFFVEESSLGKS RAQETCTYLKELNPDVDGDYRTEPISDLLQQSDFLSDYKLIVVSGPIKRSSLDTLSIS AEQLGIPLIYTRSVGFYSSFSLQLPSEFPIVETHPDPESTQDLRLLNPWPELQAAASA LTNLDELDDHDHGHVPYILILLHYIENWKAEHDGKAPENYKEKTAFREFVRAGARINT AEGAEENFDEAVGAVLKGINPWSLRSNIREIFDMEQCKNLRPDSDNFWIIAAALKEFY EKHAVLPLPGSLPDMKAKSADYISLQNIYKSKASRDIKEVLETVRILESQLGSRPTPV AEKEVEVFCKNASHVKVIRGRRIPHITIDASQTLKAIRFGFGNPESVISVYIAFEALD VIVDGIQNGKLPATALDDDATWDATLDRLITTIAEDDKSFLEDRESVRDNVTKAAKEL RRTEGGELHNISSLTGGLVSQEALKVLTRQYVPLDNTCIFDGIGSRSEMYRL TSTA_056580 MASMSDLKLDYIEGKSGDEVSDRALPPPASHNTQRTGNIGSWRS HLNAIRAVVENNLDSALILEDDADWDVRIHDQMYDYAETVRVLTTPLAGTTNSYADPS YYDPSKGGQPEELIFGQLPKTVKPQVSPYGDDWDVLWIGHCGTEAPNINLADEEKAKK SHTIPRGRVIHYNDETVPQNHHLHVMEQERDPREIFPDHTRTTHHVMGQICSLVYAVS QRGARRILYEMGVKKFDGPYDIMLRDICEGVNDRPKGAVCLSVEPGIFNHHRPVGPSA YHSDISEHLAPPVEVAFTENIRYSARLALENYAVGSTELVDQWPDKIITSSSSSYAS TSTA_056590 MAQSLGFSLRCNSLTCRKTLNDRAAVTTSCAETLGLAPQTQKNR ICPACQANLRNPDDVVSTVLNPTEDYKTSVLGGLDPTMIIECAGRALSFWAYQTTQEI CYQEYLSKGLTEKYSNLNTEKEKLINSANAEISSLQNKLADMQMAQDQLQKRNDELIA LYHEKCKKHTQMTNLYNLLKSRAMPSQIQAATAASRHFDALPSTERRNVKHSTEQLFW HQRSGSASSKIREDRFIADTGPMPPPQFSFSVAQNAMTTPSLHRTCLPAQPGSAVLRE SRGLAEEPKYFVNHGRYRDFSYNPG TSTA_056600 MGRERRRGDHQVLRPIAPGVRDNITQQYTPLLPCQHHTSFAPLA QFNTIIHLHSRALLRRFVSRRVMTKGRRLPDHPIFFISDHTWITEETGYTSSAVPFLK AMSAFSDPVPSVSAHTLNNTLASNVSSYPLLLLKAPWQAFQQVETFTFVTLPHNVARL TGLSSLLGPGAGDGDRAAATAAIGANHIAEAIAENGGPDSPFNMADVFQAMRRFSGFF SYMTSRWSLACFSVALILNRVTIYASSRRHIRIPWKKRLALRIIPIALFLAQIVNLLR AIRCQTSTDYPAIRYGHVDKRFSSDHATGGGFLYTMSSTLLPWESYSDSCSAVGMNRP TDSNELPRGSFTLLWPVFITLCLSHFVETLLCALQGRPVVTEAGMSIFEHSLAFAEAE TMVSQSIGLGLFGLTKHNPRDDLPLTGDDASTLHILTRAQVLEKMNVTPELLFIILIS CCNSLCSNALDVFGKQSRYRLINTTIWGLCYMGAMAWGFLDSSPVSSESGFLKFPTVC IVGFVPHLLILTGILVCLGIYGLAVLISAFNLPPGLPEPLSIWERFSLAHENMQGASQ IRNVRLNMHEDFYTSLLRIGYAALTAASEAVFLNEGRSVVARRRTWLEEDRLTEIETA RRRGSARPRTWAGNASASRWDDAATINFDIPTPQAETWESGYGKEQKIEKLKNIYRSH SQNNLGGVGAFHGASRCYHGFAFFRGIFYLIFRWIALGVDKILERMGITSRPQWMVRL IGSNKRGKKTSRREQQAPLDFWILTDDGELELPEDDEFDVEKEMRKREIQNQSRWEDT DETRLDNKLYNWWTAGGSWGNQDESVDYVPSEDGFEDNTSVISMQTNDDDWEDDEESS GRRTPTQQDPFPRSFSRESTPLTETLLDTSSLARLLDPRDQESKNEARILAAHLLAEQ EGRIMTRSRFRRQDEHERARVLLPVRFHAPYRDGSNNSTEKLRPTAEEEAEILEKLIL TRRQEAATHYQDGPRESWGTGAAGLGDDGPQCVICQTSPRSIIAWPCRCLCVCEDCRV SLAMNNFGSCVTCRQEVAGFVRLWVP TSTA_056610 MSDPPSRTSTPRSFTNQSASAEDLLKSQTVGLVHLSEFRKRRAE VLEQKEREAHDKSLGRFTSSTSRTGTPSQGDVADGALTPGSVSSDGRPKKKKKALARS KLSFGDDDDTNDTGDDSGAATPQPTSKKRPEASPAIPARKITANPNAPPPPKTLTKAA LEAEAQARDALRKEFLAMQEKIKATEIIIPFVFYDGTNIPAGQVKVKKGDPVWLFLDR CRKVGAELGVGGAQKSSRAKRDHRREWARVGVDDLMLVRGDIIVPHHYEFYYFIANKV PSFGKAGGLLFDFSANVPPVKDDGPNSQPTDEELEGADADPTLTKVVDRRWYEKNKHI FPASLWREYEPGAEFLEKMKSARRDASGNAFFF TSTA_056620 MEEDDDDLYDPADSFPPQQEHNNYNQTTEDTKPGDSYDYEEEEE DDDDDFNIITEAPTDAGSNLAHPRHAALIGENQRSASVDIKPSTPTVPVKAESATPAP IQGRPVATLKPGTEYPAIHTSTIDVDANPIHPTTGKPITSTDLDADFPTEDDKPWRRP GSDITDFFNYGFDEFTWASYCLKQQQLRNEVADQTKQLDEMQAFMSMGGMPGMPGAPT PTPAPPAQAAPAAAGMPGMPAMPGMPDMSPDMMQGMLANMMAQGLDPSAMDPMNFMQH MQAMMGGGQPGGGQQNQGYPAQGNQQQMGYGGYNQQGGYGGGRGRGGGRRW TSTA_056630 MDALAPYRLAGERQVLAFWKFLARNHPFILLDLSIHFLLSQLHN FTSLSCNLLAITQNIHYRNAPRKALEPPRIEAPSIQIIHSYANLALRNIKNLVPLLDR VLVQRIKPEAKTASGIFLPESTVKDINQATVLAVGPGALDRNGNKIPMSVASGDKVLI PQFGGSPVKVGDEEYTLFRDSE TSTA_056640 MDGLEETSVLEYARTHGIAKDHQQLDPMQLFDQVRDSECAQRNP PMGSTSQFLDFENPKFSSYTTTIETRNEKMVLDKDGARFLSSVLREVISLQATPDHNF EEPISFECPKYQNQDDLKIDGPLLSIEKESRLHASTKMTDPVELLNEIVPDLADRERA SIDEGLEFPEYFWELPSMFDRDPSTEKLDASKDTVRVLQDVMGYFDEEKHRKGVEALI TSTILEVAPITHTPTPLYIESEEQHECNDISAPRHSSDSDSDTFSANELVTEDAITNQ QTTSLPIDEATEDSERHLGSKSKLPSVADSVTSFSGSLGTLSAFMQTRCHKNKRRKLD NESRYFNSTSKDRTETSPDKLDTLEKPTEHTKVPSSPVASHEVHDLNLYPKNLTEKCS VPLTLIMSTRLLRSDSTLVRSLEGISSSQSLRLVFRDYKEAQSSQSHVISEADLIVSP TTGIILANSYETAQKYLPGQGRPGIESPLKARIIQTAPRYETLYVFVRSPIRMDIKTL ESIRDLTSYCVSLNHACNIKVLIITTDHVLQWILAIATKHTTDMMLLTSPLKAATAVA QQFHDDQTQWEAFLQNAGFNPFAAQSVLALLRTSSTDPRYPANSNQASALFRFVKMPC QARRDMFQEILGYRVLSRVEKVIDMDWQVDWAADLA TSTA_056650 MFSAKTSAPATGGLSINTSTANSLFSNTSTSTTQPATAGLFGNQ QKPTGSLFGNAGSTQTTGGGLFGGQGANTSSSTQQGGMASGGLFGNTAKPATPSSGLF GNTNTQQTGTTGGGLFGNTANTQQQNTAGGSSLFGGNQGTNTQTQTKSLFGTTPSTTG TGLFGNTQNATQQQQKPTLSIFGAQNTTTQQPQAAAAQGTVVQGVRIDASNLLPTTKF ESCADEIKRTIEQIDTYILTQIKTCNEVADIIPTVASQGSTVPNDVEFVSGKLETIQL ALENDARDIDAVRNMVARNAAEAQVAFRAIDNLKLPLQYQSTGGGWWSVSEQQLSERQ TLRSSIRNRKSTLALPDGVEGDPSTADSINGIPTNLVEYFSHRSDEMSTVLDNYRKNL KEIEDHLHGVEGSLNRQINEFLSSRNRDDGAPGGGAKNQLADLAAALGDVEAGILGVA SRLGGVKEEVQELILGPPTLGMGRLG TSTA_056660 MGANTGDVDDHGSGLNLSCVAVCNASDISEPSIAKGDLKGDLQT IDSNYFKSAEWTPDGTSVITTSADNHIRTFILPPDLLEDREYPLDLKPYSTLPSMEPV YATAIYPFFNLQDPSTTLILSSVRDHPIRLSSALAPQRLGTYSLINPSTEAFIAPHSI IYPAHLGGTQFITGSDSLICIFDVSRTGNEGPITRLPTIPSKRKQIVGGGVGMKGIIS TLAMNPAQDGILAAGTFTRNIALYGSRGSGELIGTFSIAKSQADRNIGGTGITQLLWS PCGRYLYVVERKSTGMLVYDIRVTGQLLGWLEGREAISNQRMKVEVIAVDDDGSHELW AGGTDGIVRMWKNPHTTVGGHEPNFSSKIHDDPITSSVFHPGGSVLATCSGQKRFPDY DDDGVQQPIQTRNDDSLKIWAL TSTA_056660 MGANTGDVDDHGSGLNLSCVAVCNASDISEPSIAKGDLKGDLQT IDSNYFKSAEWTPDGTSVITTSADNHIRTFILPPDLLEDREYPLDLKPYSTLPSMEPV YATAIYPFFNLQDPSTTLILSSVRDHPIRLSSALAPQRLGTYSLINPSTEAFIAPHSI IYPAHLGGTQFITGSDSLICIFDVSRTGNEGPITRLPTIPSKRKQIVGGGVGMKGIIS TLAMNPAQDGILAAGTFTRNIALYGSRGSGELIGTFSIAKSQADRNIGGTGITQLLWS PCGRYLYVVERKSTGMLVYDIRVTGQLLGWLEGREAISNQRMKVEVIAVDDDGSHELW AGGTDGIVRMWKNPHTTVGGHEPNFSSKIHDDPITSSVFHPGGSVLATCSGQKRFPDY DDDGVQQPIQTRNDDSLKIWAL TSTA_056670 MPSTELPRIRACLFDMDGLLLNTEDIYTTITNSVLQEYGKPNMP WSLKAQLQGRPAPEATKIFHDWAHLPISRDEYAAKIAEKQQKLFPEAAPLPGVVKLLN DLHATSNTSQPVYIALATSSHKRNYELKTGHLQDLFSLFPKSRQVLGDDPRIGKGRGK PLPDIYLLALETINQELCEKEEEPIKPEECLVFEDAVPGVEAGRRAGMQVVWCPHPNV LDVYKGREEEVLAGLTGEHKEEEKTDAEKEADELQGERLAQTNNSGTPGKLNDGFARI FSTLENFPYGEYGIVIP TSTA_056680 MAQPDFDRPDQKSASNETNDADSDDEFHDARFPADEEARLLEES NNCKVEANKQFVAAAYSDAISTYDRALASCPNYLDYEIAVLKSNISACYLKLEDWKAA VDSATASIKNLDRCLPESIPADKDDSTKASDEGANAVIELPDDEEDEAKQLERLQQND KRRNDIKRIRAKALMRRARARTELGGWANLQGAEEDYKELARMDNLPPQDQKVVQRRL QELPPRVQAAREKEMGEMMGKLKDLGNGLLKPFGLSTDNFKFVKDEHTGGYSMQFQQG GQS TSTA_056690 MTALLQTTYLGQVQGKNIDGVTHYRGIKYASLRNRLADAELITS RSPNNGILDATKYGPTAASPVNGCDLEQSFVQKALPKQELPQSDTECLNLNIAVPEGT TSTSKLPVLLFIHGGGLHIGANSWPQFDWTRVIKLSVEKGVPILAVGPNYRLGAFGFM TSEELRAAGYKANNGFRDQRTAIAWVHRHIRDFGGDPDNITLAGMSAGGGSTTQHLHS TTPLCKRALSMSGTNILMYPLPYVLHERNYETAIKAWGLDKLSPEDRVKAIIESPAAE LVAKLPPSVAPSFAVDGDLIPNPPTFAQISDKSTASYPVGKSWCKEILIGDAQHDSSI LGQTLGFQAKNAASRFIGALTSVLSAYPAEISSQILDSYGISSTTPDKDAFDRILEFL NDILFHAPVLAYAAGWPEDGNAYVYYFNEENPFEGPSKGRATHILDLAYFYQNYNDYL TPQQQEVARAFAEDLIRFVAGQAPWEPCKDLGEGFRARIFGPSDKQQVRRVVKDAYGG ESQRRDVIPRLAREQGVHLDALAGVFPAFLSSFSP TSTA_056700 MATMHHAQLNATFNGVTVDYTGTKVNKFKGIKYAHISARFERAR PISGEELSGNIIDATQYGPRCPQVDVDVRHLLRIPEDFEIKEEVEDEFECLNLDVTVP TDITNNQKLPVLVWIYGGSQAVTFCSSASGICDTTRIVAESAKAGKPIIMVAMNYRLN IFGFGDGKGTAEVNLSLKDQALAIDWVRRYIQGFGGDPENITLCGESAGAVYVHAHLI TGPPVKRAILMSGSLYLSPPMPVQLGKGLLEICESRVQELEGKSLRECSQKVFKQMLT ENNLSRCWMQEEDVLANWENMPERVDEVMIGETEFESVIWRRGIETLSPSDIISIFTE PNPELGDKIRKAYHISTDRPTSSTLGALDLLHDTKFTLPTELVSEKLIANNKKVYRYL FDEVNPWQASSRAHHAVDILFLFGTMDFSHNPSAEALGEDMRKRWISFVSGREEPWSE LSATKKMFAFGPYGESKEIDQRQLAARRRVHVLGLLREVGPQVYGGIANKLAAGKISL LN TSTA_056710 MRKPAIAGALLAFITVVVALPVADHSTPDITCPSSNGTTYTATN GGDFGIECYVDHFGGDLSLAWTDSFASCLDTCDETANCIAVSYVSGACYMKSEAFEPE SSDNVWGAVKQTDSGSVTTGSVCPSADGITVTESDGKSFIIHCSTDYLGGDLSSQMTN SFDDCLALCDTTTGCVALSWVGPAPGWCYMKSQVNQPNSNDAVWGAVLGTATSTNTVT STSSTALMTSTTSTTSTTSTTSTTSTTSQVNTASASPTVTVTTGVTCPASNGTSATES SGQEFLVGCFVDYFGGDLSNALTESFDACLALCDSTTGCVGVSWVGPAPGYCYMKSDL TNDVRTNTAVWGAVVYTPPATPTTTALSSMTPTITTGPSSITPTVISAPGLTASSVSD SSLGYGAVQTVASVITLSAAPTATLTPMAPPDVDMTNPSVLMPQSVGQVWYSGSNASN GSGTGVTAPIVRLNLTLAYSSILLDQSAYITGVTCVANSTLTATITDASTYDYAKRTW PVSSPVVLVTAASSCGSNGQNGFFVANSISFDDFSSSVTVTGSLEPIANVLQNLDMDF GSITVTASNSSTTSTDLGCSAPNATQIDGLPAMACGADFDQALDDYLGYYPVDNDNVD STELALAPGLSTQLLRRHLSLHSITSFVSKAVKAVVKTVPQVVQKVEQVAPPVISKAI AKAASFASNLVKSVQHDISTVEAVGKAIVTGTFQQTWNFPVNLAPPDIYLTDSPWGDG FKFYTFTAEGGEKFNAEATIKDELKDYFKSIKGDDSQGPGVELWCVNCGVTGDFQLTG SFSASIKHGFTKGQLSMSGNLYAGLFVGLDAFAEWDLSSEKDLFTTGLPGLSIPDIVA IGPTLTLGISSDLDITAEGQFLLGASLTWPSMSVVMNILDESKSSHSGFTPVLNYTIQ SDATLTATATLGLPVTIGIGLDVLDGLWDKEVKLVDTPGITATFEYDDNTSYTDGELS VTPANGCYGITYDVNINNNLKLDLSALDAGTWTLETWTSPSLLQGCLGEAVLTSSNTT STATPTATRTATATASSTPTASPFSLLYFLYGTSVVTNIAQTDLVNGQSMQIDTSTAN LPFSYPYGPGTPEGNSPASIVALYEYGTTERVFISAPNSGIFTIQPGSSSQTYPVESS PVPSGSPIQIVAVVWGLGLINNQTVNTQLYAAAMSGTNFTWSNGFFGIDTWLGTKKSG VIFYTDETGTLQYITGTEGTQSSFPVSPLTIDLFMNGPTNQTASAKAIFPVGQNLVIQ TNDLTAPFYDPWWGYHKSFVLLYNYGADVRLWIGADNMGTVVIQPGPLSLNPNTYELP SYSTPSTSSVKIIAVVWGLTLVTDPNIILSLYSLAASGAQFEWSTTVFGYGQPTAGGT PLSGVIIYKDASGNLQYLAGKETSYASPSYASFPKNTCAEATCSYSNEVIQCTASSQQ YLLTCGPIVGVNLIAELTYNTPAECLNACVADYGCTGVSYWANPDTNGTNCFPYGPYN SRRGVESSSAYRRSHFEPTYHSKPVKDSSSAYHPLFKLRRDNSTSISSANSTYASNDT ITDITITDITGTLLLNPTVNGSLFVSVANDTTDLSPLTNGTAFVADTTLNAIIGDDSS RLLYYFPQTISQVGASRLRLGAWGEIPQGAQLLNLVPFSVGSDTMLVAMDTLGNYYFP FVCDLQGQLNKVFLVGDTDNGADILEGPDLVYTVTGGVTENCTALALTAQGLTAVIS TSTA_056720 METQQAYSARNPFTDSNAPSIRSSYGSARFAENLPAKRKFVSYR LKGTFDQPWLSDKRVSRTQVGNWIIRGAFIAALIVAAYINYNAYARVPKTKFCLILDD NFSEIDPDVWNYEVQIGGFGNGEFEWTTTDSSNAFVDSEGLHIVPTLTTNTTSISPAD ITNGYTLNLTQAGGDGTCTGNTNAECSVQSNSTLQIVIPSVRSARLNTKGKKSIKYGK VEVVAKMPEGDWLWPAIWMMPESDVYGSWPASGEIDIAESRGNDINYPLGGRNWFAST LHWGPTVDTDAYWRSTTSKALQRGDLAQGFHTYGMEWSEEYIFTYLDSPLKQILYYKF PKGNTMWQRGDFASYTVNQSFLVDPWVQSEDSNAPFDQLFYLILNVAVGGTNGWFPDG EGNKPWTNQGHASWDFYQSLDSWFPTWGAGNAKGMTIKSVKMWQQGDCS TSTA_056730 MDQGGGLVGDICVPYDSNIAGPSSILNKPNVNEASRQRVIIEYL RDQLATHRWFSNNPTEPWCGVLLRISRGVYVSEPDVPDPSLLAAVQKINPEVAYTMST RMTKLITSQLQPQQSELVLSNGSLLQVIDSLADIVMLPSSAVKKYQYGALLRQEQMLL IWHDSVDKLFSHSMDLEARLMALVWGSSLPTSLSIPQSVLPSVVTTPATSVYHMSMDA KAETNEAITAVNSTIDEEAAKLVDSLDRPLAVTSSIYTAMGIFTVIVLLVGFNVSSLI FESLVDGNWIRMALIVTTPFLCLLSLFFFFVIFGDIFQAIGPIKSLQVNSRFYSPHRP DLATAYAQGFSPPRITIQMPVYTESLEGVIIPTVTSLKETISHYESHGGTATILINDD GLAYLSEEESQRRIDFYHDNNIAWVARPKNNDERFNYVRKGKFKKASNMNFALNASNR VEDELLTLMGEKLRQTDMIDPIEEEIQYRRALEQVLASDARIRAGGDIRMGEIILIVD SDTRVPKDCLLMGAAEMFLSPEVAIIQHSTGVMQVTGDYFENGITYFTNLVYSSIRFA VGNGEVAPFVGHNAFLRWQAVQSVGNDDPDGYVAYWSESHVSEDFDMALRLQINGNVV RLASYHGAEFKEGVSLTIYDELARWEKYAYGCNELVFNPIYTWLWKGPFSKLFRTFLF SNLQLSSKLTILGYISSYYALAIGFPLTLLNYFLVGWYLDNLDEFYMQSWKVYLSLLV VFTGAGNVALAILRYRLGEKTLLGALGENFMWAPLFAVFFGGLSFHLNLALLAHMFGI DMTWGTTAKEKDDSNFFKEIPKIAKSFKWMYAVMIPAIGAMIYLGCFAPYGWRINSVA AVVPLASTLTSHVLLPFVLNPALMVFNY TSTA_056740 MVTHRAVDYYTVELKRIIPWVFNSTYCFYIKIEGENGRSKINKR EVSRRVNPLCLWLGKRDSRALPILLYKVGRNESRHAIIYRNEKRSLLFYLGGKMLGAI AQRGLNFRYQDKYVEAGKAGAW TSTA_056750 MPSTSDLQDPKEYQKIFHWAETQKDATIPSFNTRKNDPYKYQEG FGNHFSSEAIPGTIPHGQNSPRNVRFGLYAEQVTATAFVAPRHANKKAWLYRARPAVA HQGFTELPDNKDSESCFLPLNPKIHVSPTQLAWLPTDIPEEETDFVSGLRTFAGSGDP TLREGLATHVYVANKSMTKKAFVNSDGEFLIVPEKGALDIQTEFGPLFVQPGEIVVIQ RGLRFRVELPDGPSRGYILEVWGTTFELPELGPLGANGLANSRDFLTPTAQYEVVREP WEIVYKLGGKFFKSTQNHSPFDVVAWHGNYVPYKYDLTKFVNVGSISVDHIDPSIFCV LTAKSRDLTSPLADFLIFSPRWDVASHTYRPPYYHRNAASELMGLIYGEYGGRSDEFM PGSISFECGMVPHGVAYEQFKEATESAPPVMQISPASIAFMFESSRPFTITDYAWNSS KKHEHEPKMWDNLVDNFSSHKKEIDEILVKGLEKLKLNGSS TSTA_056760 MELDVTTASNEELIQFCIQKEEEGAVSADIIGPTVVKVTPTIVV KWGYSVTATEAAMQEFAYKNVNHDIVRVPRVHRFVQDELERGFLFMDYVPGQKLSDLD LTVHTDIIPRITNIIAHLGQIEHGQKPGPIGEQGPRGYLWGDDGVNKAFMSVAHLNRY LNRRLSLRNDSIDLSPYPLVLCHMDLVRRNVILGEDRKSICLLDWGHAGFYPRFYELA ALPCMNPYDEPYEKPLIAAVESMMQLTDDEKRDMKLVGYARAATLRWLFPEEPEEA TSTA_056770 MPQERIEKGKRVRACTICGRTFKRTEHCIRHERAHFRERPFSCR FCQKSYGRKDLLVRHERTLHADEWANAQLNASQDASARPARRRQSRNSWSQVHPLPQV LPQLESQYKEDLQTGMRINDETVIQYESFLPSPRVSSSDISDPELVTSTSDGMAVELP MDPNLLHDVYMPVGANSEPAPYQYIPTYPIDQRHAAYDSHMQPYIVEQFNQYQGFPID PNLTAGVPQIDNQLALPDSTFSDAENVNILALFPFPNLDQQILIRCFDESFSGKSTLE LLKNASNNTTPSSNLSSREPRRRGRPPRNATISSNTQPCITFTEDDRSSLLADLKEKF DCEIEESLIPDSQDIQSLLTRFFESFNTRLPLFHLPSFNILTTPPPLLLAICSIGVLF GHDKGSASTLRELTKQALQNVESTSNRSLWEVQCKLLLTAQAAFGGDFKSVNWAVENV GFIQREFSARKTALLAAPKQESDSWPDWISRESSKRLLLGMFIISGMLTLTYNISPCI STTEELKIEMPCEEHIWIAADEDQWRKASAAQKPPKTDIYTALTKILFSKDFDLDSES QWPAFAVTVLMHAVNVHMWHITQSTQSFTSFSADAKEEEQMKALCTSQTEAALSRCYM VLAHRSSSDGENFWDDVEGPLLFNGMAVLRSCYVRAFTGSGSFNRALLFNDDEQVIST AIKKYIKGDQVRTPFLSGAITQAFDGLLAPLDMVHKLSEKESVLGWSVEHALAMWDTA LFYTKWVHNMETQGAYTQPDHEEKQNLEKLLEVLRRNDEHWRENQPIAASLARLFASF IDANWTWKVTCRMSHVLHELALVYENDEGV TSTA_056780 MENNMEIDTARSPEPHNLSPISDPASIPTLDGWIESLMSCKQLA ENDVQRLCDRAREVLQDESNVQPVKCPVTVCGDIHGQFHDLMELFRIGGPNPDTNYLF MGDYVDRGYYSVETVTLLVALKIRYPQRITILRGNHESRQITQVYGFYDECLRKYGNA NVWKYFTDLFDYLPLTALIENQIFCLHGGLSPSIDTLDNIRSLDRIQEVPHEGPMCDL LWSDPDDRCGWGISPRGAGYTFGQDISEAFNHNNGLTLVARAHQLVMEGYNWSQDRNV VTIFSAPNYCYRCGNQAAIMEIDEHLKYTFLQFDPCPRAGEPMVSRRTPDYFL TSTA_056790 MAGSEDTALNPSRILEVVLASLRGDGQTEASIGNAYEAIAVIGH ASMIATQFRLVGLGEEHNIENNTDATILPKDWNAHANTYSFRRLGNNAVIMGLAGEDK ATSFDVLVSEFISSTALPFQIHPDQPDSLRSLFVSPNRLDDLINLFKIHVIQKFAISS LPQQAGDEARDQQRQQQQPEGPPQHDPLREDIGPPPARPYPFDDPLAAAPRQQVPAGD FAPPGFEDEYELNRQSQGRTMPYGGGNFPRSGDRDLYPQGLGPRDPLRGTFGPDLRGG GGMHPTPDDPMFGGFGGQQGGYDPRAPPGSRYDPVGPWDAPPYGRGSGPGGRGFGSGG FGGGFGGGDII TSTA_056800 MPLPLPSSFASAAAGINQDSKRDGSMSGEWTRSRTNGATQTFRR PSVATNLSHNRDSSSQPTSTSTPTSTAYIPPHMTSNYQSRNGSTGDSRYSKEDLLSIF KGQRDSGALGKNMGDHFLASWNPLEETGNTNGTWVKKEDQKDSPPGPEVCWDHLGHYE PLGLIDMTEEERELFSTTVNSPLKPPPSSATKDNTSGVGGRKASVSHSTPFNTSSPSS GRPGTRRRETQDSTGNPMSPTGGSRFFREEPNTTTPPPSLLRRKTDLRDTGSSYKQPE EKDKTKDLPDISSPFGSLKRSATNPVVSGLAGASSPWTSSSQTANLSPMGAFGSFSLG TSSPQTTTSTVEKRPGFGSVRGESRFKGLLSKDSSEDVGMLAKDKSLAKEIERLAETE ATSRSQSPWNDTLKTRPTRSETNPFDEPRSGSAALGGSEEVGSGIDELGFSAFGMTAG VPGLRDLLGRGDGAHASPSHLQGLEPTSPTNTNPYQSPRGEKIDDDVDTDGSDVQRSQ HPGITGLRDDSAAPSFGSIRRGGSAVDMSGGDRSQTSSVGPGRGFSNLGGLAGLPAIG SSGAWPSAGAIGTPTRERAAFTGFGDPIFGSMAEVQSPGLSTLGAGGFFGSHGGLGGT GGIGRASKMGSLFPSSMQDNTHGDIGRQEDGNRSQGELYKRSDVNIETVTYSVTDHKP STSTPLQLPPTSAASTLTTPLASTSEVPQNHHVSSGGVAGAIPAAQQRTMVMPDRMRW IYRDPQGNIQGPWSGLEMHDWFKAGFFTAELQVKKLEDPDFEPLAQLVRRIGNSREPF LVPQIGIPHGPEPNQAATWGTGTQPGAAQPPFANSFPTFGTTLTAEQQNALERRKQEE QYLMARQKEHLAQQQAMMKQIQLQGGPHNVQPQLQHHSSAHSLQSQPSFGSITSPTGG YQQSPIQPPQPMPGYFDGPFRQNTLPFGPQILGTDFGSHDELPGFLDRLNLSRPGPFP LNSQGSYSGRQQEAGIHPQQVNSILQERARLQQEQEQYDKTHSDSIFEQQARDERLRQ FHALRSDEEENMLRVSEGLSSLPTSATAEQPEISREQQDQEAFLRDQETFSDEPLSLS QQVQKAASAQRQQQQQQKQAQADAAWVAKGDSGIPHPFPPPPSQSPLPAPAAQRNRQH VADALAATSRSQNQTPVETPTASIAPWAKDSIEAPKGPSLKEIQEAEARSAAQREELA AQARRAQLLAEQERLSQAQQATAPGLPSTANWASGMSPSTPSTASSAWSKPAAKAAGS PAKTLAQIQKEEEARKQKLAQVNAVQAAAITAASPVSGKRYADLASKVAASPTSATSG AWTTVGAGGKAKAPPAAPVGPRSTSATLSVPQVAKPRPAAPARTSTIVNTPVSNANRA NEEFTKWAKITLGKGLNNGINVDDFVQQLLLLPAEAEIISDSVYANSQTLDGRRFAEE FIRRRKLADKGIADPVSTSALTDQKAASGGWSEVAKKSSIQPRQEDDSAAAFKVVASK KKGKR TSTA_056810 MASKTWLITGASSGLGLAIAEAALQAGHKVIATARNPTKAADEN PQSQNWATETTKKVEEAIKQAGGVIDVVINNAGYSLLGSIEDTSHKFPRPHKWKNTLE YSNRAKYICAELGLDFFLAHMQGMSESLARELSPCGIRVLLVEPGEFRTKFLSAYIEP AAGMNKGYVGTPLEKFLQVFKSKDGKQQGDPAKAAQRILEIVTNTGMGAGKEGFLRVP LGKDCYERFWAKCESMQKNLLQMKGIAHSTGYDA TSTA_056820 MPERGEDVLCYHCNNVFPKDEFGLMCPRCGSDFTEIIEGSAEEQ HQIGDHNSHPSSTPLAPSLSPHEHQPQSPQSLRSARYDAENPFFNHNPWSETNDDEPQ RFGSPPRVSRHSYRSPDGRITFTSTTFTTGMGGRHRIPPSPDMYGGEPLLRTFGTIFQ ELAGAYNTQNRNGPPPDREEPWETPGASRGANFHGGSSPRNTDSPQPPPLPLGSLNDI FDLLRTDAAGYGFDRPGGGIHIMGTTGMGPLHPLSLLATILGGGRIGDAVYSQEELDR VISQLVDQNMNQGAPPAAESAIRSLPKRTVDKEMLGAEGMAECSICMDAVDLGSEVTE LPCKHWFHGDCIEMWLKQHNTCPHCRRPIDQGESAPGTMNNPVVIPSSPPQSPRRRRS SAFGYDTNRDHNGSPYRTRRHRTSSGSSFNNNHRSPPHQAASAPPEERREQSNRDEPE PQPQSSGGGVTGWLRSHFGGS TSTA_056820 MPERGEDVLCYHCNNVFPKDEFGLMCPRCGSDFTEIIEGSAEEQ HQIGDHNSHPSSTPLAPSLSPHEHQPQSPQSLRSARYDAENPFFNHNPWSETNDDEPQ RFGSPPRVSRHSYRSPDGRITFTSTTFTTGMGGRHRIPPSPDMYGGEPLLRTFGTIFQ ELAGAYNTQNRNGPPPDREEPWETPGASRGANFHGGSSPRNTDSPQPPPLPLGSLNEY VIFDLLRTDAAGYGFDRPGGGIHIMGTTGMGPLHPLSLLATILGGGRIGDAVYSQEEL DRVISQLVDQNMNQGAPPAAESAIRSLPKRTVDKEMLGAEGMAECSICMDAVDLGSEV TELPCKHWFHGDCIEMWLKQHNTCPHCRRPIDQGESAPGTMNNPVVIPSSPPQSPRRR RSSAFGYDTNRDHNGSPYRTRRHRTSSGSSFNNNHRSPPHQAASAPPEERREQSNRDE PEPQPQSSGGGVTGWLRSHFGGS TSTA_056820 MPERGEDVLCYHCNNVFPKDEFGLMCPRCGSDFTEIIEGSAEEQ HQIGDHNSHPSSTPLAPSLSPHEHQPQSPQSLRSARYDAENPFFNHNPWSETNDDEPQ RFGSPPRVSRHSYRSPDGRITFTSTTFTTGMGGRHRIPPSPDMYGGEPLLRTFGTIFQ ELAGAYNTQNRNGPPPDREEPWETPGASRGANFHGGSSPRNTDSPQPPPLPLGSLNDI FDLLRTDAAGYGFDRPGGGIHIMGTTGMGPLHPLSLLATILGGGRIGDAVYSQEELDR VISQLVDQNMNQGAPPAAESAIRSLPKRTVDKEMLGAEGMAECSICMDAVDLGSEVTE LPCKHWFHGDCIEMWLKQHNTCPHCRRPIDQG TSTA_056830 MVTNLPYAADAESPLRPEELQVLRAQYEKEGEYVGVQTKFNYAW GLIKSNLRSEQQEGVILLSQIFRTAQERRRECLYYLALGNFKLGNYAEARKYNDALLE HEPGNLQAASLRQLIEDKVAKEGLMGVAIVGGVALAAGLIGGLLVRGSRRR TSTA_056840 MLLDEDPATLIQHTIGNFNINPDKLAVTRINESLTALQQSRDLR MREAETALRKLSRNLKSLEANYDETVAAHDPARHAQEILELDAQKFRIAKAASDLEIE SERLEGDLEMLKERLAELEAQGLEGDETVRREREADDATILRLKVYRSLGIDVEADDA GNYNKAIIRNSRKGDVHVVKIDPKFSRFFYANYFWQTMQG TSTA_056840 MREAETALRKLSRNLKSLEANYDETVAAHDPARHAQEILELDAQ KFRIAKAASDLEIESERLEGDLEMLKERLAELEAQGLEGDETVRREREADDATILRLK VYRSLGIDVEADDAGNYNKAIIRNSRKGDVHVVKIDPKFSRFFYANYFWQTMQG TSTA_056850 MELTNRNIISPFTRHLLKIPKAPPAPSQNHHDLPSFLTYAKQTA LPETTTTYVGTKYEYTVQSTLRRFAFDLERIGGRDDAGIDLVGTWHIPGREFTPFRVI VQCKALKKKLGPNLVRELEGAFRHSPVGWRTSEKVAVLVSPREATKGVRDTLTRSSYP LFWMMMESDGALKQVLWNSKVEELGLAPLGVETRYSPLADATSDDRPRPDVVLAWDGS DIPDMGAVEDTISLKEAEWLSAWGCEDLPEAQKYELLTLLENSYPELTREIINGGVTE DFGPKKQQVLLLLKEKFKERFN TSTA_056860 MADILTQLQTCLDQLATQFYATLCYLSTYHDHVPATVPPPSSGI TDSMPPLAKIPRNQPSAAPMPAGAAAKQTAEQQGQTSPSLGISGAGGAAGGVGAETST LPPGTNGATATTSLTPGQGDPNQPPIPDSPQLFLYRQKELARDLIIKEQQIEYLIGRL PGIGSSEAEQEARIRELESELRQVELAREKKAKELKKLGKRLESVLSTVERGIYSKQQ RYGQD TSTA_056870 MASRAAMLLDPRSYKKKMASGAPQQHTQPKASVSWSPAHGKNTK MGSTILTTPPQTLATQSNPDTMSRRHDDVEPESNPAQEPTGEIQFQFISSEDLSSEED EGTNKKRNRESKDLTGERKSLIEDIYNVERREDQPKKRIKTVDTEDATAKSKAQFNMS GSSGLGEYMKEGKQTSESATAVVDLTSEAPVKSTSTDDEIEVTGSVDLSEQIVCYGKI QNATIQAHQVPRPSRNNFFGDYSRDWPPIKLTTRHTPGRSNRIDVSDPFGRVFGTVDA RTAAAIVPLLDTQSLKITMTARLELRRRLDGEEVWQPCSTQYRAAINLIGPRKYAEMV GKTLGHANVWLDTPSLVDRGMPVFNPHAKLRHAQLNYGPAAGSRSRDTVTYEVRTAEE VNDAVMKMFDQLKSAENIPEMEPSPLIRTPLLHHQKQALWFMMEKEQDRKYGSKEEDN NSLWRVVYGPNGDKRYREIISGITLNEEPPQIYGGLLADMMGLGKTLSILSLVVATLP QSRIWEKEPPHNALVRGIPGIRNTKTTLLVSPLSAVHNWVAQIKEHLEENAISYYVFH GPSRSKVVEDLSQYDLIITTYSTISSELRGRGTKPVNSPLIKMNMFRIVLDEAHVIRE QSAQQSQAIFRLNGQRRWSVTGTPVQNRLEDLGSVTKFLRLYPYDDRSKFHAHILSRF KLGDPTVFASLRVLVDSFTLRRVKDKIDLPPRQDKIIMLDFSEKEAKLHEYFRKESDV MMKVIANESKSTMGGRMYHHVLKAMMILRQISAHGKELLDKENRERLKGMSVQDAIDL EEGETDDQAWAIEKKAYEMFTLMEESSAAMCAMCNKPLAENNIEGGTPNPKSPMAVML PCFDVLCLDCFGPLKNGFVMQPESSPEQTRCMKCEGWIPMTYSAITPAGLEQYTESQA EAKTSRKRAKILGEYEGPHTKTFALLEHLHSTAEESSRLKDEPPIKSVIFSGWTSHLD LIEIALKDHGLNGFTRIDGTMSLAARKAALNSFAEDKDITILLATIGAGGVGLNLTSA SRVYIMEPQYNPAAVAQAVDRVHRLGQTREVTTVQFIMKASIEEKIFEMAKKKQQLAE DSMARGKLDKREVQEARMQAYRSLFR TSTA_056880 MDNNNLCEACKTIPSLRELNNCYYTLHEKFEDFQSCRCHFCRWL YTYLDSKSSFRDDFRKIAKENAAVELFGNMMFPKEGDQLQSLSLDSGSETFLLYLFTR YDNPAAKYIWGRIVDIDPSSDEAVNLTKSWLSDCQNNHPKCGGAPGEDIALPTYLIDL GENESDRSRLIQTGSTQHDRRYIALSYVWGIATQPIMLTRNTKADLMDEIPEAKLPQT HRDAIRIARWLDVRYLWIDALCIIQDDDKESKMKEIGRMHLTFGNAFLTVQAARAASV HDGFLSPRVDTEETPEIPYPSIGTDGSRVFVRTKIYYYSDGPTFSRAWCYEESVLPRR ILTYTNELIKFRCMKQTCDDYGRISKSIMKSPHVFQDPSPWYKGTAIPKSVWDPDPTL DLLKVWYSILDLHYTPRLLTKPGDRLVAIGGLTRRFRERMPGAYIAGLWQVDLPWGLL WECRRGKSSGFFGVEIIKASGQTNGYKLSMMRPEGYDKKRAPSWSWAALNGPVSHPSM NFRFYLEGELRGTKRRVLSRIDEVPRPLVSEGSARSGLGEYEGDGALYITAPLLLVDL VYKDEERWNTLYEQTLATYKRNDLMIGDRPLTILQSPRINVESHSWDNLPIGHAQLDL NEDEYKPRKVWCLLILDGRGLVLDAVDEEKNVFVRTGSFHTTKGIWLDSLNDVPPTSL CVI TSTA_056890 MPKRPATSGYARLAQAEEDDRDYYDESDQERDPYSGRPPRTISS PTQFAPITARAQMHSSGPSTPPEYRRRNNGYTRRRKRSNSSGVDIKAINARLERWAEE IASRFKISRGPGKSAQDEKLEIHHTVFRPPDGLQPYTAEELETEEYEAEQRRNRAEFE AIVESVRTAIELGVHPKMISQGSSGSYFARNAEGKVVGVFKPKDEEPYASRNPKWTKW LHRNLFPCCFGRACLIPNLSYVSEAAASVLDARLRTNIVPYTDVVWLSSKSFHYDFWE RRKAWSGRRPLPPKPGSFQVFLKGYKDATLFLREHPWPDQNNSGFNTDDAPKRKKRPW NEACRPSGIHSDDEDEDYDEQRARMPSPQSADGQEERFYWSETLKQNFREELEKLVIL DYIMRNTDRGLDNWMIRVDWKSEEVSIVAEPPKTNGTAHDDGDGDPALPARTVSLDQQ NSNRTAPLPYRRHETMVATSRTGTPSHATEKQATIKVGAIDNSLSWPWKHPDAWRSFP FGWLFLPVSLIGQPFSQKTRDHFLPLLTSTAWWSGTQAALRRVFIEDSDFKESMFARQ MAVMKGQAWNVVETLKQPDHGPLELTRRTRVCVWDDLVDIPVAIPMRGPSTEAQRQRM QASMRDEDDQEMDISAAISGNREQDRDLLGLGSPSGELPNPNRFELSRTRGSVELGSI GEASDDVGYDRRNIHDEGRDLSRSWGAQPSRPQDYKEFTSSGRSDRFRHTRHGSLAQR RGSNAWSFSGDDLEGDLGYAAAEEMEGHERKVIVERLEAVKSKNPIFTWC TSTA_056900 MASTFTDQKRPQLQPVCQNCGTSTTPLWRRDELGSVLCNACGLF LKLHGRPRPISLKTDVIKSRNRVKTAGQVPKRKSGGGLDPNGLSSSRSEAGTPPLGAQ GYRRASGKMSPGHSDRSNSPVSRTDTPGMSSLHQHNSNIAPQHMFDSVTLGDSSFNPA NTLPALQLRQPSPGSTSSMVDRHLDVPQTYEGLLAANTSLKTRVSELEVINELFRGRV AELEQSDATARRSEMIARDSEARLRRSLEDSQRREEDLKHRISELEQHLSDHSGSGPF QGNGVNEPAMKKIRLSDVVDYEAVNAPKSPKSA TSTA_056910 MVIGSLHTQRVISGVAATLIALASGTNYAYSAWAPQFAERMVLS SKQSNMIGIAGNIGLYCSGFFTGYLTDTRGPRPTLLLGALALFWGYYPLYLAYNHGQD FLSLSSLCFFSWLTGLGGSAAFSGAIKAAASNFPEKSGTATAFPLAAFGLSAFFFSSM AAIFYHGQVGPFLLMLAVGTALMVVVFGVFLRILPPEQPYTAVPERDGEDRHQFVYER PAELGRQRTNSESSSLLPSSSTPPYLYDTGDAAQSNSRGAVKPELDETRDADDASSLL SKPESLQDPQNDDGHGRQPHQTDEDDDEGSSHYVDVKGLALFTKREFWQQFIMMALLS GIGLMTINNIGNNTKALWRYYDDSADSKFIQHRQVMHVSILSFCSFLGRLLSGVGSDF LVHRLNMSRFWCIFLSSVVFTLTQIAGTSISNPNHLYLISSFTGLAYGFLFGVFPSVV AHTFGMSGLSQNWGVVSLAPVLSGNIFNLLYGAIYDHHSIVGPQGQRDCSEGLQCYRS AYWLTFFSGLGGMAVALYCIWQERQIHGPRGGRKTPSHERLA TSTA_056920 MGALLSIPMLVLPGAGTIFSVAASCCGAATCSAVCSACGKFQSS IATRIAYAFILLINSIVSWIMLTPWALEKLEHLTMDYMKIRCDGKECHGWVAVHRINF GLGLFHLLLALLLLGVRSSKDGRAMLQNGFWGPKVLLWIGFVVMSFFIPESFFFVYGH YIAFISAMLFLLLGLILLVDLAHSWAELCLEKIEDSDSRLWRGLLIGSTLGMYLISFV MTILMYVFFAKSGCSMNQAAITVNLIVFLIISFVSIQPAIQEYNSRAGLAQAAMVTVY CTYLTMSAVSMEPDDRQCNPLLRARGTRTASVVLGAIVTMATIAYTTTRAATQGIALG SKGGHNYSALSTEANEHGLVTQQPSTRREMRIEALRAAVENGSLPASALDESDDEDDD DYDTKDDERGSTQYNYSLFHVIFFLATTWVATLLTQQLDPETEGNFASVGRTYWASWV KIISAWVCYAIYLWTLIAPAMMPDRFDY TSTA_056930 MATIKPIEGRSVQSISAHGKYVHQIQSGQVIVDLCSVVKELVEN SLDAEATSIEIRFKNDGLDSIEVQDNGSGIDPRNYESIALKHYTSKLTSYDDLTSLTT FGFRGEALSSLCAVSNFRIITAQAHQAPKANKLEFEHSGKLKGTQVVAGQKGTTVSIS NLFSRLPVRRKELEKNIKRELAKVVNLLNEYACISIGVRFSVKNTDSKKRQLVLLTTK ANSSIRDNIANVYGAKTLLTLIPLELELEFEPSATGKRLNRDLNKIHVRGYVSRPVVG EGRGTRDRQMYYVNSRPCGIPQIAKAFNEVYKTYNISQAPFVLADFQMDTNAYDVNVS PDKRTILLHESAALIESLKEALDQLFQEAEQTVPQSRFTSNKQQTDIQQRFQSSPMGG ITDRSRITQATPVEESQIDMSEQVQVTPQHTQEDQAEEEPEIETVTQEVTDRQIMVVD THAGTEELDGPEDADEAVKRIRARAAEMSPQRNERRIPTLQSKSPAKYAGVVQNAFDR MRPRRPPAEVATITIGDKVTTSIVGHGVLRKRDSFSAVNTERTRKSKRRVHTPSRPNI FSQNLKSFIAPGSQTQTQESDVEDDGAEDDIDEVDGNSDEEILEGSQPSVQSEELPDD SDPVNEIVESLPASNEPDRDVIDEKEKKAREDAKVQDLIRKAEERAATHSENHSKRGK CIEKGQQTNKHSTAQLVGTLESSLTKIQTQMEALQKQLQSYEGFDATKEEEELFGKQQ KTGEERLSLTVSKDDFAKMRIVGQFNLGFILATRSHGVDEPTAPTQDELFIIDQHASD EKYNFERLQAETVVQNQRLVHPKTLDLTAVEEEIIRENKAALEKNGFVIEVDDTGDEP IGRRCKLISLPLSKEVVFDVRDLEELIVLLSEAPTARNSLTSDTYVPRPSKVRKMFAM RACRSSIMIGKTLTVKQMEKAVRNMGTIDKPWNCPHGRPTMRHLMSLGSWDEYDEYGL SSERNIEQEELHNPWQRFYQGQNQEKEEEEERSEEGGHED TSTA_056940 MPAPQKPSTERSPTHAASSLTSPQPATATATATATTTHQSSSSS VLSPTTANQPRDPQEAVRHTLEARTAFTASLHSVGQTVSADLRARAVDLHENAAVIQK QENVLAKHTAELSKQNDQWDKVVDTARQGLKEIGDVQNWAEMIERDLLVVEEVLRLVE GEEGHEGEDDRVRREEEGYHEEQLRDGLHEEEDGDLTERENGDRKKVTQEPVDVLDDV LSSASGITGTSATSGAISESSGKISPRDQDGGQQQIKTIGDTKKSAGGWWKWWCAKLS IIVIWSIIY TSTA_056950 MSNRYSMFSTTSSPGLPKSASQISTTTLLNSLHNAYSTSQPYSL EVGTSLVVNTWLTVSNLNPDGTPGGIVDPQLGQRAWEHARRRAEDGCIVLGSLHRSCP SLLPSFLSSLPLSTPEVLYASLNAIRPFVTAVTPFNPSYSLYSSLAVSYTFTLGGNVT GLTLALSKSGLNLTRGLLDVPAEPGYRAFDVFYYLINATSSQAEKEYLDLKAPSTYSI LNKSGTYDPPSFLPTADDAAAAEDFRSALKAIGIKGAAQRSLLSVLAGLLKLGNTLGF LVDEEDLEQVCEEAAELLGVDPEALLHGCSTDDRTVLVTGIYEALVDWVISKANEAIA AQIKADQENESSDGSGAPWNSEDASDTVNITVIDIPSPPLGKAVALQGIFNDGQGINA EMKEDGVEIVSPGQSVINEMKHAVTEVEADLGITESATFREREHLHDKRQAVLEKVGV EVEIGGFLRDILFPDPNEGITLGKHGRFDLAATLGSSRVWYHLAIHPTDDTPEAFAAS PATMSPWSAGAVSRQLREWRLPEWANRRHKHLDFTADFDVDEFVNRYAPLGCKEGKDG VESWILERGWSNGDVVVGKERIWMRESAWWEAESMLDLKPHGDMPVNPFESGYSATPP NPNGSGFFPAIPVADTGSFIASRENLLNANRQSTLSPGLARSIAPTNNQTIHSMGGDY GLGSKGDDYKGDDAYYQAELNRLAGDDEIGQRKHIEKKKITTGRRLWTGFVWACTFWI PSFLLRYIGRMKRPDIRMAWREKVVLVALILFFNAVMVFWIVEFGTLLCPNKNKVWNE KELSYHQGDNDFYVGVRGSVYDISKFWRTQHSDTAIKTSASNMQWSAGLILDPYFPVP LTQGCAAFVSDTSVALQLNNSNAASQTNAMHTSGPLSPYTTSALHNITWYADTFLPFM RQFYKGDLVWTRDKVTEQANNDARNWVIINNGIYDLTDYFYTANLLTGSKYDFLPSAV TTLIKQNVGSDITSKWQNSVEFQNALNCMKNVFYVGKVDFRQSAKCTVNNWILLAFTI VVCSVILVKFLSALQFGSKPRPAPQDKFVICQVPAYTEGEDQLRKGLDSLTALQYDNK RKLICVVCDGMIVGGGNDRPTPKIVLDILGVDPKVDPPALPFRSVGQGSDQLNYGKVY SGLYEFEGNVVPYIVIVKVGKESEQSKSKPGNRGKRDSQVLLLNFLNRVHHRAPMSPL ELEIFHQINNVIGVDPELYEFLFMVDADTSVKEDSLNRLVAACANDARIAGICGETSL QNEERSWWTMIQVYEYYISHHLSKAFESIFGSVTCLPGCFCMYRLRTADKGRPLIISD KVIKEYSDGDLDTLHKKNLLALGEDRFLTTLMAKHFPTMSYKFIADAYASTAAPETWS VLVSQRRRWINSTIHNLVELAALKDLCGFCCFSMRFVVLIDLLGTIILPATCAYLIYL IYLAATNKGNFGIISIVMLAAVYGLQAIIFILKRQWQHIGWMIIYLCAFPIYSVVLPL YSFWKQDDFTWGNTRVVIGEKGDQRVVAVEDEVFDPRSIPLQRWDDYALANNLPGRRG NVEYGEEKQPMYYTDDAAMEMDDFRSTYSSVKPASTILTGFPGGRGSYMPPQSPAAFG GNNNRNSRMSSFTRYTDAPQLGGHAQRHMSMGNMSSYQDNPMNASRLSMPMMQSTDNL LGVPGRQRSPLGGYGSRPVSTVMDFRTGPGHGPDDHTIVEAIRQVLAEVDLDNVTKKQ VRALVEQRLQTELVGERRTFIDSQIDQELANM TSTA_056960 MALNSFPGSTPSYAQSSLPSLPSHLQSDTHLTAHLASRFHVSLP TARLSSQALICLNTYTSSTKGPDGGKEGSAMGEAEDLAKRAFTRLGARGENQAVVFLG ETGSGKTTIRSHLLSALLSFSATPLSTKLSLAAFVFDTLTTTKSVTTPTASKAGLFFE LQYDSSSNTPLLIGGKILDHRLERSRITSVPTGERSIHVLYYLLAGTSAAEKAHLGLD SSGIHAGPGGNQKRWRYLGHPTQLKVGVNDAEGFQHFKTALRKLEFPRSEIAEICQIL ATVLHIGQLEFVTGQTTTTGAEESGGYSHEGGETVTIVKNKEVLECVAAFLGLSTEDL AVSLRHKTKTIHRERVTVMLDPRGARQNADELARTLYSLLVAYVIESINQRVCAVEDS IGNTISIIDFPGFAQSANSSTLDQLLNNAAVESLYSFALQSFFSNKADRLESEEVSVP ATSYFDNSDAVRGLLKPGNGLLAIIDDQTRRGKTDMQLLESLRKRFENKNPAITVGDS ETKLPGSNFVTPSTRATFTVRHFAGEIDYPVNGLIEENGETISGDLINLISSTRSDFV RELFGQAALQKVTHPKERTAVVQAQVSSKPMRMPSMARRKISPSSRLNPFSNRAGKED DDSDSHNGSTKGGNTSHKKTHGTGPDQGAAGQFLASLEVIKQCLNAPNLNPYFVFCLK PNDRRIANQFDSKCVRMQVQTFGIAEISQRLRNADFSVFLPFAEFLGLAEAESIVVGS DREKAELVLDERKWPGNEARVGSTGVFLSERCWADIAKLGERVLPTYHADSLDDGDGM LHPGAYSDHKVRLLNSGENSPSGAFIYGDESKQGGYFGSRDVDGRSDAGASAFNSGDM FKNLETREQMAEKSKERKMEEVDILPVSGSRKRWLFIVYLLTFWVPDFLIRLLGRMKR KDIRLAWREKLAINMLIWLACAVAAFIVVGFPLLICPRQDVYSAEELSSHNGKDGASS YVSIRGVVFDLGAFLPAHQPKGLIPSSAFERYAGVDATALFPVQVSALCQGVNESVDP SVLLDFTPVNITGSATTISTGDQNSKYHDFRYFTNDYRPDWFAEQMIMLKGSYKKGYI GYSAGYLKTLANKNGRSVASLDGKVYDFTAYAAGGRRTLGPNNTTGPAGVSVDFMDPV IVDLFTQRSGQDVTQRFNELQMDPALRQRMRLCMDNLFFIGKVDTRNSTRCQFSKYFI IAITAFLASVIIARFLAALQFGKKNMPENLDKFIICQVPVYTEDEESLRRAIDSMARM KYDDKRKLLVVICDGMIIGQGNDRPTPRIVLDILGVPDTVDPEPLSFESLGEGMRQHN MGKIYSGLYEVQGHIVPFMVVVKVGKPSEVSRPGNRGKRDSQMILMRFLNRIHYNLPM SPMELEMYHQIRNIIGVNPTFYEYILQVDADTVVAPDSATRMVSSFLSDTRIIGLCGE TALSNARRSVITMIQVYEYYISHNLTKAFESLFGSVTCLPGCFTMYRIRSADTGKPLF VSKEVVDQYAEIRVDTLHMKNLLHLGEDRYLTTLLIKHHPNYKTKYSFRAHAWTIAPE NWSVFLSQRRRWINSTVHNLIELVPMQQLCGFCCFSMRFVVLIDLMSTIIQPVTMAYI VYLIVWVVLDPSMIPVTAFILLGAIFGLQAIIFILRRKWEMVGWMIIYILATPIFSLG LPLYSFWHMDDFTWGNTRVVTGEKGKKIVISDEGKFDPASIPKKKWEEYQVELWEAQS RADDSRSEVSGYSYGTKSYHPAATEYGYPASRPMSQLDLTSRLGSRMSLAPSEMMGGA NYELSDLAGLPSDDAFLLRSGRYAHS TSTA_056970 MPGNMLSELKLGQPRDLTPSTCTPRNAATGQPPKPRIRRSTKRP RRSCPGMQTYRTKPPNESGDPDHSDDQDYVDRPQHEDDRPRRTNRPKHQPITDSNSVK PEADIVIKIGSLSLPDLKTGQRGVLTCEFFSSQIMCSFSWTSLGRWRGKHRKKWTEEE NFRLKWLREEENLPWSQIKKHFPDRTAGAIQVQYSTALKASASTSSGMTPNDEADRNT TFSPNRRQYSRRPRRAVERYSP TSTA_056980 MHKISNFTGQARHGWERMTPAFGMSRPHADIHAASQPLRRPHGT PALNPPTAVDPTVNLSFNVPFSSYLAGPDVEDVLHASPGALQRWSFPDGTSEGTPIHH LPVHVNHVEALRKLCRSVSEASGGRLEATVTTSEPKSLPSVQRRQPGLVTNVCLSGDG ETVRKMRAKILNETPISLRCANVDVDMHLIMDASTKGIRVNVLEHLDTLAQYTGADIF LLSPKMVDADSAVVSSYGADNGLDNRFRVAIYGDMESVEHAKTRLLIMIDQILKRHVD AMKLELTMHTLVCGRTRKNIKLIEAVTDTAIYFPPPFPRIFGYTPPGAHRRSEDEVYI TGENMENIARAKQKLRELVLGAKIYVKDVVLSASKIDNILLDRLDKVRKVMEANGSYV LFPQLGSQRGLVRIQGTEVLHVERTVREIMALAGQFYSASWWIIMSDPNQGSIRSPSP HEVRPMLSDICINSDADVSFENMTFSINGSDDAVKAAMNVIYQIPFVKRCPYQIRVKI ELANEHKEFVSGKKNGKINKIMGQSNVQIIFDGFNEYNFYIDVCGTQYDATKNGLDLV EQEMPASISFHVPDQYHKRIIGIGGQHIQRIMKKYSVFVKFSNAMDRGGIGKEDDDVK VDNVICRTPARNAQSLDLVKQEIMDMVEKVDAEYVSETVLINRLYHRELLARIREIEE LEKKWNCKIEFPSTELASDVVTISGPEYQVPQAVDAFLGMVPENHELSFQSSAELREF FKSADFVDDVRSKLKDQYEVDATVDMAAELPQPGTENGSSSPVPSEDRVVLGYTRNNA GGLKDAIDFLISRLVAHGLDATTVKGAIPRPKSDSFEESLPFFDSKLLQHAPAPLVTD SPTRPSFGDDVSERGSIFERLRKPGSISSFSSFIGRKNHSASPASFFKHASSNASKAS LISMESRDSGYRNPWNDSGVNLPEEDLHVGSSHSHNSSSSNGWPSRFDMKFPFGTAPG DMTPKHDLRASFDSGRPSTSNSTSGYPAPIGPPR TSTA_056980 MHKISNFTGQARHGWERMTPAFGMSRPHADIHAASQPLRRPHGT PALNPPTAVDPTVNLSFNVPFSSYLAGPDVEDVLHASPGALQRWSFPDGTSEGTPIHH LPVHVNHVEALRKLCRSVSEASGGRLEATVTTSEPKSLPSVQRRQPGLVTNVCLSGDG ETVRKMRAKILNETPISLRCANVDVDMHLIMDASTKGIRVNVLEHLDTLAQYTGADIF LLSPKMVDADSAVVSSYGADNGLDNRFRVAIYGDMESVEHAKTRLLIMIDQILKRHVD AMKLELTMHTLVCGRTRKNIKLIEAVTDTAIYFPPPFPRIFGYTPPGAHRRSEDEVYI TGENMENIARAKQKLRELVLGAKIYVKDVVLSASKIDNILLDRLDKVRKVMEANGSYV LFPQLGSQRGLVRIQGTEVLHVERTVREIMALAGQFYSASWWIIMSDPNQGSIRSPSP HEVRPMLSDICINSDADVSFENMTFSINGSDDAVKAAMNVIYQIPFVKRCPYQIRVKI ELANEHKEFVSGKKNGKINKIMGQSNVQIIFDGFNEYNFYIDVCGTQYDATKNGLDLV EQEMPASISFHVPDQYHKRIIGIGGQHIQRIMKKYSVFVKFSNAMDRGGIGKEDDDVK VDNVICRTPARNAQSLDLVKQEIMDMVEKVDAEYVSETVLINRLYHRELLARIREIEE LEKKWNCKIEFPSTELASDVVTISGPEYQVPQAVDAFLVRFTDLLPNDGI TSTA_056990 MAGAGLSSAVVTDVQSELEPVDEIKEYEKILRISDQIFTGTHPR LKVPEQFVRKPPSRPPQSSIAPAVIESSGSHQPKTVPVESSLAQKKTVSSVVSPARTP SSVAPKPAPGLDPIFLTKSDDLVRAEIQLQRRRIENALKEQFERSKNEARQRTAHEGR PEFDVTEVLKKAMEIVKPLPSPVQPVTHDATISSDSIDDNSLYSSRAPDSPLTWDYEK RAPSEPDRQTLGQTKGIAHQDNGRKVTDTGSQILQGTTDKAILPQGRSQPEPIEQNRQ HEQMVLDEPEYSPPEPIVPVVEIGADDDYQPPDILDSTRHTTFPPQPSARPSDVKVVR NHITSPAAPQPSRVSPLAIAKAPSVQRILSSRSRDHDFIEGDGSDPYSRRTSPEGPAQ QHLLPRKRRRVQEPRERIETLPIDVAETRVKLEPVSPPPFHDTPPSRAYHASEHPVYI DISSPQYVPSADRREYVSREPFHELDPRYGSSGRGRGTPGEASVRAVSRLSTRRPVRD NQDLRRVASLHNARNPDSASHEIIEPVLRPQSAARASPFTVVERQPLEKTTYYEEVIP TYSRRYSQYREYYVDDGAAPRYVEPAPPRRIVMDEYGNQYYEMIPAHKIRAAPLPTRI VRPDDYGERAYVRSASVRAPSIVDDGYGGRQYIQEMAPPSGTYRRLAEQGRNASETQR LYPARTVADREGVFRSGSVAVDYAPAPRQATYIEEEIPRNRLVRTSSVRPPTSRYEQP HDGGLHRVQSVRPGGREVSVYMDEDGHHVAREYSERPGYPAAVRAERPSRYITDEDGT RVAIEGPGDVHRVAVPRY TSTA_057000 MAISQEQDARPVFFFDIDNCLYSRGEWNQRLVTQHISDTQSIGS QIHDRMQELIDVFFVKYLSLEPKDATMLHQKYYKEYGLAIEGLTRHHKIDPLVFNKEV DDALPLDDILKPDPKLREFLEDLDRNKVKPWLLTNAYVTHGKRVVKLLGVDNLFEGIT YCDYSQPKLICKPSDEMWEKAEREAGAKSIDDCYFVDDSALNCRFAEKRGWKTVHLIE PILEMPVNPACKITIRRLEELREIFPQFFKSKQEEAKAETL TSTA_057010 MVEVSVGQVISLLDGRQATVRFVGSTHFASGDWIGVELDDASGK NDGSVQGERYFDCEHGFGMFIRPSAVSSILAPAPKRESKVAPAKGAGVGKGTTPGLPS SRRTGSVATVAPKRQSVTSSPSPAPREMVVRNLRSPTKSPVKQLATSRPSSMLSKTTS AVKPRASVSGRTSMGPPATGSVAARSRQSLMGAQNKLARPGAPSSTSTSTSSVKRLSV RPSITKNATDESSSRASGASEPSGDNDELDQEAKGEDVSPTASRPEHGAASSRPSATL RSASQSTSIARELEDLKTKLKVMEKKRAEDRERLKGLERLQAEKDKYEGIIQKLQAKY QPQQVELTDLKKKLRETEAKLEQAERIQAEHESILEIAALDREMAEETAEAFKAECQD LKLRLEELQLELEVLRDENEELNNVMSPEDKSSQGWLQMEKTNERLREALIRLRDMTQ QTEAELRAQIKELEDDLEEYTTVKAEYDSVKKKLLASESNVDDLKQQLETALGAEEMI EELADKNMRYQEEINELKAAIEDLESLKEISDELELNHVETEKQLQEEIDYRESIFNE QSRKVAQQDEIIEDLEYTLARFRELVTNLQSDLEDMRASQQLTETEANELSTRSRAMM DLNLRLQASVEKAQSKTIEIELGRMEAEESSEHLAIVQSYLPEYYDTERDSVRAFLRA KRVESKALLIQNTVRERLSEQSQSSSAQENIFASYEVIENALWISRVCERFVKHVTSC SPEQFGQFGVALYELEPVERTVNNWIDSLRKNEIDEKKCALELQRSIALLTHLAETLI PTELATYADETLTRAIMIQTYLEHVASALTQLRNLLHSKLPASEGEDAERLFFFNKTD ALVGQARGLKVVITKVIRSLEELNSRSLALSDGAAEPFESVEAIAKKLAELVRELGED VMVLLGEEGRTEPFTYEEISARMVQTAATLAQGLAPEGESNDALSLLTLGLKTLTSQL EELSNHTSDLAHTAEFERGKYPWIARAEELKSHKASSPDAEEEIRRLKNELSETSTAL GVKDKTIEEQAMKVELLESRMREATKKASVVKDFETKIETMQEKEGQLQGMVEKLSKD LQSMQSERDDYKQRFERAKQASGNVGATITAEGVVVDSEATLAAMRENEALRSEINSL QAAVRFLREDNCRANLLDPYSVQRTMNMYSWLDAPLTQPKPSSQREAQYARAAESRDV LNHLLKLTKETPITDLASTLSAHQKRSAWRPTKSTYRYKALQNRENYEQWSEWKNEVA MREKEEERILAAKAERILREQQAKRRAPPRGHAKTPSAGFGMMGRAWTILGMQNEVDG KEGGIVEIVSDV TSTA_057020 MFRAWITSCVSCLWPQADQESKGSQARQHIGVEREMEICHSQPH LVPPMKLVFYGDLPSPGGHNQSRSTPSLLPPWVQETRNLASRASQSASVLLTRKKTQS RPIIGAPTDFRRVEPLPRRRASFRPLELSIYLPGNRLSDLPEFGRFDLDTPGQPSPPA KALMSPFDSSGHIRRDSGPFQFSRKPVGSAPSRRGSFATVDLQLQQAKTQMQARQSMD GILASPLIPHFSMINTLDRHPLSLPPQISHSHTDCDVILTDRPLSSKSDVAPMTRRSL DHRRASSYITGKRQPGQTQPLPPLPRPSSRNNNTTTANHHSAKSSSTFQSHSRMRTTS NSTASSRTPSLSSAITAATTIYPSEKELEAPFTTALPMIPASVKNSVVVEEPLVLEDQ DSLSPRRGGYEFDQRFPLSPVGVAF TSTA_057030 MEWTVASSGGTGSRLTRVLIIVSGVASLVATLLSVVSIWLQTKN YRKPLLQRYVVRILLMIPIYAASSWTSIISLKAAMFLDPIRDIYEAFTIYTFFQLLIN FLGGERALIIMTHGRPPVQHMWPLNTFLSKVDISDPHTFLAIKRGILQYAWLKPILAL ASIIMKATDTYQEGYIGASSGYLWTGIIYNVSVSVSLYSLALFWICMHDDLKPFRPVP KFLCVKLIIFASYWQGFFLSILQWLGAIPNGVAGYTPDNLAAAIQDTLICLEMPAFAI AHWYAFSWHDYADNRVSSARMPVKHALRDSFGIRDLIEDTKQTFQGNDYKYRLFDSGD NIIAHEESSSRVKRVMEGMRYERGGKGKYWIPKPGEASSRTPLLAGSIAHGQRSPSDG PKRNSTIDRYRSYGELEETTMDEDDERLFSNARALEFGDWNYPVITANIIPRDQVLPR TVSYQSQASDHGHVVRKARKHRNSHVCESSRENKATSSRTRRPQRPSEVPRHSSASTS TSRRSQLVDLVVENTEAERREQSQARRETGSDWPERDALHLQRPTSEPVAVVRPQPAG GTVSPSLPANFAVGEDDEDTIEGDTNDTKPRPLNPDYSDLGEDRNVWGN TSTA_057040 MASSAISLQPLTSGPQFNWFFLLELIVCGILTLFFLFYFNRFLA TLVSYGIRAYTWHYYRAYVDIQALQVSLLGGRIFFKGIRYHGVNETVLIQRGHITWNY WMRTVRRLDLTRKDTTIGTKDPNHGGSASANADGQADGTEESGGLKAETQLPCRIVIA LSGLEWFLYNRTPAYDDLLSGFTPSTDATPESQEDNGSSTSGASTSRQASESDLSPRF KPTISKESTSGSEPPVRPQGHRMNTAFSSIQDMSSQKYEALEAAWSFLQFLPIQVDCT KGAIVAGNEATHSLLTVTFDKGVGSIDASDAGPLDIYRQLFNFDFSHPVVQIRPNPDF KQEQLATARAINPSYHDEAERKSRRSYRMAYRRRKHEVWHSLRNLVPYFQSSVESFHE KGTNTTSTSHTGFPVNDQWLGLSRYMDETTENHEGWNAVEYARYSTILDCPSLSLTYF WDIPGKVPSEHHESNSSVRKASMNDINGDSPPDWGMELKIKSGTINYGPWADRERIKL QNVFFPNNFRSAQAETALKPGSVRRSTKFKFVLEIAEETTLRIPTREESKDWQWKGRA DAIRSASKLKKQKSKRQLRGKESEKTNASPEIRPFGWLTFRISQDSTVTYDMDMVASD KGFFNQLRLDLRDCKLSSSVNHGLLWQSARQVITCDLSNPLVWNAPHTWSFNVVSHDL QLFLLRDHIFLMTDLVSDWTSNSTSDYYTFVPFVYNLQLSFIDLRLYLNVNESNIINS PSDLDDNTFIVLKVPSLMSNVSIPSDIFRAEQSDFSFDVATQECIVDLVTPLWHTLHT FLKDNSLGRFSNLSITGTYTLNAGSALLDTLAMDIVANDPKCYLYGFLIRYFMKIREN YFGDDMHFKTLEEFQELANAATENRTAQIASNPAKKHSELDVILNLVIRNPCALLPTN LYDHSKCLRLNASSLDLDLRFTSYYMDLQVDLTPTEVSMESIQSDGKANISNVQLFID GISVYGHRLFGLPPAEPTYVCNWDFEVGKIVGECSTQFLRSAGAAIRNLDFTMDDEEN AFPPLHPFVLHDVTFLRAKIASVHLSVLIDQSALVLAAGLINFKLNDWSRSRFSKRLT VDVPDVMLSAIDSRSAARQSERSTSVTTYALIRTNIILSMLVRKSNLLEHRKHQQDHI RISDQRTHRTPWLFLNSNNADTFSEKDGSKVHPPTMAIPMMPEPVAEIMHTIEPSNFL SRDRHFLSHKGSFLSFDGSSSRRVKQDYSTPVSSNSAHRNLNARQETNPTSYTHEPHI YGGATHILLDPGQIYDRDSTVPSYDIPRSMIGPSSPWSMPHFHYYKIIPDSSHLPPLP KLAEDRGNVIEDNFIANFGDNGLAHSDLFCEVQPGLQGYCTPEFFQVISSLLSGLQAS DPIDVIDSLQVDVISHIVKHQKAKEKQPKTASSFSVKIPSAIFTIFNKLEEMEDDPQC PLQDQYQVSCTQFKLTMQTKSEKGEHDMIRDSREDTILHSTAEHISISAMGGQTNTCQ DRAELRLLLEDIMFWIVASSKTSSHLQLRTFEVLNATKSAAPLACLVQRTTTIVDFVA SSFQAIPSIDDRLRYLVWFITDSAIGVPDPLFVTRPAYVLRGLQSHLRLHDSWKITSR LRNMYRTLPLDKQKGLTTSFLNVEYRCPGNARDEVLREFNNWRPWDLAHVEKSHAMKV IWGDTLEHDTSPEKPVTLSCDLKTIRAIIEPGAKHCDISVVDMYVAIAFASTTIDKRN SLCIRSHCAGFALGLRWEILDLIEGITEAMSRTQSASTVRQAPNYEHPEPSQVTEIHV FVGTDDGSINFGGINIELFLHGYGLNGSFVLSPGIEKQPEQATILLNGQGCSTKISSQ SKSLMLWEVLQPHFYGSHISRRSRNRVKDGWKMAGSCLSLVYNMQEDPLNFIHIASRV VEDEVQYISAFIRRLDISSPPSPPSKHIEEVKGMARDHGFQVALFLEDYQLNFRLLPS LIYSIDGKVARMSVLSDIVNEIEVDFDIKKNHHIFHAVEKEKSRSVSEIDIPPINGRI SGTMSTEIMSLKADVTVERIKLEASALRSLLDVVRRPEMSHYISDCSSSLTDLRLHLS ETLARREPRPQSPVGSTRQREIAYNVRLTMAGWLIHARAPGLKNKNYSADMNIILGST QAHIDSSDENARFESPNLHVNVSHIGLELIKDEHSRAQPYGSVGLDIGFRATSRSTDR DQTGRVYDLSSKGFNVQLYAETASLVIDIVAHLQNRIRSLDLSEDMRRLKHLGRLARA RSHGPSNPLPELNIIDMESHSLAFLQAIYSVELSNIQICWIMDQKYQQKTVLEPEDLV FSIRKVALSTSGDNSAKLRIQDTQLQIAPKSQDKGRRSLNSALMPEVVFNVAYLFAKD ELRLAFQAAGKALDIRSTTGFILPASLLQKSIASAAERLREANTIWSTSPSQTPSGNA LLGNRRLSSLLVDVDFAGAVLTLLGRQLDDQQTRLVATATGKRLSEGRYGQYVQGDST TTASLTSPGVALKVQYGDNGLDDPTLNAELRVSPSSNTLFPTVVPLIKQITTSIKEVV GDQSNTNMSSSSLLQTPKMLEDRSIDATNPESILGRCKLNIGLRICKQEFCLSCQPIA RVAATAQFEEIFVTVNTVQSQEQRRFFAILIACNNLEASVKHVYSNESTASFEVESVV MSLMNSKHVSTTSGISAILKVSPMKTMINAKQVQDFLLFREIWVPSDDEDAPNPGPNP GPTPAPTPSDSQALMVQRYQQVASAEAFPWNAVLSIDELQIQLDLGQTLGKAEFIIKN FWVSSKKKSNLEQNLCGGFDIMAIESRGRMSGSVTLEKMRVRTSIQWPNESAGSGQTP LIQASIGFGQLQANTSFEYQPFLVADIVAFDFLMYNVRQPVQDRLVSILEGEKVQVFC TTLTASQSLALFQTWQRLVQDKHAAYEASLKEIERFFRRKSMMTASTISSPSLPQTTR EDDGGKSPVTLHTDVVVTLKVVNVGAFPSTFFDNQIFKMEALDAQARFGVSLEDDKIH SSLGLTLGQLRVALSGVNRPTAVEMKKLSVAEVAARATGSRGGTILKVPRVLASMQTW QGVTSNEIDYIFKSAFEGKVDVGWNYSRISFIRGMWAAHSRALADRLGKPLPPSAVRI SGGPKPGVPEEGGDDQEETGDNNNDSEEQDKDERITAVVDVPQSKYVYRPLEPPLIET PQLRDMGEATPPLEWIGLNRERLPNITHQIIIVTLLEIAKEVSDAYGKILG TSTA_057050 MVHFTIEEIRALMDRPANIRNMSVIAHVDHGKSTLTDSLVQRAG IISAAKAGEARFTDTRADEQERGITIKSTAISLYAHLPDEEDLKDIPQKVDGNEFLIN LIDSPGHVDFSSEVTAALRVTDGALVVVDTVEGVCVQTETVLRQALGERIKPVVIINK VDRALLELQVSKEDLYQSFSRTIESVNVIIATYFDKALGDVQVYPDRGTIAFGSGLHG WAFTVRQFAVKYAKKFGVDRKKMMERLWGDNFFNPKTKKWTKSDTYEGKPLERAFNQF ILDPIFKIFAAITHNKKEEIATLVEKLDIKLASEEKDLEGKALLKVVMRKFLPAADAL MEMMVLHLPSPVTAQKYRAETLYEGPTDDEACISIRDCNAKGPLMLYVSKMVPTSDKG RFFAFGRVFSGTVKSGLKVRIQGPNYTPGKKEDLSIKAIQRTILMMGRFVEPIEDVPA GNIVGLVGVDQFLLKSGTLTTSETAHNLKVMKFSVSPVVRRSVEVKNANDLPKLVEGL KRLSKSDPCVQVSINESGEHVVAGAGELHLEICLKDLEEDHAGVPLRISDPVTQYRET VGAKSSMTALSKSPNKHNRLYVDAEPLTEEVSQAIESGKITPRDDFKARARVLADDYG WDVTDARKIWAFGPDTTGANLLVDQTKAVQYLNEIKDSFVSGFQWATREGPIAEEPMR SVRFNVMDVTLHADAIHRGGGQIIPTARRVLYAATLLAEPGLLEPIFNVEIQVPEQAM GGIYGVLTRRRGHVYTEEQRVGTPLFTVKAYMPVNESFGFNGDLRAATGGQAFPQSVF DHWAILPGGSPLDPTTKPGQIIAETRKRKGLKEQVPGYDNYYDKL TSTA_057060 MKFTEEEAANVKTWVVKRLEDISDADSDVLADYVLALIRSDAPD DEIRQASIENLEDFLKENTVQFVDEIFAKYGPKTQPPAPVPAPTPVATQQAQVQPAIP TAAPLQQPSGFNPAAQNFVPQTLSQDAWGNGQNSRKRTFHEGFQQDNQLADAQRGGRS FKTPRTRRGGMGRGDRMNGRGRGLGPLPDQFQAGMSGFPPMPPGFPGFDQNDPMAAMM ALQSMGFPQMPGLPPMPVPGQQGGDQAKSSEPCPFYETNGICYMGAACPYKHGQGPVA IPPGSDEYDPTNATIFDVQGKDDARGSDRGRGRGRGRGDRGGFSSRGRGGRSEFSHVG PSDDKTNTTVVVENIPEDKYQEQVIRDYFSEFGNIAEINMQGFKKSLAIINPKAIFDN RFVKVYWHKSQNKPDANGQSAGADQDTPMFDREEFEKQQAEAQRLHEERMKRRKEAEE ARLALEKQREELLKKQQEEKAKLLKRLGETAAATEDTGNTENGSETAGDENVSEQTKS LRAQLAALEAEAKSLGIDPESSAQSFRGRGRGLGGYRGRGRGLDPSFRGSYRGSYRGR GAPRGSGRGGVLRLDNRPKRVAISGVDFNSEKDEALRQHLIGIGEYESIEPSPDRPDT LVVTFKERYLAEKLMFGPSEIPSVGKVEKSWVPNPPISTSASTGAFSSANKQNEDSTM ENAGGTNGHENMGEVDYDVADDEDSWGIGL TSTA_057070 MTPVPNSFPSPLPPLPVGRHLPGGHGLDPAVSPNTTEGFHLNHL MLRIHDPSESLHFYIDLMGLRTIFAMNTGPCSVYYLGHPQTEAERAHPKLYAQNTVPN DVLTKTKGLIELVHIHGTEKLSKEEYKLQSGNVAPWLGFGHVGFTVPDVPAALQRLKE NGVNVLKDVGYAEREHVPITEWESSELGAGVGELHEGYKHVLSQIGFVEDPNGYWIEL VPQNMK TSTA_057080 MSLDQNAWISQDIIPIEFDFDRWLVENAGETQDVAPNETHGLSS NTMPTNNTMPSFEASSLNFDTNIEDAADASWLDDQYSRIVRENPFQTGFQHSHNIPAP TGLPYNSSPLPSEYGSTALSTPGVAGYSAISKPGKHQTQDSKPGLSCLVSKADKAKAV QKPPRKTRSDKGMKREPRRTGKIPTVTVTITVTDINTSLTGNREGSESTVQGDKIPNN MDIKRKRSREDGEDSHTDCGPHERNNSRKRRLVEKPTKYEDRIYEWDDSNRPKSSRIG ENGMLNAGLAPRPPGVYRLFGRVSNEVMSKKEYYYRRKGQPETNAVIVESSPAPSTGK KSRHLNRREHVPVGLVRESAPGDYDPFHNEYYSGDDNVSDGEAEVEGKATDYKPPRMA CRTCNKLKQPCDHKYPSCSLCQKQGKRCRYRDPLTGRQIKPGQLEEVEAGYVAAKKMV RQLQEEVQTLKRELHASRKLGDAEKQEHASSG TSTA_057090 MDASILTPKAAAMSTEGIPGQQQRTIPAAVGDSSFSMDTRIHRE PRPQSVYAEPLTNGHVRDQLASPSKSRNDTRPPPSRGHKRSVTGEIKPVVYESPSRTL PSNEFRAHSRTTSLDSTGNRIAELSAQLRARLSYAAAKIEQSRQLRPQGENISQRNIP GVQSTRQSVQELRQPYSDSLGLAHNHVISSGMNGGTGQAGANAGPQSLSLSQTPKLAS PVDIVTGDRLKTVRRRPNPNEADGSTSHASTPRHRRYHSEQEGRTVLTAGSRLAGTVD ASPLRPKINLQASTPSLASNRSSLKRRTPSQNALMEKDAIETLLFMSSPENSGYHSSS RARKSSMNVSIEAQMAINAHNSSQGSSTSGTRQMAPFNFLENDVIRTAVPVPVAGHGP VDLEAQAGDEIDRLLDQMEVDRGRDVGLMSYDFGINGGDQSQFYQSSSTNR TSTA_057100 MEDQKNRTHHKTKEKKKYEGQNPKAFAFSNPGKGKRQAARSHEL KEKRLHVPLVDRLPEEAPPIIVTVVGPPGVGKTTLIKSLIRRYSKQTLSSPTGPLTVV TSKRRRLTFLECPSDSLASMIDVAKIADIVLLMIDGNYGFEMETMEFLNVLASSGMPG NVFGILTHLDLFKKQSTLQAAKKRLKHRFWSELYQGAKLFYLSGVVNGRYPDREIHNL SRFLSVMKNPRPLVWRNSHPYALADRFLDITPPTEIEKDEKCDRTIALYGYLRGTNFP AQGARVHVPGVGDLTVSAIESLPDPCPTPYMDQQIAKATGKGGRKRLGEKQKLLFAPM SDVGGVLIDKDAVYIDVKSATFNREADEDDEDGGLGEQLVMGLQNERKLLGEAEDGLQ LFKGGGAISKVDAEADTGRKERRHVRAMNDDGYVSEEEDEGFGSGDDEDEVEGDLADE DFDEEADSGDEAEFEAPPDLTRHVREKQNGDINEKEGDFAFADSDSDLGSISSVEDQE FDDPEEDEDISEDDEDGAVRWKSNMLKNAKALHGKKPAYRVIDLTRMLYDESISPAEV IARWKGEDNEQDEENIEDEEEDFFKKTDAEKEEEWEDRTIPDFDYAELEKKWSDQDAV EALRRRFATARLGADGSDDEDEEDGSGDGLSDEGDGAFEDLETGEVFNGFDEGSGDEE GSRAEEKVEGEVDLEAERERNAKRKEELKLRFEEEDREGFANARNGDRNESKGDNEFG EDDWYDAQKAQLQKQLDINRAEFESLDAISRARAEGFRAGTYARIVLEKVPCEFSTKF NPRYPVLVGGLAPTEERFGYVQVRIKRHRWHKKILKTNDPLIFSLGWRRFQSLPIYSI SDSRTRNRMLKYTPEHMHCFGTFYGPLVAPNTGFCCVQSFSNKIPGFRIAATGVVLNV DESTEIVKKLKLTGYPYKIFRNTAFIRDMFNSSLEITKFEGAAIRTVSGIRGQIKRAL SKPEGHFRATFEDKILMSDIVFLRAWYPIKPHRFYNPVTNLLDMDESAPEENGWQGMR LTGEVRRAEGIPTPLEKDSKYKPIERPSRHFNPLRVPRQLAKDLPYKSQITQMRPRKE QTYMQKRAVVLGGEEKKARDLMQKLSTMNNEKRAKRAAAQEERRKGYRAKVAENLAKK AEREKREKSEYWRQEGKKRKNTEGDSGGGKKRR TSTA_057110 MTSRSPRRPPPAYTAPATATVYASSPVYRKIAATAAESSARKLE TTFTIGPCSGQAWVVKAGQVCRLTTPEGPQVGDLNIWNAHNPRERMWAARTRQIHSSH VSVGDRLWSNLPYLRPLVTITGDSLAGGQLHNVLDAEGNKLEGKGFGTTQWGGRVHDL LGTRCDPYVNLLMGGETFDFHCHSNLTRAVVPFGLTEFDVHDVLNVFQVTGLDEQGKY FMETSPARPGEYFEFFAEVDVLCALSACPGGDLSQWGWEGKEGSDMAATCRPLGVEVY SLVDTEIMKDWKQPESPGYKGMHGLKMPPREDRKDNKHVGV TSTA_057120 MTLYNVTLKESASPAELEKAKEKARAEGGTIKHEYTLIKGFTVE YPDDHVNVLESNEHLHVEQDGKVSTQ TSTA_057130 MENRIPRLIGTLPRSRRGLARSIRRQHHATSTSNSWQKPPAWAN VAEDEIARLAALRRRPLTLTDLLKHGQPPLSKQALLDSANFTLSLLPARLAYRIQCLR NLPFIVVSNPHVSQIYNNYLHSLSTLLPYQQHRITTLEEEKQFAEVMADLVQTHTNTI PILARGFLECRKYISAEEVTRFLDSHLRARIGTRLIAEQHLALHFASQPISDDNPNPP LSQREHGPPNYIGVIDTALKPSQIVRSCEHFVSEICELKYGVRPTLEINGEPDATFAY IPVHVEYIITELLKNAFRAVVESGQEREPIEVTIAAAPDIPGNHLNHINNIPHHARDG DVDISAEEEILVANENIRLTNTSSQSITIRIRDRGGGIPPEILSQVWSYSFTTFSEDN SAGGYNGNIDALNTISGSGGNTSTIAGLGYGLPLSRAYAEYFGGSIAIQSLWGWGTDV YLTLSGVGRIGE TSTA_057140 MPNVTYSVMPNVHIFPPRPSLTGGNYCRVVFYIMPPEDDGSIYY VSINPATKNRKAHLDWICMNHQSRDGQTCLRWIRFWDMSINPSPLLSLPPEVLCMILN EVKERADLERLLQACPRLYNLIIPFIWRTVNISLRVNKNCESEPTDVTAYSRARSGRF DRLEFVKRVVVKGTSIPQAFCIHRNRDFGSFFEDEGEEIGEFLSSLNENSLKGFIWAT GTCMSPYVMGRWARLSDRHPDLEELSLMLNPGCIASQMYLHVEGFKRLKYLSLRGLNN TAICDSYWEIQDGLQASSSTLVELELAIVPETDTIPYEGKWDGAEVFPFNEVPWVRLL FPKLRVLHLEMISLLSCASKLAQAVDLPSLTSLTLRQCEGWDAFCHHMIHVQGPLRLK HLELVWYSGHVNYHKGIIEVLNRSPHIETVSICNGLMSSHFETLVAWHAICHGRTSLR GFVHHRMEFGAKMDCPSPLQDPNQGRSNDMHLLHAISGVIVSSRENPFTLSDLQFLGL CCFPTENLRGILYPLSYRNRLRVLHFRKSASNMNAVAAFTKALDHFAHWAFGREGIPS LQLILFGDYSTFKVRKGANCRYDRIDKSPFYTLKVDPDVSDLSPRFLSLVKACPTQYI MK TSTA_057150 MAVPRTSQFLDPTSAMATLTKQKAESIKLAREQAAAVHEMCRRA KTEVPPYEFEELIGKGAYGRVYKGRQLPSRDLVAIKVMDIDTLDYKMNRDMKDESIKD FIHEIKAMNQAKEAGAQNINKLIEAISIHSQLWVVCEYCPGGSVKTLMRATGDKLEEK FIIPIARELASGLRAIHDAGIIHRDVKAANILIHEEGRLQICDFGVAGILQSKVDKRS TWIGTPHWMPPEMFSTRGGEAHQYGSELDVWAYGCTLYEFATGNPPNAGLRERMQIGR SLGRSTPKLEGDQYSQELKNIVAFSLNSDPATRPTMAIILNHPYIAGSEADYPTKSLS ELVRNYYQWSQRGGQRMSLFHPGGAAAAEFPDSSSIEEDWNFSTTDGFERRYSVLDLD QLSASLAELAGDEPSFPQNPEPSMDDMAISEMTPIEKANFDERVKRGAAAMEGLFDDQ KPTYKYETKNDFIPIEEKQTPSDLPLRTTTDRSSVTSTFIDINLGSFDSAHYAAGTAS QFQLADADTIRANRSSLRSGRNSDGDQTRNRYSEVENANDNFQTSAPRPPTMDWKFPT MVVPEDSEPEMSPAHVPDPEPFISPAEEKRATRDWKFPVMTGATNDEMPIPEKDNIYT YDETPAHTIRPSNVQTHQSHLSHQSQQSTLDLSIGHINNNLSVDGIDVAAIARPSTAA STLSDYDPFRFDRSPDGSQRTHHRMASKAPSLLSISSLDEGPGPDHEDDVIAEDGPGP DHEDIPELTPSATTATSMTAQMELSQNGQRAGSLSSSRDMSRPTSQTLQFPDVRFPSA ESLMEGASEEVVTGELDRLIDDFMQACYTTIDIVENIE TSTA_057160 MTRIISLTTIVAALAATAAARPAPSTHTVHEKRYGHHARWTNPL RIRSESDIHVRVGLKQNNLHRGHEFLMDVSHPDSANYGKFWTEEEVTQMFAPSDESVN IVKNWLTESGIHDVRITHTDNKGWLAFVATGEELENLLHADFYEYKDSETGHTAVSTD RYHVPKHVKEHIDYITPGIRFPFLQKRNALRDPLRIPTPPHIKAALSTSDSNCAKAIT PDCIAQLYQIPPVTVSPSPDNSLGIFEEGDQYNQTDLDSYWAAYPSYGIPNGTHPILN SIDGGEGPGKNVQGESNLDFSLAFPIVYPQNITLFQTDDQYWAFSSQGLFNTFLDAID GSYCTSCYAGECGNADIDPVYPDTHSGGYKGQLMCGTYKPTNVISISYGAAEDYIPQS YYERQCNEFMKLGLRGVSVLFASGDSGVASRSGCLGNSSTIFNPDWPASCPYVTAVGA TKIPVNGSVSGGEVVANDPAGHPFFSAFASGGGFSNLFPIPDYQADAVASYFANHNPP YPSYSGSILGENGGLYNRSGRGYPDVSAVGDTIPIWIGGESVIEGGTSASAPIVASII TRIIDERIAAGKPGPVGFLNPVFYKNPDAFNDITQGSNAGCNTAGFSAAPGWDPASGL GTPNYPKLRDVLLAL TSTA_057170 MSPSAVDIKVQGDTAVTIPNPVDNNALAAWRKTGAVPTGTAAFS TSDMFKSPACFTRPKAKRWDHHLSLEAKSRKPSSLKGAAKFLSRPGMISLGGGLPSSE TFPFEEMSIKVPRAPKFSEAETHVSGTTITAGKHDIKEGKSLFDLEVALNYGQSVGFA QMVRFVTEHTEIIHEPPYSDWYCCLTVGNTMAWDATLRIFCERGDYILTEEYAFASAL ETAAPLGIRIAAVKMDEQGLLPEDMDELLSNWDEKARGAAKPHLLYTVPSGQNPTGAT QSAQRRQEVYKVCQRHDIFIVEDEPYYFLQMEPYKAGVPPPSSREEFLKVLLPSFLKI DVDGRVLRLESFSKVLSPGTRTGWIVGSEQIVERFARHFESSNQNPSGFSQLALFKLL DEEWGHAGYLDWLMHMRLYYTHRRDALLEACEKYLPREVTSWVPPSAGMFQWIEVPWK KHPGYAQGKSHAEIEEAIFLASVDRGALLSRGSWFRSDKHMAEDKMFFRATFAAAPTD KMQEAIKRFGDALRAEFGLKDAE TSTA_057170 MSPSAVDIKVQGDTAVTIPNPVDNNALAAWRKTGAVPTGTAAFS TSDMFKSPACFTRPKAKRWDHHLSLEAKSRKPSSLKGAAKFLSRPGMISLGGGLPSSE TFPFEEMSIKVPRAPKFSEAETHVSGTTITAGKHDIKEGKSLFDLEVALNYGQSVGFA QMVRFVTEHTEIIHEPPYSDWYCCLTVGNTMAWDATLRIFCERGDYILTEEYAFASAL ETAAPLGIRIAAVKMDEQGLLPEDMDELLSNWDEKARGAAKPHLLYTVPSGQNPTGAT QSAQRRQEVYKVCQRHDIFIVEDEPYYFLQMEPYKAGVPPPSSREEFLKVLLPSFLKI DVDGRVLRLESFSKVLSPGTRTGWIVGSEQIVERFARHFESSNQNPSGFSQLALFKLL DEEWGHAGYLDWLMHMRLYYTHRRDALLEACEKYLPREVTSWVPPSAGMFVGVPHSFI TSTA_057180 MSATHRVNELMLTKNRGYTASFAFFEALWEAGVQYVFVNLGSDH PSIIEALVKGQRERRDQWPKAITCPNEMVALSMADGYARLTGKPQCVIVHVDVGTQGL AAAVHNASCGRAPVLIFAGLSPFTLEGEMRGSRTEYIHWIQDVPDQKQILSQYCRYTG EFKTGKNVKQVVNRALQWATSDPQGPVYLVGAREVMEEEIEPYSLKQEQWVPVTGSAL PSSAVELIANELVNAKEPLAIVGYNGRATSSVKELVTLANTVKGLRVLDCAGSDMSFP ADHPGWLGLRYGNHEAIKTADFILVVDSDTPWIPTLCKPRDTAKVIHLDVDPLKQQIP MFYLPSIATFKVDSTTAFKQINDYIASNATLTQTLNSQEVNDRQKRVEESYRRRLHEI ADSAVAPAGGSEAYLNASYLMAELKKAAPEGTIWAIEAVTLTAHVADQLQCTLPKSWI NCGGGGLGWSGGGALGIKLASDDQHGGKNKGKFVCQIVGDGTYLFSIPGSVYWIARRY NIPILTIVLNNKGWNAPRRSLLLVHPEGEGSKVSNEELNISFSPTPDYAGIAKAAAGG ELWAGRASTVGELAERLPQAIQSVLSGTSAVLEAQFDGTAGKYVSS TSTA_057190 MHQYSAGKAKKVEDAILWFERCKIVLTDIVLLGEDKTDVQTPEI SASKGKGLVYDDVEDSPTVQRKERISRLTDAISRLIDGDLNNPFRNEEDPFLSNNSTP QKEPTSKLLDKFGSQSPLTPSPLHIRKRFSMSPASHVGMLPSPALRFNRSVSVETECR DQVVSSMASTPDQHGGLRTLASVMKDMSDWESETGNSTISRGQDIRYLDDYRASPTRS RYSPSVDGRDLLSNQATRDDRDAREASIEAIKERLRGEIEGRVAQRMQKHEEHILVNT SSIVASSEMHNTTSSPPTSPSPNNTKVKAKEIPRSLSDLIRDYLGPEGNEKVKAFSPR PIPHSSSAKPLPLCPKSKTSVKIVSGSDSDKASLARLMHSWEGASFNGVYMVGSDYHS LHLVERTRPQLREKYGPSNKDLEGHIARFENHLSSFLGSLQYNIINLRQLANEIKTNR AQYLQIQKMPPSKSYWSFSSLSQDAMDETAVGDGDDNEGRKNSTVNYLKPNPGWAAPG TELNETKEARIKRLKEDGFQAVGLRNEKRGYKGDEYYSNLCDEALRGFQSL TSTA_057200 MDGLLNAVQTKRIETLSESAAGNVGKEEAPSTKKITNDTNTPEA LLQLLQKQPNEQELYQILKYLDPLRDRSGDRAFDIRLPNPLQGQTLHTLLNKTIPDHW GKVEKSNFKIRGALLRCFSSVAGLRALVGYIQTSNNAALSAKERELGRILALRDVLSF TSTLLKPNDFVSRVYHDNLAIYDTDTKRRVAWTEFCSLLSGGKILSTAAEALAIIKDS KFSNNKSWIGEGHSFAEWLGRNMSYMIIHDDDDPGESAALIVGRATGLGYTDRFVHEL YTGVIVDGTMSPSWGLCFQHLRHHERSAILQSILKDVQKRYFATDEKNIQTTSSIVGG VSALLHEILNGVTSPQETLRYWLSNASSNVVTTFALRRALVLLVARDAESLRELLEQA FREFGDSFSIKHTILPTQEANAQILLLAAGYLHRLQPSELYVIGRSSLYLNAVSNRLA ASATRARFLGMIVGMAVSELVEPAGKAMKFDLEGFDDEEAEWYLGLTRVEDKMSSIHD LQKLEPENQRPSKPVETLRPKVVPVKHPPSSAIQRERSKIVAIEEISDEDDEDDEEEE DEFMPYAKPDSDASDSEEDTTLVQRGKPSAPVYIRDLVSYLKDSDNVDRYHLGITNAA SLIRRKAGFGTELLENIDGLALVVISLQDNYSLPNFHEYRLQAMIALLAAQPIRIGRY FTATFFDGDLSQTQRSAILTAMGLGAREIAGHGEEDAKVLGLPSTSSQAEFPSKKLPS NLQQFYDAQRESSPVSALTQQLSRTSLQPLALDAADAASGPNVLKVRTFSSRMEVERQ RQLREAQRKKKTVSKDLHKDLTEGFFYPLVGRFAVMLQSRSVSSSSYNPFYSANILRL FLQTVTLIISTLGPHTPALPTISQETLALIFTLHGTAVSSEPIVLPALLSLFLAVIDL NIAAGSTAEERLVTDHGVQVIELRDWCNDVFERTPATSSNADKIDDTEQTRMLAAGIL VKLGEVIQRYQGRLMGINVGFKY TSTA_057210 MPSLETNTDSSNTRWRHLYKALSKPGPFSDEDWVPGSETIDALE TSKILVIGAGGLGCEILKNLALSGFKDIHVIDMDTIDISNLNRQFLFRQADVGKPKAE VAAAFVQKRVKGVKITPYAGKIQDKDEDYYMQFKIIVCGLDSIEARRWINSTLVGMVD PENPESLKPLIDGGTEGFKGQARVILPTLTSCIECQLDMHAPRAAVPLCTIATIPRQP QHCIEWAHQIAWQEKRKDEPFDSDDLSHISWIYQHALERAKQFSIPGVTFQLTQGVVK NIIPAIASTNAVVAASTTSEALKIATSCNPYLDNYMMYAGEEGVYTYTFTAEQKPDCP VCGNLARTIHADPEITLEEFIESLGERAEAQLKKPSLRSGEKTLYQRFPPQLEEQTRP NLRLKLKDLVSDGQEIAVSDPAFTIDFRYKLVFS TSTA_057220 MAPIATSAEEPSRRRIININAETITNIPSTDFPGHWPGESHEWS VENFQNNFRVDFHKNEPLDASFSLVGLDASIANAFRRILIAEVPTLAVEYVYIINNTS VIQDEVLASRLGLIPLKGSIEGLNWMQWFKKPTEEDPKGSEPSDFNTVVLRLNVECSV NEKAPPGAEDPRIRYHNAHIYARDITFHPQGRQTNYFTGDGEIQPVNPDILIAKLRPG QVIELEMHCVKGIGADHAKFSPVATATYRLLPEIRILRPIIGEDAKKFAKCFPKGVIG FEKITPAEAAQKGSGYEGHTGEDKAVVVDPFKDTVSRECLRHDEFKDKVKLGRVRDHF IFNIESTGQFPSDTLFLESVTVLKLKCLRLKRELTRLMQ TSTA_057230 MASSLSRVVGRVPMTLGRHQSLFKASASCSRHMSSTPSRRAAEP QPYQATRLIPTDPSFAHLANKAGPQPPDEASALESTSDTGNRKIRHYTVNFGPQHPAA HGVLRLILELNGEEIVRADPHVGLLHRGTEKLIEYKTYMQALPYFDRLDYVSMMTNEQ CYSLAVEKLLNIEIPERAKWIRTMFGEITRILNHLMSVLSHAMDVGALTPFLWGFEER EKLMEFYERVSGARLHAAYVRPGGVSQDLPLGLLDDIYQWATQFGDRIDETEELLTDN RIWKARTQGVGVVSAADALNMSFTGVMLRGSGVPWDVRKSQPYDAYDQVEFDVPVGMN GDCYDRYLCRMEEFRQSLRIIHQCLNKMPAGPVKVEDYKISPPPRAAMKENMEALIHH FLLFTKGYTVPPGETYSAIEAPKGEMGVFIVSDGSERPYRCKIRSPGFAHLGGFDQVS RGHLLADAVAIIGTMDLVFGEVDR TSTA_057240 MHNFQQMKEHLPPGIEIVQAENFDQWLMDIRVLDSNPLYQDQIF RLKLIFSSKYPIEPPEVVFVNVSAPETPRLIPIHPHIYSNGFICLDLLSSAGWSPVQT VESVCMSLQSMLTANTKNERPPDDTDFVKGNKRRPRDVNFYYHDDNV TSTA_057250 MLLPLREDITLVEGFVRTVLKTLRDHGDPEETEHLTAEANCDNF FAAFKWVQYLHNRASLLSEEARGQQWKQFLANVEGVLRQVARWAFEKYPEGVLSTLMT RYQSGDSNFEMDIDSPDDQTDWTWALQAALELEVATEMMQVDFTATALSEMSF TSTA_057260 MVGSMDKVEEPVVPISKHDVGWRRVIRNFTPSWFSVNMGTGIAS ILLNTLPYNGKWLYYLSIIIFALNVLLFGIFCIMTAMRYILYPRIFTAMIRHPIQSMF LGTFPMGFATIINMFVLVCVPAWGDWTKNFAWGIWIFDAIVSVVVALSLPALLYVFLR LLRGILSTNLHRMMHMKELDLSAMTAVWLLPIVSPIVAAASGAVVADVLVDYNPQHAL WTVIVSYALWGIGVPMAFMVTTIYLQRLTLHKLPPKAVIVSVFLPLGPLGQGGYGIQK LGMLMPTLLEKTHNHTLPAAAGDILYAVGWLIALILWGFGLNWLFFALTSIFYTRKFP FNIGWWGFTFPLGVFTLSTCQIGRELGSKFFLVLGTVFSVAVVLLWILVSTRTVIGAV EGKVFHAPCLADLEVPKRAKRGDHAV TSTA_057260 MVGSMDKVEEPVVPISKHDVGWRRVIRNFTPSWFSVNMGTGIAS ILLNTLPYNGKWLYYLSIIIFALNVLLFGIFCIMTAMRYILYPRIFTAMIRHPIQSMF LGTFPMGFATIINMFVLVCVPAWGDWTKNFAWGIWIFDAIVSVVVALSLPALLMMHMK ELDLSAMTAVWLLPIVSPIVAAASGAVVADVLVDYNPQHALWTVIVSYALWGIGVPMA FMVTTIYLQRLTLHKLPPKAVIVSVFLPLGPLGQGGYGIQKLGMLMPTLLEKTHNHTL PAAAGDILYAVGWLIALILWGFGLNWLFFALTSIFYTRKFPFNIGWWGFTFPLGVFTL STCQIGRELGSKFFLVLGTVFSVAVVLLWILVSTRTVIGAVEGKVFHAPCLADLEVPK RAKRGDHAV TSTA_057260 MVGSMDKVEEPVVPISKHDVGWRRVIRNFTPSWFSVNMGTGIAS ILLNTLPYNGKWLYYLSIIIFALNVLLFGIFCIMTAMRYILYPRIFTAMIRHPIQSMF LGTFPMGFATIINMFVLVCVPAWGDWTKNFAWGIWIFDAIVSVVVALSLPALLMMHMK ELDLSAMTAVWLLPIVSPIVAAASGAVVADVLVDYNPQHALWTVIVSYALWGIGVPMA FMVTTIYLQRLTLHKLPPKAVIVSVFLPLGPLGQGGYGIQKLGMLMPTLLEKTHNHTL PAAAGDILYAVGWLIALILWGFGLNWLFFALTSIFYTRKFPFNIGWWGFTFPLGVFTL STCQIGRELGSKFFLVLGTVSNTSLCNCIEDRTDEIS TSTA_057260 MVGSMDKVEEPVVPISKHDVGWRRVIRNFTPSWFSVNMGTGIAS ILLNTLPYNGKWLYYLSIIIFALNVLLFGIFCIMTAMRYILYPRIFTAMIRHPIQSMF LGTFPMGFATIINMFVLVCVPAWGDWTKNFAWGIWIFDAIVSVVVALSLPALLMMHMK ELDLSAMTAVWLLPIVSPIVAAASGAVVADVLVDYNPQHALWTVIVSYALWGIGVPMA FMVTTIYLQRLTLHKLPPKAVIVSVFLPLGPLGQGGYGYVFSSFFVPFRFAKLTIGTE FKNWACSCRPS TSTA_057260 MGTGIASILLNTLPYNGKWLYYLSIIIFALNVLLFGIFCIMTAM RYILYPRIFTAMIRHPIQSMFLGTFPMGFATIINMFVLVCVPAWGDWTKNFAWGIWIF DAIVSVVVALSLPALLMMHMKELDLSAMTAVWLLPIVSPIVAAASGAVVADVLVDYNP QHALWTVIVSYALWGIGVPMAFMVTTIYLQRLTLHKLPPKAVIVSVFLPLGPLGQGGY GIQKLGMLMPTLLEKTHNHTLPAAAGDILYAVGWLIALILWGFGLNWLFFALTSIFYT RKFPFNIGWWGFTFPLGVFTLSTCQIGRELGSKFFLVLGTVFSVAVVLLWILVSTRTV IGAVEGKVFHAPCLADLEVPKRAKRGDHAV TSTA_057270 MAGNNGNMSQFLAPPTVTALREEARVPSQHRVAMDRSFSEDMRA EREDLREAAEETLNVILDLGLDGHIKWVSPSWRQVIGTDPESVEAKVISDVLWSNKSC FQDAIKSMKEDDSRSRFIRFSIAMGPDSMLKSSIKESSSTIKDDDGNQQGEPKDSSAE DGENYLSNDVREENGEDILDMEAQGIMIYDRSGDGEGHTMWMLRPSTSPKEVTIDLPP LLVESLGVGAEVLANHLTVLAERAADANADKSVTPTPVLCRICERQITPWWFEKHSEL CLQEHTAELEVQIAQENLNEHRHAIVKVLDALEARQGRSIPTDIQQPTPQPEYKGLSI GPSPSSSLASSAPGSSPATPPRSRDPSTSGLGHGRGRSFAVRRPLARIVELILDLCDT ALEISTPALKETRTDSIEDLRTLSPQSESRISQVLQWQSPSSNTLEQEQGLAALSADT EQLAKAKVDAVVRHRRIVEYAERIRVEYTVMVEECIEAALSKAERIAAGDLTDSSSST GSYESDGEQAQNADQANEDEQQPSQSAESSVPLSREHSETATREHSDPCSYSQSPRRG SSVAVSTRSASPLECPTPRSHKSISGVLGTSQPIKRGENSDSSSVPSSSLIAAARATE SPSSEKGLSHSSSGRSRKRKSLILPNLSSSPRRQASPGRIQGPGSPLRLSKPRLPSGE SMPSPSISPLLTTNELTAQGLPPHHHLHTHHHHHRRQSSTASSDPRAPISPHLSSVSQ PQPRPAPPSIKDFEIIKPISKGAFGSVYLSKKKTTGEYFAIKVLKKADMVAKNQVTNV KAERAIMMWQGESDFVAKLYWTFSSKDYLYLVMEYLNGGDCASLVKILGGLPEDWAKK YIAEVVLGVEHLHNRGIVHRDLKPDNLLIDQRGHLKLTDFGLSRMGLVGRQKRVLKSP NESAPDLLKQGPFPRAMSLASSRSASFDFQGGQSPSSTPIMTPDAAGSYSQPSYFSLN QLPPPRESLGRASGYRSDSGGSDILNAMFRTFSLSEGAETPAPLPPPSQAVTEDEAPS EGGESPHLVPLSQTVSATSSSAMHNTPSAQSMLPPPMALFDPEDHNRRFVGTPDYLAP ETINGVGQDEMSDWWSLGCILFEFLYGYPPFNAPTPDEVFENILNRRINWPDEAHELV SPEAIDLMNKLMTLDPQQRLGANIEERFPNGGAEIRSHPWFADINWDTLLEDKAEFVP NPENPEDTEYFDARGATLQSFEELEDAQSPQYPMTAGEYPDRPHDALSKVRSQVNSIK RGLMPLHIPPHVRDTRSRRLSEPVLADDFGNFNFKNLPMLERANKDVIQRLRQEAMQA QQRQIPSTTASPSVPSSTPSLEGSPLLPMPLQRTLSQTKGNRPASPSGLSQTGSSPNR PSQPSSPLLVQFSTGQNHERRKTSGSSSAQSQQSVGSLPDKFGEVPRLTASAKLASSA PTSNKPGRLTTHSPEKTASGPPQSGLTRARSQTIGSQDSEGHSVSSKEPFIPTHHKRR SQLFDISPSSSDNEDPRTKALLKVQRRRQSSRRLSQINLLDGPVFRPLDILICEDHPV SRLVMERLFEKLRCRTITAVNGSEAIRYALSEVQFDIIMTEVKLPQINGADVARMIRE TRSANRHTPIIAVTGYLKDLPETHHFNALIEKPPTLNKLTEALCRFCQWKPPPKDYVP THSLMIPPLPRGVNQAEDSPSSISSGFHPVPSSSYRGSSRADSVGSSYFGDMESTKAE EIPVVVSRHIDNWGEAPEGLGISEGAAAPAADPKLNTNIPGPLNLPPLQHAFSAPPTS NPPSLTVPRKQRSSEAIRAKRESLEKRRYEGAESGDDEDEELGHLQARHRAPHTSKGP RSSSKLGTEMMRTNSRGSVVSGSEEILAKERPAESEAGDVQGLGEQLEAFDMSQDEVS SPERKDSKPESPRSSRSLDHMRRPALEPLHEYPTEIHSPGSSEPSAQHLTAEISIPSK DGATDGGDISPGQTGTSSVEPTDSEDIDPDATPRPAPTPAMSEPDEATPRGPAKGRHE ENQERPGSQAGHIHLRDVLHWRRR TSTA_057280 MATKPPTLQLGTVLVVGGCGFLGWNIVNQLLSFPSETDASVALP HIENDPRFEYPGLKGRFPDYANTKVHIVDLRTSNNRLPGAEYHEGDLTSVSSMLDVFR KVKPDVVIHTAAPAPLGSTDEMLKKVNVDGTRTLIEVASGVHGDWGKKCRAFVYTSSA SVIHDTKSDLINVNEDWPYVRGKAQLEYYSETKGLAEELVLKANKSNPTNMLTCAIRP AGITGEKDTLLSFKMLELGYLGSNTSLRLQLGDNNNLFDFTYVGNVAYAHLLAAYKLL ATAGRYEAGQEAPLDYERVDGETFIITNDAPMYFWDFPRAMWNLLDRPVEPHQVWALP EGALTVIGGIFEGIYGLLGKTPRLTRKIVRYSCMTRFYSCRKAKDRLGYEAVIGMEEA IARTVSFWVANNYPEGKKVQ TSTA_057290 MSHQSILRITREVSEIEKGNDLSLAIAYKESDIRNIKALIVGPP GTPYEFGFFEFSVNFPEDYPGNPPKVTALTTNSGRCRFNPNIYAGGKVCLTWPGKEGE GWSSAQCLESVLISIQSLMSSNPYENEPGYEDANSNEDRQAMTDYVAKIKHETLRIAV IQPLEESLNISKTMPGVDDADKMKDDSDDANNAEKEKSKRRQSFQPFDDLRKRRFLWY YESYMHTIDVESEKVKLNDPFREMRFEYRLNTMRGCFNYPDLKERLIRIRDEIMKETQ RWAIEGLKTKTDDLCIASNLSTQLQQIMGDFEEQKNSSLHLSLVDDNPFVWGITYFGC PSTHLDGGVFNIKIHISPRFPQEQPRIFFEKPIFHHHVSKGGMLCYHTNRPGELKCHI EAVVQTIEEESPPFDPRTTVNLEASRLFWGTPEDRKKYIRALRRSLEDSTS TSTA_057300 MPDRTLPDILMPGNGGSGSPRPWGNGQGSNSQNNSPISPIEGHS PFERAPPGGTPLSIAAPAPTYQPDNRVGRNPPESEERHESDEQRDISTPRERSRPSRR TCKKCGEPLTGQFVRALNGTFHLECFKCHDCGKVVASKFFPVDAEDGSGQYPLCETDY FRRLNLLCHECGGALRGSYITALDRKYHIEHFTCSVCPTVFGAQDSYYEHEGNVYCHY HYSTRFAQKCNGCHTAILKQFVEIFRNGQNQHWHPECYMIHKFWNVRLAPAGQLLDNE TPDSEATDLDREMIRKREEQMEEKVYKIWSILSTFEESSAACISEMLLHVSNGAYMDG VLVAKSFISHVEILFAAIDMLAVLLKEQGLKDLSYAREAKLLCKKIVAFFSLLSKTQE TGVRKLGVTQELLSLVTGLAHYLKLLIRIGLQGALKLERERDTVQGLFGFLNHLEGSL QSLKVSEEAPTDLMSGVSTLADQYSDCCTACKEPIDDACIILDDKRWHIKPPHLVCSN CQKDLTGDLLEAMWSDKDHRSFCSNCALHLGRAPDARGGFKNVTKLVQYVFLLQVALA RLLSVLRSSGTLPHSTDDPNLNEYDANEGHRVEGGAGLTAEGGPRAANTRSKSFTVAS SKSEESSSLEQTVGEMRRLRSIRNERTLSTTYKKARASRIIDGPEGRSVRPGSSGGDG TDPRNPGFQIIEEKDSNGEPVNELHFGHQDALTLDDIPRIVAAEQAKEQRPNASRYAG SNLVNSEDYQARYIPGHRREVSGGPDQVPNDSSGMKPKKYFSELTALEYFIVRHVAVL SMEPLLEGHFNLEELLSLIESRKPTVWNLFGRAFNKEGKKVGKKKGVFGVSLNILVEK EGAESTHGVGPGALRIPVLIDDAVSAMRQMDMSVEGVFRKNGNIRRLKELAELIDNKY EQVDLSRESPVQVAALVKKFLREMPDPLLTFKLHRLFVISQKIADPEKRKRILHLTCC LLPKAHRDTMEVLFAFLNWAASFSHVDEESGSKMDIHNLATVMTPNILYPNTKTASMD ESFLAIEAVTTLIAYNDVMCEVPEDLQSILNDTSFFKDSADITTKEILKRYGDFGKGV VAQRVTPNIESGSGSTRGTNTPVTARIETDPSQAAAWQMQSSVRHVQASGGPAYTAMP TNHQGGENGAPEQYRARSPSTDSQRQAMGQPSEADAEPLPYRPHPGTGPMGVAG TSTA_057310 MVKANDTIAIIIALFLTITIVGSTLACRTVTDTDTEKGTTSDDH GGGPGDAEASIGQQSQPVQVIRVPQQPAQGSSVRAPGNVVGDRGVVVPGRVGQDGGVR VVRHPQTAQVTV TSTA_057320 MSAIETRKRKSPDPDRDALHEDPVTTPSNGPAHKKLKITQRQKQ TLIDNLQLEITERARRLRAQYALQAQDLRSRIERRVNRIPMALRKTLMGTLLDKYATA PQHQSPKKSAIPSKAPRSANAAKIPKDVEYLTLAAAKSPSPRRPKKTSAGGSYLDKEN APVPDAQITLENPKKRGNPAASSQPRSVSQVLRDAETKVLSPKSSNSRTYKQSPLITS PTKGSYLSRPTSPLKPSSPLKAMIEDARARATRPESKNGSPTPSVRRTKMTTQPKRTA VKAANPVAPKSPAATRQHNRNMSSSTTSSNLSSSTMIVKPNRSTQRATATASSAAARR TAVARSQGPTAAATAKRAAVAAKKDTTATGRVLRKRAQV TSTA_057330 MVLEATMVIVDNSEPSRNGDYTATRWQAQIDAVGVIVNAKIRAN PQSAVGLMSMGGNGPEVLSTFTDDFGKILSGLHRTKIHGKAHLASSIQVAGLALKHRS EKAQRQRIIVFSCAPVTEDEKTLIKLAKRMKKNNVSIDVVAFGDLDSDTTKKLEAFVE NVKGGDGSHLAIIPPGPNLLSEELQVTPIMGGEPGAGVGGGDEGGDGFGFEDAAENDP ELAFALRLSLEEEKNRQEKERREREEQEGKSSLENIPEESKDGGESSKDNDKMDTA TSTA_057340 MTWDASFSPLFPENDIGSRMMPHLASPPLDTIHLPMEVEQQHIG HSFNLDRKLRLEKNILPLEKFARGSPYLDTKDFHDLCHDPFSRVIGRDTAGVESFYDH ACTGAFNYPSPSSYGVAALDVSSISGTERSPSPRAVAFGYSPPCSVDAGTSFPRFWSA SGDAVGYGSVKPRDVHHDAMYAEPVITVQPKVSQIYDPCGSLCPSQGEILNDDVDADG ELDALCVDCEESKMSPTIYKSSKKMSPKTKKSLRDRDGSRRSAAVTASPLMPKSPIVK RVASRKSSQSSKSNKARGSVKEMDLSATDPAAANRMYICSFKRYGCDSTFSAKNEWKR HIWSQHLKIDFYRCDLKGCDDTHKGPNDFNRKDLFIQHLRRMHTPWPGKAPPSSAEKN DFEGTLDGHAVRCVHKLRDPPARTNCAVCGKVFMAWQDRMEHMSKHYEKDSQFEEVRD PDLEAWAQREGILTPRGSSSDALILAENKRR TSTA_057350 MQMHLTISEESKCFVNDGSPIDRYGPSLRVVTDSNVHPRLARRS SCYSRDTDGCSIYDYEGLGMRENDREKYFNNTITGDIQRPAEAHLSVNSIQYATLNDD LSKLIIQGLSDPYKSEISQVSGQYEMMEVNSPPGQIFSNSASNLKPIYPLRILTPNPR PQGPMLSPYKPNWETTGWPKTNPLIGRPSEPPIRPERWSAASPSLRTPNILVSPFKSP PSIVTENIAAKSALQLHKPSTKSNILYSNSSYTYPTKKEGTLVVIAVYLMMFCTAMDM VILSTSVPTITSHFSTIEDVGWYSTSYFLTACSAQLLFSKLYQFYASKRIYLVALVLF ELGSLVSGAAPVSAMLIFGRALAGFGASGVWSGNIMIYGQCVPLGRKTVRIGILFGLP SVALVVGPLVGGVLTQYSSWRWFFFINIPFGVISCILMLASNWGSPSNETTRSTFQNK LPLLDVEGCLLFLPSIISLLLGLQWGGVKYPWGSACVIVAFTVFLVTISGFILIQRYK ADIASIPPWIILNRNVYSGMLVSFCLGGSIALMVYYLPLWFQVTKDASPSHSGVMLLP LVLTTAITSMAIDSLVKLVRYYTPFLVFACVMMSIGGGLLTTLHESIPTSAWIGYQIL FGLGAGSGIQQTLLHIRSSFQKPRDIAIATSLIIFAQTLGAAFAIGISQCVFENKLSN GIQAESLGAVGVQRLLHTGATELRKVLNGEDLDTVLRLYTNALDQVFYVSLGFAAVSI LGTLMMECCSRGKRAPVVFN TSTA_057360 MRQWIDLCVRTHRLIRANPAFDRMKISGPTLAADPHNTNIWIAG NNTIPNQIEYRLEAGPGTGTSQSAYDLTNAYPSVVAMLRSYGIPERPQIINDYASFQE QIPFGAAFWISQLKRYNTHALRGNWQGGNTLHDLMANLLTKSDPFKYTATGYMPGPKY TVYQNYYKNMTGVRVDNTGGDKPPV TSTA_057370 MMRALLGLNFAFLLSDVALAENFSQPIIWQDLADTDLIRVNDTY YYSGSNMHFSPGAEILRSYDLVNWDLQGGNVYNKGIYASSLRFHEATGTFYWIGCIQG TGKTYIYTAPNIEGPWTQTSIIQNYCYYDDGLLIDDDGTMYVSYGKWVANGTQAKIWV AELTSDLQNKQSQVVFNTTEEIGYVEGSRFYKINGTYYIWLTNPGVGNGQIMLKSSGG PFGPYDSWHRVLENNGQPVHGGSSPYQGAIVDTPEGKWWYIAFVNLWPGGRLPVLAPI TWDWTGMPNVQFVNGDDWGSTYPYPLPQVPVTPISKLDRFSGPTLGPQYEWNHNPDDI KWSVKDGLHLETATITDDFFSAQNTLSHRILGPHSNATINVDYSSMIDGDRAGLVVFR YDAGWLGITKNGTVTTLQMVDYAIMNSTGGWHTTNTGSVVDSVEVHGGSIWLRTSCDI SGSSGSAKFSYSTDGKSYIQLGHTHVMDNSQLFFVGARYGIFNFATKQLGGSVVVKSF EISA TSTA_057380 MAQTPAPGGAGVAATGQDLTRRVESEVHEKIQRHGGESTESTDK GFFDEMKEQERDEITYLARQISQISRRSSLSSGEPMNSFLDTTTDPELDPNSESFNQK KWVKNLLHITSRDPETYPRRTAGVTFRNLNAYGFGTAADYQADVFNTWLKAFGYIRGR LGLRKKRRIDILRDFEGLVKSGEMLVVLGRPGSGCSTLLRTLCGDTHGLWLDQGTDIQ YEGVSYEEMHSRFRGEVIYQGEQEIHFPQMTAGDTLLFAARARAPANRLPGVSREQYA MHMRDVVMAILGLSHTKNTRVGNEYIRGVSGGERKRVSIAETTLCGSPLQCWDNSTRG LDSATALEFVRTLRTSTKYSGSTAIVAIYQAGQAIYDIFDKTIVLYEGRQIYFGRADD AKRFFIDMGFHCPPRQTTADFLTSLTSPAEREVRPGFEGRVPNTPDEFAARWRESPER QAFIAEMDAFQAAHPLGGEKYKEFTESRKKEKAKGTRAASPYTLTYPMQVKLCFWRGA KRLQGDMSNTLAAVIGNIIMSLIVSSMFYNLPQDTNSFYQRSSLMFFAILMNAFASVL EIQTLWDQRPIVEKHKKYALYHPSAEGLSSMLVDLPAKIVSAVVFNLILYFMTGLRRT HGAFFTFFLFSFTTTLTMSNLFRLIGSLSRTQAQAMVPAGIFMLALMIYTGFTIPIRS MHPWFRWINYINPIAYGFESLMVNEFGNRNWTCSNFVPSGPSYNNLPDQSYICQANGA VPGQTVVQGNDYLATTYQYSQGHLWRNFGILAGFFFFLLVLLIIASELVTAKPSKGEI LVFPRGKIPSFAKNRGKKDDAEAPVENTKTSVEKNGHDEVAAIARQTSIFHWQDVCYD IKIKGEPRRILDNVDGWVKPGTLTALMGVTGAGKTSLLDVLANRVTIGVVTGQMLVDG CPRDSSFQRKTGYVQQQDLHLETSTVREALRFSALLRQPASVPKEEKYAYVEEVIKML GMDEYAEAVVGVLGEGLNVEQRKRLTIGVEIAAKPDLLLFFDEPTSGLDSQTAWSICQ LMRKLANHGQAILCTIHQPSAILMQEFDRLLFLAKGGRTIYFGDLGDSMSELISYFER NGSSKCPESANPAEWMLEVIGAAPGSKADKDWAEVWKGSSERAVVREKLAIMKADLQA KERPENGAGWSEFAMPLWYQFLVIVHRNFQQFWRTPGYIYAKMTMSVVPTLFIGFSFW RAGTSIQGLENQTFAIFMFLTMFPNLSQQMMPYFALQRSLYEVRERPSKAYSWIAFIL ASIVVEIPWNLFMAIPAFFAWYYPIGLYKNAEPTDSVVSRGGTMFLIILLFMLFTSTF TMMVVAGIDDAATAGQISQLMFSLCLVFCGVLVTPGALPGFWIFMYRVSPFTYIVSAV LSVGLAQTSVECSSIELLTLSPPNAGETCQSFLSNYILDVGGRIINPNATENCQFCSL ATTDEFLAAESIYFSERWRDIGILFAYCGFNVLAAIFLYWLARVPRGNRVKEVPGENG KDLVVVRTRSRREVKEVK TSTA_057390 MPALMSEEKSAATTSTTSRQRPGSACEECRRRKLRCDRQPQCGN CIDAGVVCTTTTVRPARGPKKGHLKALKGRIATLERCIMEQQGGIALPLSDESLSPDN TQPCSPDGENIDKSLERRTSLASISSSIQVPYVIPELVKADLDQLYFERVHPLIPVIQ RARYFTWAKGASKSDSRIALQYAMWTLAASLSTHCQSIRDSLYAHTKRMLEIIESKDN EMDFFDIEQAQAWLLLAIYQFMRSTYRKGWLSAGRLFRLIQMMRLYEIDSPGELANQL MEPDWVEVEEKRRTFWMAYTLDRFANIRKGWPITLTEHVLTRLPMPETEFQSGQPVNM GFLSDALSGSDLMTTPQSSFSECIMLATICGRSLSHRHLSMVDGVYGSNPQEFWSRHE WIDSALVARISMLSLSYPFQAEHMDPMLLFTKMIAQTTILYLHKIIESTTWDSPEYHS FILEYEQRSRMAAKETVELAKELTQLSYFKVHPFTPIPLCICAEFLNSRDRDVDPTVN AQLQEILNALQYLKNVNTLANENPTLHFWIDLKHG TSTA_057400 MDTKKNIVILKTTDDWRKWIEQLSTEAMKENVWEYINPDPNRMV LEPAPAKPMEPVAPEIDFNKTSEAQLLLQKYQIESNTYERQLSRFEKHQKRMKHIHSY ILDTVYIGHKPMIREISEVSEIIRELKKKLAPKPNREKIKRINSEMRDLIIDMRFAKF TEIPDDRLARDFIKTTEDILTKFYETWTTRMIEFDLDSGATSLIEAPTVDEIISQFER WEEVYAKSNETSRRDIAMATFGNKSDQPEKDQKDLTTPKQKPTCVCGQEHLFEDCPYV NTKKRTANWKPDGAIQRKFEQLERRHGHPRARMLQRIKKKLEKEGKSETKASSSTDAM NDSANQSQFIPHPDCTLLSEPNPVRTSTKRFQYWGDIT TSTA_057410 MRFMTLSLLSLALPSALALPTLPIAFNKRAAARTFAELTISDGT AGNALAEATAKFPVPADLASVSSADLKTLQAERVTAEDAETKAFNPAIAAATDNATKT ALQNGKIKNKVLKLFAEVQALQIQQAQGSNNQDKIDAETKKLNTNVALDKKAAGQTSQ TVTFTGN TSTA_057420 MSLNNSAVSSEIVFPVTELSSTTESCVFRYDQGPVKVKVPRKRG RPVGSKKISNSKLQQGFHMTDNDFYFVHMAGDNVNTISKEARTTIRKRVMANYMHRKR KWDETSHDPLRLVRGLERADPFDAFPIKLEAYMLDLLKYYMTTIWKSFYTIESLTSIN PMTDYWIPLAFCDDAFLHLLIGCADSHNTRAMHFEDRPIALWHMNKALSIMKIRIATM RSVTDATIASIATLAFVEVCYLFFAS TSTA_057430 MSSYTRETDGDQIASDLSSTIKGKSILITGVSPGGLGAEFAITI SKHFPRLLILAGRDVKKAEQTAAKIAEVAPTVEVRTLKLDLASQSQVREAAQEVNAYK EPGYIDVLVNNAGIMATMPYTQTVERIESQFGTNHIGHFLFTNLIMEKLIGADGSART ARVVNVSSDGYRLGHVRFDDWNFDNGTTYNPWRAYGQSKTANMLFSRSLAAKLADRGL ISVSLHPGVILDTNLSGHLDASGFQGLLEVDRQQGNRKYWGPFKGKTPLQGVSTHVFA SFHDSIMLTNNNGVYLEDNRVFRPEEVLTWGRDDIEAQKLWKLSEELVGEKFLY TSTA_057440 MAISPSFFRILVVGFVALGSTTYGYSSSSIFTTLGKPSFLSYFV LDMRLGAAQLEGAINELFQAGGLFRSLSCIGSADRLGRKMSILIACSVTVVGGALQAG SVHIAMYLVFRFITGLGVVPLYQSEVSPPKIHGFLVGIHGVILCLGYALAS TSTA_057450 MTLSRSPSPLPGGGWSSPGLTPGSGATSPRYPTTGHGSLSPGAI SWASAKAKSDEVRSYPSFSTRNSGFFSRQKRKISASLPRFSLSRDFREQEKLGRGRST GWRARDNTQKGRVVSFVGNLMHRRRFRLLLLLLVGFILYLNFWSRLVEAYRASAFGNG KKIVIITASNVEGGVMEWKGAREWAIERVSLWNKRKYAKKWGYELEIVNMVAKKRYAH EWRESWEKVDLIRDAMKKYPNAEWFWWLDLNTFIMEYSYSLENHIFKHLGEYTYRDIN YYNPLNITHPLTDVYFDPISRSATGDDNPNSINLVLPQDCGGFNLGSFFIRRSDWTDR LLDIWWDPVLYEQKHMDWEHKEQDSLEYLYANQPWIRLGTAFVPQRRVNSFPPGACGD GTDLGIHYQEKHRDFLVNMAGCNWGRDCWAEIYGYRELSNWLNRTLWEKFKDSITDFF RRWRGVKTLYEEHHEWVQ TSTA_057460 MAEHLFYPEEPQKPLRIEYNGPLYDKGDWDQYPVRGGWRTETGP EKFSLRAIPQDLRDCIECWLYFGVLCYVFGEKLEQNDFLLRDDKQYLTTRELNKYVEN AKDWKKNKLGERAILIVQTVCEQLSRYKSILRDEMKLAIRLICHALWNISVKRDGPQT KTGHVQIWLLSTQYEADQLLKHGWCPWEVTKARMTGGGVDTPAYLLQLVRKKPAWDKK THDLCKKTECVVNNIKDGEYATRHVTEDCACAHLQADIQQLHTILLEGGVPLLCISPP ESKDDGFKIEIVRKRSSRQYVAISHVWSDGLGNENGNTLPHCQIQLLYERARRLATDK EYVPRHTGGPFGQLHTGASRLAHFASSQTRGKDESVLIWIDTLCIPHQRDVRSLAIQR IREVYLDAYRTMILDSEMRQVESQSTPPLQLLLRVLYCSGWIRRLWTLQEGLAAKSKL YVLFSDKAINISTIADEILSKIDKGKILILQENVAFFAMAVWFSFFKHTIDYASKFER AVDVFTSPFIKGGLTKENLLSWNWYNVAMRATSKAGDRPIILAGVLNLDVKEILDVKG DSDEQKSDERMRKFYSLLDEFPHDIIFQEGPRFEEDGMRWAMKVCQFLDEPRYLGSAI GKITPKGLQISTLWSWLFPSHVAFDLSVIDFDEGQRAWERWLAEYQLEDATTTNLCVF KPKVKVELKPEGSYGIILMETKGSRPGGLSLCALVELQTDTDGVNYARYIGVGTVKGM SDFADLPEDGYLLPFGWDSLQKREWVVG TSTA_057470 MVSFNSLPTEIILNIASCLRPSISSLNALSQTDKRLYSTLERFL YIEDVQHHQSSSVYWAAEHGNLTTLRKAIKLGKAKIPTRGDYASRLDDGSPRKVITTW GNRGQLYGSIAPSHHWDIDRDHPICIATQNGREEVAKCSPDIRDRDDFCLLSLAIANG SNRAMIRTFIDLGVHQYTHGANSFFPLHIAAFKADQETVGLLLSSTKRGYLPYHIQRA FQVALSAGHAQVALQLFDYGGVNLNCYMDRWTTDRKHYSQPPLACAVLQDNFELAEKF CDNGADADMASASGGRVALFHAVERKNLEMVDLLAKRTTDSIACTRALSLAVQCASSI DDPASPEHQIVEILLNHAVDCNFDDEDIRQPSNQPQFLGPLDSMACIMSNHSTENGKF IPPIVHAVHKGNLRLVKLLLSFGADVNTGYRGLLETSSKLCCGRILSLAKDLGHQDIA NFLVESGADPAMGPPLPDDRLKCNSRKCPMWLERLERQWREANPSAEVPAVYAARPNG QT TSTA_057480 MPTPESAAFLAKKPTVPPTYEGVDFEDNVAIHNARDAIIREQWV RSMMARLVGEELGKCYAREGVNHFEKCGKLRERYFELLKERKIKGYLFEEKNYFSKGS TSTA_057480 MPTPESAAFLAKKPTVPPTYEGVDFEDNVAIHNARDAIIREQWV RSMMARLVGEELGKCYAREGVNHFEKCGKLRERYFELLKERKIKGYLFEEKNYFSKGS TSTA_057490 MVYNYNLPTTSHLSFQNYIYSNTHPSLPQAASTARHALRIALKT HKRLSVREQENNLLVVLNALNEYIPYLFAINDGLSGRSIRTGGGVDEEETIDITLKEE LVVEWRPVLISSLSSIVKSAGTGNANHRIKGRGVDFEIAFVVGTLGYVLSNLARVTVL RILYASSTPTAEQRAAAMQNATKYLLQASSAHTLLAKSFTISDEAAGAQVNYVPQLNT TVQTALATLALAEATLLAVLKDDAYTFACIQARNPNDKEWMVRAPEIPKVRALLLARL CVRSAEHAEQAFSGLGSAGASRSSTSSAAAAKIDEDLVKYVQTVIKVSRAKACRFFAI DAELSSKVGEAIAWLRAGKGALGIKRALVPEGQSTAGKSGTFSKLKKEWSDRRDERRL VKSSSNAGTSGIVQGDELSRGDDAGWEEEGRVIETLELKWVKMNNTINTQTIPPSEPL LSNLPSGRDIHAAPPSYKPPSLEESELIRMRAPPEKIDQSLSAMTLEDDSEDEVTPAA ERGLPGGFLSSRSATADSYY TSTA_057500 MSGPHTLHNALLRPPIIQILRAAGYHSSRPSVIDTLADLTIRYL LLLGSSAAEHAANSHPDDPVPTLQDIQLALQDVGALRPQMKDFEEDWRGEEDLRGLES FISWFSGPVNREIRRIAGYIPTEGDVVDADMLEKEDYLTALKKKHSKTGEESRYAGTV LGRDAEEHPIIIEGGSAMSIGEWASQIKTREPFDESELKRTQRLALLTTTSAPQLRRT YRLDGIHTLDMA TSTA_057510 MSAPKIKLSFGAKKSEPPPEETSQPLAPQKKLTLKLGGPKPSEE PAPAAVDATAAPKPKKKRASKPKTEAGSSKKRANDAGSGDDSDAVPATQAPTGPKRIK LVNKDVPKQSVVKSIRIKGQPRVAPRPLGSGYDSEASDLELDPHIEEDFILRMVPGED CEYIRQAINERRLDRSHIGIKALTREGRRMIVRVRDKQYAATLVDLPCIIEGMKSWDK RMFYKSADITQMLLVLGPVQNEQEAMEYPLPKDVNMLDDKTYQYSHGLTPPMKYVRKR RFRKRVSARTIEQAEKEVANLIAQDEAAVRPPRFELVDATSLSRAEGVVNYDDEYDDE QDAYGEADYDMQDEEDAQGDLFEDELAGELEAALAAGADEAPDVAETPAAHATGESAT PGLKPGDESSGDESEESDREADGDANGEEEDMDEEALERRREIQEQREFIAELEGLIA QETAKYEAQTNKILKQKIGKRVHQLKQDLALKRASIGESGGDDAA TSTA_057520 MDTSSQTDIPQPSKETAYTQFSNPVTRNPQEQRGQPSAPSKPDS GTGSSARGHAYGEPPQSIHRRPDSNPSSDEKLAQLTQRHNQEIDSEGSRGHIDLEYGV EQQPREGDIAHAVEDNHSETAPHQRVQPGVHAGAVGTSTPGFEQDTAAQMDRKRAEHD RMLGLGRDGGAKSPAYYGDDNREDEVGGGTGSERRQVREEKLRTDREMDAKGAVKEAT GHAAV TSTA_057530 MTKQLFSVPVAPTNGSFVCTTPSDAHDNIYLLTFTSGADNRLTP EFLDAFTLSLDILEHQYPRGVLLTTSGIQKFFSNGLDLEKALSSPGFFENYLFRVFRR LLTYPMPTIALINGHAFAGGFMLAMYHDYRIQNPKRGFLCLNEIALGIPLAPPMRSVF ISKIQDGETLRSMIIEGKRYTAQEALQAKIVDGLGDLTDAVQLVLDRGLLKIASSPAF VAMKERLWADVVDSIDSLAAHDEADELKAKRTVDISEEGKKRMLQWEKSKL TSTA_057540 MDINDQKPPDRAADNPLFERPGTPERPPYSPVTPVLAHLALIPG GATIVPPAIEDTSTEATAMAVKRRNTRAVMPPPQQLPQQHQQQQPTYPPPNPIKPEPS PVPISESNNPDVIALRSAISLLQFQKQKALNDIKALDELKRAAAADPESFSRELLAGN LTKEDNHQFIDTDITMTDSDDDSDGAQEGDESSAPKSKFGKIPKPQNVVRMPPINWAK YHIVGEPLDKMHEEHRNRPIAGVPRQDPNQRAPEHFIAAPYRPLTDKVDSPAKGRGKR KT TSTA_057550 MSSPENSVEISKTPEGITTITLNRPHRRNAIDHPTAKTLYHSIL DFENDETQKVGILYGAGGSFCAGFDLHEVAKASSSSTSGNDEKGNQYKGPRFNVESER VQGRNAGPIGPSRLQVRKPLISAVAGYAVAGGLELSLIADIRVAEENAIFGVFCRRFG VPLIDGGTVRLQAIIGLGRALDMILTGRGVTAQEGLQMGLVNRVVGQGEALNEAVKIA KQLVAFPQLCMNVDRASCYYAAYEASSFQDALRNEFGNGERVIMEEGVYGAANLQVFH NYLGQVKVEEPTYNMILHGEIDNGAGITKPQKAPVIQPRPY TSTA_057560 MYTLPPLPYPYDALEPVISAEIMTLHHQKHHQTYINNLNAALSA QQAASTSNDIPALLALQQKIKFNGGGHINHSLFWRNLAPAPSAETNIDTVAPNVKAAV EAKWGSLAQFIEDFKQTLLGIQGSGWGWLVVRQGLPEKKIRSLEIVTTKDQDSVVTPD SSVVPLFGVDMWEHAYYLQYLNNKAGYVTEIWKIINWKVVEERFLQGIQGEVSFKL TSTA_057570 MWKKVQQFYIHISEHLPDYCDMISNRGERYAKAGLANGYLRSRT LFSKENKSGLVSFGNAENFLMQDVLLEYVRTKAAPALDNASLTYDEGPFGPERLRAAM AKLLNTYFHPVRPVSADHILFTSGVTSLNSIVALTLTDPGDGILLGQPIYGAFNGDLQ VPSGCQLIYTPFHEDDPFSEQAVSRYEKTFLEARDQNGVPIRALLICNPHNPLGRCYP RKTLEALMQFCQKYQVHLTSDEIYGLSVYDDFSSGFVSVLSIDPVPLGVDPSLIHVLY GMSKDFAAAGLRLGCLISQNQRLMQAALSISRFHWPSHVSISIATTILDDHEFIKVFL RDSRALLRSHSDFAVRALEEAGIPYSPGANAGLFLWIDLSKCLDINIANTQDEWVAET QLSKQLQTAGVEMSSGQAYHNEAPGWFRVIFSMEMDTLKEGLSRLVTIYLIFNQH TSTA_057580 MDWSFAKHIWSPCCKFKLGNQKEIVTVHSAPIADLSPALADVLN NKPGYIDWPEVTKETFTRLCEFAYLNDYTPPASREIESRRSEAVHESEAEAKNDVPAP EPEPEPELEPELKSKSEPDPEPEDAPEIWPEPETLESDRWGTPSMSFSSYKKNKKNRK KKGTLDPMQDIVPDPPKPTATWWQQISPHQKENVRDDYTNGVWPSEPDNHEPVLPVTE RTIRYSELRNMFSQLTYTPKRQIHQFQPKPNAKPTEDFTPVFLGHAELYILAHGYDIK DLRELILLKLQQTLQDFIVYETSVASILDFVRFAYKRKSKTGEMKGLRRLVTVYVVSI LGQIGDREEFQSLLAEGGDFVVDFWRTIWTGF TSTA_057590 MDLDPNEAGYRLYLAACEDMHNVTREPDPNDLLHVWFEKHQDFR RQWRLTRLNPLPGRTEIERINNWFKTRPEIFLEFRKEVLLHPGANIPIPYDFGELVAI EAIYGGRIEIDVKVLMEMSDAALLCRMEIGFEALMLLQMLLILSLTGI TSTA_057600 MDPDTIDTYQKDVPGTVYFVDVYKNKVDEPETPVQQDIVLLPRP LDTPRDPLNWPKYKKIWSLVLATLFACVMSYGENNLGAAWTTISEEADVSLTNMNGGS ALNYLLLGFVNILWIPTAMKWGRKIVFIASMTINMGTALWNAYFQGTVQWYLNCMIGG FGTSAYQAIIQLTIFDMFFAHQRGTSLAFYVWGQQLGSILGLITGGYIAEGPGWRWSC KIAAILSGAVVFLFIFTFDDSLFPRHVLGDARINPSLPTTQPENIEQNVPLREVNKKE QPETMTRQVTTGEGVMDLLPRNYWQVLVPIHRFEQDKTSWFQYFRRPFYLFLFPNIIV ASVIFAFACTAGIVSFNTISEIMTEDPYNWGTGSTGLMFLAALVGSFIGMGTGSLGDR IVIRLARRNNGYKEPEMRLWTLVFSFIYGAVGYMMYGWGANAGDSWVLIAIGLGSMIS QQISAASIATTYAMECFEGISGELVVVLAICSSCINFALSYSVQPFIDATNYGYTFTF FGCLVMASMGLAVPTAIWGKSWRGRCAERYRKFIAEAVIV TSTA_057610 MKRKTEETHQSNGHHEAKKRVVSGDAVISRFRDGLFDPAELEKY TKSYAASGPYKHGVISPLIAPDLLRSVRNEIEQNLSFTEKETDIYKIFQSGDLANLDG LDDASLSRLPSLLQLRDALYSAKFRAYLSSLTGSGELSGKKTDMAINVYTEGCHLLCH DDVIGSRRVSYILYLTDPDTPWQAEWGGALRLYPTTTVKDDNGQDIKIPSPDFTLSIP PAFNQLSFFTVQPGESFHDVEEVYHPSEQDKGKQKRVRMAISGWFHIPQEGEEGYEPG LEERLAERSSLSQLQGRNGDAYDRPQPQPINWDAEPAQPDSGKGKGKGKQAVQTEDAE ELTEADLDFLLQFLAPSYLTPDIAEELSEAFSNDSSLSLEKVLSEKFAARVREYIERQ EKKELPSSSDEIESSTNWKVARPPHKHRYLFQQPQNITSSDSEANPIEELLTKYLPSQ AFRKWLTLITGADRLTDHNLLVRRFRRGQDYTLANSYEGEEPRLEFTIGLTATPGWEK ADVNNEEDEDLEEKEVTKNGKDGSTEADESDGKEKEEPSVGGYEIYMSGDDDEDAGDA AIYKAAHGDDDGILFSMAAGWNRMSIVLRDSGTLKFVKYVSAAARGDRWDITGEVGVV FADDQEEEEGE TSTA_057620 MMTTFLRSLLVWLATLIVVIAAHMPGNNVALRTRNGPGMSDADT LYTVRRALDHVRLQSRNSKYSMNRTSISKSWVGATLFQYSEESSSNTTAGSDRLSSEY SAGIEIICTMCYINGSVTGDLTLAGDFNFTAAVNTVKSELRNVTDTAFHQFEQYVEEV AKDISKEIITLNATTLPDWPTLDVNLDLNNATGLPGAHIHFEFDNLELYLDLGIKLSA GATYTLNLYTSETPAGISVPGLKMGAVFSVDLILISDTEIDIGSGIHIKLDDGVAFDL EMFNRNVSRVTIPGGAYEFLPITIGGEGSIQALLSLKASLGVEVDTPKPTSDFDFEFN AGIETDVFAYVADFLMQVNGSSTTDSGDCELVAVAEYTYAVGAAAGATVAVDKSFSWG PSPNTTVPIWYTTLASICAATKTSTSAPASLITPRAELNQPGDSSLTTTTSTTTYQIV NCMSSGMINCPINLQNTTTYQAVVTSALSIESGSVVTLPTTGVSALATGIPFGSKSYK LQAVSGTPISYVPPPPSSTSATTSSTSISSPGGVGSGLLGVDKNNKLIIGLSVGLGVP FLAALLIGFGYYIRHRRKYSEVSQRENIAPSPEITESNSPASSRMKKGPFISVTQAD TSTA_057630 MSTSTNMRVFRLRSAWRLSQTSRLSAPRYQNQFRSVATKQASPA YPQTSSTLNQAIPNPSPSTSLAVKKLVTPIHRTEDLWHPRSRHKGGRRVKLIRGRNRL WRIR TSTA_057640 MAIASKQILAGIHDPSKVHGCVDALLQSHKGAEGWGLQAGQGWS TWMGAMSAIGLLFVVFWTWLVLIDLKDLQNAFTPVTFLVTMLCLAVGISQYLNAAQTA SAQF TSTA_057650 MAELCRDDALVPTVYSHTCKRFDFSLYFEDAVFAIFPIPDGIMC SAGQPISRIAKSTMDAISFCQFAASLDFASAVAIGLLSYSEHVKSARPAPLINTYILA TMLLDGARARTIWLLRVSSVLPSVFIASVALKFVLLILQSFPKMQFLLPSEREYTAEE SAGILSLSSFAWLHPLIIRGYRHRLSIDDLYPVGHSMSSKSVHSGLQKIWKLSKKDKS YSLFLATAKALWLPLSVPMVPQLFLVALNLAQPLLLATAVSFVESGNDKPAGFGYSLI GAFGLTYFGIAIATAWYQYLSARALTMIRGALIGLTYSSMLDMHDGDEAASSSLSLIN VDIERIVTSLQWVVGIAPDVIQVGIAIWLLEARIGAIFIAPVLVVIDREVHKIEAKIM DAGNLDQKWDYVGNININKRRENARTNVSDIEISNIVIGNSPRLLSPIITFIGFGIVV KLSGDKAPSTAIIFSSLSLLSILIDPVNELVAVGPNLAVALDCFNRVQQYVTNKKRVD YRIFSHRKPLDEDTSTARSETGRNNAMETTTLENTELALSTTATKSAIQLRNVDAGWS TQTLTLRDVSLDLNPSTLSLIIGDIGTGKSTLLKLLLGEVPVLAKGSVSLATDEVAFC GQTPFLRNQSIRDNIIGPFAHDAEWYGACIDACALDVDFEQMVEKDGTVVGSRGMSLS GGQKQRIALARTVYSRRRIILLDDVLSSLDTISERHCFDRLFGPSGLFKYLQSTVIFV THSAKWLPYADKIVALGPNRTIQQAGSYEDLISSPGYVQDVMSTTKEKEPEIESSSSR EISQYKHEGNKETTVETVETESSPDNARKSSSSALFYISSMRWSNFLAFTVLVGVEVG TNGIQPLWLSWWSDASQRSPNVDLGKWMGGYAAFGLLSLCFLGLCGGYLLIEINPKSS SSLHLKTLRSTMGAPIAHLTDKTVAKILNLFSQDMTLISMSLPIALILATGSFGNSVI GAVLTSVSSGYMAISTPILFVTLYFLQKLYLRTSQQLRALDLESKCPILEHFMETLQG LATIRSLSWTSHLISDTFHHLDQSQKPFYLLLCIQSWLNLVLNVIVAALAVVLMMLTV TLRDRISPGLLGVALISVVNFGQTLSLFVSYWTVLETSLTAIERIKDYIADAPKEDSL AQQEADVPWDWPVESRVEFRHVSASYRSNGPDVLKDLSISIPPRQTRRNLRTLWVRKI HIDLISVLLRLLKPSKGSVIIGSQDISIFAQQTVCKRVTSMPQDAWFIPRGCGESVRE NMDPLREVDDDIKIYDVLDKTDLREHIDNLGGLDAQLGQQGNGVLSSGQKQLFCLARA MLTRRGGILIMDEAMSNVDYKTELKILSLLRSDFQGWTIIAFTHHLRFIPQFFDRVVV MDEGRVVEYDDDPGRLLADPSSLFRRLSGAENDRDNSSRVGE TSTA_057660 MESSIAHRPWEDVNPGLHTPPVVTTSQTLPSISTLTASMNGSIP PGEKSPASSLNTLERDSGNWSMPQSTRSSTYSQTTNGTGTYQSMSFLNSSQPSPNRHS GISDRSPLAQDQSSTPSPAGANPPFTQAPPSTLPSINQNFDAPSHRNSIIELPESRRS SVDSRMNQGISALAINPTSPYHSTNASQSSIVSGLQRERGISNDYTNTNGMRGPRYGA QPLSPLGPRAGDQRFPPGRTAPAISSNPRSEIYNAEAPTAGMAYAFPDPDVARSSSSM TSQDHSLTPQGSFSRKGSVAESLNSSVFSVDGRLPRGQQELPQNVHHHSLQHKQVRGL MGESESPNNATPYSRTPELRVTHKLAERKRRSEMKDCFEMLRMRLPQSQNNKSSKWET LTRAIEYITTLEKQVSTSRRENSDLQREVQDLRAQLNSQQNGQSRPQNMFDPHSMASS SNGSPTTSYPTYAPGMAVEQPRTLPPLMNGSVAAMQGVQYTEERR TSTA_057660 MESSIAHRPWEDVNPGLHTPPVVTTSQTLPSISTLTASMNGSIP PGEKSPASSLNTLERDSGNWSMPQSTRSSTYSQTTNGTGTYQSMSFLNSSQPSPNRHS GISDRSPLAQDQSSTPSPAGANPPFTQAPPSTLPSINQNFDAPSHRNSIIELPESRRS SVDSRMNQGISALAINPTSPYHSTNASQSSIVSGLQRERGISNDYTNTNGMRGPRYGA QPLSPLGPRAGDQRFPPGRTAPAISSNPRSEIYNAEAPTAGMAYAFPDPDVARSSSSM TSQDHSLTPQGSFSRKGSVAESLNSSVFSVDGRLPRGQQELPQNVHHHSLQHKQVRGL MGESESPNNATPYSRTPELRVTHKLAERKRRSEMKDCFEMLRMRLPQSQNNKSSKWET LTRAIEYITTLEKQVSTSRRENSDLQREVQDLRAQLNSQQNGQSRPQNMFDPHSMASS SNGSPTTSYPTYAPGMAVEQPRTLPPLMNGSVAAMQGVQYTEERR TSTA_057670 MESNKTAQGNAKENRPRKRNPMNLTVDTSRATLEAVHSNLAIGN PRSLPAGGQDIDPSRSTLEAVQTRFPLSSPITHETPSPQDSGKTGNVEAKILNVNVFD GGAGAGAVTDQKKKPLNLSSHSALSKSELESAIYARLLEQARESRHEKRRDMATTSAD RNGAKNVLRPQSKRKSGGIVKRSYQQQHRPPGLQVLTDFSRDDDDEKGHSFLDLSDLK SIARAREKERSGEDYSSSQSLQEPQARISELSPSDRPIMIGLTVPYESTPRATAAATT DTIDSASSRTPATPSIMITPAQENPPWNMDVHMDMSTSAEMLHRPRVASSVYSQPSPR MMYDENAPPVPAIPVLHSVTSLHDNLHSLGTDSDVDETASKEKGSSRMEDTNTKKNMK KLLHKLSVNTSDANRPDSQGWWTYLLSPLLSRSNTVSSRRTGFSPKQGKSARSPLSGV SSPPQLTTATEGREEESEHWWDDTKEVVGPSEKSHFSPDTPEDLTRHAPVVGGGAWDM PFSDTHTTITPRAIPDDKTEKTRSVFQTGNTIVPNYELQGAAAEYYQASAHDLYHRDE PYFECINHTCSMTSAKRRAKIEEEQRRLEEEAAAAAAESEKRGGLAVFVFDPSRDPDN PFIAFLEKRVSGADVKEVEVRDAGSEKEGEEDGEGREVGEEMEKSGLSNARQLLSFDS SDEEEGDKDLAGKDHTASRSLHGSPEMVPTSRAAPLVSSPVPTPYDPSVSVSPPASYS KEMNSPAPVAAPAPVISIQAPVNHLEPTPPAPAPVQMPMMPPPQPRNFSPEPVPMPPM PQPQPRAISLEPFPMPMSPAPASHRPVPMPMPASRSPPVNERAPVATHSPPVRPPSND TARGRAIPSGYQWEPVPIPRAISPPRSTRAASFMQPPAVLVSQSREVEESTLRQQQGV RGDTEPAQTLRPVPSFHRPGPFSASRSATPTPASGQPQPGLLHTADGSESETELPPYS AADRASRNAPRPQTLLPTYSARSQSERGDQQSVGPAPVSPGLQQAMTSRGGIPMSDVD QRGIRDMNRNGTSSISRTDDSRTVINVNHYYNSEHPHNAPEPPRPGKQFIEADLQARD NKKDEEQEGLVKKFGDFWRKHFPVFACLGRSGFERKMHRRWYAAIIAFCMLVIILSIS LATTLTHKGDTTPVESGWLNITGFPPIPTGIMTVAGPAPAVERSSCIAPTQAWSCALP PEQQATQAGGYAPDSPNFRIEIRFRNDSSFFANSTSNSTSSRRSLVMFDRKGTWDASP AVPRLEDQIFLGNTTDNITMPFQGEDTPFYITFQSPFDVTNTTAKGFKRDVASSATEI GTAAPTTATTATHVSATGTGTASSTGTSTGTKTQATSTATSGPSDFNVNSIIPAPDLA SDGTAAAATLYPLPVQQPIKLYNRGLPTEHYGFFTYFDKSIFLASDATITGGTTDTDS RDTNGGSAETEASARCTWSQTRFLVQIWTQPQNLTHMSLTASSSNTTSAPSPTSSPKS PSSTAGSSSANNFTSPGSFPYPITITIDRHGGLATQKLAYCYGIEQHTSSPTSNTVTG TYYNLTNPKLQAEQRESGGTIVQPSPDYFVLAEAIAQGVTADQVAPVDGGNGGCMCQW RNWIEIH TSTA_057680 MFRWTPGLWFSSNVRVHNSSPSIAQPLTLLFQVLKMRFTLPTIA FLTIVTGALAGSGEGNNHQQYSKHVSSMAHSTTLSHETVTTPIPTHSSKAVTPSKTSA VPSKSSFAVNPSKSAGGGSNSGHGGSGSGSGSDSGSESGSGGEEGGSETAPTYVPGNP AARIDAQEATFLGAALGALGAFVALV TSTA_057690 MARKGPGTDGPLQTALLESTSAATTRASKGQKIFSPIAAFLDKH RSQTTGLAPHLLRALTALSDDLALVAQQHFNAYISGILMISILPALSPSPSSSPTLNP LPPLPSPLRSPSVKSTSTTHPKASVKKPMPLVKQPHPNNWLFVRLLANYAARKMEAYT IYSSLQSQLNLNSAALKEVQATKTGFALCPSSPEALLALKAQKETISAFFVNCQIERS SRWISYRVTNVPRKIGQILDSQYSLIPVNPTLLSLEISETTGLKPISISETTTSAANP DTLSLSWFVNFLEGAKLPLPI TSTA_057700 MTPKLYKDEEALIANTSTRANTKKNRILANYRVNMECLAKNYPV DQEKALILWIEYLDNIGAPPTNEQIEESANYLLAKDFTDPGEPPRAGKMWIYNFLNRL PEKYVRIVQKPQERDWTTAEHYNEIERWFIDLKIAIQELKIVPQNLWNFDETGFIVGK GKDEAVVTAYPKDSKGVSSLSSRESITVVEAINAEGKIIPPLLIPKGENHMEEWYRHI QDDEWLIAPAKNGFITDEIAFEWLQHFQHYSKPDWSSEWRLLLMDSHTTHLTIQFVQY CEIYHIRPFRFPPHSTHFLQSLDGVPFQQYKHVHGRVVNKVARLDASYGDTLKIYGEP DDTIPSSPTTKSISPPSSVAKLRRYINKIEKLVDGIKDILDSASPGLSRRIKVINQGS LTLAELGELHRESFIKVRDTAKRKQQKNTKRQVKVMGALYVKDANCLIKRRHEGDLLR IHKSHILGVEEPEQQEAPTEPENLGYFIDTQGNR TSTA_057710 MSANVDSHGRLARQSCIQCYTQKRKCSRQIPQCELCLKKSKQCQ YFSERLEGRFDITARDSLSSNTLQGVSHNSLSTLFFLETWLFRNRGLSMATPQARIPM EFWHAIHSGSDTFSQHLDRYFALVLWTSIQNEDFPEGATVDPSLVLLMLVIRLLSTTA SQSPPGTGELYAQVKRCYSLIESRGISTPRVLQAALLMAYWEIGSGVYPAAFLSVGLC ARLGHALGIHRQREAPQMFPNSGSWVEMEELRREPSVSPSLAVSGDVSYAISSFARLC QASDLLSRVLRHVNEKPSDIQYWYKEGIRLHHLLDAFATGLTLESSQIETNSILIGDT SIYLAVGVVYSAQMLLYDMHTCADFDHLSGVGIPEQLEMQAIALAGVKVVCTAVSNFA RGMRAGGASGAAGMSPLVINCLYEAAKYYFWYYREANKPELLHEMTGITLALQAIAGT WAVAGMIALSY TSTA_057720 MYGPKGKKTSGLIVATVHQGHMDTNLNKQATGMAPAAVLRIITP IMKYTRILDHKAKGALSSLFAIADPNFTESGAYIVPYAKIGKPSQMAENDQLAERLWD WTLKKFQERGYL TSTA_057730 MTQCIDIIYKFAPLEHIRDKRFLYSTNLLTLQKCWHPTIANNSL TNRRGKTTVAGLASRGARVYMGARSAEKAEDAIADIKRELGNPRVDINFLKLGLTDFR KETTLHGLINNAGIMGVPFSLTKDGYEVQVQTNYLSHWLFTYHLLPLLETTALPEPGA ARIVNVTSDGHERFTLKEGIRLDDPNLESESAMAR TSTA_057740 MAIITAKLIGFSPDGSELDTRIDHLLSSNHLELDMMGDMPTLNE LRKAYLLAFYEFHQFPGNQSWMRIGKLTRIAYRVGLDKLERLPTLYPDWASISQADLQ EWRSLWWCIYKIDSYMNFASGTPYLIDRDFTNTGLTMSQQFLLSANEGVNGPQPVYLP PSSRGLWEVLPALGSDPDTFLANIHIITVTVVRDIASVGRILVLHLDGDILPPFSEIE RQLSALRLALPPGWLNPDRNAFAGESQGDHHARLVTIFQLSFAQLYLAMLSCRGKEDH DWARSWQKVLENCQDIARAAAQWDSSFCVKVDPAITFILLPTLIFLELHKATNVSSSA FNFQASIEHDQTIVRLLLDQFAKIWTLPRLLVFWGLANTCAQCHLKVSVNHSPAYLVH YLTGKSTPFS TSTA_057750 MSSIDTDVIIVGGGPSGLVLGLFLAEYEIKSIILEKDEEITQDP RGAVLTGPAVRSLWKLGLGEYVHSIGLELKSINFHDTSFQNDPFLSFSLEEDSLQHVV PSAIVMNQPKLEFYLRKLLENSKLCTLHRSCDVVAREETTDNVSVQYVDRNGAKQEVR GLWLVGADGKRGVVRKKFLEPSAGVRQEVGIFEYDGIWIAGNLKITLPTPQSHPDLPF WENGLDPEAVFDLFWPPGWHFCRPPGRPVAAGRIGSSSEHLFRHELAVPDWDDSMDAD AIFWENLTPMITRTVMTKSNIPIKVVFPRECIEVLRCRPFRFDHRVVNKWFHNRTILI GDAAHVFPPFGGQGVVSGVQDAENLAWRLAALVKMNGSPTFQKELLQSWASERRLGID NSARLTFFNGKLCNDQPTFVGKIFNQMMGLALSLSSILGQPSPEAGPIAKGYQACQDG TFLAQFDGGAKLAQIYLQIITSNSQTAKIELSDAFLRSVPTIFTLVVIQSPAPPGDAL AKELEDLGNLFNESGISKDVLSKKSIVYFDPSASSNLSHYKGEVPLSFPASRKFLISY PSRLSYDPNQFMRRLGNSQTKYVIIRPDQIIYAKAKTLQDLTKCLESLKGHI TSTA_057760 MALLEAIISRLPQSTQEWMIPPMPIPDVHNDPSKIQLIRLGHVY FEHRNLKEFERFAKDFGFNEERRIGNTIYYRGYGKDPYVYVASQSQTRSSNFLGAAFV AKDEENFQKATKLEGAIVKDLTHAPGGGRLVTIPRPNGTFMHVIYGQEEREVNTAELP SQIIESHGPFNEPFKKGRFGVFQRFKPAPALVHKVGHFGYVCCEFDQEFEFYTKNFNI VPSDILSHEKFGWVDFMTFMHLDLARAPPGTAKTYVHHTSYEVADFDTQLMGHRYLEN QKWRPVWGVGRHVLGSQIFDYWLDSSGFKVEHYADGDLVNINYKNKRSVAGPMAIWGP EIPNDFAKSKAG TSTA_057770 MSQFTRLIRFESAGKILFADLASASSKVPSPGTQITAYASFEDL VKKEKPQEAVVDKLLAPLPKTDLPIYCVGLNYRSHAKEASLNIPVNPPVWTKPFASLA APEEEIAMSRYCASNFPDWEGELVFVTSKECRDITPEQANSYILGYTVGNDLSCRRFQ MPEQNGGQFYYAKAFDKFAPIGPVLISPELYKTDGTHLTTRVNGQVMQNVEIKNDVVF SPSQILSFMSQSTTIPAYTAIMTGTPSGVGVFHKPRRFLQHNDVVEVEVDGIGVLRNK IVFPDGQESPM TSTA_057780 MPSRQELREHDLLSPSAYDEDVTSPRTLSEQDSDSEDDEFLRVN RSSMELARHDKTVLEEEEEMEKLLVRSGPADGLRRIFSPTSGPVRIGKRDRSRRRERK RSIHGKPHQAGDLMFEMEEGFREFSDDDSVDSFDLNEKEMDGWQDQPPKRGMCVRLLL PFSAIVVLFFILLLGAYKASKDTQITKKPKTDLLSNGTALFAPTTIIVSLDGFRADFL NRGLTPTLSKFIAEGVSPRYMIPSFPSVTFPNHFTLVTGLYPESHGMIANDFYDPNLD EYFRVQPGLSDEAKWWTAEPIWATAEKQGIRTAIHMWPGSEAHIGNMDPTFYDKFNDS EVLSRKVDRVMEFLDFPGEESDDGVEKLRPQFIATYVPNVDTAGHRYGPNSTEIRSVI TYADEMLAGILEGLEARNLTKIINIVVVSDHGMATTSTTRTIQLEDLIDINLVEYIDG WPLRGLRPKKPEDLEILKAQLAEKAENFSDAIEIYTKETMPERYHFTKNDRIAPLWVI PKAGWAVVERPDFDVQEALDKDIVYTPRGIHGYDNYHPLMRAIFVARGPAFPHPPNSR VAEFENVNVYNLICDSIGIEPVANNGTLHLPFTPVGLHSDDDAPTLDTPADPPQVVTT SRSTSPSTSTTSTSLATPAVSTPSQEPTSLPPADVNDEADNSADNADDTKSSLETFYD GVKDTLNSIKDWFGQLFSAEKDNHPPSQT TSTA_057790 MIAVEGGILQELSNRYDEITADQLAEITGRPKLDIVRVLRLLTA IGACVKVGLQRYRANDKTPVLSVEQRTDWGFGGLLNVTTIQLLPSCAITPNLAEYFTD YAKRSMSESNLQSAYSYTYGKDMYDVLRENKERKADLDAYMKALKQEKKQGWHHVYPV MSELSQIGTGDEISPSTIIVDVGAVVVMNLKVSLRAIPSLTPTKGARIYLLLAVFHNL EDAKCRTILKNLSDAMKPGYSLLLILGILVPEIGADRRIAELDIQMWLLQHSRQ TSTA_057800 MAVTRMFQLGTFISVLEAGVLGLCTEAFSAAAVSCSYSTVDLVP LAVDAVTAKFRTGIVVTEIAQSLATCVNDEQS TSTA_057810 MVPGSASTEAVRKFGESVSVPFRPYISANASNGITVSGLPLALT KLIQSEMFCGLRHKKIPIYGPYHAIHLYSEFYIDRIVDDLATISTEQRVLVFSETWTK EVQ TSTA_057820 MHKLEPITTRNWLRKNALFSNWIQRVADHMQNVFPDDHHTNRGL RWESLPHALALNKRTKYLDLTERIADCLASDGRYQEAEVLYKKLVGVNQEKGGSEHVF TLTSMANLAETYRNQGRWNEAERLNVQVMETWKTVLCADRPDTLSSMNNFAYTWKSQG KLQDTLTLVKKCSDMRRKVLGPSHPGSRSSSCALNNWMDEYNALTDQTPLTREKSSQP QREVSAGSSAAVVTTLSTYEEHINLPYTLRRSAAQLFLGSHPLIIAARTPSPAPEGQN LHDVDYFRTALFLHHSARQEVS TSTA_057830 MSTNTSEAALIYEEPPIGTILNQAGLLLALNVVNVCLDKLLYCG LIGQLFIGILWGSPGAKWISSDLERIIQQLGYLGLIMLVYEGGLSMSIKALKANILVS IAVALTGILTPIALSFVLKELVSASSLQAFAAGAALSATSLGTTFTILSSTNLISTRL GTVTSCAAMLDDVVGLVMVQVIANLGGSDTSFDPITIIRPVFVSIGFAIGIFILCTFC FRPILKILLQNGNRMPKSMLIAQFAFLAHTAILVGMVAGATYAGTSSLFAAYLSGVIV KWFDELSSELRAQRMSARSAPHSTTNKGGRAAPQEQNFEGSARATDDTRTSTSSTFQE NVDHCEENQIPTGDMIYEKYYKNPVDCILIPFFFHSDPKNEIKTSTTERKTDRRKSRE ASRLDRANTARLLNQSAQNMGSPTDKATSSLPHSDPASGSDTRLSLPPKPKSLYPPSI LGLAMIARGEIGYLIASLAQSQGIFSNGTLKGSSDIYLVVIWAISLCTLVGPVAVGTL TKRVKKLQRLRVDSGGEDPLGVWGI TSTA_057840 MLFSPSLSVAILGGFSLISNAYSSPLLPSGQSLTKRISYDGSTS ITLALNTDFPDPAFVQHTDGSWYAYATNGNGKRIQVAHSTDFESWSLLNVEALPTLSG WESEPDHWAPDVFRRSDGKYVMYYSAVVQSNPNRHCIGVAIADSNNPAGPYIPTSTNP LACPLDQGGAIDASSFQDSDGTRYILYKIDGNSIGHGGDCNNSVEPLAATPIMLQRVS EDGITPIGNPVQLIDRDASDGPLVEAPSLLKAGDGTYLLFYSTHCFTDVKYDVRVATA SSITGPYKKDAVSLLASSDELYGPGGGTISNHGNMLFHGWCNGNSARCMYAAHVDVSG ASVFVE TSTA_057850 MASPLQTCQNATITQTSPTPLSTLPVLENFFPGFSIISIFFSKY LHLDISAYLSLVFLLATVAATIRLRVENIIENIQSYFTSTIEVRMDDEVFNYLMYWVS RQGFSRKSTRAVASTKTRANSYYSDGEDDDDDSDDESDKDEKEFADASNDFDSYWSRR VNKDKIKPLRITPAEGIHWFWFKGYRIKLRREQVEKRTYGWGMPAEKLYVSCFGRNPD VLRQLLLEAQRMYVDRDGDKTIIYRAQRDGTTDYDWTRCMARPPRPLSTVVLDDAQKH AFISDIKEYLHPRTRRWYSNRGIPYRRGYMFYGPPGTGKSSLCFAAAGAMHLKIYLIS LNSRTLNEESLASLFQTLPRRCIVLLEDVDAAGLANKRSDKPNNDPIPPIRPIKPEDD NDGPSTGDGPRPPPGDSTDTNKKDDDSNKGISLSALLNIIDGVASSEGRILVMTTNHI EKLDPALLRPGRVDLSIAFGYSDRDAIKNLFLAIYAPLDCEMPKSSSSAAVYGQKNTP SPSPRHSPAPSTSSTTKPASLFFETFTKDEIADLAEKFADAVPAGEFTPAEIQGHLLL HKKNPLDAIEEAEIWAQGLRERKKDRKEK TSTA_057860 MWGPGKVNTRQKMAKQQQSKPTWATTSPRVLGLLRPRILIALGC ALTLLLSACLLVLRFQNQSYALQLQLFRPSRYFEKECYAAGESATDRLQHGSTEMSNG EWEFVASRDANNYALNSEQCLAAFPKLFTEIDKSVEQRKETNNPITFKEIDSRKTLGQ GMARAMIYKGELFIIEYGDMMYTASRAKSTLHSLHRALVATPDRESLPSIEFHFSADD FVWDDLKLAGGPVWAYSKRDTSDIDEDAVDDSNIWLMPDFGYWAWPEVDIAPYRETRR RIAAVDAEFKTFQSKKKQLLWRGSLNTAAELRNGLIDATKNKYWASVRVVDWGNKKSV EENLLPIEDHCRYMFLAHTEGRSFSGRGKYLLNCHSVFITHPLIWREAHHAAFVSSGP EANYIEVARDFSNLESKVEYLLDNPQIAERIANNSVTTFRDRYLTPAAEACYWRYLIQ KYGEVSDFEPKLYDDRTGKMRGQPYEQWVLGL TSTA_057870 MRRVASGGICGGWYACILDRKPNGAQIRVKIKGIDCSKITNDNK RSIEDEAFTPHTLLGRARSGAVLGNDSKVTFVDSDVYGNKTNGSVAMIIPFDVPDDIV GIFTVDYTIASGPLKSGSLVDDWGNGLGPVPISDSGQTTSATVEVGPDGVGNVMMFAW VDTFAVFHELLDKLLHKV TSTA_057880 MFKLITVFGATGNQGGSVIQAILADPVLSKEYKVRGITRDASKE PAKKLASQGVEMVAADLSSPTQLHSAIEGSHTVFLVTDFWATTDKDIEISQGKTVTDI CKEIRVQHLIFSSLRGVTELTAGRLRNVAYFDSKAEIEEYMRNSGVPATFVLAGLCVG TPHIRTPADRFPHFHRLPNIVIIYLSRTSEKP TSTA_057890 MVSLFKQDYLRELNETKPRNLPLNQLFVGNPGKGKTTAPALYGR ILVDLGLLSKGDGKLFYYLGLDCGACGAVNVTDEPFTSISGGKPVRFEDFSFARLEQI LLWKISQQETACSSDAIQVAKDMLERALTRPNFGNASEVERCLSIAKLNYEKRQYKAV GCEDASQDEEFLPEDFDLEHKHRHINCHELLAEKLDDQIIEPISSFHVS TSTA_057900 MPAYIQLPAAKVQDGKAFLKPVPEQKTEHIDSGDAFKELTSFQE ILDTKSMENGRDATLIARIPGIGNSTVAGIYAEFLHEIESIQISQKRSVRGAQGSAGP T TSTA_057910 MAPAGGGNIKVVVRVRPFNKIERNAKCIVQMKGNQTVLIPPPGA AEKASKGGMKGTADGPKTFAFDRSYWSFDKSSPNYAGQENLFGDLGVPLLDNAFQGYN NCIFAYGQTGSGKSYSMMGYGKEHGVIPKICQDMFKRIAVLQEDKNLTCTVEVSYLEI YNERVRDLLNPATKGNLKVREHPSTGPYVEDLAKLVVRSFQEIENLMDEGNKARTVAA TNMNETSSRSHAVFTLTLTQKRHDAETTMDTEKVAKISLVDLAGSERATSTGATGARL KEGAEINRSLSTLGRVIAALADLSSGKGKKGTLVPYRDSVLTWLLKDSLGGNSMTAMI AAISPADINFEETLSTLRYADSAKRIKNHAVVNEDPNARMIRELKEELAQLRSKLGGG AGGAIASGAPMEESYPADTPLDQQIVSIAQPDGTVKKVSKAEIVEQLNQSEKLYKDLN QTWEEKLAKTEEIQKEREAALEELGISIEKGFVGLSTPKKMPHLVNLSDDPLLTECLV YNIKPGETRVGNIDQENGAEIRLNGSKIQQQHCIFQNVDNVVTLVPSEGAAIMVNGLR IEKPTRLRSGCRIILGDFHIFRFNHPEEARAERVEQSLLRHSVTTSQLGSPAPSRSHD RTVSKTGSDIDGEISRIDSPIPNRSGRDADWFYARREAASAILGPDQKISDLNDDELD ALFDDVQKARAVRRGLLDNEEDTDSLSSFPVRDKYMSNGTIDNFSLDTAITMPGTPRQ VDDEEGDSSLQAARDDMQRQLDKQKGEFQEKLKADASHVDVEELRQEKTRMEEALQSA KAEFEKQLKQQKEQYETHIKEMGHPVPKIYENGFAKLDDKEIEIAKFVVRLWSKRNYV RMAESILQHASLLKEAQVMSQIMDKNVVFQFAIIDEGHNMVSSYDLVLNGISGEDDDA LDTIKKPCVCARVIDFKHCVVHLWSIEKLQKRVQAMRQMHQYIDRPDYIQHFKLENPF SDTCPAQYSLVGDADIPLTAVFEMRVQDFSVEVVSPYTQSVIGIIRLSLEPSSAQGPS STLKFNVVMRDMAGFAEREGTEVHAQLFVPGVSEEGGATTTQMISGFDENPVRFESVH SMSLPLSSPRTASLKVCIYAKVTSMHLDKLLSWDDMRDSSETPAPSKRKTPRIAETEF FTEERHDVFSRIQILELAESGEYLPVEVVQSNSMDAGTYQLHQGLQRRVAIHLTHSST ENFPWEDVTNLRVGSVRLLDPWGKIPDQDFQTPDVPLRLVQEPMIKDNADGTSNLTII GQWDSSLHGSLLMDRTTAEKYRVQVTLRWDLVSSRVHEPIVFALDQTLVILGRNYVRP QSILRQIWSSTRIVHSTVGMFSVVIRPVSAKRAADLWRMNTQNDYVKGEELLTNWSPR KVSLVRDFIASRKRRQRAAELEAARGALGTVSLVASPAKGSGRSTPLRNQELSERQMN LMKRYLNLWSTGKDPTEIILVNSNTEQPSNGNVSSNVPSIFSSDSASASEHGATPRYV ANVQTLSKNATVAKASYLMMPDDNSTRWIRRFIELRGSYLHVYSVPDGDEINTINLRH ARVDHDPDFARLFEGPDGFSSRGSGVGRPNIFAVYGPQNTFLFAARTEAQKVEWILKI DQSYFSNNAPTNGR TSTA_057920 MQIYGLIPNPEMAKVIPGRTTAQIPDMNGTMPAEPSKESIVVLL LGFKSNRPLRMLSSGFKETSDHFMAMLQSFDSPEGREEFGFLGGSSYLGSSGQASNEI VTISYWRGIEGLHAFAESPIHRQGWDWWNRTVKEHPHLFIFHEIYKAEGKSHDNIYFN AQPTLIGGTAFPVKSNDKVERQWVNPLVDANRGILATSRGRLGLRGHD TSTA_057930 MEQALLPSFKKTRNRLYDDVFSNVDAAHETDLTSDDDDDNENEN KNDNDNDNDVNHAQDHSDLADLFADNEHPPEYYIDQLENFDETIYNQEDYSTGTQRMR DRVEGCWNGFCLHTQRDPVESYLTLSAKVLGTFLEWVLNLRRGKGGRWLRGIKSKSSL TSTA_057940 MFWKVFRLVRERATGDKIDPITTRQMKRVIRHLSKKYQLEKSCE KLVIYVEDPAKVVETTVVTTRKKFGHGHHWIELYLFLQLAGLAIN TSTA_057950 MTDLEKYEQLFDENPMEGSSPLRLIIESNDVATLHSYIKKYPER VFIREAMAYYNPLFVATADDRLDVLRVLLDLADSNGVQLQLDGPWDTSLLDVACEGAQ LHTVKFFALLSVAYSLRSDLCYSPDPSTGGRKIWLQDEIARSEEILCMLLDRGASVRD AVRSYKFPDVEQDEKPMSLTATALGRAVSRASYKLASRLIAEGADVHARQYGWGGHPV TAVREVTALHVASGYWNVQGIKALLDNCGEVKPADMVSMRDTAGRIPLHWAAENICFD DYYLTDDEIASQICDTLELLIQRIRKRSTSKTKMVQLPYSLL TSTA_057960 MASNTEVLVHVEAPSAVSDDARYRALVAGGIDVEVSVDKTRSFV SVSSSSSGQPSFFAQHHETGKVNSGDELISILTSDEFENQGSPRHIEVRPRSPGVTVQ DVGLPLPPESSEESELSFQPLIPLTSPTGTGDTPQSENPKSIHVSNEDLGAEPWEPPL EVIPDSQNEQAYQTALDDSVQVDRSFVPDSARQSRRFTLDKTEDPENDITRIPSSVPS PSPRESSAAQRNHSVVDGRSDSHLKEKSLNLQPVPNPIVSTSVLGKRKAPTYNDNPRT SNSTDPKAQSSSNLPSQKRPTLPQTTETISLSNLPIEIRTPDPPVSNEKFRTHITPTL KSLAERMKQSSRFTPKSQIRDLRPLERGYWFIPQITIIPDNLHSGKTNAETWTQTFFS QFWCFLRDLFTEGRAGWGIWCLLEESSPASTTTSTTKEGKTVNLKLYTWGEVAPHLYL LLFLATERRIKKMSDVEWRDARDEGVIVM TSTA_057970 MDPYDGPTSPGRGRGGDGYRRRSPGISQDRRRSGAGRGRSRSPP GIDRYEPQDRSRGNRADEYYTPTRDNRDYAPRDRDDRRRAASPAAIDRYIPGQDAGKR IVPTNPLPNPMSLDIQVGFTWFAEWWRAEQNIKEEKERAKHGGRHPLNRLKGEKEARE DRDKEKSLIQAAYDSYKADLQIKMSRTFVKQHRNEEWFKEKYVAEVRDPLRRQMMEFR RGVYDQWERDIDSGYFDEFTLEGIYKSESDGAGGVIEKEEGEATAVGETLGVLDLLPA RGAELRDEALSQPVLLIKTLAPNVNRIKIEEFCKEHLGEQDGGFRWLSLSDPNPSKKF HRMGWIILHPAAHEPALVERGDGRDEEGEEKEPEATTNGSKTASAAEKALEAVNDKTI YDPVNGDFVCHVGVHVPPSQPRKKALWDLFSAPERIERDLELARRLIGKLDTEMGDVD ACTKIEGKVEDLHQRGFLQPTVSSGASKKKNGLDTEMDDGEAEEGEEMEGLDEEDIDD EDLLVKKKKIDLMVEYLRRVHNFCFFCVFESDSVHELVRKCPGGHLRRPRSGLSNQAK QVARASAMGQPFPTKKKDASEEGEETPAAEEKRPQKLSKGEQQLQRAFNWVKTFEDKL LQILEPENVDLTKLGGKDVEQALEEELSKYVKQEDESKFRCKVPECSKLFKADHFWRK HVEKRHEEWYQNIKNDLLLVNEYVLDPAHIAPSRTDANSNGHFPFSSNHIQPGTPRGF NLAANMPYFNGSNVPNTFQGMHAVPGFMGVNAPGFNGMMTGDGTMMSHHGQPGVMRRG GGRYSNRSGPYDRRGGNRNNNHGRLSPVRGMPGMFGRLPGGGGSISGIPYVPPGHPAA AMLPAGGIPAGAGATGAGGTLDGHQAMGPREAVQGRSLKSYEDLDAVGGSGSGELNY TSTA_057980 MEYSPQYQQPHGQHPHAQSHMAGAYQTAQNAGSNVGNLTSPTNA QAHIPQNHQASPILPGQGHYQQSQNAPGAVHQQMNFAQPYGVTTSMQPAYGISPTQAA AMATAAASGQFYPLSQDQMAGQIAQGPRGSPRMAGVQVKNERGPRSPTQVSGQIPPMP QVQMSQNAQMQQNRRMSHVGSPHLPNTQPVLNHVGRPGVPPTMPPPQTQVQQAPAGGE AVAGGTQEESPLYVNAKQFHRILKRRVARQKLEEQLRLTSKGRKPYLHESRHNHAMRR PRGPGGRFLTADEVAAMDKEKGGQEGGDQAPKPAGESNSTAQKRKSGVADDNNAHPSK KNKQNTSAEESEDVESGEPTDEDG TSTA_057990 MEPKSQQPKEPSIADIIPELEQQRRILEDHAKKIAGRGENTRSE RKYSTKDLFVYPGNVQSDIKAVEYFAKHNKAHQTQNATNGFKMVYGQLLGVVRDVIAQ APREIRGILDMRGTLAMMLMWQREYPYESEEWTQVCDKIVNEWLNGIKYSASDKAKEE YVRLRQLMDHVNYLRRQGEEGKNS TSTA_058000 MITKVVLAGATGSLGSQTLVALLNQGFKVTVLTRTPKEFPAGVA VKVVDFGSTESLAAAVTGQDAVIDNTFTEDIETPLRLIDAAAASGVYRFVTSDYGLDP EIPGVRDMPVFARKRESYRAVKEQVGKSGMTYTLIVVGCFLDWCLSNTFAGIDLKAKS ATLFDSGDNVVPWTTLEDAGKATAGALLRPEETLNRPVYVHSAFLSQIELLRISQDVL GKGGWNTTCQEMQPLLDQALADLRSGNITPMTFGVQIQYCIAKRSLAHPWERDDNSLV GVEEKTNEELKALVRQLIAV TSTA_058010 MYRFSLLGLGWGTPCVPYLMPKFGIRLYKPKDIFREAKSLVVAI CMAYVTAETSMVYFSTGGKGTTAPTQFPDQSLHNRSLGLAILYQFFRETVCDTRDIAD DTQAEMRTLPIRIGKKKTLWLMGVLGCLLDAAITGGISINSVWDLGVNYFLLFGAVLR VGATLLFYSIVLGQPRENAMAWGTVSLLGLTPVIWAQKSLHGS TSTA_058020 MEEKHIQIFQSAPLLIGLAALTTVLAWFFHLIKPALLATFSRKY SSFKWVEERRGFFGFIRLSYKCVFHAKELFTVAYEKLRNTASEVFLVPFPHSSTGFLL LLPKELIAEFARQPESIISFHTYVRNAMHAQYSLFGDNVLDNNIQKPIVYRELYQKLP DKMQMMNEELVLAIKDVLDAKLNEKGEISINMWDTATAILSRASNRIIAGDPLCRNQE YLDAAVHYAISMFSLAVYLRFIPPFLRPLLAPLVRRPLSRDRDIVAKHAIPVIEERMK MIEDAEIKGNKPKVPNDLLSAALKVARKDPNSKLEYTPMMMVNRLLAFNFLQSYSNTL TMTNAVYDLISLPPEEFERTVTDIREELTRELKRPDAWSHDFVNRLVVMDSFIRESLR ANPIGEVGLERIVTRENGFTFSNGLHVPRGAIIAAPLKAIQQDSANYPGGFNPRRALE DPTHPTVTTISPVFLNFGLGRPACPGRWFAVNMQKLALGHLLLEYDFQRVEVRPLGVR KVTLVEPCERSKIVLRRRSVG TSTA_058030 MATASKRLVLITGASGGIGFELAAQLLAKGTYYVLLGARSVEKG NAALEQLRSHNLPDSVELLLLDVTKDNTIEEAAVKVRKEFGKLDILINNAAVAPMEGG TLREYMRIAFDTNATGPLIVGNAFAPLLKESKDSPRIVNITSGAGSISSRLNKDSPTY RLQGHQYRASKSALNMITACQYVEYESDGIKVLLYDPGHTVSNLGPYNKAEFGARAPV ESVIPLIDVIEGKRDNEAPKLLHNTGTYDW TSTA_058040 MGCDSKLQLKAWKTLSKYLHGSNEDQEYWWKLTGRHVASLLEAA EYPLEKQYECLFFHYRWTCFSKVPDMGPAPGSDGLPTKWKSLLSLDSTSIEYSWKWNT TIAEPDIRYVTEPIGQYPGTELRDIGKLESEAQMARRDGRDIKIDTQVIRDELFGFYM AGQETTSTTLCWAMRYLTANLNVQTKLRTALREAHVRAHRNGDLPSAQEIAQTDVPYL EAFIQEVHRVGNSVSSIVRVTTKDAVVLGHNIPEGTDVFMLTNGPNYKTPPLKIEESI RSKTSRETKDKFGVWDNWSIKRFIPERWLIKNEEGRVVFNPVAGYTHPYGAGPRACFG MKWAQLMLKSIITIIVWSFEFAPLPPAIADFKAIDVTTHRAEFTYLRPVPIH TSTA_058050 MGQLFFQVHLLAVAAIAVGVAARGGQGHGRHGTQPADYVIVGAG PAGLVLGEQLFVMEKRALSSLKLVRTASTMLPLILLRCTLLLDPFFDAVQNELGLDEV DMTDGRGIGLDRGLSSITAINRTRSYGRTTAVSVTYRVDGKATTIKGKEIIVSGGAIN TPRLLLLSGVGPKETLKPPGIEVVAGIPDVGSKLRDHALSIIELEVVPEIILHTNVNR TGILGSNNGFVYAAFRLPDSTWDGIDSSHHTSLPEDCPHILLEFSALPFLATPDSALT AWASLFQPEGSGSVTINTADYTVDPLIYTNYYSTEAERAAIIVGFKILMNVIQNEEIK KLTINQIYPTTPLTTDDEIWAVIQAQTDTFHHPMGTVAICIRVVDSSTFPLPVTCHPQ ATVYALANRAAKDIIAADADDEN TSTA_058060 MEYLQANPQRIKSWNAGMRLGRIGHRTSAFPFQKALELDPPVAE GAIAVVDVRGGRGQALEGIRQDYPDMKGRMILLDLPDVVTDATNNGSPQYIETAPASF FNPLPRGQELLENTKKYMDDYSRIAIADMVLADVGCLRDLAMQDINMMSLGGMERSES EWKELIASAGLVLNKIWYNQDGPKHAVVEAVLPAFKGHKLE TSTA_058070 MSSPTRTVLTTGCSDGGMGAALALAFHKAGFNVIATARNPNKMS KLRSAGVETMALDVLSDASIAEAASRVSTLDILVNNAGTSYSMPIADMDIEDAKRGFE TNVWAQIKVIQAFLPLLLKSKGMIVNHTSVVSTMGVPFQSAYSASKAAMATFSDSMRL ELEPFGITVVELKTGAVATNIIKNQKENTPISLPPNSIYAPAREAVESAMRNDKMSDV GTPPDRWAAEVVGDLTKKKPPAIIWRGYNAKTARLGTILPHGMMDSTIKKLTGLDVVE QKYLGSGLHFNTI TSTA_058080 MAMIPPSPPKSALGRHRLLSPNASVRVSPLCLGGMSKPFGFGTN WGMGLGECTKEMAYELLDTFYYLDGNFVDTANTYQGSQSEEWIGDWMKDRGRHNEMII ATKYAMTPMAGKSVNQSNYGGTGNKCMHISIEASLKAMNTDYIDIYYVHAWDYASSIL ELMQSLNALVIHGKVLYLGISDAPAWFVTKANAYARHHGLRTFSVYQGRYSAQQRDME REIIPMAREEGMAILAFGVLVNGYFKTLGSEGAGARRIPPTILIGREQQVSQVLDNVA KRHNVPLTSVTLAYAMQKTPYFYPIIGGRKVEHLKANIEALKLRLTPEDVAEIETGYN FDIGFTHNFINTAHHMIEGPQHVTILHDLGYFDYVAPPCCD TSTA_058090 MVDSLIIPPRPAGDPHKQFLCLTICGYKKEGMSEEDYRHHMTQV SAPMTKHLMVKYGVKRWTMIHNQEETRALMKRLFDHQMANLADFDCFSQVIFKDVDDY KRMKDDPWYKQHLVGDHENFADTRRSAMTIGWITEFIRDGEAVDGMKDYQAPTPLGTL IRTTQNVLPVIVVLYGIYRFTTK TSTA_058100 MSTISIQATAVVTGSFLSGAMMSLSLFAVPVLLDTTTEAPQLFF QWVRMYHYGHMALPTMAVGTFLLYSYAAVKKRSSKQPWRRFLIAGITTLTMVPFTWFV MVPTNNELFRLQRISLADPTVMPISEATELVTKWSWMHLTRSFIPLAGAVMGAMWTFA E TSTA_058110 MMWLNSIILLAMFTSPLRNLLLDLLSWRREQNVDKTGSEDYLSE VSGGVWEHSLVFVHIEQGLKKNPDGSAVISTFQSVDALPYLLSGESRVLDLDTTTITQ KVSFTKISAVSPFQHGTFSPLQYGAFTQPTRFEKKIDKPKPVIQEKQKPHISSQYLTI LYSQLHRTSLKLAAGMIANGVKPDSKLLMIIPNGGEYTLLLRACILLRVTYVSLDPEV LDISGFTTLKATIQALKPQVVVTPDAITGKSIDVAISELRLPKPIKICLSSSRFGHWR PLAELVHETLKCPIDEEALVAAARNDNPNRIHSIIFTSGTSGMPKGCPVRISNMSHML HSQSWLVDEVNGTLALQQAHNSRGIAPAQTMQTWKAGGAVVMTGQEFNVRKALDAIIN LHITFIVLTPPMIHEIIAKLTANPVDISSVKRVQVGGDAITKGLLLKTASLSPKAQVC VNHGMTEGGGSFIIPFFGEICPIGVVAPGATIRVCDTEKNCIVKKCQFGELHITSGSL IKHYFGGRSEASFYCDHGVRWFNTGDVAMVNEDGLVSILGRQKDMIKRAGVAIMPAAL ESSIAAFIGSQTIVVPIRHPIVGYEPFAALNSNNGKTQDQIKEHVRIAFGKDYALGGI VSLKELGLL TSTA_058120 MPHFGVQNVGQIVAAALIEPEKSKSHEIELGNENLILEDTRQLL SKASGVDIKTRVLISKTSVSGAK TSTA_058130 MSSYPSGNQAAGMGIGYNDQFARQYEAPAPEQTKFNGFLKALGA GTKKTKGDGQPPKRRGPKPDSKPALTRRQELNRQAQRTHRERKEQYIRSLESELSRLR EVYSVDINAANQKIKQQQEMVQSLRTENNRLMGILKECGIPVQPQVEIETQETMNAQL GATSYTVGTSSVASQSAGFQSQPGFLTTPPSTFSSPHSAGGDGDDRAGSRSGTGGGAM PMIGTSFQTGMNLGDLDYSASSEKDQAIPAVPGIFDEDPQLGIDFILQLESPCRDHTE YLCREASKDVERDRFFSGHALMASCPPPNHIENVPEGNLYPHQTYELPLPNLEKLLNL SKQLITDGQVTPIMILQSLKNHDQYRHLTKDDVKAIVDTLTAKIRCYGFGAVIEDFEL RDCLQNVLGTKLYHGRSPPSKVGDDALYR TSTA_058140 MAPISIADIVSALPSEDEWGPPTPSETTLDGVPYAPFSKGDKLG RMADWTAEGKDRERGGRQNYNRNFRDQQVYGAGASSLFAVQVAEDESSFSVVDNTRTS TKTRGFGRGGGTIFRGRGQRGTLQRGGRAGFQRVGAGRGQDRGYDQRSGRGGRGRRFG WKDYDKPQRNRDSSVNIRPDWALLEEVDFNRLSKLHLESPDGEDLDNYGFLYAYDRSY DKPPVKGSERKLQSLDRAAYNVTTSSDPIIQELAEKNEATVFATSDILSMLMCAPRSV YSWDIVIVKQGNRIYFDKRDGASIDLVTVNENAADAPLEASEAGGKQDSINTPGALAL EATVINHNFALQTVIESDKDKVEFPHPNPFYNASEETEPLASKAYKYRRFDLSLEKDE EPLNLIVRTEVDAVLKATTGADQHIVIKALNEFDHKAQGAGGALDWRTKLASQRGAVV ATEMKNNSCKLARWTTQAILAQADHMKLGFVSRSNPRSASSHVILGVVGYKPRDFATQ MNLNLGNGWGIVRTIVDLINSLDDDEDEESEEKLKKYVLVKDPNKPVVRLYNVPYNTF EEEEALVEEPEEKVEEE TSTA_058150 MSVDSSYGISHGSPGLRYSQPNQYVEPRGLVARARNAAYTYVQD SKNQAGTGFRYGRNPGLSWQKAKSLLRGSFCVANFLAALWMFTLWWGERTVFREQVSQ CYWDSWEKWPANATPHHVVFIADPQLVDPHTYPGRPWPLSTLTVQFTDQYLRRSFSLI EDYLHPDSVLFLGDLFDGGREWSTSTSQSPEERYRKYGEGFWLKEYNRFTRIFFNQWN KNGLPATGERRGRKLIASLPGNHDLGFGSGVQLAVRRRFQGYFGRGNRVDVIGNHTFV SVDSVSLSAMEQPDETGSSGIGAGDGHQPNQAIWGPTEQWLKGVKDMKARLETEELRS IRNQTEGFKLVQGLQEASADTVAHRTPIESQGLPTILLTHVPLFREPATPCGPLREHY PPSTTDPMPEEDEANALKIAGGYQYQNVLTPAISNEIMSRIGPGVSHIYSGDDHDYCE VTHREYTGSPKEITVKSLSWAMGVRHPGFLMTTLWNPIDPSNGKPLAETSQPTIRNHL CLLPDQLSIFVHYGIIFFVTIVILTIRAMAITFLSFGKPTNYEPDLPISELQAHSRTS SRTSSPPYTSVTSATSGGEPRLANRNNSTLTDPTYRIDEEVQKSTQRYEDWKKPHGGS DWDDDDRGTAKLLSYSRQSGRECVGPASSIGRFAIELKNSVKQVAIIVLPFYFWLLWN W TSTA_058160 MMASALYHLAALLTLSTEIYAYGITGISGGVNYDTGERPARRDL RDLQSSGAAFDLYIQALAQFQSDDQSDFVSYYEIAGIHGYPYQSWDGVEGQFITGYCS HGSPIFPTWHRPYLALFEQRIWQFAQSIANAYPEDQRQTYIDAADTLRIPYWDWAVNP NMPESLVYQSIVINTPNGQRTMDNPLYSYKFHPLPLGSDIPNDDPLAKYSETVRSPDP NTGQSRMDKVNSGMSSNSAWLTSSTYQLLSSETNYTVFSNSVLQDRGDNYNNLESIHD GVHALVGDGGHMTYFSMAAFDPIFWIHHCSIDRIFALWEVLNPNSYIEPMADTYGTFV IETGSIEDINTPLYPFHRSDEPNDWWTSGNSRSTREFGYTYPEIQDWGVDQSTLQNNV RTAINNLYNAPARMSPAAHNKRDILHDLENVPHDLTSMAANKVTGQMTKSQFDRLGVN NMVKNWAINVAVDKHALNGNPFQIHFFYGEPDTELKPTEYFKAQNLIGTYRTFTSPMS SSSSSHRRSSDEVSKLSTGQISLSPLLANAAAAGILPDNTLAPVDVVPALSDNLQWRI TDSTGENEIPVQELADNGKLRVSVVSRDVEPILAGEEHLFPRYGEWVEWEDVTRGKVG GV TSTA_058170 MVRTAERTEKHSGYILRAYSNLHIQQSANIRPLNAQVCAWSYQN RSEVATSLAVMTEPNIARSLAPAPPGFQRRPSAEPQRQRKNISTACTACKARKWKCTG SAPCNNCVRSNTECIIDELSDNRRRLGLKRKLEELTEDKKLLVQLVETLRYSSNEHVM RLLDLIRNKSASLDEIKDYFDGKVTDSEFDTTPELQEVHDRLEARASRRSSRRLLDVT WLSNIPVVEVPAHPWTTVTDDDGLVSFLISLWLTWNHPFCNWIDRDLFIRDMRSKNTN CTFCSPFLVNSILTKASFYCDYPEVLDDVNDPESKGMHFYREAKELFERQDGRITIPT IQGYATLLTSTALIGKDRLVWLSLGQLGRMVADISSSHLPMQLNSDENKRVEGRAIDN TIWGVYNLTAMFSTIFMKPLDLKKPLRPRLPSDHDDEDVSVVAWNPYPRHGDDQPSHL ACVFNSLSKLNELNVEATRRLLKDESRKAMSRPDIEIVVSSIFPRLQAWTISSPAYSS FAETCVESALQIAQMIRLYRSKWSIEFISGTAVYWVSIALFVLLDDLENPSNRRAFID LCSVAMALSKQWFLAKGILRMLQITAKQMRVSLPPETEPFLQDFDSDMWRQERGRRRL SSAFPNFSLLFQRVTGAGGGLNDPIDLDKYLEKLDNFSKLEDLSRLEIAHSPPVTPS TSTA_058180 MKTGIAAAAVAGLLATSALASPTSTHSDWNGNESSNKHTSAPWG YKAGSPQSVANMKKQIKNVVWILLENRSFDNILGGVRGRGLDNPTNNGDYCIPQNVSQ PQGKQWCTGNKDFDSVLHDPDHSVTGNNFEFFGQFAPNNADVASGKVTATQKGFVDKQ LRSYPSITPDLAAKEVMGYYTEDEIPVLVNLIDNFVTFNYWFSCVPGPTNPNRLCAVS GTADGHGKNDNDFDVSAININSIFQQASSKNISWLNYDGTNGAFLPDSLFFNWTAANA KSNVVPVENFFQDAYLGQLPQLSYINPSCCGLNTNSMHPNGNVSYGEIFVKQIYEALR NGPQWEESLLLLTYDETGGFFDHVPSPAAVRPDNKTYTEKAKDGESYTLTYDRYGGRM PTFLISPYVLPGFVENYGVNPATGKPEPYSATSVLKTLGLLWDFEDFTPRVSNSPSFD HLLGPFPEWKAPQLAVPKTF TSTA_058190 MSFTSIPSTSTTTTTESKHSKVHKFAKQAQKLSRGGARDEEQRE ENVAIAARFVTPRDAIIETVDGERLPAVPVEEAKKLNRLRDEAVDGEEEELAPNAGNE EEVETKDAVIESRRESDEREQSPESHGGPLECVKPPAHTHPLFPPVPLYGPSSLLRTL QCQTFRAVSFCLSLSFLGMILMGACMNGFARGRYLDAERPFYKEELERESVRREAAKR WERRQKTNTEQMERSKEQERIRNAEDPESDDEYPPLEGGRDPIVCDIGYYARRVGLDV EAFKVQTEDGFILTLWHVYNPNEYTPLPETERDFRQPDVFRDRKARDFPAKNGRYPVL LIHGLLQSSGAYCVNDEDSLAFFLCKAGYDVWLGDNRCGFEPRHKTLKYSDPRMWCWN IRHMGVLDLPAFISRVLYETGFEKLGLICHSQGTTEAMVALAEDQRPDLGERISIFCG LAPAAYAGPLIKKAYFRFMRIISPSAFRVFFGIHAFIPLMMTMHSLLPSRLYGALGYM IFSYLFDWSDKRWDRGLRDRMFQFSPVYVSAESMRWWLGRDGFAVQKCILATDEQVLR EDEEDLQIDENHIVDSDDIAEISSAPASTSSDKQKTAWYGPQTPPFAFWVAGSDGLVD GRRLLRRMHNGREPHVNLVHEKIIEGYEHLDVLWAIDAIEQVSKEVAEVVWRTVPENE KGKCRVPRL TSTA_058200 MATPIETRLFINNEYVEAKSGQTIQVHNPVDGSLIASNIHVAGE SDVDDAVAAATKAFKEGPWSQFTGTQRGKLLYRFADLLEQNLDEVVRLESLAMGIPVG GAKMIAQKIPAYFRYYAGYADKLEGDVFPPEEGSYNFVQYEPLGVVACIAAWNTTYLY YAWKIAPALAAGNTVIFKTSEKSPLGGLFIGKLFVEAGFPPGVVNLVTGAGQTGHLLA AHPKIRMISFTGSINTGRKVQEAAAKSNLKKVSLELGGKSPAIVFEDADLANAVPNLA HGFLFNSGQVCAAATRLYIHESISDKLIPILKSTFESISQGLGSSPLDPNTFIGPVAD SAQFENVMRYIAEGKKTAQLITGGNQKGDKGFFIEPTIFYEPNPNAKIIKEEIFGPVL VVQTFKTEEEVIAMANDTEYGLSASIYTENISRALRVASKIESGSVAINCAFRPEKST AFGGYKQSGNGTRESGKYGLHDFMQAKTIHVKL TSTA_058210 MFKQGKAPPREISIDKAGDFLERVAQSFFTVDGHSSRKLMPYPH DPFKRPALCMKYSHLSVKDRLDNLHEFSDWEKNFFESNTNTFGSALGKDTAFTGALRW YALGGNSMAGLFEMAVFYKIENGCMTSFARAILGDYKGDMLFGAPIKDVTQNKLGVRV TTKSGQDIKARYVVSTIPFINCLGDVKFDNPVSPIRQSAIRKGHINKGAKIHFRLKAT EPGYGHLGDKENHRQIIDHFRKDIHPSAAVEAYVTHDWTNDPYTKGGADWEVGWRGFV DGAIEQGHQAPQSVVAALKLELRPKLIQMDTWLWGSQ TSTA_058220 MAPLSEGSFWTPTESMTGLGHAKDYDLGTGLETKTVQKSSPVLH DSYGVVVIGAGFTGVIAARELNQRHNLRVLLSEARDHIGGRTWTAKVLGEELEMGGT TSTA_058230 MSIEAKTEYTVGDAEADQGVGQSNHVKPKFGKLSMLSLSVSLMA TWEALCSTMVSGLVSGGPPALIYGFIIAFVGSLATAASLAELVSMFPTAGGQYHFISK LAPLHMRKGLSWLVGWISTFGWIAIAASAPFSSGTLIQGLLVLNYEDYVFHRWHGTMI YWAILVISAIANIQGSRLLPLFEYLTLGFHVVAFIIVLVVICVVSPTKHAPEFVFVDV INNSGWGSTGIAWCVGMLSSCYILVGYDGAIHLCEEMTKPRTDIPKVMISTILINGTM GFGFLVAILFCMGDLNSALQTTTGYPIIQIFYNITGNVHSATALSSTIVIMAGISSIP LLTSTSRMIWVLARDKAFPASSLLSKTNERRQVPANAVVLTSVLLGLLGLINIGSTSA FNAIISLTVFGLEISYLIPICFLLYQRVISPQSLTPGPWSMGGYGIWINALSICFLVF TCVFLLFPSYQPVTAANMNYASLVFGAVCICSGAYWLFKGRKVYEGPIFSELVD TSTA_058240 MVLSEVQPSRTIRPHTKSRQGCLNCKARRVKCQETRPQPYYNCA SRSVECVYPPENQLGRRRNGSRRNSDRKGPSRLDSLSSTSTIRQDSAPSEVELRSHSF TSPNTFIGEDFRFFHHFLITAYPHLPFQSEELWKTSLPAYAHECPHLMHAILCLGASH LSLISPKGDTYTTLAVEHLGSALTTGDRCTRTELDLILATTYALTFQASYMIGGLTDF AFMVRGCAIITRHILNQYQRSEMFDLLMPEDVYAHVWPRLSAEPCYNPEILDTCIQTL ESLQPLLQQDSHQLTYQAISSTYRTMKISAREGFIAFTFVYSSWEHMSNQEFMDFLDT SNHVSSLLLLHFVTVTIMMRPVFGMLRPSILETSKDALANHQWGANIYESLPEEFRGF VEWQYRFIAADKACIESEGTIHAIHHNNDHLML TSTA_058250 MGRSIYFYEPSLAAAIIFTILYMLSLFYQAYVAVIASCTGKYNQ AGYFIPILIGAATEVAAYAIRAASVKKPDDVGLYATSATLIVIAPVLVCACLFLTQAS GSGIASSNNWTGSSKDAGIGVLIGGLVLQLVTFVLFLVLVIWYNARLAPLREERRVPV TSTA_058260 MVNKMIIDLDVPIQVDDGIVLRADVYRPEVSGHAAGLFEGLHGL GNTRSRHGFPGDKFACVSTLGDVATRQANSTLFEPVKPETFTNVLSGQRNNLGAMAKL A TSTA_058270 MSMSLPAALTPVLSGREAIIDALYRCVSAFADDAVFDLNGTIMD GIDAINAQCFASISKMDTTHYLTNIRINILDGSKAEVTCTALAQHYHGGEGMKAGAIP LLAGSFYWVDLVRDAGDGLWKVKKWKLKTSWGQGDWGVFKN TSTA_058280 KKILFKKFLNCECSPIITSYFNRGPLTTTFTPPTTCLQTLSVAS FYLDTTPVSTTFYGHFFDDTGYTCLPTGTVPGTLLASPTYWGTYWYSPGLYCPTGWTT AAPLAGLWNGLEVTGSTSGAICCPS TSTA_058290 MSFYSNYHECSQVISARDTITIATSGVVVTSTLATPITVYADGV PLIWEKSDIPETTSASITPLSTQTSAVTSATATNSPNDNRSGGLSQRAKIGIGIGIPF AAIAIGVAIFFCFLKRHKKAKDERSAEIHISPRGHGPFLELANGKSSGPFELARISEY HQDIALSAIEYHK TSTA_058300 MIIKMAASSQSIRRLWHMMFLDRAFKDTSKGPKGAVTLLQILEN LQILKNLLLLHGYWIWEDVAYHHKYQLVSLSTASIGEKWVNRFVKRHDELSAKYTRKY DYQRAKCEDPELIKDWFERVRDTIQKYGIIEQDIYNMDETGFQMGIISTAKALQPGNR EWVTAIEAINTG TSTA_058310 MTLSSLLLASVFSSACLASVWDFDISVRNTYRTIQWDLGAPGTP YNLLFDTGSATLWVLDGNCTDKCPNISGLPRTTYNLTSTGEAFYNTTDTIDYDGGEVS GYLVSDIAGIPGTDVSFRQKFASITSSTWAGLGADGFLGLASSSIAFTNTTAPFENAM QLGLLDQPRFAIYQGTALPTVANQSPENDGVLTMGGSHEDLYADGEVQFFPVETPFEV YKAKFLGLSGSNHFPGQNEQHNSLNWAGDMVFDTGSDLIEMPESQIEAVYNMTPWTYN QLMSGYRPLCSDFNSTWSLSFTFGSDDDHKTFTVTGDQLATPGYVDDDHCFPPFNPWG SNNIILGARWMSNFYSVFDFGSFDPSNYDLRIGFAPLKKEYQPKI TSTA_058320 MPLPVASIYQSTRTANLSWNTVPALRPYLFQHHQRGQRGQRASA GGPVGFLKPVLYQNPEAFTDEIILDAVLRTSAQLKAGIQSLG TSTA_058330 MELPVRIAIAQKGVHIGHDLLMEIFDPKSEKYRHYLSAKEVGDM FRPSSEYISYFRKWLRNSGIGLDRHPVSAGRGWLKFNANVQELESLLLTEYHVYQHHE TREELYQIDVILFQVDDLKATETYQGFANTFLDAIDMSYCTFEGGDDPLFDPQERCGA QTIINVISVSYCIAEGAYSYFYINRQCQEYMKFGLQGFSIIYSSQDSGVESGGRIHPD NVNKTTLAANPGAFSPGWPAACSCVTSVGATKINPGESFSESAAPIPGGDLYSGGRFS NL TSTA_058340 MIWTQCITTTLLLSSIKAALAAPAAPSAATNPLRGDENLIGYSS TNTISNENTETISYQLAPGQTEAAIVGLYLDFDDVEDPQPLRGDKGGTNPGPRNTEMN KMYSDKYAPPGTDSGATVNAQWPLALSGMILGKEKSGWSRQQNQDVLPAATEMAGVNM RLEAAGYRELHWHVAAEWAIVLNGSCRIQAVNDNGQTFIDDVGKGDVWFFPPGIPHSI QALENGVEFMLVFDDGSFSETNTFLATEIFAHNPRDVLSKDLSVPVSAFQNIPQDQLF IFPGTPAPTNISIQNITGPAGSIPQPQSYSYHFSSQPAQQLEGGSVKIVDPLSFPIAS GFSAALVTINPGGMREIHWHPSSDEWTFFIQGRGRATLFTPPSKATTFDYLAGDVAYF PKSNSHYIENTGVEELIVLEVLKADHYSDVSLAQWIGLTSKQIVQDTLNLSNETLSQM TTEKKIVVS TSTA_058350 MALSKSNRIIILLVIDTAFFLLELIVGYAVHSLALVADSFHMLN DVLSLIVGLWAVKVANQESNSKTYTYGWQRAETLGALVNGVFLVALCMSIFLEAIQRL VEPQEVKNPKLVMIVGCFGLLSNILGLVLFHDHSHGHGPEEQGHEHAHSEEGDLHAAE EGHIHEHTTHKMADERETVASIMPQNVVGVQRTDTEDVGERPQIIGNENPPASPSNTA RSRGQASHRRTSRGSRGFANLDDIYVHPGDRYQNIINASHIDDESTSGTESEDSGNND SSANERTQLLGSQARTPRYIDGDSVAASKAAKVDTHRTHNHAKPKTAKKGHGGHSHGD LNMRGVFLHVMGDALGNIGVIASALIIWLTDYSWRFYVDPGISLVITVIILCSAIPLC KAASRILLQAVPAGMSIDHIQEDINSIRGVVSSHHLHVWQLSDTKLVASIHIQVGSEI KDEGSDSYMDIAKEIRRCLHAYGIHSSTIQPEFTPDTDTESQNGASPSHSGDNPRMSR IPSSASSFGDACLLDCGDECPRGQCCPKK TSTA_058350 MSIFLEAIQRLVEPQEVKNPKLVMIVGCFGLLSNILGLVLFHDH SHGHGPEEQGHEHAHSEEGDLHAAEEGHIHEHTTHKMADERETVASIMPQNVVGVQRT DTEDVGERPQIIGNENPPASPSNTARSRGQASHRRTSRGSRGFANLDDIYVHPGDRYQ NIINASHIDDESTSGTESEDSGNNDSSANERTQLLGSQARTPRYIDGDSVAASKAAKV DTHRTHNHAKPKTAKKGHGGHSHGDLNMRGVFLHVMGDALGNIGVIASALIIWLTDYS WRFYVDPGISLVITVIILCSAIPLCKAASRILLQAVPAGMSIDHIQEDINSIRGVVSS HHLHVWQLSDTKLVASIHIQVGSEIKDEGSDSYMDIAKEIRRCLHAYGIHSSTIQPEF TPDTDTESQNGASPSHSGDNPRMSRIPSSASSFGDACLLDCGDECPRGQCCPKK TSTA_058360 MSYGDYQNQGRDQYGDQQYRGNYGASAPDFSDVVNHAENHGGSS SSLFSQAASFLQQRHGDIVNDSPIDEDHVVNSHRRFYGGAAADNAGPASSNELGAGAA MQALKAFTGGGAGGGGQNQLIGLAMAEAEKLFDQQSANGNASGDKQSAINSAAEMALK MYLKGQGTGLGGTGGPSGLLGLASKFF TSTA_058370 MVSPAAMEGNGQSRRPAGGEKRSRGFGRSKGEADVGDGRKARSK PQVKKAAFESTKKKEIGVSDLTLLSKVSNEAINDNLKLRFENREIYTYIGHVLVSVNP FRDLGIYTDQVLDSYRGKNRLEVPPHVFAVAESAYYNMKAYKDNQCVIISGESGAGKT EAAKRLMQYIASVSGGSDSSIQQTKEMVLATNPLLESFGNAKTLRNNNSSRFGKYLEL EFNAQGEPIGASITNYLLEKTRVVGQITNERNFHIFYQLTKAAPQEYRDNFGIQQPQS YLYTSRSKCFDVQGIDDASDFAETLEAMRIIGLTQGEKDNIFRMLAAILWLGNIQFTE DDQTHASITDQSVVDFVAYLLEVDSAQVNKALTIRVVETARGGRRGSVYEVPLNTVQA NAVRDALAMAIYFNLFDWIVDRLNQSLKAKQAVTNSIGILDIYGFEIFEKNSFEQLCI NYVNEKLQQIFIQLTLKAEQEEYAREQIQWTPIKYFDNKVVCSLIEDKRPPGVFAALN DACATAHADSSAADQTFVGRLNFLGQNPNFENRQGQFIIKHYAGDVSYSVEGMTDKNK DQLLKDLLNLVGSSSNDFVHVLFPNQVNQDDKRRPPTAGDKIKASANDLVTTLMRCQP SYIRTIKPNENKSPTEYNTANVLHQIKYLGLQENVRIRRAGFAYRQTFDKFVERFYLL SKHTSYAGDYTWTGDAESGARQILKDTGIPAEEYQMGVTKAFIKTPETLFALEHMRDR YWHNMAIRIQRAWRNYLRYRIECAIRIQRFWRRVTGGLDLIKFRDQGHKLLQGRKERR RMSLLGSRRFLGDYIGVGNDGGPGEIIRTSAGISGSEKILFSSRCELLVAKFGRSSKP MPRILVLTPRNVYIIVQAIVNNQLSISAERTISLGAIKYISTTNLKDDWFSIVVGSQE PDPLLNCVFKTEFFTHVSAALRGQLTLKIGETIEYNKKPGKLTTVKAVKDPAVPRDDV YKSGSIHTGSGEPPNSVSKPTPRPKQVAARPVTKGKLLRPGGPNGGPSKLSGNRPNGT TPRPVPTPQALPGATQPVTAHPRVVPQPVAAVAASHNRTSSQASVRAPPPPPPPAPPA AAAAPKKPSAKVLYDFSSDRPNELTIHAGEIIQIVSKEGNGWWLCMNTTTSAQGWTPE AYLEEIPVTVAKPAPPPVAPKAAATTNGHSVAAAAKAKPAPPAPPAKRPSAAGRKPLA PPTRDSAVSINSQDSSGGGASGRATPNSSSNASLAGGLAEILRQRQSAMRGSNEDEDD W TSTA_058380 MTPTTSQVNLGHTIQTTDLIVQLVLKFYPIYRVEKAFMTTSMQD NSFREMDGVPLALTSAGMYLQHTTMSVKDYPQIYQDLWESLHK TSTA_058390 MESSSISSFQHGDYAVGWISSLQLEMAAAKAMPEEIHPRLSQPQ HGHNRYVLGIVGSHNVLVACLPSGIYDATSAAVVAEQIKPSGLLPNIVQYDSGKTITL GRFECTGTRNRPPQIVFTTLSNLQSNHRRGKARFHEYLCEVLENVGDTLFHCPGQESD QRIVTESRDDCRNCDSKGTGITRSTIIYCARGTLWNYRVGQPSHEAR TSTA_058400 MSLTLKLSSLVIRTLSKPIANKIKAQAREHERFRRLCVSMAQAI HRIDMRLKLGVLRDTAAIEEKAAKEAAEAAARKHRSKVPTAKTEVEVQAEKEATEEAK KKAIEEVKAKPLPRIRPLSESKAIDSGANFISETFLFLVAGGLIVFESWRSRRKETSR RSDVQDRLVELEESEKAARRALGILEKELLEIKAQQAKIPVKQMKRILPQEVWEDEVQ EVAPIQEDQTWLSKIRSYFPSSTNTTQTSPAIPTPTEEETTATASDSPHSIIPSLLKP GHTSPSPKDS TSTA_058410 MEPLSPRSTNIPLQNKPSAAYKKVDRHAPPAPVAAKAAPSKIHA PPPPSIVREPGEDGEEYSTGLFLGKGGFAVCYEGKLVRNGRVFALKVVRSEMTQKKMA EKFRTELEIHSKLRHPNIVRFHRAFAYFDCTYVVLDLCPNGSVMDMVRKRKSLTLPEV RRFMIQLCGAVKYLHKRNVAHRDLKMGNLFLDRNMDIKVGDFGLAAMILSEKEAKRRQ TLCGTPNYIAPEVIDRSKGGHNQKVDIWSLGVICFAMLAGFPPFQSKTQEEIYKKVKN LNYVWPKDNECANDIPVEAKTLVSSCLNLDEEKRPSADEIVDHEFFNMYPGCIPRSLD PACKQMKPVWLKMEEPHGDRMIQGYSLEYESKYRSRAAQIKDPRERYAFCREAFYAEC GVGFKRDGNPRKCAGTHSSKSVFSETSAETDKGLSPVIPLPADFVYKYPVWADGDWSV PDNAVPSATDFSDSNSSDDSNDQLSQSDTTEKMNAASVARTQAALAAAQSRRLEAKPK SHAATLRQQALPLRTSSRQASTMRAPPATTTQSRRTPSGSRDMTPDSPPKGLAQRPVR IPRGVAASYSATIRDLDRLVAPPMPKSDSVPNGLGMGKTRSQSRRQYETAMDRPLPPS QDEVRSATPLNDNIVARSSRTRNMRAPASGYETRPERSETPVEPQQIYKSSQPPADAV QNHARTSSKSSTSSNKPRSTLGASPLIHPNEKFDLIPRSSPEDVVVDIKLMLRNMTPS ASRTYRSHFKRRPHPYVIKWVDYTNRYGIGYILDDGTVGCVFKGEHGQAASGVILRDG EKHIRRKSRCLENRDSAQYAYSEVDQLVPRNGKPIEFYENTEHGSTEVRGMKRVLVRP EVFEVKVSTSGNGAMGIKVRTDIPPEQAKSEAEKVKRVKLVDQFGKYMIGSLGRHGAD DATSDEPSMTKESDVCIKFYQRLGNVGVWGFGDGAFQFNFPDHTKLVISHSKSRGSSP WIDFYHLSPSAARYLAAKGKMHPSGFDTRAVASDEAATFLAVANESTDTGGDGRLRDV LHANCFMRKMKFVKEVLSTWVYYGRLGGRPTTSTTTGNTQPTEIFWDGPQERPSSGVG KYVWVTVGAQGGDGEYICMAPNAPATTASEQGTAGLASSTSSPQKQRYQLQNGAGQRT DRAMAGTMRGGMDRN TSTA_058420 MAEVIADYEDDTISPRATRRNDGEQWNNSPDEEEHPQTQVNEEE QPCTRERESQTERTNLPRRESIESFESISSRAREATELDEIRRMSSESTSEGSLSSGE YRVTPRHSGATTTVTSTSNNTTRGSRNKNKRFQAIRRFWARNITLEVPQKGNRDYFGI SFILEIHGKALERTFLAYLRTSTTFSIQGVLVAQLFRLQTSNSQASGRPPSRVNFHSV GVPLSVAYQVCAILVALLGAYRFWRQQNAIARGKVLAGGWELHGIGIMTFLAGVVLLV LAIVIIAESS TSTA_058430 MPIAALPPSTAQAIGSTSVLPNPCAVVKELIDNGLDAGATSISV EISPNSVDIIQVKDNGHGVASEDFENLCKRAYTSKIRTLDDLRNIGGQSLGFRGFALA SATDMVDSLTVTTRTKNDVVASVLEYDAQGRLVSTEKASHTVGTSVCLNGFLKKIPVR RQAAVKSASKTISKIKKLLQAYAMARLGIRLSLKVLNGRNEKDNWTYAPSSTASIVDA SRKVVGVETAGQCESKAWPENVEDSIPSVNLLAYLPKADSDFSKVNNSSQYISVDGRP LSSDRGTAKEIIELYKSYVRSAAKATGNSTLGDPFLSLHISCPPGAYDANVEPAKDDV LFAEREELFQTVEDMFKATYGEPQTAADSTKKQPSSVNGFSVLLRQPAIQKPVSPPTT NQLPTLTRESRNVEDLAATLAAHSTDDSLSEAEQPEVASKDNGINPWSIAKTHFFHHS SKSRQESQFVTPTRPSFRPTGGRNAGATQSSPSEISSPYSQQSSPDDGPCTSRRSISS SSREAGRSNSYTRPSRERDRERYGNGSLDTWFIKNTGPRLPNRSPGETLDDDLETESV MLDNLHNQTTEKSPRITNKPFKVPLPNHSNKSQSRQPLLSPGAEPISAESERRQEFPV MEEWSARLHQNFPDDQSVFSSQDTERALDFERRKKAANLARRQQLLKSRQTYLASPAS SQPAANSPHTNRYLAARVTHLQYSQESQSSQLQKVQSTSSDGFMKENDPRKYLMQHQS ELRSSRANDATKKQKPIPTSRFPLENIPKGFDMHNLGMRLNISLPLLTKQCSFMAGVD CFVSHKGEYSPFGPDEPNLPEICKIWEVTIAKLIKEKYRLKEVDLYDAAGGSDEAFTE GIDIYTAIQSNLSR TSTA_058440 MAGESLDVGYLAIPAVSALIIFLSYTSQFLFLFLEPSPLSPAEI FKFNALVACIWICYYRACTVDPGRVPNEWTPKGQNISLDDNGDYRNDGKCAAFKPPRA HHCKICQRCIPKMDHHCPWTRNCVSHFTLPHFVRFLVYAVASMAYLESFIFTRVGIIW ANRDMPSYLGPSIPALCHLFILLVVNSLTLFALFVLLVRTLWSIGGNVTTIESWEIER HKTLLRRARYFGGYLDGPDGIKVRIRKQEFPYDVGIWTNFRAGMGGSWNVLSWFWPFA RTPDRASGLEFEVNEFEDLNLSWPPPDPDRIPRKSRPILTSADPFTIPHYDSAADEMA AFRRRQEEDIARRAGINDGIVRRRRKFHERYNKTNKSAQENESDEEIVQDSEGDEVEE EGQQSSSEVNDRVDFDEGEEAWRNAEGERLDDFGVDEDVEFYDEEDVPLSILKERIKA GL TSTA_058450 MTEGLKLIMEFSCAEILRAGLYGRIYPGFATVSPNGSTDDVSEN SLGPPAIESTVNVMEGLPARECTSDEQVAKYVPWDIR TSTA_058460 MNVEHVHFVHPLDLQAIGPERTFLLGAISLLGPGTHNVIYPSFI KVLSKQNRNDMKLCPNGTEIEMFYPDLLNDYALQLLLDEQRSKVYRPMFNRASVLQAL AYDQKIKVLTATAKALHQIIPDQNGEDTTYDYFEIYIRHYDYVLGLATNYRTFERDEL LQIRMPVEFGMMLRNFAGFLREVGHNENAVYLAAIGLKIIRDYECDSTKMSTEASEEQ LDQLKAHFLSIRGYAAIRACQYNMARESLEGCIEIRRNFVGLVAKVDLLLARGHLAYL LAAEGKYSEALDEYKSIFKKFDDSDLMDDYAKNSAIQIATMIGILHTIKGNLDQAQSP LTWAGPTTLNPIRRTAVEHALGNLRLAEGKLSEARKHFMTCKHAWRDEGTCMHHNKTG LEYAISTQYKLAIIDVRDTQPGGGPSAAK TSTA_058470 MGEAGIFQNEHLLILRRMNWPDSWLDHIRRRFPRLEITSLDIDT SKPLEENVPKDILERATVIALMTQRHLPLPESVPNIKLVHTFSAGADGLINEPYIKNT DLPLTTSSGIHGPPISEWVLLNWLVSSKHYNSLYEGQKRHEWISRQYVQVTQSDHVGK RVAILGYGSIGRQIGRIAVSLGAKVYAYTASAHETPESREDRGYIVPGTGDSDGIFPV EWHHGTSKDDLRRFLRETKPDHVVISLPLTTATYHLFDSEEFEVWSDALSPSTISSAN EGEKFPPRKGFLTNISRGKIVNTDALLTALEKEQIRGAALDVTDPEPLPADHPLWDAK NVQISPHISWSGQEYFVRALDVLKINLEKLERGEELVNLFHRRRGY TSTA_058480 MASPIADTLVRPSSISPPPPVYLRASPPPEPPAYDPPAVPNINK SEQSAAPETRKKAVPVSALTFNHEAQIQRSPQSPVRIDNSVFEHQAYSAVVEGSNDTL DLQLSHMNISGTVDPATSSASRAALPPRVDSMPRQVVADRHTSASTGSWSLVGSNEKD EGSKQPSTDRQGEMSKPYNNSNSSEASLSSSQQMDYQPLQYHHRPLRDSPPGRQSGSS STEYLPQNQLLSPRQGAFGTPRPLSVYSSTSDLRARSPHGRNVSGHSPDSRPKSTYVE MLNTPYPQQVPSPSAKDNEHLRMLLGNNVSLLSHKQTFEMYLANVKKTNDPAVQYEFA VFMISAIQEMYPDEDSVTQVPLDKQKDGAPDVTKSRLLLEAKAILQRLADRSYPFAQY YLGDGFSSGLFSKGKQDYDRALPLFVAASKHGHAEASYRAGLCNEFGWGCRTDGPKAA NFYRTAATKNHPGAMLRLARACLAGDLGLGKREREGLKWLKRAADAADMQYNAAPYEL GLLHETGYGQDIFKDESYAAQLFTKSADLGNRDANFRMGEAYEHGQLGCPHDPALSIH FYTAAAQLDHPQAQMALCAWYLLGAEPILERDEMEAYEWARRAAEQGLAKAQYTVGFF TESGIGCRRDPLEANVWYVKAADQGEERAKHRLAAIQAASEGANPMLAAKSKKKGDRR PSDGKKDKKFLGIF TSTA_058490 MSLPQRPNNAPSSRREDSQPGFRESSRRRRRDIESGTFTEVPQS PYTPTSPRRSHGHGRHVSHSSSRGGGASAQQGSVDLGRKKSLVRPERNQIGPEHPNYH YQQYAQNPNIATYPSATGHEPVLDGSGDAETTGSTESSLKTGTKAQAYALEGNLNKPM ERIERAPSSRHKKKKLYRKGSKKHIESEEKRQQKAREHVPAPSPWYAYCVLVTFWAPD VVMACLGMKTPDQRRAWREKMGLISLILLVCAFVGFLTFGFTAVVCGTTTVRIAAGHV DSGYMIFHGQAFDLSESHHPAAYGIPVGSNVLYDLPVKYHGQDGSFLFQKVNGACKDI ITLAEGSDIPTNSKGELAWYFPCQTFNQDGSDYKTNKTADPYLGYMCHLSADARDKFY SLRSSGDVYFTWDDLKNKSRNLIVYSGDVLDLNLLTYLNSSQVSYPSLFTEMQSNPDI RGTDVTYAFQTSEDRQIAKCLSQIIRVGSIDTKTIGCIASQVVLYVSLVFILSIVAAR FFMALFFHWFLSKKYAANRSTMTLDRRARAQQIEDWSEDIYRPAPRLADPPVPEKMAR KRASFLPTTSRFSSPYAVGGGRTKAQPTTMASQNSSSRLVPTTNASTSMYKLSGNSSN GSLSVDAISRHNAAAADSRTSLMISQYDQRHSTVMGSTEGPAGFIHENVVPQPPPEWQ PFGFPLAHTLCLVTCYSEGEEGIRSTLDSIALTDYPNSHKTILVICDGIIKGKGEEQS TPDYVLGMMRDFVIPPDEVQPYSYVAVASGSKRHNMAKIYAGFYDYGQTSIIPPEKQQ RVPMLVVVKCGTPAEAKVSKPGNRGKRDSQIILMSFLQKVMFDERMTELEFEMFNGFW NITGISPDYYEIILMVDADTKVFPDSLTHMVSAMVKDPEIMGLCGETKIANKTQSWVT MIQVFEYYISHHQAKAFESVFGGVTCLPGCFSMYRIKSPKGGQNYWVPILANPDIVEH YSENVVDTLHKKNLLLLGEDRYLTTLMLKTFPKRKQIFVPQAVCKTTVPDSFMVLLSQ RRRWINSTVHNLFELCFVRDLCGTFCFSMQFVVFIDMIGSLVLPAAISFTFYVVIKSI VSKPVQVIPLVLLALILGLPGVLIIVTANRWVYVLWMLIYLVSLPIWNFVLPAYAFWK FDDFSWGDTRKTAGDTGKGGHGDAEGEFDSSKITMKRWRDFEKERRLNMSAAQNLPPP NSMPNAAYTYPGGYDTYSDY TSTA_058510 MSPSIHAKLLATNSAAIDHVEVSKAAATGWENARLTTEEEHRLT ALDAIRKHYKACLWSMVISLTIVMDGYDGALLGSLVAFPSFKSHFGHFVNAKSGYQIA AHWQLALGCSSSVGNIVGIYLGAITTDRLGYKRSLLVWLTWLTGCIFISFFATHISVV FTGELLCGMSWGAFATMAPPYAAEVCPVVLRGLLEIWIVICWGIGQLLSYSVLLTLNT DTSNWAWRIPFAVQWVWPVIIFPLVIFAPESPWWLVRKGKIEAAEKVVKRLGSADITD EMVHRTVATMVETNNLEKSVHEGAGYLDCFQGRNLWRTEISCVAWAAQLWCGFVISAY STYFFELAGLAATNAYKMSVGQGGLHILFNIIAIPIVAKVGRRRLYLAGFLWMGLILL LIGFVALSPPHTAVGYAQSTLYLLWFCGYQLTVGPVAYILVSEVSSTRLRSKTVALSR NAYNLSLLVNYFAGPYILNPTEGNWKGKTGFLTGGINIILFIWTYFRLPETQGRTFVE LDILFEKKELGTKDFKSYVVDFTELSERKIPATEHEA TSTA_058520 MFPDENGIDGWLRYAPLSSELASQFKRYGNIIALRNDESSPVRT AAKEIQHGLKRILNQEANIGHDLITGSQVEGPATIVIGTVNSFSHAGGDVSHIAQLKE DGFWLHGDDYDNVQILGQNERGALYGAFAFLMLLAQGKQCPSAHVSNPSAPIRWVNQW DNLDGSIERGYAGPSIFFRDMVVQDDLTRVSQYARLMASVGLNGIIVNNVNSHHDLLS PRNLAGLGRIANAMRPWGVRIGVALFFDTPKILGGLSTSDPLDPGVIAFWDKITAQLY QHVPDLIGYLIKANSEGQPGPLTYGRTLADGANMFADALKRHGAGVVVYRAFVYNHHL DESNWKNDRANAAVEYFKDMDSLFEDNVLVQIKYGPIDFQVREPTSPLFAHLRKTPTI IEFQVAQEYLGQQSHVCYLPPLWKTILDFDLRVDGKPSLVRDIVSGERFGWNQSGYAA VVNVGSDSTWLGSHLAMSNLYAYGRYTWDPTSNEVDVIQDWTRLTFSSHSTVVDTVTS ISMESWPTYENYTGNLGIQTLCEITKTCHYGPRPDAADGNGWGQWTRADAHAIGMDRT VATGTGYAGQYPVEVAEKFEKVKTTPDDLLLWFHHVPYTHKLKSGKTVIQHFYDAHYE GAANAQTFAPRWKAIQGLIDDSRFQHVLFRLVYQAGHAIVWRDSINEFYKAKCSIPDE QGRVGNYPWRIEAESMDLTGYAVVPITPFEAASGGKAIITTSNDKPGTAKYTVPFESG VYNIAVNYYDHLGGTSQYKLFVGGQLIGEWAGDLSKVLLHDFSDLRDGHSATRITFDT VHVNKGDVIELIGTPDGTELAPIDYVSFLPPGLVD TSTA_058530 MEAIIKSPHQHWVHTWAAMPQQADPSELPQYPFTLRQTVRVTLG TASHIRLRLSNAFGHEPLTIAEVTIAQSAGNVSGTNEIQPGTMCHVTFCGDRGAQIPA GAQIVSDPVDIGPLPPSTALSISLFLPNEPSLEAVTTHPGSRTTSWFTAGNKISELSF SGRDVGQVDHWYYISGIEAFLPRESGAFALIGDSITDGRCSTTNGDTRWPDFLLSRLR NSSSKAPLAIVNQAAGANCVLVDGSGGPSVLARLDRDILSLSGVRYAMIFEGINDIGI TLPDPDSLAILEKQLIAGYVQVATRLASAGIVVFIATLTPFGPRNGEEDNQDTTPYSH PLREETRQRVNAWIRNSCPAVFDSVIDFDAVVRDEKEYSALAKQYDSGDHLHPNEAAF QALANSFPLDIFDI TSTA_058540 MPQVRNPILPGFNPDPSILRVGEDYYIATSTFEWYPGVQIHHSK DLANWELVVRPLTRKSQLDMRGDPDSCGIWAPCLSHDGDKFWLVYTDVKRKDGSFKDA HNYIVNAPKIEGPWSDPVYINSSGFDPSLFHDEDGKKWFVNMMWDHRRRPRLFAGIAL QEFDPVEGKLVGKRANIFQGTELDLVEGPHLYKRNGWYYLLTAEGGTAYEHACTFARS RSIWGPYEVHPQKHVVTSKNAPFAALQRSGHGSIVETSDGRPVGQDRRCVLGRETAIQ EGYWKDDWFYVKNGPVPSLYTEVPGVRDDKVYWEEKNYKFDNKELHKDFQWLRTPEPD RIFSTENRKLTLIGRESIGSWFEQSLVARRQTHFSYDAETVIDFLPEDERQFAGLVAY YSRYNFFYLAVTAHSDGEREIYVMSSEASWPDGDLAFPVQPIKIPRTGKVKLALAIRG GQTLQFSYAVEGGELQKIGKVFDASMLSDECGGHQAHGSFTGAFVGVACSDLNGTAKR ADFDYFTYRPVQDVADRYEI TSTA_058550 MKVFVTGASGYIGLPVVRELLEHRHEVLALARNEKSAKLLEAVG VQVHRGTLDDHNSLAQAAAASDGVIHLAFKHDNVADYAGICADDRVAVTAMLKALEGS NKPFVFTSGTLMMERGKLAHEDDAPDMTHQVSRIRGANEAVVLAFAEKGVRVSIMRVP PINHGKDDKYSIGMLFAKAQESGVSTWPAIHLLDIASLFRLALEKGKAGSVFHAVAEE GVRLKDIAAAIGKQLNIPVISKPLEEAQEYFGFMAFALHEDNPTSSEKTRATLGWTPN HPTLFDDIEAGTFTSN TSTA_058560 MIIPAPFLLTRERSMKYRYELGLGRDGSTRRIGSLSGCHTDFFT LTSARQFQDEEQLSEIFLHIVILRTVKWQLWHPTQERKTPSIVDRGGAVGTCDETRPH CQSCRNYGVLCNFNINIPDLQLSAEAQPQKVPASSILGTRMALRTPCPTPRVTIVSAI ATQTSTASFELDSKRIKLLHEFRHCMAPGWGGAMDGSLQLAHQYPFLMHAVLAVASAH ERYLMSPRKMLPHRTLSELSHFSQSTTLMRRQLCKLIQPHDKDALWATAALYNVLLFL AVDAPSLEETWPLKDTSTCDLDWLWMMDAKWTLWNLTDPLRPDSIFRCLADVYADLRI HVPPNGVYDISPSLVKLCSLHEQSSAKSNPYFDAVHAISQLDGPGESRTNLTKVLAFI SCMSQPFKSLILSKDARALLLLVLWYSKAGRSVWWIEMRARVERQAICVYLRRYHGDD AMIQELLPCE TSTA_058570 MELDHHAIDTPSENSTSASKTPEEVKRSVTGFRWLLVCIAIFSA NLLYGLDNTVVGDIQGAIADTFDDYTQLGWLGVGFTLGSVVFILPLGKAYAIFDTKWT FIGCLTMFAAGSTLCGASPNMIAMIFGRVWAGAGGAGMYLGNLNLITTLTTPQEQPRY NSLSFYLNLVIFGVMSPIYLFLLPSVPRQSERKPLTKLLELDWVGIFFSAGMQVSFIL FLTFGGTQWSWGDGGNVALYVVFGFMLVAFILSQYYHPFTPRNNRLFPAEFLHDHTMI ILYVLIACGGASLFVAVYYIPLYFQFVHGENGVMSAVRLLSFICFYVATILSCGFFMP KTGYWMVWYLVSGIFILVGAVLMYTVHFETETANIYGFSIVLGLGMATTQAGYAVGPT LVSPDRVAECIQFLNYGQGQSQLLGLAIASAIFQSLTSNGLLSLLEPHGYAESDILSA IAGAQSGFLQTLPSDLRTRALEVIVHSIDSIYIMVIAAGALYIVASCCLIPQKSFRSR PGKEKLTVVVA TSTA_058580 MEETLGSGFDNIRVAAMSPTSLSPRMTISPVSIHAVTDVARPSF IQMAETEATMDSGELASIYNTSNVRSRPSNLAPANPSESSYFNHSTSFSQETYVNSHE ALFSQSLAGSDVSSASVTDSTQHLAGQIETNKDFEHGLHATVWHDVLYPEIPSPHSDS DGYESDPDDTRKFYTEVESYFAIGDDEYDTDDSEYYDTDDEYESSSTGQMLTSISRYD HRFHRYWTAEQSEFADDHFDAHMQTTQERNFTVDEFIQRWLHASLSNSPHIPPHFPGP SLEAANVSEWIRPEKIARPSTLGCDDFYDIQQIPWRSKLGVRREDARILRDAWYHPYT NLDFSKYDHAYTLPKLERYFSARALYTDFKATIEHFQLRNLMAVTSFNTVQFAHESQL LTWTPDYKDVRCIMDLSRPPVESGFQGSVKISTMQAKHGISVAGGFSGEYCMHVSGGQ GENTCGFVTHDANGITNHIDIIRNRTGTSPMIVFASNDQHIRILNCFRNQFISDHELP IPVNCSETSADGRLRIVVGDSTESLVLEADSGRTLRQLPGHHDFGFACAWSPDMLHIA TSNQDRTVNIWDVRMWRLLQSMNSDRACYRSLRFSPVGGGPRTLLMCEPADRISIVNA TTYETRQVHDFFGEIGGADYTSDGESVWVANTDESFGGFMRFDRMQHAQKYSSSGASS KNRDIGRYEQDETTRFAPGWYSNLPHEWISEANIETDLRSVLSQRERDFRFLNGLSDD TRDHLLL TSTA_058590 MARQWILNSQDGFEASLEYQQNVIVPSAAKLGPTEVLVKLHAAS LNYRELVIAGPIGVNGPITAPIVPGCDGAGIVEAVGSSVHEFRPGDRVVTYAVPKLAE SDGDDAFSSVTDVPYMMGQGIDGTLRSMGVFPEKGLVHAPKSLDWLPAATLTCNWTTA WNALFGLKGREAGPGTWVLVQGTGGVSIATLQVAVAAGATVVATTSTEEKAARLRKLG AAHTINYRSNPDDWALQAKRLTPGGRGFDIVVDVGGNETLRQSLAAIRVDGQVLVLGA VGGTAENVPLFAVLLSTCIVRGFLCGSRRQLKEVIQFIDEKNITPAMDDVVFELAEAK DAYRRLKEKKHFAKVLIRIDHF TSTA_058600 MDQHKFVFLNTTNAPVLSPEATRLMRGHITQSNFDKRRQQIANT TEKAKIRKKKRISCKKKLEHSAELVSANQDLQVLIHLTCLNPPGSNPSEAAWVELFGS NPALVEATMAIGTRHWSPQPSWQWQADMCSSNALQSIIQQISWRQTHTDGFLAAVLTM AFGARLMHSDSAWEVHADGLVQIIRERRSQGVKEPAWFYDLLIMKIIDAIGDSGGLRI RQIAEICEGVIAMRKSINMYHMGHSDNESVLEDIEEGLTRLREKSQKLGRRKNNEYVQ ATVLTLELILDILWPQGLQSDYTKSLVEELQETSSRLPKIPCPYMDLTSCQLIIGAVA AESGSSTRIWFVSKLTSAARAMQERGWAEPFQILERVLRSDTSLMEWFNML TSTA_058610 MTTQAGQLGNGPLKHDISAGASTSTLTSPEPPSEIVSNKEPELK FDGNSAPLNDPTIETSNADYSGSRDENASEKSTEEWRFTRRAQLVFATLSTLSLMVAL DGTSISVALPIISNALHGTAIEAFWSGTSFLLTSTVLQPLFASLSNIFGRRPIMIISI LLFFVGCLVSGLSKDFTAMLVGRSIQGVGGGGILALTEIIVTDIVPLRLRGKYLGILN GMWTIGSVTGPVLGGGFSESVSWRWIFYINFPFAGVGLVMTALFLRLNFVPTSLLSKL RRIDYIGATIFMGSTASFMIPLSWGGVMYAWSSWRTLVPLIIGVVGLLVFCYYEYRFA EHPIIPLEIFTSKTATVSFIGTVLQGLVLWCALYFMPLYFEAVKGYSPIISGVAIFPD SFTVAPSAMIAGLTITKTGKYRWAVWVGWLLTTLGFGLLCILKVGTSIPGWIFLEFAV GFGLGVIFPAVTFAVQASAKHETLAMAVAMTSFFRAFGQAIGVAIGGVVFQNRMYTNL LQYSELAPFAREYSQDAAGLVQVINAMADGVTKQDLRTAYADSLRIIWAVCCAISAVG FLFSLWTKEYDIDQALTTDQGLRDKRKPTSSDV TSTA_058620 MAADTISTENSNRLARRIQKDVTASAALKASWQSTKRMSVGFTI RTKEVALMFPMNALSGQKSCNIVNKGCWRQMVRQATQELIKFSQCKNYDGKAKSKICG PWGSICIEQSIEDWNNEVIMEAGIVFDEDDHWRSEIKLRVKRLGRSLICIYGRASYVS TSTA_058630 MPSQTFYLLGEDVSTAKTIEVNPSQSLEDLKLLIAAHFAITDPN GIGFLGKDAVLTETSEVTTAPGPVPITIDGHAVRDPPSPRKLPFVGNFFEVYPDHLGN HQRLFDQYGPVIKTDNMGRITYQTNDPAIAAIALAESDFFTKKINEAHPLYALKNASA GVFLGDTDTEEWRVAHKFLPPALGPKAVRHYAPTMQKTVEDAFKVLDHLDEQGEAWNV YYYMLKLGSQAVGKLTLGLDFQHFTSPSAPVHEMVHSIAEMLSLNKKVTSKGDWYNKL PFGDPKRLRDLKARIEEMVGESIEVASRGGVEDLPLQDAALKADNMVDYAIRATDNKG QKLPQSSLVWALVVATGAGFTTTSSLLSWLIYGLCTYEGMQDRLLQELIDHGFDENTQ ITAELTDKLDFLDKYIKETQRRHNPSFQPGRTAKCDLILPGGYKLPKDAVIIPALHHI HNNPEIWDNPFRFNPDRWDTEEVKNRHKAAYIPFATGQRMCIGFNFALQEIKVFLPKL VYRYKFTREGDGPIEYDPMFQLIRPNNLYVRAERRVKWPPRSDQSTTA TSTA_058640 MSTFTLEDVAAHNNRADLWVAIHGKVYDVTKYVKDHPGGVDLLV DVAGQDATAAYEDVGHSEDAAEILETYLIGDLKDAVEFKRPNEVRVVKQIETPPAKKE KKGGYGKLVLATGSLAGLLALYFNSSSLRNHTHLLKAIPSRLPTNLFPYELPKGGFVN GFAAATLISVVAGSFIGSRLSKLTQLESGFTKYPPHIKSVKIVKLNPHLTPGFLHPKE YKALPLVQKELLAPNVFRFVFQLPNPKGVIGLPIGQHVAIKATINDQLVSRSYTPTSN NLDLGVLELVIKCYPDGLLTGQYLANLKVGDKVLFRGPKGAMRYKKGLCKKIGMIAGG TGITPMYQLIRAICEDDTDTTEISLIYANRTEEDILLRKELERFASAYPKNLKIWYML DNPPPKWAYGKGYVTPAVMQKRLPEPSPDTKIMLCGPPGMINASKKALVSLGFQAPGA ISKMTDQIFCF TSTA_058650 MTSLWQPPKDYRNRPVVVLGAGVLGRRIACIWASAGYNVRVRDP SAEQREACAAYVHENVALYGDNIANKVNSTYGGIETFEDLKEAVINAWLVIEAVPERI QIKIDTFESLESLAPADCILASNSSSYKSSEMLTKVSDATKARVLNMHYYMPPQIMVV ELMTSGFTEKPIFQFMVDRSKEAGTNPYVARKESTGFIFNRLWAAVKREVLTILSEEV SVPEEIDSIWYEMFIKGKFQPCKGMDSVGLDTVAFIENHYIAERGLSSEKTVDYLQKN YLDQGKLGNKSAKGGLYPPAQTNGNSTAAAESKIYVLDIGLSARNPSATAGEILRVSP SGQLEKVVLAGQAYPDGITVDPVSKRMFWTTMGVPGKPDGAVYSANLDGTDIQTVISP GAVNTPKQIALDQEAKKIYVSDREGLRVVRCSLDGSDFEVLIQNGDSTKAEDRQDLMK WCVGMAVVPSLGKFFWTQKGISKGNKGVIYSANITTPANKSASDRDDIQRVRDNLPEP IDLEFDEKTSTLYWTDRGELPWGNSLNSVKLDAAGLAVPSSSRGYEILSRNLNEAIGL TLDTKNNTIYITDLGGNIYKSDLDGKNRVKIYSNEERAFTGITVA TSTA_058660 MSTNSGSTPRRRHRSAFACQLCRQRKVRCSLSVTGVPCAGCAQD RQQCIVDPKRRDIIPLNRAQVAQNSRQSPSAQRESAPNGNDIVKTSSPAAFGRTEDTI ISQQTQIPSPWNSQTSEKGSLSRDDVLEEERHGLEIADAALGQPQRVGQRPFYTGHGL GPTSALDICRPEKSLSRHLLLPSGVSTTVSDEDRQYLRQKKVYNLPGQETCNSLVRAY FHHVHPIIPVIEADVLLNYHTAGRLHEYNLLLLWCVFFVATNFVSPEIYELEGYASRK EMKAAMYSRANCMYNNGGERDQIVLLQASLLMGFWHSEVDEHTQPWYWTGIAVSLCQM LGLHRDPDSSKYNSFISDRQRHLWRRLWWTCFSRDRWLSLTLGRPLRIDLKDCDVPMP TPDDLLSDVEHIPQFTSSSYLPSNLPRLANYWITYVELSRLLGAVLIMNYQTQRPTPT LSQVEDLEAEIMSCKLPNQYEPGLTRTALFYCYHLDLHYQALLITFYRPFGTAIPEGL DPLFQENWNYRMSLRADAAASRTNFIIESIAQDGLLEFAGPMTPPLLVPAMQMHLLRC KNAGPLPRRLRLNKLYTCMIVLGELQRTYTVASIYRAIFAKAIHQIFPNFTTSTLLAR SIGDFTADFDDGGGGGTAGGDGGTEMTDAPNPTATATANAAGAGATTTIPMGEELEFN TETADEFINMLMDDEASIFHFWDMNQTIENFPYTGGVV TSTA_058670 MKAAFWTAAAALMGSAMAHDHHHRHEHAALHRRGGAAAGGAGDE TCGCYTSVVTYWGAPTLVQVTESSTPAAPEPTTTSTSTTTLQSTSYSTVTVQASTSTP VVPLPTASVTVFPTPGTYTIPGTTVTVTSSTVVPEATTTVVPSGTHTVGGVTTVVETS TTVVCPYATVSPVGSTFTSVIQTTTYVCPSAGTYTIAPITTTVPASTVIVYPTPATVT PGTYTQPEQTVTVVSTSYTYVCPFATSSTSTPAPAPAAPTTTAAPAPAPVASTSTSVA PAPAPVASTSTSVAPAPVSPSSTSTSAPAPSHTSTPSSGCGLGQHDQYAVTYTGYTHD TGACMSADQVLPELKNIQANGFYAIRMYSTDCNQLETVASQAIGLGLKVILGVYIDGS GVSSGHSQVSDIVNWGKSNGWDGVEFISLDNEALSDGFCSASDLAGFVSSAKETIKAA GYSGQFTVAEPLNVWQSSGSTLCSVVDFASANLQAFFNSDVAAETAGDFVASEVEILK GICNNDQVFVSESGWPNAGDSNGKAIPGAAEQKAAMDGIRKSIGSIAAQFSYKNDHWK NPGSFGVEQFWGISDILSNAE TSTA_058680 MPSNDHKNYDPTQSMANPGMNYWVRSTPPTQSTRRNAAGRGLFS GLQDMKHYNVDGGWANRHVVDEDVHGNNGSLFGWFSGFFSK TSTA_058690 MATTTTTVTTTVTTEVTNHPQPTTPSPRSAPIGIIIAIIILGQC YLSRRRAQGRGEDPGSSGVGSFFCRRRGGRLPTREAQGYGLEDVSGSQGGGDGRGREF YSVVPEERGVDEVDLKGGTVGMVRYS TSTA_058700 MGGFRAVEDRPTPKEVYNFRLYSEAIVIATGSLLFGYDSAFVGT TIARKSFKHDFGINPKTANSTSSNITSAFQAGAFFGSLFSFLITERVGRKWALQISVL VFTIGAILMTATTGQLGMIYAGRVLTGLGCGGITSGVPSYIAELSIPSIRGILTGFFE IAYQVGSVVGFWINYGINQNMDQTKSITWRIPMACQLIPAGILLVGGCFLHETPLWLM RKGRTEEAFRVLEELRRLPVDHQYIQEEVAMIRTRLEEEEAISARYGSGQWAFFRGAI NEMMRKGMRNRVLLVFCAFALQNMSGAAAINYYSPTLFTSLGITEVSLYTGIYGLVKA VASIIFYIFFIDTLGRRNPVIVSSIACSLCLWVVGAYVKIGDPAATLAAGEQLSSSTA AGGRAAIGFIMIYAIFWSFGLNGIPWIVSAEIFPGALRNVSGTWASLVQWATQFTITK SLPYIFTSFGYGTWFFFASWMLLATIWSFFCLPETKGLTTEQMDEIFGYLHEQGRGTL AQAKGLTEVVEDRKGSTNQHYEEV TSTA_058710 MAASALLKSRVRRPSYLNKLAKAEDLIDLFPHGSYIGWSGFTGV GYPKKVPTALADHVEKNNLQGKLKYSLFVGASSGAETENRWARLNMIERRSPHQVGKE IAKGINTGNIQFFDKHLSMFPSDLVYGWYTKDRPHNKLDVTVVEATAITEDGGIIPGA SVGASPELVQMADKIIIEVNTATPSFEGLHDISLSDLPPRRKPYLILSPEDRIGTPHI PVDPEKVVAIVESDYPDATMPNAPEDESSRAIARNLIEFLQHEVKHGRLPENLLPIQS GIGNIANAVIGGLSHGANFKNLKVWTEVLQDSFLDLFDSGHLDFATATSIRFSPDGFK RFYDGWERYSPKLLLRSQQVSNSPEIIRRLGVIGMNTPVEVDIYAHANSTCVMGSRML NGLGGSADFLRSAKYSIMHTPSTRPTKTDPTGVSCIVPMCTHVDQTEHDLDVVVTEQG LADVRGLSPRERARVIIDKCSHPDYKPILQDYFDRAEFECLKKGMGHEPHMLFKAFNM HKNLAENGTMKIKSWD TSTA_058720 MDPSRPLGSYGQNPSQQNSSSQQAGPVLPPPGGSYHPGQSSGHS LPGLADLAQGPGGSHQPSPYGQHPQPSHGGGHSLPGIGQAMQHPSPQLNRDRERDSRE REMLERQRHEDMVHREREQREREREHMERQREQQQQQQQHTVQSHTGSIPIHQPVASK VQNSIHGPNGLLSSIGGAAAVAATAGNPPPPQGSLQSGGGGPASLFGAQIPTHQDNGP RPYMQHPQGPPAQQLLGFNGAAPGQIPGNVAALAQGQQPILNDALSYLDQVKVRFVEQ PDVYNRFLDIMKDFKSQAIDTPGVIQRVSNLFTGHPALIQGFNTFLPPGYRIECGTDD NPNAIRVTTPSGTNTLSMATRPPRASLDSEELGQSAATLAPPGRSDYYDHSRPSWQQP QHAPQPGIAGSYSPNSRVIGGLYGQQGGPAPSQEHHYEYQSQQEQQASAASAAALHQQ EQRGVAQLQNAAAVTAAAGRGSLLQGSTGTATGPGPMNNLAGIGSNVLQGAQADLNKR GPVEFNHAISYVNKIKNRFASAPEIYKQFLEILQTYQRESKPIQDVYAQVTQLFHTAP DLLEDFKQFLPDSASQNKQQAQVGRPPIDEAAPTSNLRGDVPYPATGPHSQLPGRDVK MPPMGQFNVKDSNKETKKRRGAPINVATMGASVAGPSADAARMGDLQANRAGSVQAAG MVKRAKTHHNKPMPADGPAVSPTLVPALPEPIPPTFSIMPNSDEFAFFDRVKKFIGNK QTFGEFLKLCNLYSTDLIDRNILVKRAAGFIGSNPELMSWFKRFVHMDEPEDRVIDLK PKQETGLVNLAHCRSLGPSYRLLPKRERQKPCSGRDELCYSVLNDEWASHPTWESEDS GFVAHRKNQFEDALHRIEEDRHDYDHHIEACVRTIQLIEPIVQQFTVMSEAERAAFTL PPGLGGQSESIYKRVIKKVYDRQRGEVIIQQMFERPCHVLPVLLYRLKQKCEEWKASQ REWDKVWREQMQKCFWRSLDHQAITNKGLDKKLFSSKNIHNEIQAKYEEGRNLRKSGY HIPAHQFEFEFSDPAVIIDATQLLLTYIERNTGFGHDPQRVAAFIKDFVPIFFGMDRD TFHMYMNEVASSNDETDDDGMAVDGASTPQSRRAASSKKLDLLRDVLERRSDKGALVA DASRDVTPDAILVPSTPIPDPTEPFDVTELKWMEHPNQGNFNLQREYNLNQSYEKKVH HLYSNLNVYCFFRSFELLYSRLLRIKLNEKQAHEDVRRQLLPKAARELGILEKTPDQL FYDASPTANLYQQIVRMCEEVIKNDLEASHLEETLRRFYLKSGYQLYNLEKILSGIAR FVATIFNADVKDRSADIVNLFFKERERDETTHNQEIQYRKQVERMVKDGDIYRVTYNP TTQKALVQLLTAEDSTFENEELSQEARWSYYVSAYTMRDPTEGVSFSQMRMPFLKRNL PAKLEQDEEYNRYYRRLVHHDGLIIRICANSYHILYEPGSYDWWWRPTASSQEESEEN VKELAVVKERRRDRFREKFINNPAWAHGLSKDEVDASNQKFRAWVKDPNVSEDIDMET GKAAVDAKDEATNPPASDEVATTTTTDTKENEEDADMPDAAEPETKTEEE TSTA_058730 MVDGDPGSPTWKFTQCFGDKGDVEDITEADIISTVEFDHTGNYL ATGDKGGRVVLFERNETKKTCEYKFHTEFQSHEPEFDYLKSLEIEEKINKIKWCKRQN ASHFLLSTNDKTIKLWKVFDKSLKVVAENNLSHDLTPAGVLGGGTARPPHIHFKDASA LKLPRMTHHDTVVAAVPRRTYANAHAYHINSISVNSDGETFISSDDLRINLWNLNIQD QSFNIVDIKPANMEELTEVITASEFHPTSCNYFMYASSKGTIKMADMRQRALCDEHSK QFEQEEDASSRSFFSEIISSISDVRFSHDGRYILSRDYLTVKIWDVNMERQPIKTIPI HEHLRPRLCDTYENDSIFDKFEVVFSGDAENVMTGSYNNNFMIYPTDPDKETEVVLQA DKSAFKAKKVGVPTPINKNGKKNGSRANSPAGAGSRMRKETDADQIDFNKKILHMSWH PFEDSIAIAATNNLFVFSAL TSTA_058730 MVDGDPGSPTWKFTQCFGDKGDVEDITEADIISTVEFDHTGNYL ATGDKGGRVVLFERNETKKTCEYKFHTEFQSHEPEFDYLKSLEIEEKINKIKWCKRQN ASHFLLSTNDKTIKLWKVFDKSLKVVAENNLSHDLTPAGVLGGGTARPPHIHFKDASA LKLPRMTHHDTVVAAVPRRTYANAHAYHINSISVNSDGETFISSDDLRINLWNLNIQD QSFNIVDIKPANMEELTEVITASEFHPTSCNYFMYASSKGTIKMADMRQRALCDEHSK QFEQEEDASSRSFFSEIISSISDVRFSHDGRYILSRDYLTVKIWDVNMERQPIKTIPI HEHLRPRLCDTYENDSIFDKFEVVFSGDAENVMTGSYNNNFMIYPTDPDKETEVVLQA DKSAFKAKKVGVPTPINKNGKKNGSRANSPAGAGSRMRKETDADQIDFNKKILHMSWH PFEDSIAIAATNNLFVFSAL TSTA_058740 MSSNPDKTNPPARSDPTKDDHPPSSSETTTKNPSEPSILNRVKN SATSLIQDALTKPSAGTLPSDLAHALNSGNNNKGYASASASASSSTYLENARRRDGAS SSPSDGIYDHDAEAFRSGSTHPSTSSGVVLDPLEINSFQNTGRFHSLPDEFSETKGKG KAKQTFENHDYADINEFEYDSTHFNEYEIAWINASRQGSYHSSSTTTNSTPTKRLSSS GSTSSVHNSSVINGVERNEKDGAEVVSLLSDPSFQPGLSTWDDQDSQNMDGYDFTFGA DDPLDMDGFPIDPGLSPAELKILESFRLQHQTQNQEENKTKRITPYSLIPDIDSFLSQ HMDTNHGISSDENLRDEVLTNLVGAEEWVHVDEQYSDDVWGYLRPALEAAAAEIKEKE AAGKEDEDGPAVKRLKMILRHMARIMILKQETEMIHS TSTA_058750 MAPRVIVVGGGLSGLSAAHTVYLNGGNVLVLDKQSFFGGNSTKA TSGINGALTRTQTDLGIQDSVKQFYEDTLKSARDKARPDLIKVLTYKSAAAVEWLQDV FNLDLTLVSRLGGHSFPRTHRGHDAKFPGMAITYALMQRLEELTESEPERVQVIKKAR VTAVNKEGNHVTGVTYELNGETHTADGVVILATGGYAADFTEDSLLKKHRPDTYGLSS TNGTHATGDGQKMLMAIGANGIDMDKVQVHPTGLIDPKDPTSKWKFLAAEALRGEGGL LLNSDGERFSDELGHRDYVSGQMWKEKEKGKWPIRLVLNSKASNVLDFHTRHYSGRGL MKKMTGKELAKEIGCGEAALKKTFDDYNLIAEGKKKDPWNKKFFHNLPFSIDDTFHVA LMEPVLHFTMGGIEINDKAQVLNSEKKPFDGLYACGELAGGVHGANRLGGSSLLGCVV YGRVAGDSASQYLFQKVLSGGASTAQQRLGQISLHIDPSTPGKISVEWNGSSEGKDPA SARVEASTAAAAPAPSAPSKSATPAAKDVSEFKVPEKEFTMEEVAKHNKKDDLWIVVK GVVMDVTNWLDEHPGGAQALFSHMGRDASEEFEMLHDDEVIPKYASEIVIGRVKGQKP RLEF TSTA_058760 MASQKVASALAEIESSPSQATKSQLYNDLLGKIVSTSTGHELSQ DLIYYLDSILSENVSVVAARPLLDSFINVLRDLSPAIKIKVGQHAVTLLQSRSASVEE QDSNIREILADAYEVEEEYSAAARVLQGIHLDSSQRLITDAAKTRMWIRILRLYLEED DTTNAESFLNRIKNLPSKIEDPELKLHFQLSQARILDARRRFLDASQEYFNVSLASGV DESDRLHALSAAIICAVLAPAGPQRSRTLARLYKDDRATSVDVFAILEKMHLDRLLTP DEVTAFSQKLQPHQLAKTADGSTVLDKAVIEHNLVAASRLYENIKTDALGAILALKDS SDETAGEKAEAYAARMVEQGRLKGSIDQIDGIIYFDSDISGVENTGTMGRNIRLWDAG IESVTEDVERVAANVADAFPELAISQMVH TSTA_058770 MAAPVKQEPGTTSFIKPDPDSKEGLPGALSDDDLYEDAGDLDFT QAGQNVWLTRIPTDLWKYWSQLDDDEEIQIGTVRVEGEPHDIKRISLRLNDDPNSKDI PKDYILQRQNVDPKSGSFAVQNSYIFSEKDLPGHKNKVDEMFGETRSMLYESMKREAR KKATKRKWEPYVRKTIPKQTALVGKVADEFNCMPVENAEYQRISEAKALKALEPREKV RLIDLRQHKGPNVREVAAGTVGGFVQQAKPAKARTQENKATRRERNVLLDEIFGLFRE YSHWKFADIKARTNQPEQYLKETLEMVAHLVRTGDFAMTWELKPEAREANYANIILGQ AGEENGAIKNDPDEEMGGDEDEDVQFENV TSTA_058780 MTEEQELLAKIGQLAGQINQHKNQRQSGPPSNYHSRHPRAGWAP YRGRGATRRPVTHRNRTLILNNTTDASKTPTSETVDNATPPSGSANGWVAKRDRHMQL INSSIYDKEAQARTKAIAETEKTKRERRAKAEEAKVMRYAQGVRNAHVASVPGPNGVA TQPSFYQIYIHDAPFQVVRGGSKLIRQNNDPKNANATPKKVVVGGVTFVRSKKGNLHR LGAVVAKRKPSKIKKKNELCKRFSRTGSCYKGPDCPYIHDANKVSICKDFLQTGKCPS GDSCDLSHEPSPHRSPVCVHFLRGRCSNPECRYTHVRVTPGAPVCRAFAILGYCEKGA ECTDRHVYECPDYANTGSCKKKKCTLPHVDRAGQLRKTIANKSETTPGEDEEDVSSEE EEYDEIDSDDVDSDELNSDEDEPMVEFVEGGTDDKELSGQQDFVHF TSTA_058790 MARFTQQPFEFYQESSSTLDPKPTFCEEDEMSVLDDKILDSTPD VSGISDPRRSSYDQHADALSYRESVWGDFTQQQQPHQSHVAPSLHPDASRQDSHVPSS IPMYDSGNQFMRLDTAQSNAAYTHQAAWPMSRGSGSCTPTPVYDQFAQDYDASSAGAF SGGAVGPVSAINFGQMSSYRGNMFGAPGSVAMSPQSSQGWMQTGEMVDGRPARSPTYR TDSNLHLRRDGIRKKNARFEIPAERTLSNIDQLIAQSTNEEEIKELKQQKRLLRNRQA ALDSRQRKKLHTEKLEEEKKQFTTVITDLEEALHNMKIRESELLREKTEWMNAQQQFQ QWIEGLQMEKDELIRVHTLETAELRKKNNILRETMEKMEQQFKSMGKQHSNAFATNGF GEFDSLHMESGPWDEMSLVNNISLEAEGLSAPVDANNDNMTAMVPVKNEKAEKIFNNQ NSDYPFSWNAFYMCLLFGAFIASNSTSLSSPAALPQLSDEYRAESANVLKAVLASAPA DVSSSTIQHLPTTISGAEMAHMTSGSTIQASTLDELHKNLALPSKQQENEQVFALNPE QYNALTTFQDDSHNTNMDFGDKPQQQPSNLQQALAAMRGNNDGGRTSEVYSRSLMWDR VPEKVVRDFQRMVRECGATK TSTA_058800 MPPKRTAKSAEPTAATARPTRTSGRAAAADKETTTTTITKKSVA TTKKATTQSKKPLQEAKANTSAKRKAEADIEEKPHGKRQKKQVETAAVKKPTAPKAKA APKAKAAPKAAPAPKPPKERVVINTAPTTRLDVFAFGSNSNAELGMGDTFKKADCPRP KFNSVLSEAGVVQISTGGMHGIALTHDNKVLTWGVNDQGALGRDTDWEGGLVDMDKAE ESDSDEDEEIEVNPKEATPSVIDLSGVEEGTVFTQVVAADSASFALTDDGYVYGWGTF RNSNGVWAFSPEVVVQRTPTRIPGLKNVAKLAAGSNHVLALLTDGTVHSWGSGEQDQL ARRLMERRAKEQGLVPQKVGSKRGFIDIASGAYHSFALHKDGSVYGWGLNNYAQTGIA SKAGESGAGVLKPTVVPSLKGQNKITQIAAGNFNTLALTDKNECLVWGRIDNHAIGID PSTLPKEDVIFDDRDRPRILTNATRIPDLEVSYATYGTEHAIAITTDGKAYSWGFNSS NQTGQPGDDDIKAATLINSKAVQDKKFVWAGAGGQYGMIATIREEDKMTDA TSTA_058810 MGTQIRTALKVDTNTPAEKQPYLHNRWHPDVPFVGTIRNNETVK IECLDWTGGQIQNNDSADDVLNVDLSKVHYLSGPFEIEGAEPGDVVCVEIQDVQPFED QPWGFSGVFHKENGGGFLDEIYPHAAKAIWDFEGIYCTSRHIPGVKFAGLIHPGILGC APSAEILAEWNRREAELISEKAAGGSTRTVANPPLTTNAHAGSADAATAAKIAAEGAR TVPGRPEHGGNCDIKNLSRGSKVYLPVHVPGAKFSVGDLHFSQGDGEISFCGAIEMAG VITVKCTVMKNGQKQLGMVNGKSPIFIPGPVQPQFGPGRMLYFEGFSVDENGKQHFLD ATVAYRQSCLRIIEYLRRYGYDDYQIYLLLSAAPIEGHIAGIVDIPNACTTIGLPMDI FEMDIRPETPAKKVDMRLAPVSKK TSTA_058820 MPFKDILHRHGKDQGPDSNITNNINDPQEFTFIRTDTHTQENLT PPTINTSISATKPEESTISPTTSRRKSFFRRRSHVSSSSDISSPRRGSSSEKGENRIS NLLHIDHHSRSSFSRSGSTSSVNIPADLPQIDPDAVTDEHEREAQWEKRATRLVQGNP RIGGGASPDGVAGGASTSMTNFSYLQPNQGRSRSNSGVSEPQADISIQEAIRLHESGE LEKSTELFGILADLNGSNNAIAQVLYGLALRHGWGCTPDLPKAVIYLSAAASNSANIE TQALEAGLKKGGAAKGELVLAIYELANCFRNGWGIEKDPVAARLYYETAANLGDTDAM NECAWCYFEGFGGKKDKYMAAKYYRLAEQNGNKILGNSWIWKDKYNPK TSTA_058830 MPITASIFLQTPSRQLLVTSGFPNTANPAASHCTTMASRLAKSA IGATRVRPALVTRNLPSITANITAPRYASNVPAEEPQKKAQSIIDSLPGNSLVSKTAI LSAGAGLSIAAISNELYVLNEETVIAFCLLSVFTALGKYGGPMYREWAEGQSQKYKDI LNSARADHTNAVKARIENVQQLGGVVEVTKQLFEVSKETAKLEAQAYELEQRTALAAE AKQVLDSWVRYEGQVKQREQRELAETVIRKIHEELKNPKVLQQILQQSIADVERIVAT K TSTA_058840 MAKEITKKRKTPSKGAGNVNSSSKRRAVASDQSKNEQAKIEELE AQISESRKYYNNIATLISMLNVTGNKDAIEQPNLAVAVPLCRVFCRLIAGGNLQMPSK ASEQEQIVVRWLKERLQEYQSALLDIIRYADSSSQITALTLSLRLVNVRATHIPEAEV QVWTSGLFQNIFGALIEAEDGQAVRSEFVENFVKEYDDVRFYTFQKIASYSPEVQSSE TLERLIWILSQCDSIPQDYKFTKFYGQQPTEKGKSKSPLLSVNSHRRHAQDAWLSILR SSDLSEFQRKSLLKRMSHTIAPWFLRPELLMDFLTDSYNAGGSIALLALSGLFYLIQE RNLDYPHFYTKLYSLLDSELLHSKHRSRFFRLLDTFLASTHLPATLVASFIKRLARLA LNAPPSAIVAIVPFAYNLLKSHPTCTFMIHREILDKKQKSMIEAQGMTDPFLPDETDP TLTNAIESSLWEIESLQSHYHPNVAAIARIISEQFTKQSYNMEDFLDYSYQGMLMAEL GVEEKPFRKAPVVEFQIPKRIFTDRLLMEEDRGEDRGTGSLLRKLWEFPAA TSTA_058850 MAPVKYFFIGAGLLMSAMADYVAFTEWPASLTAGQPVTLKWVGG GDAPFTITLRKGASTDLHDVQVLTTAATNGEYTWTPPRDLQNANDYAFQISQGDQINY TGLLPLSGGSDTPNANSLDGTQTDAANLSNSASATETAAAASSLTESAATAAASATGT IAGATTTATDSAASATSAATGSATTTGGTSASEEGASATASSVSTETALASKMNTPFV GSHMATPTTAASSSVQTGSAGRVVVVVPLALLASSAAFLFVFV TSTA_058860 MKRNALRKKSPADALGIRPWALDNNNSSDAPQLNLPRVETRLEM HQTNGLFDSLTTDRRVVSNPSSSPGEGANGVKSKSSNNDLSSEWTSAVGHASTGKSGR VIHNLQEEIARLTRELSLYRSRAEEAQHINETLKEQIINITERLRNSEQSNETNLASI SRKDRKIEDLRTEVQNEKARRLRAEAETAKVNQLMSEEQDGFHRRCAELQEASNYSTS QYEALSKASQREKSDLQRKFKGIKDELASLREQAELKDREQERLDALIDRQNQELETE RRRIQEIFTAYDNYKTSRDREFEDLVAKGRRNQANVDAAVASLKETEDKMKWVMHVKK DIPWAE TSTA_058870 MINYQAQFLSDLSDFVQGKPRKPVAQASSLLLDDLEDEPSISKN WECPPDFRKERYAPFLQLLAWKTGTKIAHDEFIEAVVVAGEDEAVIDDAIEQLTNIYS ALSLLSPNPARQHHHIFLPSTGYDKLQVMPFKLHSAAAGIQAISTTEEWETVAKNGVI VPFRPELRHKAAPAPLHTPVVSRFLVSPTFKEFGNRRMYTEAPPSNQSTQASTDGGVV ITPTAPATPEPALPVRPKGFYTSTLSSEKADQVTKWVDQNTATKSDAPLDPQQPVPPE EYPPEQKPIRGVLKRRPKAATMPPKCVESEAAEEQNSGELSSVSSLLAERPKPRQVLH RTMGQKTSPGPKPVTKQTTLDKYWLTPTEKQATLDKYWSPVSKHQTKPDNMKSEQRVP TPADLSPTSVKPGVQSELRESTNAITAKPESVQTQLQELARTMKPAVHPDSCHVLEIF NALQPTLEAARSFPGKLQLEAHIELVTITPLSDDQNFDMGYVTLDEWRSHFQPKRNRA SAPYFACNKVTSSGGDVDGMVDLIWSKKNPERIFFEKPIDYETVLEYHCRMSNGANFV ITLDESGNPTFHHAQAVLGCSTVHFPHRLWDINIVIKGGMNLQIHQDPTLKKGLDEFA KSIWFEPGESLVIFCCEPTNRAFTVSKILMKQTMRHRHQTMNEETESKLMLKTVEVRE FIIGRKPQYPGLIRGRIPINDMQQCVSLKKLWYEISIVSDEIQKLLDGNRGLELGQET KGWSATDILGEEIEMKDIPYDSSEVAKSVGSSGLGGMYRLAKVVLDRMRVGE TSTA_058880 MSALHTFMLTVDRDKQAAIAIAEQTAQDVENKQTTLLDVVQSLG EYINYDDAIIRGKAVSYLAAVVKAFSPKFLTRQQIQVLTTFFCDRIEDGGAVTGLDTL QKLERFTKDFAQETARAVFEHFRELQARAQLQRYQIYQLVNGLMENHRAALHEMGDES LVGIVDLMTGEKDPRNLMLVFSILKVVMVEWDISNHVETLFDSVYNYFPITFKPPPND PYGITAQDLKDRLQACISSNSLFAPHAFPSLIDKLDSTSNNVKRDSLNALIACIESYD PNTVSRYSIQVWDAVKFEILNVQEDFLSEMSLNVLKAIVKRLSEHTTQVSQDMPLAHF LKPIITECNEQLREPQNKQARPAREIIRNVSFASMPAFILIIQSVVAPLFTIYQEADS IAKQRAFLETYVTLLDSAIDLYGTWADKGFTQPFENPLIAFQDRFTEVFSQALMGSAK EEISFRQTALQGLLRLSTLRNFFQDNEIGLFVQYLDEILLKEGVVGSGALKKDAVSAL AELSRYKSNLIMEITLPAFMATLPDQDDGTDLKYITTLDTLAQISGQKNIFETLVRRL LNKLDLLLQGDTSHPRYARIILLTIIHAMDKKWDDPAENLNLDSYYDRIVVGLSKRAA LASTNDSSKILRDVSVLDTLGRLCTLIIRSISRGKQDEVAENTYTLFTDSNFKPVPFS QTSDQDQKRTMILSTHLLAGLPKDTTKLPYNITNPESMISLLDDLIHLAESIPSHPFI QLAIHRQIALLVNKFLPNSALPQLTDFLTKTFFPPDTETINKGLSPDRIKTLFWLSKA LILRLAPATSSVLSQLLELLSSTDRVTSETAARGFSLILSTDDVLSATNGANIRLLSK QRVFSIIVPMISSKFRDLSSSPSATSSPSPDESHIKPSYLTALSSLLTSIPPSLVLPE LPSLLPLLLQSLDLTNTTLSSSLAVKQATLQTLSILIRDNGGASLIHKTGHISELVKR LLRTASLATSKKEGIPTSSAAVREEALRCLFLLASTSSSSTAGLSGGGEDVDVALQDA ISRPTGSTTTTTTAGISPLLPVKNLVLRELLNVLDDPKRDVRKAAVDARAAWLRGVGD AKDDDDE TSTA_058890 MKKTSQNIQLAIYATILSLTIAWLVISIADTAVLALREWDFLPS CVTRHQRPQPFKIEYLEYIDDEYEDNLDIPSAQNVLMPPITGGGGSDGDDKNTNLIVS DLLVKTPKINVFASLTRDFQPIASRLNDKSQNTTVLAPLNSAINSLPRKPWEDPADYD RFGEAKAYNGEEGQDRAKRNLQRFVEAHLVPVSPWREGEEVETVGGAKVKWVKEGETV FIEPGHVEVESLASEVSNGNVWVLKGVVNYQ TSTA_058900 MAVQGLEQTIVRDPALFYWILIPITVVMILTGILRHYATVLMNS PPKPASTLLESRERLSLIHGVNLRNNACAVLTSEGFTSRKEYLINAYQNGAFLKDPEN RGQPPANPMSDPAAMEGMMGMMKGNMMMMIPQTLIMSWINAFFSGFVILKLPFPLTIR FKSMLQSGVATRDLDVRWVSSLSWYFLNLMGLQSIFGFILGADNAANQMAQQMAMANP AAGANPFQPGADPDKLYRSEAENLEVMEYFSLLDGIEERVLHNWKA TSTA_058910 MTSTAAPKVPVYSLNDLKSTTDDALTPYLSTLPQPYTFRRDNTK LTIRFILGYTAVAIAGVTFYLDRQLGWEATQATWVKIAVVAYFVLNSILTYWIWAVEA GEVFRGVRRDGSSITIQSSTKKHTPIYKVKITYTSSKGKIIQQKEIESPFTAWFSSTG IFHPEPFRAWLAREVDVLREAERETLKKTGDVSGLVGVDESGSKRKGKK TSTA_058920 MSSETPSCKVVLAKNIANGLLQEVHEGLKTLTKKPHLVGFLANS DPAARMYADWTEKTCHENGFRYTRRDVDKEEIEEAILSANADDGIDGIIVYYPIFNNR QDQYLQQIVDVSKDVEGLSHRYVFNMYQNIRFLDENVPADGSSSVGGTQKSILPCTPL AIVKILEYLRVYNTILPYGNRLHGHTICVVNRSEVVGRPLAALLANDGACVYSVDITG VQKFTRGEGLKKRRHEVEDLEGWTIKDAAPLCDVVITGVPGDSYKFDTSLLRYGAVCI NFSSEKNFGPEVKEKASIYVPSIGKVTIVVLLRNLLRIVQNRAAGQAAGKV TSTA_058930 MFRNALRQSSRSVAAVSVSGRLASARVAAPSPFAAGAKQVRSYA AEAKASPTEVSSILEQRIRGVQEEAGLAETGRVLSVGDGIARVHGLNNVQAEELVEFA SGVKGMTMNLEAGQVGVVLFGSDRLVKEGETVRRTGEIVDVPVGPELLGRVVDALGNP IDGKGPINTKAKARAQLKAPGILPRRSVHEPVQTGLKSVDSMVPIGRGQRELIIGDRQ TGKTAVALDAILNQKRINATSDESKKLYCVYVAVGQKRSTVAQLVKTLEENDALKYSI IVAATASEAAPLQYLAPFTGCAMGEWFRDNGRHAVIIYDDLSKQAVAYRQMSLLLRRP PGREAYPGDVFYLHSRLLERAAKLNDKHGSGSLTALPVIETQGGDVSAYIPTNVISIT DGQIFLETELFYKGIRPAINVGLSVSRVGSAAQVKAMKQVAGSLKLFLAQYREVAAFA QFGSDLDASTKQTLNRGERLTELLKQKQYSPMAVSEMVPLIFAGVNGFLDSIPVAKIE KWEEDFTAYLKSNQSEVLQTIDKEGQLSKDLEAKLRDIITEFNKSFS TSTA_058940 MMALDLERQLVFYGAYHHNPVNVRIHMIFVPVILITSFQLATNT PTLIPLPDFLEYKYLPLNAGTIASIIYALGYILLEPVVGLVSIPLLLGAAAYMNYLTM TYGAAATSWSLGIFIVSWIAQFIGHGAYEGRSPALLDNLFQALFLAPLFVFLEYLFMV GYRPELQRRVEVEVQKKIVQFKGKNK TSTA_058940 MIFVPVILITSFQLATNTPTLIPLPDFLEYKYLPLNAGTIASII YALGYILLEPVVGLVSIPLLLGAAAYMNYLTMTYGAAATSWSLGIFIVSWIAQFIGHG AYEGRSPALLDNLFQALFLAPLFVFLEYLFMVGYRPELQRRVEVEVQKKIVQFKGKNK TSTA_058950 MFFLKEETKVVTLHPSFFGPNVKEYLVNRLNEEEEGRCTGDHFV ICVMDMVDIGEGRVIPGSGHAEYTIKYRAIIWKPFRGETVDAIVTSVKPTGIFTLAGP LSVFIARKNIPSDIKWEPGTVPPQYTDHADQVIERGTSLRLKILGVKPDVSAINAIGT IKEDYLGTL TSTA_058960 MAIKQVYERFLSTPTADVLTRNVSLNYITTTTSVTGSEEVIKHL NSQQKTIKKKGDKILSIVQTADTLVLDVETTLEFLTGGGAYLPSLDDNFLADRVVTFP TIHIVRFNSQSQISQVRIYWDQGSLLKQVEVIGARGKNWPIRDAQDQAKLIVNVATAQ GLTTPPSQESGQVSSRPPSRTATASDIFASSDEVENDSTPRARDSIISPRAGATKHFR PVRVFGEDDTEDATTSSPVKPKLGSNKGFQPVRVFDVEQTDDASHHARAGSTKNFQPI RVFDVEGGAGGPEEKERQYKTHPNKFNHFEIGAEANAEREIKPNPAVRSRPMSQWDFA DFYTPEKPRQKIRAQDVRHFGWSDNEDENVETPPVRTRHIQPRRDAETHFHLDETDDE NYKPEPVHPGKRMIGSYHNKGLDLYDNNVYDEEGNPTPNDPTAAGEKGGKQPLGTVPN NINRRKDFDSHWEVRDPTPDDTTAGSAAAAENDHRPVSADRVKAVKMMESSWDKFDEA DPQEQEKEKKTTPLPKRASRAVFQPSWSLGDE TSTA_058970 MSDRGRGRGSSRGGGRGGGDNRGRGSSRGGGDGRGSSRGGGDGR GGGRGRGDNRGGGRGGGRGGGTYDVPIRGPSSGRGRGDFSPASRGGHGGRGGGRGGYG RVDARRIYTPGAIPEYDASVLEKETEIEKTVFNAENARGPAPMLPLRPGFNTQGNEVL LFANYMDLAVKQGAQFFRYSIDIGPGPDKKAPSIKKCKRLVQLLLEEHLAAERRHIAT DSRSNIISITRLKDISDDTDGKSFRVRWKAQDEEKYENNPDTFTVTVKFTGMVAVSDL IDYLTSSNASDFFASKSEVLQALNIVVGEYPKSQSDIASIGANKHFSLNPGSDDRFSL GDGLEVIRGYFVSVRAATARLLVNVQVKNIAVYESGSLAGLIGNSRLTGNLHNLEKFL KTLRVVVTHLKKDKKSIRREKTIWGLAHPRDGKDPNRPGFRFGDGPDKVKFYQDGSKE DKINPGYITVSEWFKKKYKINVSEDFPVINVGSRDRPSYVPVEVCEVIAGQPAKMKLS PYQTSQMIRFAVRSPGENADSIVNEGAPLLGFSPTNGVLNTFGLSPTRKLITVPGRTL AAPTVTYKQGKEEKHLRPAKASWNLVDFKFYETRRHAVKWTWVVLDSFSTSKAFAGGH EALIKRINDEWVKNVSAAGISLLNAAEGVTVSLDRNDRNLQHKVDAAFASLAKQGLDF ILVILPSRDTLLYNTVKYLCDVKHGLLHACVTANKFAKPSAQYDANVALKVNLKLGGT NHRVGGTQLGIISEGKTMLVGIDVTHPSPGSAKSAPSIAAIVASVGTNLSQFPAQLRV QTEKQEKVDALDVLLKSRLKIWKDIHEEYPDNIIIYRDGVSEGQYEMVVNEELPQLKK ACNDLYPKSKQPRISIIVVGKRHHTRFYVTRDEDASQSKNPPNGTVVDRGVTEARAFD FFLQSHAALQGTARPAHYIVVHDEIFRNTPVPHPFKNAAEVLIDLSHRLCYTFGRATK AVSICPPAYYADLVCERARCYLSDLFDPSGDEGSTSDAASEAGERQQRQQRMVTVHDK IKNSMFYI TSTA_058980 MTPTPPSTSSSVGAHSPEGQYRVVRKRNRVPLSCAPCRQRKLKC NRSHPCENCVKRGDAASCTYAQPGSRKKSSANQSSSTSPDDMQNRIDRLENLVLSLMT NGSQAAGPAAAAAALSGHDSVGSGQNYQDVEIDEDVDGQNEESDTEAVTKSFGIMKVD NNKSMYISEAHWASVLNDIAEVRQFFQNSKKQYEEQAQKIQATRPETDTTTMLLFGSV KAPSKAEILSSFPSRYIADMLIARFFNNRDHGPDILHVPTFQKDYNEHWKNPSASCPV WIGMLYAMMRLAMLSYYHDGEEPPEFKGKSLDMSKSFRHLMCQCLILADYTKPYPYLI ETLVLHMHADFSETNEANIAIWVLVGIIARLAMRMGYHRDSKMFPNITPYQGEMRRRV WAYLRQADLLFSFQVGMPSMLRPGDTDTELPRNLFDEDFGPDSKEIPPSRPNEQATPV SYMIAKSRLSVVFGRVIEHTSLVKNAPYEVVIDIDAELRRARDMIPEHLRVLPFDECT IESTDSILMRYYVESVYHKAQVVLHRRYIGRSRENPRFTHSRRTCIDSSMELLRYQAI FYNQKLPGGRLLSKIRDNSINNSNYLLSATVICLDLYQSMQLQAAGRPSGDVYIWGRE RRDEMLAAIRQARDIWQAQSDESMESWKAAGMMTVMLEKLNVTPAPPSGDMNGTPSML DVPDEKQSAAMTLGLLSSGMSPGDTGTGFTDPFKSPDSVLSPTALGGTSSDTMGFSSP FNMLGQMPDMQLDWNAWDSYIGNTSLDNNNSSNNPLWPMFDLSSQMISPMPPPSSSSS QPTPSTSATTPGTGNRSTSSAENIRSRIRLPGVLPSDTGMAYDPTNPSFFMAGPNGNK ID TSTA_058990 MKFAMFRTERKELRASSAGASGDFSLRKYFDLSSIINILSSYNF AKISVVSFFLFSISVKMYSALRPAARRLFSVRPNASSFIARSRSIATQSIAFSRPAAT SSVSSFQQIQRRWNSDEATKVATEKAVTSTQEVKAEGEAALEAAARNAASEQVSTVDA TSAETEALLTEQVSAGSQERDAAAGEDELGFRVKKPVRRTIDNLTPSPTVYVGNLFFD VTAEDLKNRMQSYGVIEKATIIHDARGLSKGFGYVTFDSVEAAQRAIDEMSQQIYEGR RVLVQFSASGPSEKQSRPKSKPTRSLYIGNLAYDLSDRELNDIFKSVRNVVEVRVAVD RQSGSPRGFAHADFLDIPSAQAALEILSSKAPHGRRLRVDFSQGVKKGRREPRDQAAA NTETENATNEAEGKAETQN TSTA_059000 MSFLGGAECSTAGNPLTQFTKHVQDDKSLQRDRLVGRAPGMQES MRSRGMAGPGDQMMDEFMQQPGHLPHQAPVQPFAMEQMRREIESMQHAPVRTGSPGWA TEFDSEQARMEAAFQSPNAAPAVLDNRGPGFSAAEFSRFQQQHRTASPITQSAQSPIM SGYQRPMMGGYMGGMGAMGMMSPSYMPMQQQQQQQQQQQPAAQQQDSKGKGRMVELDD ENWEAQFAEMDAAGQEAFDEQANHAMEAELNDLDRKLAEENLDFEEVANLHLGDTHGW EGFDSLNTRFRDPQLGDYVFEEDNAFRAVGNPFEEGMKIMKEGGNLSLAALAFEAAVQ KDPQHVQAWTMLGSAQAQNEKENPALRALEQALKLDPNNLDALMGLAVSYTNEGYDST AYRTLERWLSVKYPQVIDPSDLSADTDVGFTDRQLLHDKVTDLFIQAAQLSPSGEHMD PDVQVGLGVLFYCAEEYDKAVDCFSAALASTESGTSNQQEQVHLLWNRLGATLANSGR SEEAIEAYEKALTINPNFVRARYNLGVSCINIGCYPEAAQHLLGALAMHKVVEQQGRE KAREIVEGVDGIDDAELERMIHISQNQSTNLYDTLRRVFTSMGRRDLADMVVSGMDVD VFRREFEF TSTA_059010 MRVNSLVTTVGCLASAVAGVAVNPLPAPRSIAWGTSGPISIPQN VAVNLPRNAIVTDAWERAWNSIKQLKWVPQATEAPISSYQPFPTAAPTSSASSVPSSS AAAGLSDLSADLQAEVDESYTLVLNSNSSTLEITANTTWGALHAFTTLQQIIVWNNGL VIEQPVQIEDSPLYPWRGIMIDTGRNFITVPKIKEQIDGMALSKLNILHWHLDDSQSW PVEMSSYPQMIKDAYSPSQTFSHGDLKDVIEYARARGVRVVPEVDMPGHSAAGWQQVD PSIVSCAHSWWSNDNWPYHTAVEPTPGQLDPLNNKTYGVVSKVYNELSGIFTDHFFHV GGDELQTNCYNFSSYVQNYLAADPSRTYNDVTQYWVDHAFPIFKKIANRKLLIWEDLV LNDPHAPNVPTEGLLVQSWNNGLGNINNLTDLGYDVLVSSSDFMYLDCGYGGFVTNDP RYNVQSNPDAATGLANFNYGGNGGSWCAPYKTWQRIYDYDFTTNLTDAQAAHIKGAVA PLWSEQVDDTVVSGKMWPRAAALAELVWSGNKDPKTGKKRTTLMTQRILNFREYLVAN GVQAAPLVPKYCLQHPHSCDLYYDQTAVN TSTA_059020 MPRLISSFTRILKFILFSWLTLIGLWIFLPSPPITSEINSGVYY QYIQRTLRARRILHTIYPWDESFDVSSVTILPANDLYPGSPPQRARVSGLSSDCTHLI VDWQLSRDGEDAVILGMGRDVRHRLYLTDAALKVLSADADKQNPDLILLREEDGKDEL VYVSDQVRVQVDAGGADHIINLPWFWLPDAAMFHNQMHGFTYEIDLRVVARKLLAERK TVVEVWKSAPVTEWLRLREKERLQNMGYSAIDGPDDDGVDGKYVKNIFRSESHYSSGL LATVSNVDNRHHSTSGVEVRIRNAQLSSRTYWIRSIILVPLAPSLIVTFFLLIYLMES LSVLICYETLALVVTYCVAVLICWMVYNLCRRNFTTTNADEKSKGGRKDKEMTFLQWS SKRGRGDYRGKRIVIWGPTGPVYEDVIDK TSTA_059040 MDNELRPGLSLSGTSTPERIDQGDITPDRSSVVFPDPGIPPEEI VERLREIRVKFRSHDEHMPAPEYGEDAHGESTPRDDMGADLEKGETAKSDASGADGKN GLTRHPRDALTPAINPPELIDDIPPLGSPQAEHQHDAAEEAHRALRGVGLHHGVRKRP KHFRLNSSESVTSVGGSETDSEPPPQGEGVLSYLLKLHAHHSLSGRSTPQTPDSGAAT PKSGATTPISGAVTPRKKVKWYSKHSPNQSTMSLVGAGLNLGTQGAPMAMDDLKKSVA SKREMMMMSSGKSGKKAKKRLKDHIAARIASIITRQRYLITLCRAMMRFGAPSHRLEE YMSLTAQVLDLHAQFLYLPGTMIISFDDLATRTTEVKLVRVAQGVDLARLEDTQDIYK NLVHDKITVDEAITELEAVINRPPKYPTWLVVLCYGFASVAVGPFAFQARPIDMPITF FLGCLLGLLQLVFSPRSSLYSNVFEVVATILTSFLARAFGSIRHGVVDGTQQYYFCFS SMAQSSIALILPGFLVLSSSLELQSHQIIPGSIRMVYAIIYSLFLGYGITVGTTIYGL MDPKANPNTTCSGELIGNNPYVQRFPFVAIYVVFLVIINQGKWKQVPVMILIAEAGYI VNYFSNLKLESNPELANTLGAFAIGVLGNLYSRIWHGHAATAILPAIFVLVPSGLASQ GSLVSGVQSASAIRANITGDHSNYAQASSSDSSIYSMGYGMIQVAIGITVGLFLSAVV IYPLGKRRTGLFSF TSTA_059050 MGQSNMAVPGNMPAGAMGATGTGVMGGNVAASMPATPVAAPSTQ LLSAANGPVSSGVQRPALSANGNVANTPAVSRTSATAVSGPAAPTNSAPALAQTAASA LAVSTNVPPTTPTPAALATNTPVVPVSTPYTPITNTDTVIPERASPAPIRGGRGQTLS DEDKLILLQICLECQNTLRFTTKTAFWRRVCNRFENVRHRQYSAGSCEKTIAKLTNAR RAALKRAGPNGKKVMSDAERMSLLVDRMIELQDEIEEQTREKETRLKEIYTRLEGEAA ARTTKMMEAMNDYYQSMVRGETQVSPSGGSNHTPQGSNSNAASPVDLTNTDNNTTNNT SNNNENHDNDNDNRFDFDTVVLSEISKIRQILSKEVSLSVASSGFQKESTASMSTRLD RLEEQFTEMKKTLDQQTGILSDQNTVLRQLLTMMNNGRRVSQGSWPQVRGPTPQGFVP QGFPGSYPPGYPQGAPQGPLPQGVLPQGVPQGVPQGIPQGIPQGVPPQGFPSQGHPPQ RVPPQANSQGPPPQENPQGPSPHSKAHSSQPPQVQGGTFGVYRLQASGPPAGSSQASN SQASGPNKT TSTA_059060 MLLFNEELALNLTISLTETVALLWTTNFVSELSFKSLFLVVLAI NFAIQLVWDLLIYPFYVDPLRHVATVPGKTNQMAILLDSPRGRLPLEWMRTIPNEGLI HFRDTFRRSYLIATSHQALLDVMSTNTYDFEKPWKVRTFLARILGFGLILSEGNAHRV QRRALTPAFNIKNIRSLYGLMWEKTNVLLAQLEEEMRLNPVEGMHGDGRMGKVEMSVW ASRLTLDVIGPVAMGRDFQSLINKKNKVADSFLAILEPSREKLIFLGVNFLLPQWFAR RIPWTLNRVVDKETDFLRAVCHDIVREKREALKSHKLETLEVDILGTIMLRGEFSDDE LVDQMLTFLAAGHETTASALTWACYLLCLHPEVQTRLRDEIHSTIPSAEHDITWHQLE SMPLLNGVCQEVLRLYPTVPATIREAVRDTTIANTRIPRGIRIILCPYAINRSPQFWG DTGDSFIPERWIDIDDQTGNSVVNHHGGAPTNFAQITFLHGQRSCIGKDFARAELRCA VAGVVGRFVLEMQRPEEEIHIAGAVTTKPKEGMHLKMTRVEGW TSTA_059070 MTGPIDRSADDAVLARLGKKQVLKRRFDFWSLFGFAVCELITWE TVLALFSQGFENGGPSGLLYGFIIAWSSTLSVYTVISELASMAPIAAGQYYWVYMLSS EKYRVFASYIIGWLTSLAWIATVATETLFAGTMLEGLVILDYSTYTATNWKGTLLTWA VALVSTFINAVIPSMLPRIEIGTVVFHVAGFIVIIALLWRYTGSYHNADFVFRTSLNE GNWPTQGLSYCVGFLGNVATFVGADASVHLAEEVSHAATTIPRVITSSMILNGIVGFV MMITLLFCLGDVDSVLESQTGFPFIQIFYNSVRSVAGATVMGAIVLILTWACATGIIT SASRMTWAFARDRGTPFSRIISKVDPRTQVPIIAIGVVVVIACLLTLINIGSSTAFND VISLTITGFYGSYLVPSALLLYHRLKPGNILPYGSLVDGQDLGTSYPVSAPAASPSDN DTQPDETYTEKTTKPTESKPGNNAPITVTSADEQGRITFAPTQLVWGPWHIPGLLGII NNAYACVYMVFVIFWSVWPPATPVAADTMNYSVVVTGGVIILSIVWYWIRGRKEYKGP LVDKEVIELIQRNQIVGV TSTA_059080 MPSWKRKTKVNSKKEGDGKENASVRSSSGSSTSSTAQTLLSAVY DTLTTSTRPPSPIASDTSSSEDADTESEKSAATPPPEDIQQQARRNAEFGPLGHPSHL YNSQHTSGAILDPIIDEPPYFYMLTTYISFLILIFVGHAQDYISKWFTPHKHRHLMVQ DGYAPIYSDFDSFYARRLKLRINDCFERPTTGVPGRYITLLDRETTDYNRHFRFTGTT TETLNLSSYNYLGFAHSEGPCADFAEDTLRKSGITMTGTIGDAATSILHTEVERQIAQ FVGKEDALVFSMGFVTNATIFPALVDKGCLILSDELNHASIRFGARLSGASIRVFSHN NMADLECKLRDAISQGQPRTHRPWKKILVTVEGLYSMEGTMVNLPGIMELKERYRFYL YIDEAHSIGAVGPNGRGVCDYFGIDPSRIEILMGTFTKSFGANGGYVAAVKSIIEKLR ATCSGQIFGEAPTPPVLAQIQSALRQIAGEELGKERLQRLVFNSRYLRLGLKRLGFIV YGQDDSPIIPLMLYNPAKMPAFSHEMLKRKISVVVVTYPATPLELSRVRFCVSAAHTK DDLDRLLVACDEVGDALQIKFSSGIAGGLHERPSLDEIGKKGVKREKPRWKVEEVIER GVRDVKGLLIGIDNE TSTA_059090 MHFKRSITVVGCHAEGEVGDVIIGGVLDVPGKTMFEKLRHFETK KDDLRQFLLNEPRGRAPMNVNLVLPPCDPRADAGFLIMESNEYAPMSGSNTICTTTVL LETGMIPMKEPVTEVSLDTAAGMVTVTAECEGGKCKSVEFNNVPSFVLELDMKVTVPG VGEISIDIAYGGMMYILVDVASVGLAVHDKFAQKLIDIGESIKRSVRAVYTPVHPENP GITGFSVLAFTEPLTIEEDGCKAASNAVIVSPGRFDRSPCGTGTCARLAVMHARGEVK EGEIFKHRSIIGTEFVSRIRGLAAVGKYPAILPTVKGRAWITAFKQVVLDPSDPFQEG RSFLSKKIGYKTERLDDLLEVVRDMYIRMKGELDI TSTA_059100 MIQHPSREREAMDLGYSFGVYDANTGFLYDADLAGHVVLDISGH YSPERGYQRSHSISTNVGQTSFSRDTGNSWRKTLDWLPDYSGLVERRNEQMCTGTVRP SVIIGPDESATGMVSTSPRVRILSDTLSEVQKYWSIKPRRKTCFMRHLWRDMALDPGN DCSDMVTTTENEIENYNDWRIPDNCMLRMKEMWQDITRHRRHALSSASQCPNFGDRFT ILDFSHTPLEYSKRVEYHKTRKFHELLNLGLDLYLQKFYHLMPMIHLSTFRLADESTL LVFLMCTLGLTFANTEEASTFVHDTFEGILERVILEVSDNSFDNATSTGKMQLWLILV HVLALIIVTGVSEHLLTPFPTERLEACQLNVSQKYGLFSVECAEDYDMARVWSISDKS KQWRTWARVESTKRIVIGLILADAWFSEFFSTDPIIRTYNIHLFVLSDRKSFEARSAK EWAQLRNDCRESSSGRAVFLSSDIQLPVLCKKVDPFFIHGLLSLTLLRVYHDYERLLL DDILTQHYSIPWKAVATDQQAQKTTGLVTQIMRLYGDVLEYGDPNTMVFWHYICILET CDIRLLELGAGRNGDAYARQAVRELSLWSRTPAARRACLHAAQIFSIISQQRRLDSIM FLSVYALFVAALTLGFFFLSLPPDIDTLDEPLDLLDNVDWKRIAEDGISEACLTERTD DSAVNFVRFGNMILMGGEQYHGGFRYSRRALQDFAILWMKPLTAIMEITHSCCVP TSTA_059110 MVKVHAHYDDFAAVSQPFRADAEIEDVEIEGEIPKELDGTFYRV LMDPYYDRDYYLSGEKSTPFDGDGSISAFRVKDGKVPFQQRYVMTERLVAERKAGASL FSMLRSPFSHHPCVRAVADTPANTNVILHAGKLLALCEHGPGYELDPHSLRTIGHDIF PGQIHPNLPFTAHPHVDPDTGDLIAFGYGMRGLGTPQITVYSIDQNGKLNFQRDFKFH EENGGIIHDCAITKNYIILMRMPYIVDLKDIEKKGNHQWYYNENCPAWFGVIPRNGHG EVRWFKYRNCMAIHTGASWEENGKIYFDASVASHNAFSFLPCRNGPNSPPEEVTVTYV KWCIDPNSASNVLSDPEVLVNIPCEFPRVDERFLTKKSRITFLDCFKPDAGKSAQLYQ GLNALARVDYETHVVEFFVPGPDCLVQEPAFSPRSPDAEEGDGFLITMVDNMALHRNE VIIQDTRDFQKVVAKIILPFRLSLHNINFIPMAS TSTA_059120 MVGTSQSSIKDSVPSPQAHAKTPIASKFQQPRDEGDGDDADDGT NTSNDRDQDEDEDELQITQTTRPENMNKTRKMLATSKSLPNLLVHTTTSTTPSTKRPR SSPSTSPSPPPHPPPLSHAPRPSPRRTPKRAPLTNRHPSTSQQTTLDKFFGGNTIKDN NNNNPIRAETETQRPTKKSRLDRDADAAIGAHISNSASAATTTNQQSLDNRESPDPLN VISPPPNGDRVRTRQRSNTLTTSAATGNSTIPAEAAATSRSLRNKAHPVIIADDSNTT KTNNEEGVNAQNKPTNNAAQAPEKRSLRSHDGGSRSKSELALYFPNYEQIISLEPVKK EFLAPETTVTILDDLTESPLPLPALESGSPSRTRKQRKSIEQISPFGNPLLDLNGVEK IELPKVEENGGVTTDPLNDDVYFKAHRRHERQEKQLRNIEKERAQHEKIQLDRLLDEL QGHDWLRVMGISGITDTEKKLYEPKRDYFMKEVSALIDKFRIWKEEEKRRKHEREQML LEAANAAAQKEERGADEEVSEREEVEGKPSSSDIQSYDSSELDAAAAQQLLQEARSAT ATGAITSSPSTTPSHPQPRRRLVDELTSTPPKSRSHHNHHRPTNSKHTTQSTTTTHNP PLLPQTHQPEIAEYDESKAFTSFYPKRHLRDAAFSSSRRGGRNRSAFGQPLPEILEER EFELPPDILTPEIVSSLERKRRRLKRESRGD TSTA_059130 MSTLEPNSERKPWLYSRTQILSYLSTRLTTLVPQRSNFSARTSP IKIFKRVTRAQWLMFLCGVLGRAWDAFDYTTVPLTVTELGKHFNKPASAVSWAITATL MTRPLGALIFGVCCDRYGRKWPMIINLVLLMILELVSGFCNNLPQFIGVRSLYGIAMG GLIGPSAAIALEDLPPDARGLLSGVFEGGTSMGNLFASTMYRALVPTTKHGWRSLYWF GAGPPIIIIIFRWWLPETNHFLMIKTEREARTRQKKANATLDLEAGRTKHASEFKTFL HDSKKSIKENWLLLLYMFLLMTGFNACVHGAADLYPTFLKNQVRLDPAHTAIISILGN LGAGTGGIILGYISGFLGRRLTMICGCILGAALLPAYILTRGMKIGACVFFQHFATVG VWGPIPIHLVTLAPYALRTLMVGLTYQLGNLASSPITTAESFYGEKYPLQPAADGTKR YDYGRAIAIVTAASWAYMLLFLFIGPEASPETEMQDVGMTVYNGGSVSEPPSPTDSIE KGPIVLESEYDDAKIGSVSHSRLG TSTA_059140 MALESSSSSIHPTQDNGRLFSTPRSDDYNTLSIEISNFLPFGNI ATATDRTTKHLIPPQEDAHGDAFPPSDHPPVSPETVVVDSFPDGGTRSWLVVLGSFFL LMASYGMLNSVGVFQSYLEANQLSSYSSTDVGWIPSIFVFVTLLLGVYIGPLFDSHGP KMLVYVGSAIFMLSLFLFAECKRYWQFLLTFGILGGIGAALVSTVGMACVPHWFEMRA GMAIGTALAGGGLGGAVFPFVLRAGFSNIGFKWTMRTLAFVVGFLCLLGSFMVRARLP RRKTSKVVIDLRCFKDLKFSWMTFSTFCTSHSSNSLDKPVGQATDKRLTGLELQYFSL LGMYPTFITTLGFSTNTSIYLIVIMNICGMIGRLVAGRIADGYGRLNTLNIVVVLTVI WLFALLYPFQTSLPILYIFSCMYGLTSGSFISLAPVCIRQISDAKEIGLRFGTCYSVV SFALLVSIPVTGELMQKGGPKAMIIWSGALLLWTTGLLALTRWTCLSYNWKWKVKV TSTA_059150 MASQPNLVPREFPTPGFVKLNHSEKIEEETLPFYEHQPDHEMAI SEHLKNSNHHFGKQLVRLVMDSFEVVGPQGKHTCLLYQPLGMNLAEFRNWFPDNKFPK GLFQRTIQLVLISVAFTHQNNEDEMKHPIARKVLPDCNIYYSRPMLVNEALCVLFDLG EARLGIQNQNGDIMPGMDWDCEVDIWSGGIMEDRILDDEQHLTEIFSLLGTPPPEFLI RNDNCFKYWDKQGNWKGNITIPEQSLEMRFGQYDGEDKEPFLNFLRRILRWLPEERPT AEELTYDDFLMQPLLVASGLCLASLTRLIEE TSTA_059160 MPRSCLNKALAKLQPRIWRLRRRREVVRQRAPRLWRARNLLPPR NEVVLQKGASIAAASKTSSPTKRGKPSKAATASSIKAPTKAKAKGRPSKSKAVVVAST AESGSRSAKSSPQKTSSSTKKAAIPKKAAGAFPSRIGDIIELSSPEIEELRPDLAKDM RLGFLEIPHPRRFGENLMLVSTRAISAVKNYR TSTA_059170 MKTTEITGDLFDAPDGAVLIHACNCLGSWGGGIARVFKHKYPSA YKFYYNHCRALLREKRPHTLLNSQTNDPKIVNLPLGTALIIPPQAQDYSIRQNKKHWI VCLFTSRAYGRGVSKPDVILENSVHAIRDMRRQLEELFEHEDGMEQWDGKVYSCRFNS GLFGVEWKASRKILETELDGMVGVEEVVVVRPKEEIE TSTA_059180 MSPRPLAGGVYVPTVAFFDSTEEVDTSTTEKHAVNLAKAGVAGI VVQGSNGEAVHLDRAERKLIASVTRKALDASGFDKLPVIVGTGAQSTRETILLSKDAA EAGADYVIALPPSYYKGQDTSASRIKYFKDVADASPIPVLIYNFPGAANGVDLNSDEI IELSQHPNIVGVKLTCANTGKLARVAAGVKPEFFTFGGSCDFTLQTLIAGGQGVIAGT ANIIPRTCVQVYKLYVDGKAAEAQKLQAIVARADWAGIQGGFPAMKAGLQAFYGYGGV PRRPTPAADVQATKARFEESWAVEQSLA TSTA_059190 MAKIKSIEYFRVKPRWIFVKITDENGGYGWGEGTLEGHDLAVEG ALDEMITRLIGYEADDIEHIWQTIWRLGFYRGGPVFMSALSGIDIALWDLKGRNLGVP VHQLLGGKVRNKVQVYAWIGGDRPADVEVAAKARIAQGLKCIKMNATEDVNWLDSPAV LDSTVERLKTVKALGLDAGLDFHGRLHKPMAKQLAKALEPYRPLFIEEPLLCEHPEAI KQLSELTTIPIAFGERLYTRWDVKRFLEDHSVDILQPDIAHAGGISETRRIAAIAEAY DVAIAPHCPLGPIAFAASIQVALNTPNFVIQEMSLGMHYNTEAGDIDLYTYLKDQSTF QIEEGYVKAPTGAGLGIEIDEELVRKIAKETEPWKCKEFYGPDGGIREW TSTA_059200 MPIPHLFSLENRTALVTGGTRGIGAQMAIALAEVGADIILVQRD TSNQQTKQIIESLGRKSFIYTADLASREDVSGLTKKVLADGHDISILVTCAGIQRRHP AEKFPDSDWDEVLQVNLTTVFTLCRDIGAYMLTRPPFPGTESSSPGGGYRGSIINVAS LVSFQGGITVPAYASAKGGIAQLTKALSNEWASKGVNVNAIAPGYVATDMNEALINNP QRAEQILVRIPAGRWGTPEDFKGPTVFLAGKASLYVSGEILTVDGGWMGR TSTA_059200 MPIPHLFSLENRTALVTGGTRGIGAQMAIALAEVGADIILVQRD TSNQQTKQIIESLGRKSFIYTADLASREDVSGLTKKVLADGHDISILVTCAGIQRRHP AEKFPDSDWDEVLQVNLTTVFTLCRDIGAYMLTRPPFPGTESSSPGGGYRGSIINVAS LVSFQGGITVPAYASAKGGIAQLTKALSNEWASKGVNVNAIAPGYVATDMNEALINNP QRAEQILVRIPAGRWGTPEDFKGPTVFLAGKASLYVSGEILTVDGGWMGR TSTA_059210 MAKSDSQRQSAAQIFEFVTFEDAHRARSYAMRQSWRKRKQKILS QHQEDSKAGENGNGSKVKPVQRKRRRPLAAKKAIKSEGLHPEDGFQALVAKDVDCMDT DSELNTFHGDIDNFNFGDEMIYVPNDYEQFLNMSDQDLQYLASFGQDDLMNIYYDELL SEAFPTVAMDQILSPLALDPFDTFPISLTTRHHELLHHWLTSHSAMVFDTETPSALNI SFNPMRDVWFPLDLSNAASFYGIMAHSAAHLAYLHGQKHAVEVLKYKSEAVSLINQWM QDEKTALEDATFAAVVRLLTFERYWGTEAVWRIHRDGLDRMIQARGGLASLKKNWRLG LVLQLISLMSKPSWFYPSNNISEISDSFSYLRITEESASPFSEPSTPTGLKIYGSNSN NSEIPKLRSLWLISFIQDMRSLMANSSNLQSVGLWHYHSIYEAVTILRSSFLESMRHP EDATANVHEHDRIACLFYIGVMIQECVSSKYHNTIATAAPERDDNVKSISPSNLSMCT VDVEVDEKSYDRLATLDKEMRDHKSIWMASIPQLEGFLLNHFMNHLGNVNRAEYVLHM TEVLTSLSREARNGVERCLLNMFGGRYSHIESPISSGTAAGIDKEWTVDELLMSIHGG TSTA_059220 MKFTTSIIALGLSAQVLANPTVVDRRASRVQRDVAAFESVISDV DTAVKKFDSDVKSYSSGDPSSLLGDSQSIITITNSGVSSLQSQSTLSNTDAVALTTPV QGLSSDVQAAIKDLISKKDALVSAGAGGKIESGLQDQYTAAKSLADLISSKVPPALAG VASDLSSGITDAIQSGIDAFKGTGSTATASASGSSTSSAAGTTSTGAATTTAAGTTAA TTAATTAATTATVGSSPAVSTATTAPGSGAATSSGVLPGHSSTSTGVTPKYTGAASAN KASGAVGLAAALLAVFAL TSTA_059230 MGDEHQRPPRRPSIAVNQLVGNLDSFPLLSDSTTTSSSSASATG IIVGDSSRFRAPKDHHQHHHHHHQHHHPSLTRAAAAGGGPPAKVAIPRAPNLSEQSNV NRRVGHACESCREQKTKCSGDRPTCQRCQDLGLTCLYGDRKRERTAKQLRDLSKQVQE YEQLFNELQPKLDPEDSQLVQDLLSKVGPSDADFTGTTTSTVRHIVTSFLSGADYTEE DFNRNERSKALGFVGKHSETSWLYHLKRDLEFSTSSSSGTSSVDNPLNTPEDQDRNSI ASVSYFLDDDEIPILDDAEPLARPPQTIANSLVQTFFTTVYPTFPLIVPETFIRQVNT FYASSFVRPGRRWLAILNLVFATSSRCLHHRMQSNSMVANDDTLVYFSRAWKLGMKAN ALLDHPDLQQVQIEGLLAIFLLASGHVNRAWRICGIAIRSATAMGLNLRSESNYVTLA SKESRYKVWWSLYTLETILGNMTGRPLNLERDFCTTPLPVPFEEHEYTDQKVIRIMTD HKIRNSLLGHVITRPVGETPATVDAQSVRNAMEILKPNMTLYFVCYVDLTRIIRETVE TLYAPEIVQNSARDAETAITDANAKLDTWLSKLPAGFRISEDEGGVAHDRYSLGLALH YYSAKMLACKPCLHYFNKPPSSTLSSSGDDTFYTRIAASCVQAACRMLDLFPAEFDGT WLNQLAPWWCMLHYTVQATAVLLIVFPLHPPGSIPNAARQALEKAASWLNEMARRDLA SQRACTVCGALLAKLSGMAGRTAVVGEGYLSEVRLYR TSTA_059240 MDSAKVPVKLVKVTRVLGRTGSRGGVTQVRVEFMDDQTRSIIRN VKGPVREDDILCLLESEREARRLR TSTA_059240 MDSAKVPVKLVKVTRVLGRTGSRGGVTQVRVEFMDDQTRSIIRN VKGPVREDDILCLLESEREARRLR TSTA_059250 MPKMGHDPRPGLGICLDCLVEMRSVLVNAVLIGSALAYPGYWAE RRHYGTGVPPPLSTGVNPPPPPPVTSTITSVTPATTTTTGTGEWSTATQTETITKTTF VPCSTSVGTRGSSTVWSTWLSSTTTCWTSTWTTVVPVTTSTATATVVPVAPGGGNTCP LPVTTTHTETTTATVTVTAPAITVTATAPAPGGGETTTTTVVPPAPPAEGTSTATVTG THTGTATHTHPATATGTETGTAPGGGAQPTTSTLTTTTTEPCTTTTTTSTGIVGTGGT TTSTSTGPVGTGGVTGHKWSMRKPLVH TSTA_059260 MPPRRAPPTSTDASDASNASKKAKTANPMTGTFDPDVPRSKRWS DFSGSANADYRYRVQMKDPEVAYSFICICQPPFFDDDEYDSEEEDEDKDEGPTKDTSN QEKRRCDGGVTCICEKPAADHPEHTWVISKAGHSRYFTQRIHLSLRCPDMFEMYTFND HEPYGVIEVVENMIMDHVEAGRKKNWKEQWAICEAMALMLAGDVLNPMQMADDSERVC EVLSLILRMFLCTLSYLEYMSLLKPDPEIKDLGPIMGLYIHSFEQWQDVVSLESSRAA PTGSRRKFVISKFDSYVAAYAKKYNITITGPPDITEKVAEIETDDIKLPSCDLKDPWC WTKPFSEYREEYGYHPYIIARRTKSIGGDRYDVTAWPSEERKMHSFTKKDPLGAKEIA ALKAGLVLEMM TSTA_059270 MAQGESPNRPRKGSRQNNRQSSAPRQGHPRQGHGQQESAQQSTH HRQASGEKKPQTGSHQKATSQTSSHQGSGPKGNNHQNAGYQRHRGRQGPNNRPSKQQA APLRNDHTQNPPSVASTQQDCSEQNSDQKNHGLNAQPETAALNTLQSGDELQTSDQSS FGQHDNHSENPQPIAQQNSEQDANQDGQGPNAQAETITSSTSQKDGPDSCENNSVRND CGQPKHQPDAQVNQQNDNVKARRLTSTKMIHNLTKEWSPEIHRNFQPFTMLPPDIILE IMDHLHDPVDKTSLALTTKSLWVWMKDKLHLERYNLPHVLPARATLQHGMIKPWPFFK YPRWKLLERLEDHNWKCCSGCLMLHPKTEFFSEEIGENPPKKRLCRAPGLIHICPHIA LTYKKVEALKKALADFAVARKESGRTPEDDSLSERLYHHCHFMAGPHKIMIGAQPFIS GTKNDLYFRQRYVLEIKSEKVRDILKWIGKVFPLPNLCPHRGIVTHCLDMLVMLEDEN SSWDIDMILGNDASFFNFEHHVRTSSKGCRIVFESMKRIGAGYDLKKGKAGHANPHHL QDWVDKTDVANTVIVEDCGPASCKCRYNCRKIRFDHIPCPSHRASLPETTWKNGASSW VYSFGEIWPPF TSTA_059280 MADPNPTTDKTPIKDFLTPITNTLQEPTLYKLSHELSKTYKDLA ANSTEQFFPTPITHLPTGKERGRYLAVYVGLFYLHVGFIELLGYDDQNTQEEKKGHRR WFSVRRTLEKAWPIEERLKLENPVEFFAWIGTCIAEVVGDSLSSSSITNEKGVEEERE LVAGISFCFPIVQKSLDEAVLLPTGKGFQLNSNLNLRQALLDGYECHIRPQSPENESN QWNDFIRHDDDEGGRTSKRRKHFSLPKLKIAAMTNDTVATFASLAYSIKSLHIPNSRV VMGLMVGAGSNATVAMKVSDLHPSKTEFFATTAVSEKDGREGRTETLVSTEWTLLRSS KPLLDMHILTKWDKIVEESSKPPGFQPMEYIVGGRYMGELVRLIVLDYFTNILNIPTD VLPWRLLETGGLTTDFLSLNVASSPSTADAGTDRGEDDPLAKELNQRLPSAKGWTWNT EKANILRTVAYAVMSRSAAVIAAATVGLLSCVGEISLNDPTATKSKDAVEDDLKDEKW DKGPEELVVAFCGGVIQNYPSYKENLQRYIDRLLVHGGPQQGGKSILLREVSDGGIIG VGVLAGTVMGRIEGIVGSKLYDT TSTA_059290 MDYGNTLIPNCVFIEVLLLSSSSSCSGKSIEKDRIWGGVGLRGF GGGLCIFISVLPLFFDSEWLAGLLTLRIEVYSLLFDTMVTLIPLGISVVYKLGIRERI VMIVLASLGCLVTASAVIEVIMVDHLSGGSLLESYKAAIFANIELHIGMIALNTAYCY YPRQRRITTNKHNSLNQYGCSLRSDFKNYSLDDVSSETKINKTSSSIYTPATVHTADT QSTMSGHDNTQTRILKSPLSPLSLLKLFLQRNQSSSSVQDIHDDTDIYTLKVYEEETE SIPLKDTIQKITEYAVVSTRLSESSVYLPGNGNWFISGKVPV TSTA_059300 MSFAINPNPHQSTSVFSHNTAPVHETTAEDASDLEKKSSDETLM EREEIVELRVGELARQLTRQSTRFSAKGGSLQNPFLTDDPESTINPHSPNFRARDWIK MFLEMRHQDPERYPEKHAGISFRNLNVHGFGSPTDYQKDVLNMLLEVGTLVRWAFGVK MHKVQILRDFEGLVKSGEMLVVLGRPGSGCSTLLKTIAGEMNGINMSEDAVVNYQGVP VKEMHNNFKGEAIYTAETDVHFPQLSVGDTLKFAALARSPRNRFEGVTRDQYATHMRD VVMAMLGLSHTINTRVGNDFVRGVSGGERKRVSIAEATLSLAPLQCWDNSTRGLDSAN ALEFCKNLALMSKYASTTACVAIYQASQSAYDCFDKVTVLYEGRQIYFGPTTEAKQFF VDMGFECPDRQTTADFLTSLTSPAERRVRPGFEGRVPETPDEFAAAWKKSEARAKLMR EIEAFEAQYPLGGSSRDAFIDARRATQAKRQRSMSPYTISVWEQISLCTVRGFQRLKG DSSLTLSGLIANFIVALIVASVFFNLGDDSNSFYGRGALLFYAVLLSGFSSALEILTL YAQRPIVEKQSRYAFYHPFTEAIASMLCDTPYKVLNSFTFNIPLYFMTNLRRTASAWW TFWLFSLVTTYTMSMLFRTIAATSRSLSQALVPAAILILGMVIYTGFVIPTKYMLGWS RWMNYINPIAYSFESLLVNEFADRDFACSVMVPSQGPYDSVPMQYRSCSTVGASAGSS TVSGSAYLKLSFDYQKSHEWRNLGILFAFMIFFCGVYLVATEYISEIKSKGEVLLFRR GHKPANLSFPGSSSDLESSIGGISEKKASGSAPGTANSESILNAGTATPPAEAKIQRQ TAIFHWEDVCYDIKIKGEPRRILDNVDGWVKPGTCTALMGVSGAGKTTLLDVLATRVT MGVVSGDMLVDGRHRDQSFQRKTGYVQQQDVHLPTSTVREALEFSALLRQPGHLSRKE KLDYVDEVIRLLGMESYADAVVGVPGEGLNVEQRKRLTIGVELVARPQLLLFLDEPTS GLDSQTSWSILDLIDTLTRHGQAILCTIHQPSAMLFQRFDRLLFLAKGGKTIYFGDIG KNSSILSSYFERNGAAPLPQGENPAEWMLEVIGAAPGSHTDIDWHKVWRESPEYVKVK EHLAELRSTLSLKEPEPQANDPGAYREYAAPFSVQLWETMRRVFAQYYRTPVYIWSKF ALCVLTTLYIGFSFFHAKNTIQGLQNQMYSVFMLMTIFGNLCQQIMPLFVTQRSLYEV RERPAKTYSWQAFMMSNIIVELPWNTLMSVLMFLCWYYPIGLYNNAKPTDAVTERSGL MFCLIWVFMLFTSTFAHMVIAGIENAETGGNIATMLFSLCLIFCGVLATPQAMPGFWI FMYRVSPFTYLVQGMLSTGLSGTHVQCSSVEYLTFDPAPGFSTCIDYMKDYIDLAGGY LLDNNATSHCQYCTIGETDTFLASVNAFFSQAWRNFGLMWVYIGFNIVAAVGIYWWAR VPKGKKFSGSS TSTA_059310 MSKPTIVFIPGAWLPEPTYSSFLQALEKAGYPVRYISFPSSESA GADCQQDATAIRDQAIKPLIEHEGKDILLLMHSYGSMPGASAARGFCKTDRQRNGQAG GIIALICIGAFLVPEGLSCAGLQGGKLPDWVLLDQPEKGVNIPDNAVEIFAADVEPGL TNQMTAQLKPHSNLAFTSEQPPSAWTESSYDGRCAYIVTGEDRAVSKHAQYQMIEGTG KRWIVKEIPSSSHMAPFLSLTDICIELVHEIVSECEDF TSTA_059320 MLDKKADILKLLDHYTNPRNVGSLDRKSADVGSGLVGAPACGDV IRLDIQVDEKTGKIVKSAFKTFGCGSAIASSSYLTTLLAGKTLEEAGKIQNTQIANEL CLPPVKLHCSLLAEDAIKAAIKNYQSKRPAAAATNLADTSKAFKETAATVEA TSTA_059330 MSVTIANRIVNPIGFGLMGLTARQNSPSFDESIKVMKRALELGA TFWNGGEFYGPPESNSLHLLEYYFRKYPEDVDKVTLSIKGCFSIHDGRGPDNSPEGVR KSVENCLSILQGRVPIHIFEPARIDPNTPIEETIKVLAEYVKQGKIGAIGLSEAGSKT IRRAHAIHPISSVELELSLFTPDILENGIAATCADLQIPIVAYSPLGAGFLSDRFRKL SDLSESEFRRYQPRFQPGAFEANVRIADEVRKIAQARAGDSAATTAQVAIAWVLAQGE KVGLPSGMVVIPGSTTVERVEENMKEVKLSEEELHALNKIVEENDVQGGRYMESLAKL ING TSTA_059340 MDCKDMRVNKRQKSALGCLTCKKRKVKCDEQKPQCQRCLSTGRT CDGYPVPSPTRDRRPGLLLAVPVTQHLRTAEDYRAFQNFMSLVPSLANFASTELWNTY ILQLSQADAAIQHIVLSVGHLVGTTSGEIALKEQQEKLICYHYSKALHALTNDPNPDV YIVLLSCLLFCLFEELQGNCYPAIQHIVAGRDIIFKHIRSYRSSASRSDNLVSIKASS TWNPLLAQLLQVYSRLEMHVAVLEARALNPIARLPLSGFSEREIECYSKVPNRLCWDT HPPLSNNVNDNDVTFPEFANMVDASRYLAGLAAICVANLPANPSNETRPRWRSTFLRP SRQALLLDHWLEAFNAMMSTYTASKITIHDRVHCHILRLYQSCLAFMNKANETGQERI FDENKVIFDLNMFRMTILKSVAQEELISPLFFVATRCRIGYVRCTAVEYLRRCGLEGE FLADVAERIIQVEESNHSEEDETTSFGFPAETSRLRLHGLQPAIGQDQQEMFRLMVSS FPYHPHAPIWPLTVHLPLVQERGFMVKAGACLERALRFEMYPSVS TSTA_059350 MSDNNQEENQALKERFKSALWLAIGKIVDEETIQLGVNATPQFI GALTEMVWAQIESVSQDLEAFAKHAGRSTININDVMLLARRNEGLESILRAFIDQQKE AAEQQQPQDEDSD TSTA_059360 MAGVVEEVGLGVSQFAKGQNVVLIPSQSSYDSLDGYDYGENGLT DSNGTFSAPSSYLYPLPASISIRSATYLAALATAYHALLRMSLFRPLDNVLIVSSNPI SLFLIRLLSLQNAYQIIVVEHHPIWKRLATQFGATHVINPRDIDISESVRLLTDDVGA DVVFHIDDQYDYEVDQDIAAPPSAMLNRSRGMAYSATSAISACRTRGTIVNIAPRRMY EASKSKFRNELMMHEVQFVGFAGYDITSLRAALRLIERGDVQFEGLSLT TSTA_059370 MDIVTDTSDTVKYLGTRGVGLVDAVGETIARSDSASCADTVFSI LYHWTVLCYTWRESSLQKVGFTVNAIVPGPIATEAWLKTLFADWGSQENIPKVFRLLC SDEYSWVAGQILMAIGAAVVPTSMLELSMDVNVHSTIHPRSSALVMMASLDNLRDCPY IKNNMTYKKDLRN TSTA_059380 MAKLLVSLLALPFLTGALPADGLVSRGDRSHDADSFNVSQFVPS DSYAPQKHGIPFPLKDESIQPWVKLNQPSQIAAAAMIDAASTCTPLTPSNPSTWWYES VTHNGLSSFMSSNYRNEYSVFRNVVTDFGADNTGATDASAAIQKAINAGPSNGGPARG SGNYGTTGQPAVVYLPAGTYLMSSSIQLLVGTVLVGDPINPPTLKAAAGFPNDHVIYA KDPNFGGTINFYIGIKNIIIDSTSVDGAQSLALLDWTVSQATQLANVVFNMPNYSTGH VGVTSQYDSNSNIILNDLTFNGGAYGLKLSGQQWILKNIKTSGTTTGIKAGGFSVVCQ ACSFAYAATGIDATGVSGTITVIDSSGSNLGVFLQGTNSGGAGNSVVLENVSYSGTTV QLSGSTVLTGSVTDTWVYGDLYSSGSSSRGLARGTTVTTPRSSSLLSNGNYFITQKPP TFQEYSADQVLNIKSVAGLPVYGDGSTDDTANINTILAQYAGCKVIYFPAGTYIVTNT ITIPAGSRIYGEGYGTAISAIGSNYYNPNSPGTMVKIGNAGDVGVAQIVDIIFTVADV LQGCKLVEVNIAGSNPGDVGLWNSHFRIGGAAGSKVETNCGGSPDQCKAAWGLIHLTS SSSAYIENMWGWTADHDLDGNNGQTISTGRGMLIEATKGTWLVGTAMEHHTLYQYNYN NAKNVVSTFQQSETPYWQGPGNDIAPIPWSSNLIASDPGFSSCASGDAKCGMAFFERI SGSSDLFLYNGMVWVFFNNNGGCSGDCQSNAINILKSSPLYIYGQGVKSVTNMFLESG SPIAKQGDNQGGWGGNIAAYLHDS TSTA_059390 MATNEPTLPIPGERNILITSALPYVNNVPHLGNIIGSVLPADVF ARYNRARGFPTLYICGSDEYGTATETKALEEGVTPEELCAKYHAIHKGIYDWFNIEFD IFGRTPTAHQTKIVQDVFRGLWENGYIEERETTQPYCPVEGHASFLADRFVEGECSIC GDPGARGDQCDKCGNLLDPLEPDAKESGDGEEQDVEARATGWLINPRCKLDGATPEKR KTKHLYLRLDALKDKIVPWFEKVSKENDWSINTTSIVQSWIDRGLKPRAITRDIKWGV PIPKGIKGLDEEAYKNKVFYVWFDACIGYVSITANYTDGDNLEGKKWEQWWKHPELVS LYQFMGKDNVPFHSIIFPGSQLGSGMTWTQVHKISATEYLNYEGGKFSKSKGVGVFGT SAKDTGVGPDIWRFYLLSRRPESSDTEFKWDEFVSTNNNELLKNLGNLVARVTKFCIA KMNGVVPEYSAEAGKAVLTEHENQVNTHLKSYNTYMDATKMRPALNDIMHISSLGNKL LQDNKLDNRLFTEEPDRCAAVINIALNHIHLLASILSPFMPQTSKSIFEQLGAVPEAR IPEKWVTDALKPGHKLGESQLLFSMIPPTKIEEWREAFGGEEVRRQKALEAEKAAAKK LAKEKEKEKKRLKKEAAAAAKKAEGDAATATATQLEKKLTLDDGQKNP TSTA_059400 MGIGQKILRRLGVSSDSTPPPVPPHPNPPVWNTQSQASLIINPD ERDISTDRFNGTYIRLARLANGTILAGFTWREGGRMDALRILKISRSLDGGQSFHDFS EVYRGHGEIDNLHICEISPDGHILAAFRNHDFGHNRAGDLAGITHFRITVFESKDAGA TWHYLSEAAEKSDPPLGIWEPFIRMGVQGERTMQVTSYNRANLVPTTLHRGIPRQIRD GMTGITQTIDAATGQIALVMVFETTRYAPHFNVEAVVSYDDGYTWHHRHQVYTPRRRG HNAGAPQITSFGDGSLAAVFMTDDDSAEVKWIHNAAIKICFAGPPCNGRIVWSEPVEV SPASSFWPGIMALDQRTALVTYEHEGPRGRTVVRP TSTA_059410 MTTTDPTAFLYDLLQSLNDYALDSLHDFQDYVGRPKVRHWLRII VIVFGYIMVRPAIELFFKKMFERQAVKEEEAQKKKREEEDAQLVSEGLKRPKKDGNSL RIGTMKVDDNNKEKEEKGVKSEKGDSTKTGRKAVTLAAGNERDKDNKTKDEDYENSDQ EDFAEKIRASGVLEWGRDARKRKQRQQPAVLEAEQQQKQMDEEKLMELLDWSDDEGKQ TSTA_059420 MKTTFAICAFLAATVSAHMQMSNPYPIRSPLNPNGDEAKKDYSY TSPLDASGSNFPCKGYQNDAFKSVATYTAGGNATHGGGSCQLSLSYDTGKTFTVIQSM EGGCPLTSNYSFTIPSDAPSGQALFAWSWFNKIGNREMYMNCAQVTIEGGSGKRSHPR DMMVSRADTAFSSRPPMFIANVNGPGGCTTIESQEVNFPEAGPDVVGGVEGKGYTCTG SANFLGDGSGSSGSSGSATTVPAAQSSAVSTTAPAAPTTAPSSAPAPATPTTSSAPIQ PSNTALPAASSTAPKVVGSGTATGGQACSSNGGIVCSADGKSWSMCNQGALTFMGAVA AGTTCSNGSIVKK TSTA_059430 MTAVAKSRLILSTKKFFPSSPTQRLSLVALASTSNSAHGRSLSA KSVATTPPVSQATNRVVGMIMARSTTRVAAVRATRSLHTSPAAVGASNTASLSAINNV LESVPSPGKKKAASLDPESDEDVPADVEEVKEALSRPPPVNSDYLPLPWKGRLGYACL NTYLRYANPPVFSSRTCRIASILENRHPLQDPSQPFHATKNRPDREQPADHARGQAYV EALGLANARDIVKMLRWNERYGIKFLRLSSEMFPFASHEIYGYKLAPFASEVLADAGR VAAELGHRLTMHPGQFTQLGSPRKEVIESSIRDLEFHSELLQLLKLPPQQNRDAVMIL HMGGVFGDKEATLDRFRENYKGLSADIKNRLVLENDDVSWSVHDLLPICEELNIPLVL DYHHHSIIFDSDKLREGTLDIMQLYDRIRATWTRKGITQKMHYSEPEPTAITPRQRRK HNPRVYTLPPCDPTMDLMIEAKDKEQAVFELMRKFKLPGHERIGEIVPHVREDENKPW KPPKKTKKANDFIDLAQLTPPPLSIPLEEVSMGGPEGRVYWPSGCEEWLRPKKRVIVR KNAAVEGVEAVEPNETNSPAKKSSSRAKSKVSKTKESPKPKTKVTKKATSKTRKRRIS EASIGSTSSSEKDPSSDPLPSSTTITSTPTRRSSRAKKISYAEDDSA TSTA_059440 MSAHGICTSASSNLRMLLAVPFINKICEKKKKVKDNSRGTSCEH VTSSWIMSHSPEYPGCVIQIRNFSSQHSVAVAPFNRWINELVRASSPGAWSDRLRRSK DLRDFWFIRTQSSAVGTLLEVTEENMVVQNKEYKPGTYARLRYLCVVIDRHDIFSCTF QASEGQMGRHHTFCSLVFVSTVGQVNSAILSLHYYFCTFPISSTVLGAEHPNTVTSMA NLAFTWKFQGKLQDALSLMEKSSYLHSKVLGLSRPYSRLSSRALSDWMDKYNALSNQT MLTRKECPQAPWEILTRPPAAVVTVQSAREENINLPYPKDDQLLNYSLETILSSSLPE HPRPRPKTKTYKMWNNLTTPHSCTIQLDKRRVKFNYISVCTKPCQAYQIIGTFTSHNG SGAEKEAKNCKPCKAAHTRRCSHKLLLKVKVLEHFLDLFCHILAGTRGKP TSTA_059450 MSPTTADSQAHGNTSDHEGSSISEVSNIKALNGEKTYSISPIDP ESAPSAAPLASSDNDANIVDFDGPDDPYRPLNWPMRKKLVTTILYSFTTMGATWASTI YNSGISEIQERFGISSEVALLGMTFYLFGNALGPLCFAPLSEAYGRKISVLIPYFMCA IFSFGTAVAKDTQTVLITRFFAGIFASAPLSNVGGVLADIWPPQQRGAALLTYGIAVI SGPLVAPIVGGALVVNMEHDGWRWGEYITGILLLVILAADTFFIEESFPPVLLSRKAS KLRKLTGNWALHSKHQESDLSFKYWTRTYLLVPLEMLVDPICFLINLYASFVYAIIYL TVPVFPIEFQGVRGWNAVIGSLPFLGVLIGAFFGGLVLMWSQGFYVERMAANNNKPVP EARLLPMMIGSFFFAGGLFIIGWTSDRSIHWIAFCIGGVCIGLAYFTIFQSAISYLVD TYFMLAASALAANMLMRSVLAGAFPLFAHAMFTNLGIDWACSVLGFIAVAMIPIPFLF YTFGKRLRAWGKSLSGYYGSVDRFSSISVHFRVKRM TSTA_059460 MAGDPATTVTTTTTFNPDINDHDLSGSGSGECFKHGFEFLPSRI DQPPFDNQLHTTPHDSDFSALLWTDTETDFLREPAPSNHDLDNMMLDSTVSVTLAAPP MENILGNESTSPSSCACMQQQIDLLIKSKTLNNAQPNDRDYNQNKNAVGQPHYDGVSD CPIKSQHLTIGAFQIQGEDRLLLLKAILLSTVRKLTWILTSLQKILEEKIDRFPSPQE ADDGSNRSQLHGSGSYIQQMFHGLAGSLQSIQDFLNNA TSTA_059480 MPSIRNKNKKDLAEQEGRILLAISDLQNDRILRVAQAARIYKIP RTTLQKRLNDKRGLPPRRSLVREMANYLLSQHGNQQVGEKWVYNLVKRRPEIESKFSR KYNYERAKCEDPKIIQEYFVRIRETGFAMGLCATAKVITGSDRYARPKLLQPGDREWV TAIEAVNSTGWALPSYIIFKAKKYMRLGWFEDLPANWIINISDNGWITDKIGLEWLKL HFIPLTNDRTLGKYRMLILDGHGSHLTAEFDRTCTENNIIPKRISRHTRSSSEAIDEV FTRASKAYEMSINKLTIAQKELHDLRAAHEKEKQKRQKSKKQISLEQGIIREEAQALV QGQIEASQAVTTAPAEPELPVSHPPVRRQFRCSGCGIEGHKITGCPNRTRS TSTA_059490 MAARHHLEQAELEVQGSTFWSLEAAQAMLRFHHWAPFLLSPVLS KAAMKSGVELTETIRNALRNGGQGGKNFADLPQ TSTA_059500 MLMTTSRDPCAHSDEYRKAYDYAYTSQLHIREADPIISSKYDAI IVGGGTAGLVAGARLSEDGTKKDSCN TSTA_059510 MSRFGGYGSEGWGSDDSGRETGSRRQKVMGYLKAANEIRQTYQS QLSQKWQEAYNDRGVDAPGAFPDYDITSSGKEEMLLFPSYARRHVKRNNTNGSNAQSR LLPGTNERIEQSSDTGDEDFWREEWEKYEDNNAIVDVDVRGWLYTPQNGPMNPLPINH PVQRHAAKREEEDANREAQYLIDKGEQDADVVWRSNHAERDPDLPKRVTRSSTTTLSP DKNDVTVLNTNLMNRLKPFMHNPSVDTPVTLFFYNDQQSQSRTTLTNESGHFNVRAAL DFIPTHVRVLASDHLSVTEKVKMIKPTGVSLISDIDDTIKHSAIASGAKEVFWNTFVR DFNDLTIEGVREWYGKLHDMGVSIHYVSNSPWQLYPQLKLFFEMAGLPNGSFHLKQYS GMLQGIFEPTAERKRMSLEKIVGDFPERKFILVGDSGEADLEVYTELVQQNPGRVLGV FIRDVTTTEKKEFFDHSFASQRRSGYSTPASSFSIRDSSDLVENRPPLPPRKPSSPQT IDNGDLMNFEDDSSGDDAADVTDKPAAKESPIKPSKPAGLRTVVTTPVQKMEKPADAI RRKPAPPLPSKPSSLSTSTEAEKKPPPLPQRRPTNGSYTEAVKNIAMDVYNNLPSTKD TLDSLSHLSLKHDPSQSETMESTSKRGTPPPVPPARRGTAVTSTSAERKSITNTPPPP PPTRNISRQSTFPTSAVSTTRQTAATNAMNSSAYYEYPEPAAPILNKREELWRRRWER AQQILSDQGVVLGSWRVGCDVQDVTVWLVENAMKKEKEKDDNKREMT TSTA_059520 MQQSSIDERSQDRDPSKSRDIRSASLSPENFRSRTLTPAKNLPS PIRPNNDSAPLATIPAYIVDSDEPATTDRDNGDSGDELSDSGSSTPKASQHPQSLTSI YRPFDRPKPPPGRHRRGNQSVSSSSTPKPEVHQEQKVIDDMSNILQLGNARNQEDLGF GGDIISERSRQLTPARSLRREGRKACDYYDDDDYDEDSHEEVVKLRKEGEDDAIGRDL GSGLGFRKLLERF TSTA_059530 MGLGSVVLRILANGIRVLQFLAGAVILGIFSYYLAVLSNHHLPI AQWIRAVEGLSGAATLYALLGSIFTICLGGIMFFGLVGMILDACFVGAMVAIAVMTRD GTQSCHGNVHTPLGSGPSDSNASGYGRDGFGFGDGQTVTYLPNLGLACRLEKTAFAVS IISIFLFIVSFFLAIPMVRSHRREKRFGPSPVNGYTSGTTRRRGFWRRNKATNPDVPA DNTLPGHPTPAEVENGYKPETSGYNAARF TSTA_059540 MPAGGINPIIPGFAPDPSAIQVGDTYFLVNSSFHIFPGLPIYAS KDLVSWRHIGNAINRQTQLSLAASRTKLNPEDDEAGDMMCATGGLYAPTIRYYDGIFY IVCTNVIHGAQDGSTSDQHQNFIVSATDIWSNQWSDPVFFEFDGIDTSLFWDSDGRAY MHGSAAPGPMTTIKLFEIDIKTGQKLSDEKMIWDGTGGIWPEGPHIYHNHDGWYYLFI SEGGTFENHMVTVARSKSIWGPYEANPDNPILTARGTDEYIQHTGHSDLFQNAQGHWW AVCLAVRKDKSKRYVLGRETFLTPVHWTQGSWPAVSRVKSSFPLEPGRSIIPDNAVAT LSADPGMDWCYIRDADLTSHKIEENGKEITLTASESDLCQADTSDQVTFIGKRQRRLH GHSQVAVQHVTTGTLLDAGLCIYKDEHRFARIFREFDSPAVIVFELVNEAKFIHRTKR ITIDLGGNDAALTWLRLEYSETTYKCSYRLEHNFQWTEFADFDTLEMTGHDFVGPIVG VFAFTKEVDREIDVIFRGFEVE TSTA_059550 MPSQGPAQMKPPPGHFYLAGRLFPRKKWWRDKNMRTLYFFIAVL IFTNTANGFDNSMMNGLQAISYWQDYFNHPSGPTLGLFNCVMSAGALSGLVPMPFIMD RLGRKPCLIIGAFFMILGIALQASAINFAMFIAARWILGFGDILVICTAPLLIAEIAP VQDRAILVTIAGANYQSGAFIAAWTTYGTLQIQSDWAWRAPSLIQGIFTILMIAVVPW IPESPRFYIAKDQPEKALKILAQYHANGDEQEEVVQLEFTEITTALAMEKNAQKSFSF LDFLRTPGNRKRLIMILSIGLFSQWSGNGLVSYYLTTIMNNIGIKSPQTQLGINGGLT TFSLILNIIFSFFVDKWGRRPINLISTIGMLLTFVIWTILSAIDAKQDNANPAYGKSI VFMIFFYNLWYNLKSGLIASYTTEILPYHMRAKGYTVMEYALYGALFFNQFVNATALN NIHWKYYIFYCCFLGFELVIVYFFYVETRYVPLEEIVKYFDGDDVAAVTNEEFEHGGK NAVIHVEESKAA TSTA_059560 MASHAEETEELDLVIVGGGISGLCALRTIVSLEKGPLKIALLEN QSSIGGVWAQERLYTDLKTNHVLGSYEFSDFPMRPKVHSDLKPGHHIPGNTVHDYLET YVDEFLLRGYIRLGQRVQNVVEHKTGDDDQTSTWELEIEDLSAGTISTIHAKKLIVAT GQTSQPYFPERLFKSQDEFERPIFHCVDLHKYESELFNNADGKEKKRVTVFGSAKSAF DAVYTIAETYNTPVDMIIRASGHGPIWMCPALVTPLKKYLEKLMTVRLLTWFSPCIWG DADGYSGVRSFLHSTWLGRKIVDIFWSVIGNDVKTLNKYDSHLETAKLKPWIEPLWVA SGLSILNYDRDFFDLVREGKVRIHIANVERLSTGAVHLSTGEFLSSDGIVCCTGWRTT PTINFPPETQKELGFPTAEDPIPVDMIQKADAHILQQLPRLKDQPVFNTEYQPLIQET PSQTLSAAPSHPLRLYHFLIPCTPQLASSRSIAFLGMATTASTMLITQVQALWIAAYF YHPDSLHLPAPDRTEIQWSTALHTQYCIWRYGVGGHGNRRPDFVFDVMPYVDMLLKEL GLRQNRKESRLKEWLEAYGVEDYRGLVEEFVERLKRDKKDL TSTA_059570 MKKFGFKKSKDDGDDDSNRRALFGSRSRDKSPNPQAQGSNPYAQ NIPIDPYTRAKINAGVAPAPGGTGPAGQGYSDVKHPDGPVGNQQGGYAPNRYGNQGGY GADRYGGGADNSSRPGGGPRPGGYGGLGSTDPNEADRDALFGGARDRVQQNGPGGPPP PYSTGGPGAGGYGGYGEENGGSSAVYQERQLTAEEEEEEEVNAVKQEIRFIKQGDVSS TRNALRAAAQAEETGRNTLARLGAQSERILDTEKSLDVAASQGRLAEEKARELKTLNK SMFAMHVSNPFTSNARRRERDEKLLETHRAERNTREGTRQEAFQSNQRMERTFREIER EANKNYPAQKKDLAERAKYQFEADSEDEAMEDEIDNNLNLLSGAAARLNGLAKATGKE LEEQNRHLDRIMGKSDYVDDQIAMNRARLDRIR TSTA_059580 MAPLPGNEADHLREKARRDLLNLLESVRGKKNLVISKDLAGPVG LFVKFSVLQDYGVDRVFLLENGNLDSSQRNVVFLVHAEKVNQVASVAEQIKKLRQSSN VEHDISIFWVPRRTLVSNTILEEAGITGDVNIADLPIYFLPLEQDVLSLELDTSFGDL YLHKNPGSIFLSAKGLMNIQRRHGYFPRITGKGDNARKLADLLIRMRKEVEAEQPSST GPNYGNKLPSSSIEQLIIIDREVDFGTPLLTQLTYEGLIDEFVGIQNNQADVDTSIIG PATQPPAQRSSTAPATKPGLKRKIQLDSSDQLFSQLRDTNFAIVGDILNKVARRLESD YETRHSAQTTAELREFVNRLPAYQQEHQSLKIHTNLAEDIMRQTRSDIFRKVLEVQQS HASGADPSYQHSNINDLIARDVPLKTILRLLCLESCMSGGLRAKDLDFFKREILQAYG YQHLLTFRALEKMELLQPRGSATAMLLPGAVGGAVAGTKTNYNYLRKNLRLVVEEVSE KDPNDISYVYSGFAPLSVRLVQCILQKSHMMALIKGGPSALSAGPTAQINTSNTSSPG WIGFEDIAKSARGSTFSIVQKGDEKAVRARQSLTSSGGNFGHQRMVYIMFLGGITFTE IAALRYIAAQEAATRKLVICTTSILTGDRMMDAAIDGGTFGVKDSIQQTAAI TSTA_059590 MLNKLQGQPESYEKKSLYRFGRTLGAGTYGIVREADSPNGKVAV KIILKKSVRGNEQMKLNHPHIVHFRDWFESRDKYYIVTELATGGELFDRICEYGKFTE KDASQTIKQVLDAVRYLHERNIVHRDLKPENLLYATTAVESPLVLADFGIAKMLESPS DVLTSMAGSFGYAAPEVMLKEGHGKPADMWSLGVITYTLLCGYSPFRSENMSDLIEEC RRGQVQFHERYWRDVSADAKDFINTLLTADQNKRATAEQALTHRWLKGDTASDHNLLP EIKAYMARAKLRRGIEIIKLANRIEALKMQEDDEEDLNGAGVPSNVGEAARQAVENRD ASDIVSAFPSLAVTNPSGDTTETSSSQQPQEGGVTTKKRSLSRVARGDIFREIVLAKV RDAKQEQEAKTVEAEALARAEASNR TSTA_059600 MEMVHAPSRIISANATTTEERENENEKLRQLKTRGRKPRAKNPK RYTEDVDTIAIVRRHGANNNYLAMDEYLNPSLAAQTTSTQHIQVFVDYIMVAWPCLFK CTERSVPISWVTYAASRGSGTDTNSFDLGLRSLTCSFMGASSHDQRLINAGRQLYASK LSNLQYTSFKEGGILDEDILAAAVVLSVYEMYNGSTASAWLYHHSGIVEMMRLRGAEA HTTGFGRAIYIAYRGFIITASLLKGEACFLEQKEWQSMSERLATENAKQPDSSVFNEI AERAFREMVKLPGMLKRVRDLWETPPVNQFLVRPQLKQELAALRAALRGLHTELGITV STHGGPDNPSALVANVDRKKKDIFVGPVSCMFFDGFSALTIRGIRSGIVLVDQLLFLL TPETKVRQMLDEEINTLSREDDLTQNFVDNVRKDPSPSPFFMIDTSTRPFPIRIESLM DPRLRQGPNTSWTDRIATSYGMLGVRIHVDYE TSTA_059610 MGQKQSKLSPQQLDELVKATHFDKKELQQWYKGFLKDCPSGHLN KEEFQKIYRQFFPFGDPSPFANYVFRVFDSDNSGTIDFKEFICALSVTSRGKMEDKLD WAFQLYDIDGDGKISYDEMLAIVEAIYKMVGSMVKLPEDEDTPEKRVKKIFGMMDKDE NGSLDMEEFKEGSKRDETIVSALSLYDGLV TSTA_059620 MPSSGRALLLSSLLLLSSSTSAFYLPGVAPTSYDEGQSVPLYVN HLTPGIARQDDQLHAVLSYDYYNPIFHFCQPKDGPADVRESLGSIIFGDRIRTSPFEL HMAKNETCKAVCGEVEFGPRDARFTNNRIAQGYNINWLVDGLPAAMLNLDPITEAEFY NPGFLMGYVDENGQSYLNNHFDIIIDYHEVGLATQDKYRVVGVLVQPDSRGESSIDAD NQVDCGEEGGPMMLSEENPTKVVWTYSVYWRESDTAWATRWDKYLHVFDPKIHWFSLI NSTVFVVFLVGMVSMILIRALRKDIARYNRLDQINLDDLNGTSVVEDGIQEDSGWKLV HGDVFRCPKSPLLLSVLLGNGAQLFVMTGVTVAFALFGLLSPANRGFLGTTVVILYTL LGFIGGYVSSRAYKSFGGEAWKRNIVMTPLLIPGLVFSWFFLLNLFVWAKGSSGAVPF TTMLAVIAIWFVISLPLSFAGSWIGFKSPAFEAPTKTNQIPRQIPPAVGTLRPIPSLL LTGILPFGAIFVELYFIMNSLWTSKIYYMFGFLFLCYGLMVITTAATTILLVYFMLCA EDYRWSWRAFGGAGMTGFYVFVNALIFWATRVSFGGLTGAVLYVGYSALIGFLVFILT GTIGFFASWMFVRKIYSSIKVD TSTA_059720 MEPQEFVLNHPHFFKPIPLILTEYVVILRETHIANGEKPSRTQG KPSWPSGSLSNSGREFRSWKHSIPLNSETVLSSGKLALVFQKAHQLIEIDVSVRQAVI QKLSEDGGLGCIKMLVEQNFEQLPTSTKEHLFQTLFLPLLKTISHPDVLQSLILERPV GTIYNFLFGVGGIRASKLLTFCSEVLMHCTKDESTLEWLDASVLVFSRIVDLNSTALV QYLSRIVRSRVHLERLLRRFEVGVSLPNMAQNNVSQGPKVRGSFVIAYDPPGGRHDND HTDICQIRIMPTFQEISSRRHEYLPPFDPTQWHVNGFHGLLDRNFRLLREDTVGLLRD AIHSEIQPSRSVLNHKSQQRTNECEHVQTSAIWGFGLPHLQRNSVVFCTVINPQATAH KRQGQNDNKSQHRGSDFLWKDSQEAAVFLQLTSLNDRSIEMVLNNYTTKDSHIALVEF PGVLLPAFEPTLKALQLMKRIDNLPFSELLIPNSTHAGSPTYAAPLYAAEPGFQFNLR CLMNDNSDFFVRVGHPTDLQKLRQNSSLDGAQASALIHTLQRKIGLIQGPPGTGKSYT GVALIKVLLANKGRGKKSLGPIICVTYTNHALDQLLESLLQKDVTKQIVRMGGQSKSE SLKPYNLHELARNFSKTKMEKGSLWICHSSLERCEKEFDQIGLRDDISPTRVMTHLRD SYPAHYNQLFGIDEDGFQHAHKDNPGKAFDQWRKSGGKASTRHIRRVAQLESVSLWQM SQPERESLYKHWQAQIQDEMHQELINICISHKDTRREFDNILSEVDLRCLSQADVIGV TTTGLARNLNMLRRLKSKVVLCEEAGEVLEPHLLTALLPSVEHAILIGDHYQLRPQVQ NYELSRENKNGGERYSLDVSLFERLVEIDSAMGCVCIQYVQTQRRMHPSIAQLVRETL YPQLQDAPSVSDYPQVRGIRKILFWLDHREPEGNPSNAEASATSRWNDYEVEMTTALV NHLVCQGQYGSGEIAVLTPYLGQLQKLRRRLGRSFAITLGDRDQDDLDKAGLQEDEEL ASTPIAVKGTLLQSLRVATIDNFQGEEASVVVISLVRSNPQNKCGFLRTSNRINVLLS RAKHGMYIIGNSITAMHVPMWADVINIFQRNENIGTSLELQCPRHPETLITVSKPDDF PRVSPEGGCDLRCIKRLSCGHACIQKCHSEILHNAVQCLETCQRPREGCSHPCPKKCG EKCPSKCTEIVFQADRQLSCGHLAQRLPCWQSQDLSAFELHVTLMSVCFNTGVLCNAG VYWHAAIRAKTNVSSASQELSQGMSARIMEFVDRNVAETRIHALTDATPLATVNSNAH HASHPAKLTADIPNARESVRSRAHPAPKRNVFLHVRTLLACGHQCPSVCGEICPPEKY CQICGSEEIKDYPVDFILGESYKDIDLDDNPCIFPKCGHFLTTETLDGLMDIGKYYVL DERRKPSKISTSSEPFSISDIKTCATCRGPLRNIARYGRLVRRAILDESTKKLILYLN REYVPLAQELPQRKQQLQDTKNERSTSWPKTIRIRDERNALVRHMRLIISPQKNGRWK EILDLRQRISTYQKRVSPEEQPFIRVHQLATNARRRQNTINTSDDFGDVSEVLQTKGF LQGTALSLRLDIELLADFLEMRRNALKAGEIKVDMDLSAMRTECETLIKSASESKRPL HKAEGYIFLAQLHAFERSHSSSPEMAERHLIEGKEAINEAKTLCNENPGQTQGLATDI EGAEKMLRGTTFYTAVTNEERMAVIAAMAREFSGTGHWYYCRNGHPFTIGECGMAMQR AVCPECGEPVGGQNHQAVEGVTHARDLEVTFAQMGLH TSTA_059730 MPRKLPLRRQKASHAEAQDAYPGQELLDALARLQTNSKYSDLTI LCGQESYAVHRSIVCTRSDFFAKACDGKFQEALSGEVRLDEEPALVKQVIEYLYTLDY QVETHVVTSNRGETEKNAPNPVEEGANSFNVPNSHSIAQNEHDVDAAEGQRRFWILSR SFPSVIVEIYNTTPEQDRGLRDIAVQITMEHFSTLRSASKTGVAAFQDALLQSVPQFS YDLLIAMMKKYVPVLEGGSV TSTA_059740 MFQGHPYHSLQVPSDEPFKLKPSPGKGWGAFATRRIERGSIIFT EKPLFVIRKPHTEITEGDVWAAFQQLSPSEKEQFLCLRDNGSRSFTYMEEAFAENSFA ISRDNSLRSKGTSIHGLFLLHSRFNHSCIPNSKIPNTDQEIITSFAT TSTA_059750 MGETAIIPFACIVISSLLIFFARIILRERGQVLAAETTDLKTIY HTPSENRSVIAAEIIHGARNQYTKYESKSVPSEPIQRGFRIQNAFTSDNKSYVEEFVK ISRNLINISSDNWEIIVSATQDAVRRWKSDAQGACESRREVELVPMVQSITLNAVLTA FFFLRKKVTTNDVTFKSLSNLAEAINETWIMSKKEDTFIPFEQNDKLRSALIEVLPDG NISDPCENPLNLILPGFETMWRVVLRGFLEVAYKAGKEHPNWREALIGYSEKPTAEQF KRASSPDGAAWKEANFPEPKIIAADVEACHLSTSIWGKTAGKFDPLRWQKLTKEQEEA FLAFGSKPFECPAKPVFGPRLVGVLVGTLLDAFPHHWTLVSAYGDAVEFGTERLSNER MGCYGLYLELIRD TSTA_059760 MPFNNFFWDFNGSTHVVLCIETSSPAISELALGIFMFQSSTLVR NGARSYNEEVDIYASSLFGCEIFLDHHPWLLSVNPWRPDKNPRLREYKSRHEQSLRSL NHKTGAKSAEKLRPITRDKSGWGFMECFSLVVNAYRKIFCFQF TSTA_059770 MLQAWAGDESSVSRPVSLKRTLYKSFINKFTVEKSIKEVQTTAQ KTNTAIEILDSDRRREKVIAKLPYAKDSLGLFDEGLIKDAIRKPESTCAVKSEHGPRM DKESRYSC TSTA_059780 MAATGKSTISRTVAESFDKEQKLGASFFFKRGKADRSNVAMLFT TICAQLLGKNPSLIAHVEMVIDADPNISDKSMGEQFEKLICLHLSQLRHNVSQAQKLI IVVDALDECAEDGDTLLRLLSQTRNKWSPSLQILITSRPEQQIRPGFADIPEEASPLS SNADFPKPKRRAMSVLVKMAVPLFIFVATLCQFVEDPAWSDPTGQLKKVLEHRKMNSD SEIDKLDATYSPILNQLIHGRPVKEQKSLVDKFRCIVGTIIVLAGPLSRSSLASLLNI DSQEIEGQLSSLHSVHSVPSSADSPIRMLHLSFREFLIGLDKCHTNPFWVEETETFRT VMTKCLERMFQPGTLQENICNLQGHGTLRAEIDGRIITYYLPPDIQSRTAADYMDFQR TIPGTDIVVFSDGSRLVNGHAGGGYVTFQAYHQFLRSSLSYGHGKEVFDAEAEAALDG AQAAIAYPTARFATNLWICLDNLEVATRLLSPSTGSSQEVFESFRTLAAAWPLRERLP HTKSGSIQIRWAPGHAKNPENEAADLAAKEGAASIPSSLHKSSYASLKRYAKTQSHSA AQIQWQTVAPQTYQDLEITTSPKRPAELQLNRLDLSHIIAARTGRGVTIVT TSTA_059790 MNLQGLRQIQQDPEVRELAAVRDKLCAQIEDMFSVVEMAKKKPI YNDYQAVKACLAATIQKKERALLKWIQKAYNLNAPVLAIQRQLNGEQSNNDNNNDNKG NIPTKTAPTRIAERRYIMECMVRDPSIFSN TSTA_059800 MYRSVALLNTLSKFLKAIIICRISYAMESKGLLPSSHLGGYKGI STDHAIQIILNQICGAWGRGHAVIFMLLLDISGTYNNAHHLQLLHNMKKRCLGHFVPT KIRIPEEISSQIPIPTGILQGSPISPILYLIYNADLIEDCADIVNHTTISGWMDDVAL ITIDNIETETIRKLQKASKIADQ TSTA_059810 MPPIRNKNRKNLDEQEGQILLAISDLQNGRIQRVAQAARIYEIP RTTLQDRLNGIQQRSLVRANSHKLTQYEEESLVKWVLDLDRQIDSKFARKYNYERAKC EDPKIIQEHFDRVQAAISEYGILPEDIFNFDETGFAMGLCATAKVITGSDRYAQPKLL QPGNREWVTAIEATNSTGWAVPSYVIFKAKKNVREGWFDDLPDDWRINISDNGWTTDQ IGLEWLKTHFIPYINGRTVGKYRMLILDGHGSHLTPEFDHICTENNIIPVCMPPHSSH LLQPLDVGCFAVLKRHYGQLVEQRMRLGFNHIDKMDFLTAFPQARTVAYKAQTIRNSF AATGLVPFNPDRVIQQLNIRLKTPTPPPSRSSNTASSCLQTPQNIRQFIRQSTTINKR INERTESNQNQEINQAVVRLSKAYKMIANDVLLVRKENYDLRAAHEKEKQKRQKSKKQ ISIEQGVTKEEVQALVQGQVEASHAVTTTPAEPELPASQAVVRRQYRCSGCNVMGHRI NQCPSRISS TSTA_059820 MSQWTSITIGPATETEIAPCSQLAIARPDKDDQLLRLFYQQKCD AGSKDGGPLREIRYDANDQVWTIQDNAIIDDAIEDTRLSAVSDKLKQDVRLYYQGTDM QLREIAPISAVCWRSGQSKLQIRVFTVLATKEKTISQMSYNHPDWKTLSSPAVRFQEG SGVGSCRDTANSNADNAPICVFYQPGSRVIELTAVSADDSTTSKQLASDIPHPVGIPT SLSGPYTSSEESREHSIDKSAETSGPSSTGDPAMAAKIERLEQEVQKLYVSLATAESD VDHLQARINNIEKEKSAVNDENLSLHKETELLRSEIQTLKEQNTDGAHWKSMYESLRS SSREELGKLASLTPLHSTDISKIYETMKTYRTLAMDGWALKAYTYRRGRGSETYHYFL RNESDWPEIKNQYIALFGDDQTDWPQWFRG TSTA_059830 MAYVASLDAIEFFRDGKRHIRVYYQFSGVDKVIRESCYHQEHGW FVKGDGVVTDLAKNNTPITATRWTEDGGSTQIRVFFLDEQNNIRQV TSTA_059840 MAPDNTITFAGNNNQGFQLGVNQGTIGDIVYNFKEANDKCLNDL RLTDPRDDKERIKRTKGGLLEGSYKWILDHSDFQKWRNDDQSRLLWIKGDPGKGKSML LIGIVDELERQLAQLKQTEQSTSPPTALSYFFCQGTDANLNNVTAVLRGLIYLLAVQQ PSLASNLRKEYEQSGSKLFEDTNAFVALSKILMGMLRDPSLAKTYIIIDALDECETGL QKLLKLIVENTSASRVKWIVSSRNKHDIEQQLNLADYKVKLSLELNPESIAAAVSVYI CYKVSQLALLKGYDSRTEDTVKKYLRAKADNTFLWVALVCENLEKIRPKKTRSALKAF PPGLDPLYGRMMQQILNLADTDDVYLCKRILAVITLVYRPVTLAELASLVKRTKDTSD GVESSDGAESSDGAESSDGAESSDGAESSDGAESLEEVIGLCGSFLTVRDGRVYIIHQ SANDYLRGKGALISPSDDAEAGNRKFMTSLEAKKKIFPSGRAKAHHDISLRSLQAMIK TLQRDIYSLRHPGSSIDDLNMPVPDPLASVRYSCVHWVDHLCEGPQRNDLGDGGLVQK FLEGYFLYWAEASSLLGALSDTILAMGNLERLLKTMSSESSLLSLIVDAHRFLLHNGL VIKNAPLQTYVSALLFSPTRSLTRELFKKEEPQWVLEGPAVGKHWGPLVRTLVDHHDS VHSVAFSRDGKLIASGSRDKTIKLWDATTGEVKQTLKGHDYVLSAAFSPDGKLIASGS EDETIKLWDAATGEVNHTLEGHSDIISSVAFSPDRKFIASGSRDKTIKLRDAATGEVK QTLEGHDDTVWSIAFSPDGKLIASGSRDKTIKLWDAATGEVKHTLKGHDDTVWSIAFS PDGKLIASGSRDKTIKLWDVATGEVKQTLEGHDDTVRSIAFSPDGKLIASGSHDKTIK LWDAATGEVKHTLKGHDDMILSVTFSPDGNFIASGSEDRSIKLWDVATGVDKHTLEGH DDTVWSIAFSPDGKLIASGPGGKTIKLWDAATGEVKHTLKGHDDMILSVTFSPDGKLI ASGSEDRSIKLWDAAKGEVKHTLEGHSDMILSVAFSPDGKLIASGSEDETIKLWDAAT GEVNHTLEGHSDMISLVAFSPDGKFIASGSRDKTIKLWDVATGEVKQTLESYNYTVLS VTFSPDGKLIASGSEDETIKLWDVATGVDKHTLEGHDDTVWSIAFSPDGKLIASGSRD KTIKLWDAATGEVKHTLKGSRVSSVSFDTNGLYLFTNFGCIKVDACLHVDAKGPIQSQ PQEARQCGYGLHPDRSWITWNGHKVLWLPMEYRPSEYESPAIYQSVLMPTVARIAISS IYSGRFIVISFSKPPPGLPSS TSTA_059850 MQLLVILFRRPHALMFASTTYHSVAMSYRSHQDDNISPQPYDSW DNDLLYAPTPGNFSVASSPHIHSPQSSTFLEYPSQREPQSDQLCFIPPTLWDKDKTYD EHPPIYLHYLIDWKVKLNNRTVTKVTEPDVVLAPGTYWQKVLREKVECVKSRKLFSDR RARLEDTTVMASVLNDRSQKLHQQAEGIDIDWEMTEKQLLKWKELFRRGKKLKLDVCV NYVADDNDQSLTRNGEKRNTRSVTNAMLAEREARIDAERGSGQYSPWRDVYDKMKCPG PPCKNSEGYCWQDPVGKKHYRLNTHHLTHLVDMVKKNRLFLETHDDVPDMIREQRAKG NSSIESPYLPININVLPTPSPQPAIVATPAGSPPSASHSNSSIIDPIVIPDLPLDEAV KMYANWHKSRVASQQMKDNVDLACQIALSNGYDLSQIDKDRNCNEYTDEGVMKGVANR WTGEVREWLNHYEPLS TSTA_059860 MGPKRGKKGTPWPEPWFADDPDIRAYVAAAIKDLEALKKHQEAL KIGMPQNAPVFAQLPASMNVTHTGWLLSEYKIKE TSTA_059870 MKPLLGTLVLQSDITPLEISHVQAVRTVHQSKSVRTILDDDSSE DELTQPSIHEAPQEPTEPAQEADTLMTTNLEDSTWANNQLTAGNRGTRSRCISLVRAR CKIMISWLSTYINKHTDPLTTYSLALKGSFHILLQPTPKEEYKKRPRVCFYVNRGLDP ATWEVQYYNRDLSTLTLHTAAHGTIYIHNIYNLGVNSNKESVISALQTAMAPRV TSTA_059880 MSPITLDEVRMAVTNVKPNKALGLDRIPNLVLQRLLPTIEAYLV NLFNSIIVILCKPGKPNYSNLKVYCLIVLLSTIGKALELVLARHLSYLVEQYNLLPKQ HVGGRCGHSCELAIHLLLEETHNLIKQILAKYLDVIVLGYIDDIFIMIYRASTVANYY TLTKVHQVAECWERTHASKFAPAKYQLTHF TSTA_059890 MGTASHSETRKDHRIHRFLHPFRNHKSPQNASQKTETARSQTSL PSSQPSKSSISKTKEQKPKSPESISFELWSDAYETLRDDQETKHLVEKYEQILTQQFN DSPGPIDQDSKNMFSTSDRQKRFEMMQNGLQNCLERAQKHPKVEGVAERAVQLIDFAN DKVGDLLDSYPPASLAWSGFCIITPVLLNPILENKNMHEGLAHIIDRMDWSMALTDIL LKENWKNKNDFQNLHRLLDRKLVDLYSDYLEYEMRSVCACFSPWLSGVKNLVKWDGWK ANLDGLISKEKDIEQRSAQYNTEKIVASLKNAVGHLSTLSNDVSAMRQTQETERDDQR QIKRNQIIGRLNPVNSSPYRDRMLMNPERNQGTCEWFLQHENFNEWLDAEGSSRLLLL SAGPGCGKSVLSRFLAETELPRRSPANPVTYFFFKNSPDQRSICKAFSAILHQLLTQC PYIADSLGSDVDQAGKSLTDSFQTLGQLLKKACDYSQPTTIFCLLDALDECEPTDLAQ LIQWIGSYLDGSMQKGDMRKTKSNAYIKFIVTTRGLPSILEQFAEYPTTYTQIAAEDS HTSRHIQQDIDAVMTDRFHQLVAAKKLDNETQKMIWNALERTSGGGQRTYMWVKLIFE ALESNRRTAKKDWEALIKSPPKNVFEAYELLLNRVERSDYSRIRSMFHIIYCAERPFT VKEMNIAVHLHHVQNAYSVEEIDRMSDDDFRNWVTESCGFFVTEYNGQLFFIHETARE FLTASTTQSLVELHADFLSGLDYSQGRGGFQGSLTESIAHATMAEICIQYLNMDIFFG PEIHEDLQMARNEYMSHSTFLTSSMPKYILLEYFLENWKDHFLKSQVSKDEDDGLRIL DIRDEFVPKYFELCDANGPLNSLLNEFGKPVWDPGSTDDEIFRATEITDELRFLIGAA IGHVRLFYQFWINEENMAYIDQLYHFGFPPKTTMLGWASKNGYLEMSRHLLHLGASTE IKSENGHTPLHDASYIGRTQVSRLLIDHGSDIEAKMEGGGDTPLFGAMLFGHAETVQL LIERNAKVDVRNIKGWTPLFFFFTRCGEEHDHIIYNVIRSNPSIINQPQDNHGMTLLH LAASTGRTQTMVFLLRRGANVYLRNEYGSTALHLACQWGSEESAKTILNWTSHYPSNQ NVPPYDMVNHQNNEGNSPLHFASSKKIVQLLHLNGADLEKKNNDGDTPFEAMIRNHPR VWYGGSLSDKEKHEKWVDKANELLQAGANWKDAFQNLSEGSYDEFLELQAELNMKTRA GYEEWAENLDITILVSDEEEDSQEE TSTA_059900 MISIAFDDQAYNVPELVSPRQLFVLRAKKGPSQTVPWKQEMLNI PVFLRAIKTKEGVKTSKDAPLPYRQYHGWLVLLGVALGLIYTLTTYCLRRALGNAING KAPDPPINRAVTNFPVVDDPNSNAAVRNLVLDHGPGSRIFERNYISRTIRYFTQDQFW GRSSDHESARTASQIGLLRDPDRPRKLSTEQGQQIEELFGVIEMAKGEPIYNDYQAVK ASLAATIRKKERALLKRIQEEYDLNAPVLAIQRQLNGNNLTMTTMTTIKKVLPLNRLH SDR TSTA_059910 MYTGMEMHPTGSSSRLKSTGKRAEAMSILAQIPEAHDVQFEPLQ TDKNRPPQLRIPLYIDVTDPYQLFTLFFTETLWKLLATNTNSYAYAKESKNHSLHQRS WYPTTPEELKVFVGAQIYMGFTKEPELKDYWDDGLDNNTVHANHPLSAYITQYRYEQL KRYFHISSPPEIPGGFITTHYPPEPTPEQELQMSEEQLSANISIDEAMVRSHGRSSHT FKLPNKPISQGFKLFVLADHDYVYYFYPASRTKGVIEIGTPTELTKTGQMVYELIQTL PRDERNYIVYLDNYFTSIDLFKKLRDIQIGACGTTRPTSASKDFSDLLKKLKDLSNYI PYHKVCAIPVRDVLCVA TSTA_059920 MAGALFGSALTASGVYLPSVILSQMELQDLHMLKVFLTAGSASG LAVALYERLVHRQLSRRPPSNLGWIGPYDGNIIGGVMVGFGMALTGACPGTVLVQVGT GVPSGRYAIIGGILGGILYIILAKRLRRAPTGASPQANNSLPAKFGVDPNTALLMFEG MCICLILAARYLVPSQIETFLDPVVGGTLIGAAQLATLILTKSPVGVSTCYEDIGLWF WGCLGCETSSSPTQGVTRRLIPLSKAIAFASGILASSYVLSKAMPEMIIKDALVISPA RGILGGAIMVFGARIAGGCTSGHGISGMSMLGISSIITVASMFAGGIGLALAL TSTA_059930 MSNMGQPTVHAIFEKNTSTWQYIVACPSTKEAVIIDPVLDFDQE KLTISTKSAQKLLETAKSNNYRIVRLLETHAHADHLTASHYLQKALIAAGQARPPICI GKRIRTVQETFAKIYNIPEDEIRNVFDHLFSDNEEFRIGELTVKVFHLPGHTPDHVGY VIGENVFTGDSIFNPDVGSARCDFPGGDARTLWNSVQQLLSLPPRYKLYTGHDYPPAS GSNDQFEGREAMPFVTVKEQQERNKHVKEGTDEEEFVKWRAERDQGLREPKLLHPALQ VNVRGGQLPKNRHNGGVFFKLLVKVPADRFILVPSKPVPHVPDIAPLRTPAPLV TSTA_059940 MPLRSNARNPSSLACIPCRQRHLKCDALIPPCSRCKQSNVKCHY VASKRGLRRKDSTTTSPPTTEPVDADASCTSPPYTQTLTPTFSPGISEFLPSLDETSQ RLAGLSTPEETRTVVSTESCAVPAYHNIAFDPMIKLYYQNFHSSHPFVIPRKSLSNYD LTRFLPPCLISMMQFIGSHFHPDPTVKDRYQKKAYMSLNDSSEPSGFKVQAMLLAAIA YHAHGNEEQAGYMLNSAITMASQLGIQSGSFAGHSYPGNAILEESWRRTFWELFIVVH LFKTFSAQQNCDIQWSGYRDEDLLKMELPCDEATYYAAGSIPQSKTLPQLQNVWRSVN NDTEETFSSFSYRIEAIRLWEMVQSVNSRSTALMYIDEIELDTLDIRLTTLLIRLMKI YQCFTSSANEPDDQMRRQAQMITLL TSTA_059950 MARKGPGTDGPLQTALLESTSAATTRASEGQKIFSPIAAFLDKH RSQTTGLAPHLLRALTALSDDLASSTYVTITQYAPVKSTPTTHSKAHVKKPMPLMKQP PPDNWLFVRLLADHAARKMEAYTIYSSLWSSLNLNSLALKEVQATKTGFALCPSSPEA LLTLEAQKEIISAFFVNCQIERSSRWVSYQVTNVPRKISQILDG TSTA_059960 MLENTPLPYLYSPIQDTLITGLFLSTISLTIHLAVKRRTSLKFT ADDVLFASLDVDKVTVCLLISWGLSLGTQTVILYALNHAGLGVHIADLALSTLNHYQK LYLSAICLFMSSFCLAKVAQLFFLYRLTANQSRFRASIYYVACVIIMGSITTSGCLIF ACRPISKSWNAAEDGQCLNRGAVYVAIAVLNIISDLTLTMLPVSLVISSQLVSAHKVR IIAMMLVFFITVITGAVRLTVTISLLHSSDETYDSAPVALLVGVEANLFILTASLPGV RQCFRIISGHSYSHHIESASLTALD TSTA_059970 MDPKIERRKALQKRNRRMKSLLLKAVDMSILCDAEIFLGIRIRE TGRVTTFCSDPEGLWSPATLKLKNYYPIPINMTLEDFQHGRGRNKDQDPESAIDEAGG ED TSTA_059980 MAKSQFDLGFLPRECPPYMAIFQTVQKMCRKQNQILEHNIDQAA LSKVLCVFPHLKELTVHFCVPLETKPWLEAYIDLDMNVTEGLYAHHMQVIAQALSDRS SIHLRGFQIQTGHQYEISDLMSLSAHLQELLVGIRSLKMTQSESALELLSQWELNIDQ LDMCDMMIDYDTLKRVFSANQPTLRSIGFHNVVVTEVPFEESASQLSVDILSELIGAR RGAQGQATYCHCHSRHNGWRILWENEPLV TSTA_059990 MDTSSSELSAYFRGFIKVHLGHIVGDIYNEKNVRRYLRLFKAGQ YSREDPLHTIAVTIASEILVRAVSQHGIDVNALYDPRTPPLLTLEQDIQLPCVQGQDL LEAAKRSLGFGEKWWVVRLFAADIPEHLKRYLNESYPLAYQSADLEILQSLWSQNNPS VIDWKAKTGTSYTYVRRAYENRELRYAIGALLPYTGLWDSFTLRKLDYVIGSHSLEEM AHYLQNVYKQWSIFEAPVVDPNSVTLIEGMMPSYSIHDRSQIRSLMDDGTLFPRLLNE SERIRVRDYFLAMKGRILSLKLFFSDASLIKRIAQPLWQFSGKNSERTLRQALLGSWN QIPGEAVTVQDTEFYFDKMSLDRIISRQGHRHAAWVSYLQLWMSAFRHFIDPRRGKQG EEPGVQPLLDIQGKADFEFTAHKLGFDKRFPRSAKYPVFQYISKTLTNSGSVESEKVS NVRNGFVQAFPESQDISRSREAHPEWSTDIEERKATFRAGRPEVKEYRRIRQYFYLKI VGNDDQSPKSYPTAIAVMREIFFDFFGSFWLQSISSPVEMEPHPSGSPSVYSVSTELA EPAPLVILGTNEVTTVNETQTLDAQALVPSNDVSMESAPPKAAGFEDDENIGPLAKLC YISHHRGSREMMEKWYHDRDPDLVVLFLFDRRAFYKFRRNSSKELSDRIHYLAQENYF CAICDNVISIPYQETVAALLRFSLLLVGSKDGPTRSIAREGALTLKDLEQYVVSFDPK TGKRRPD TSTA_060000 MSRKEASRKTILPKTLKDLRSVKDTLVTPLDTARTTDQPPDDLL WKEEDPSTKYILSHKFNQAGAGWIGFENCQGLRTVFVKIVKATSHQKLQFHKTDQANL INLQEVFHSKNVTYLIYDFDRCDLPLSMVHASPNVQFTEADIAIVCRQVLTGLQYIHE KLKISHGSIKLSDLVLSQSGQVKIANIGESMLQGKTLEHRRGDLQGLALVLIHLKNPH TILEDGRMHVNNPRDVSREACEFIEMTKSATYRELFQVSQF TSTA_060010 MNVTTPNECYDTKRDRYDTKRDRYDTKRDWYDTKRDRYDTKRDR TKPERCDIKRDCYRHQMNVTAPNGIITDTKREHYRDQMNVTTPNGNVTSTKRERTLTP NENAHLTPNRTLLKPNGSITDTKWEHH TSTA_060020 MAVKLDEFSSSLDAVAIQAGDVVTVPHLITDMLPYLDLPINEHH RLHLLRIARLLVQALETPRETVIRLCWAEPTLYGAIIVAIDCGIFSYMSQTPNEPVPV EYLARVTEMDPILTSRLMKHLSAMGIFQESAPDLYLATNLSKALALSKYAGGFTCMAE GAMKAIYRLPEYFRSTGYRNPDEATAGPFQFAYETSQHWFQWVSERPAICQQFNHHMS AYHQGRPSWMDRDFYPVENALLNLVKPGPNAVLLVDIGGGFGHDLQEFHQKHPSAPGR LIVQDKADVIQQVPNEPGKVEFMAHDFFTEQPIKGARAYYLHSVLHDWPDAECRRILR HISDAMAPGYSKLLINENVVPDQKADWQITGLDLMLMTLASARERRESEWHQLLTDAG FMIVHIWSHINGGESLIECQLA TSTA_060030 MAQNRGPTILIAMWTTTSIATLFVAARLFTRIRILRDIGLDDYL IAASMVIGLLFVSLETAAVTAGDGEHVSALSRHSFERAAMLNTAAYAPGIVSFVLPKL GVVALLCRIFNPTRRWRIFLWAFVGVSGLVICGCIIILYTQCSPMRAMWTPGLGKCWS PSIVVDYSIFAGALSAFLDIFLAIYPAVILWNLQMATRRKILLLSAFALGSCAAIVAV VKCTHIPGLANESDPTYSTSELVIWTK TSTA_060040 MALVQSVEIPQALVDAYKEKTKDGKAISTGLFTPESTPEPPQLP SKKNNAKTRAERISALIKSIEDSDTDFGILGVRTTEAFQSRVPALKEVLNKETSEEAK KALQKVEQAEISLSKTVASSKNAINRALKNPDMGLVDLLEATSDEDREVKVASWKWLL SPEWNKEPEAEKAMQILLDAIKEYEGGEEDDLMEDGSDDGDENQPLPEAKRPVSSSFL SELMGSRSVSTKIGVSVSPGSTLDGGKILRYNEYFAQKPKDDGNGEEAKKEKRPPRYN FLVWNDGEYSMKTGKEVGQSAIDGYFKDGKESKLKIGEYYGQRRHGITYSRKYFSDLL ELTKKTGVDNLLFLGAVRKAPRTPTGRTMPPEHCVIGIRDPNINAEWYEVFTRSAWTK FYGDEAGHRFDDYWKERGQKPSFRVALKDDDTSTLQSSNVENAALRKEIDQIRQEVKE IKSMKDDMETRIMAEMQKMTANIMTQMNEMMTRMSAMVNKEE TSTA_060050 MAPILHLHASTPRADTQIVVRTRYMIMLEECDRRIPLSHKTLAA VSQWILLAGYLVIPGTFTSLQRSNLLNGTSARIVQMVKNPPLLAIACICFVFGAAVLV WLAWRWRYNYIWLSQLFRPTIVNSLAGFLTTLVNIYSAQDGDWSIMALLTVILTVVVM VVSATLTAYFRYVILADIEREHEQEMRHAALLRWRTELQQQL TSTA_060060 MAPLGDIDISQAYSLTVGCIVIFLLTFRLSHTVFHYLYPRLKHL VHRLSLPRPRSRLSGVLPKDWSRIALLAIYLGGTVASNVIYVSTLAEASSRAAKLCLA NVVPLMVCSHEVGAHLFGVSLDLFHSVHRLLGTMAFLQGLAHGVYEVASAPVHVHDGT VVYGISASIIFASLMLSSVFKARVYEFFSQSHRACALGLVFATWRHTYNKSALSTWCI AGAAAAYALTFLIQILRIAVRNLAFARRAECLINHQGNNLEVIVFPARPWTVRAGERV NLSIPSAGLMSVFQMHPFVITWWDNNELGKLESFTLLVKPRSGFTNKLKMLPSTRHRA WIDGPYGPDRALGTPISDYGHIFMVATGIGVGAQIPYIKQLLEGYRRGVVRTQKIVLI WQMDLEADYDDVQDWLQELVSQDSGYVSGPRNGVAYLLNNV TSTA_060070 MVLHIHDRRVRTKYEKRKRTVLKKLWEFFTFCHVEFHVLMVNGT EATVVTAGNYHLPAEEILGNAFTVERLTIEDLEKIYGQTTALPHRSHIGSELDMEDSA DDLDMDYSTDGTDLEDVTEENNLWDIVVPEPPT TSTA_060080 MRRIRSGRWLDVATVGAGRYTILESACLKYLVQGLPNPTKQYPQ LWVFLGSAQKNKHLHDLFPANAFTRADAGTIRLRGDEATAASDSPILFADGDPWNASV LPNSSLARGDRRYDLDYNVGAPTHVVHGLYSRVLFLFADVICMFAEDLPTGVDLAALT KHCAVDGLPLEARPHLIIVTGRSCSAWSATAGSALRSEAFSAVSVFSIESTRDTLKTL LETRSQEVRKLRKHVIGQLSGVQLKGFLQSAMACLATTHSYTYDFVRASRTQGIPADV GRSINEIYQKCIEAELPDLDTARFIASALIMDHYSPEMPLMDPRLVFQTLYRPMILAT KPSPPKTLVAVMESEMATEFSHLATYTSLNRRKQLMTAKTGHYSAIKSNRICLYCLVQ AAQHFPPCDHALCDLCAQKFGSASVDTEYQFSLDQCLLCCDTISMTIDVLPPTMGPSI LAIDGGGVRGVIPIEFLILIQEYLGECRLQDVFDLDVSTSSGGLTDLGLRALGLPIQE CAAIFNRLALCLFEKRRRPAFPWLPPSMLGRMRQWYSWWRHDSCYDGLVFDAILQQLY GNQFLLRNYCRDSSGSIKSGAKFGVVATSIGAKTETVMMGNFNAVNGTSEDCGYQLIR PTNIQHELQVWQAARATAAAPFMFPPIDLPAGTFQDGGLTDNFAGGIARRASRTIWPG SREPARLLSLGTGSPPLSADDGLPHFRNSIVDGFLRRAFNAWMTSLDGESKWREMKSQ LDDSIAGNFRRLNVPLEETSSALDNVAMMDHYRNLVIRHPGSARMAKEATVDLITARF FFELDSVPQLHHVPFWCHGTIRCKGPVGPLLAALQQLIPDPLEFVTDSHCLGPIRVER DICPSCNRFCWPVSLRCHDPAQCIQVYIKSGRYGKWRINSFPSTPTKLVNRQQLDSPF GKADHGCPVRKPCPACDPSRLPFRGRRRRRNSNLTAEHRRKRVCVR TSTA_060090 MANEMDEWLNAYNFDNDYMPAGITNEPSPNLQNQASQATTGAPW VAPKVGRAPPQTAGIDPDDSQEEELSDESETENKLLEERVRSLEESLYKYRKGNLRMN NRIRELPSRRTLKILQAKLSEMSKNIEGLQSKFPEIYAKDKTRDLQHTQLSGKVENLQ VWASDMKETLDKAVNAMKYMRGENENTVASNRQRNNHRIRRSRAV TSTA_060100 MTDAEDVNSSEETNHSHGNITRLLSRASKAEGELALLHQQSIKE QAERQRLEHLLNGLQRKYRALQEAMTMAESQYAAQNRAIELLGQRLRFRMKQLRGVMC VLTEESWVEEEFEIASS TSTA_060110 MLELAISLANHLVTLKQLDAEKLRDTIDQAQMMVPLDVHIYRLH RDICISLSDASAHKRDEDCCQVSLSAIGDSMAEVLDPAE TSTA_060120 MTEIRQLLPPNIYTTLAEGSFHITVVPDRSFENPMALPSILQEN DLDTPRPPSLEFSEDPDTPDGCPGTPTDFESYVEAMSIYPEEYIWDNFDVVDWPSWMD NDCTRRKDMTRNLEGLPPEIRISILSAMDLHGLESLVRASTVYHQQYLYNRKRVLRRC LEVALGSAIPEAYSAYISSTEAFAEARTFHKTRDFLMSHQSPGLSLMYGTLTKDLSLD DLIRMTKFHRMVVQPLVRCYADWALMNIIQDAETLQIKYDVWKIREPLSVAERDRIMR SLYRFELCCNLFGLGPHEINPGLSSEDTVMLVKNSFEPWQIKELFCIDCFAMSVCNDV FDIALNNALHLRYSDRRCLHVFGDKSIAKLSE TSTA_060130 MYTRALSSIALVMDRFKSSPLYPIEEVTPVDHQILHVGGSTSTL DRLPSRLQQSKSQRSLSLRDELEELAYENSYLKAELAWNKETRQVLMHLHGRTLEAVS ILSEALTETTSQLRRSSPARSPAPSRRPLKLFQYVGQVVHDFDIQTTSSGAHPRLNSV LWVTSAVAGFISLALAVSVLLDPEFAESGVSIFRFNFTSLLSTQFLSERQLELLSIPE ASSEDVPPIVATAVEGAASDIQAAQTVVSSALQAAATDAATAVQSDLSTVVPENMSIG LGKVCFGWENNSTQDCRGLPFNISSVVPSTLSGILSAPLQQLQEVENKVVSAILETVR RSLIVGIVLLLMFFLLLLFWEFPNDLWRRAVMTPLGFTCLVVPFLISTAVMFVVQSDI RKKIDDSSLISVQDGGASNLILVGLIFSILMFGATVSITLI TSTA_060140 MSSSTPVDPEAEEVSGRWTLQQYLCFLGVYLVSEDSGFYYGDSR AVDDLTRRPIENWTPRIGYDTYSSSRGLPSDDKNAWDKACSFLTRRCPLTITKSQLRS QLHYLLDELGRISGDNNIQMIERNRKERLTPSDAGFTDAGNFLGIGMCIGIYVYCTPD YHRRVDYVRLAEILNRCPAFSQMNVTSKQVEYRFDDVWHIIGKETESTLLLPAPLLGK RPSAQDLNSDRTKQSRYA TSTA_060150 MEQRRQMRKTGASEVLLEVQMKEKVTREFFQQDWSLILSKFQLK ADDDPRLVGLLPMIKDEGLLEAQLRRLLASRHLALKEERWLQENLPWPPDNIASIPNA YRRGPDFLRNEGLSGHIQYAAVNGLSLGQRSRRLENDVRSEGIAFALLPVFSKLSHLD SSQEKELNKKLQDERTGFWKWNPSIIYHERKYVRPSNAIPHRYSGVSYPEEYAAFRRG YVASKGASQDE TSTA_060160 MSDSNRQELLREIAMLSKDLPDIEFPSSPISPGNTIGQNTAPLN APPSMDRPSEPGERLPDPDTNVMEPYGFRYIDCAPPDEDTIKEIARNFDESEKITKGP TAHIEGIAECTVALESGVGESIQQIAQRELRQNMVLRQGPVILGHNPTPTELEPRLAH PRGKDGVSFIRLVRGSVDTADSLKLYVTSHHQLAKEFGESPPPPVQPKVSTTRLFILR GALRCNITPPEGCIFLWVGYSTVPMGDSIRRSDVFPFMVDYRRVPLPEPGSRDGRRKY INYKRTENR TSTA_060170 MSWLVSILEWCFGGDDDLTPLTNNEIEPVNARDPEKGVRIIDVD SNTIFTVSISGECKRITFGELQQKNIYLEALIRYFQERPPEAKRPSEDSKITEVNYKE GTLSSASFISKDNMANPPSWNWRAVMENPSGFNALHWYYYGGDLGDSPHAFLCTSESL TSTA_060170 MSWLVSILEWCFGGDDDLTPLTNNEIEPVNARDPEKGVRIIDVD SNTIFTVSISGECKRITFGELQQKNIYLEALIRYFQERPPEAKRPSEDSKITEVNYKE GTLSSASFISKDNMANPPSWNWRAVMENPSGFNALHWYYYGGDLGDSPHAFLCTSESL TSTA_060180 MYVPMSRNNSSTEVFSIPYGSAIYEHLHGLERLVVDQGDEKTAN LLRAIISSPDPLDKLRAALGNNRKERSCTERELWFKNWNDGVLSEKQARFLASVTKDW VLDGIAVSIVSAYARLWIEQPRAFWYGVPADHDILRRCYNEKKVIEEVVPTRAKVISW ITAQEVRKEQNRIRECGNRRYRRRAKTRNGDEVFLGRALQEICRQHWGVVDKDKTRWL RDESREGSKWHYIDNPGIILSLYKAGSTRITRHKWEKVEVKVLNEFVATLPQMRICDL LNEAFGHIAQDYLSLGTGERHALSPSSISRHDSSAVASLAEYQSADLPQDETTDAIRG RDQDVAGSTNPTTESRPEVNGTSDLESSDANSLTVHSTSDEASNHWVSSTVVGCNLQL QPVNNEPSDEQAASVLYAFRNPQAASVVPTNQDSDLHDSESLRRSVQDSQGSEASQDH TVYAQLPVTATPQPEHQLNASNSAQFHPLLDNLISESFRVQNPAATIVEGPSSQWQHS ALQSNEPLENGDQAYQVPLGHWDQAYHVPLENGGQSYQVPLGHWDQAYQVPLENDDQV YQVPLGHWDQAYQVPLDNGDQLYQVPLGHWDQPY TSTA_060190 MVETEPALKIMILPKTYEEERQSGQIQCSQKAIIQQVDRRWHIR VTCCELAGLEKRIERRDALRRIIKATNFVSLNLLKDTVTQIRITHCPSLRPLHSEQTL HYETSEDQRRIRYPFTSLYSIEHGLIEIRSPSLPTFRCRSLPAIAVEKVQELDDINGQ VFRVRIEGKDGDYIHKTLDRPWYEPSDTIAFEHEMQNSLLLRGIPNIGQIIGVTVGKN IYQTTQDSETPEVIHGMLFKYYPGGTIKQLQQNNQKLESWRKWPLQISHALRELHKSG LAHMDIKPSNIVLDKENNAVIIDLGGQSITYEWLAPELREKENVLMSCFEDKVRGELW TLGKLFSELIIYNTGGLENDYLSYISNQLMHDDPTSRISLDLIHNSKSGFIPVDLVII KVTIGNIPFTMLGY TSTA_060200 MCLAYQSILQYYDSQESGIGSRERSAYSRAPSSQYRGHAELRSM IHNLQQQLEEQRAEQKARQEKRLTQMEQQKAREEKLLDQLFMRLEHSEKPR TSTA_060210 MSPTRAEEKRDCPPDDSDSEDISRNLDSTARIRALTFSSSPPQR QHRSGRQFKRTDDSTQESLDFCTQRCLLSLKTGDWLDENCPNVDRHRNANSKHHQIHV SDFLRLLKWQLDNDLDHYCTPCGESGIRGFPFKISLMPFGYTILGKGTTDRRWPIVRQ EENVYQVLRSVQGSAVPVFLGTIDIEWIYFHEGFRITHFLILSWGGDQFNPLCCEEER WNEYQRTKEDIRRLGVRHGDLHESNILWNTELGRVQFIDFHKAELSWTKKRKRDATNS SRPRRKFLHLRERNLELPSRHNEREHSIVLRGHHFLRLPAAGRAPFKVTLTEYGYTFV ANGVQKANERDLAHEINMYSYISPLQERNIPVYLGRIAVTRPYSLVIISNDGLCGNDI KLEDSCCQCVLLKASGRVIPTNKGSSTGFSTWKVLSFS TSTA_060220 MADAMVEEPATTRSGRVITPSTRAREASGSTDSTGTVRTSKKSM TQIELTAVKKAANLIEEKQSTKDGSKDMLRKICQYLESTFLEVKGLKETLSKQEQMIQ EQSEMIRKQSVSIKELQAQLEATQSQKTEECKQLQEQLETISYAGVVATRPHQQQDAL RGPPGPPTLGNTLFCTIDTSRVGEEHKAQAQIANIRQRIEKEMRGSEETKTWRCAAMI KDPKNADRVKVICRNEDEIQQVKEAAQKIELPGMRILCDQLYPVKIDNTNRTAVLDAD GNILPGAAEALGKENNVNIAKISWLSKKDSHKAYGSMVVYVTKGSDAKRLLRDQYFDI AGESAYTRLFEPSAGPAQCYNCQEIGHKAFSCKKAQTCAKCANKGHHHSTCQAIVLKC VPCGGPHESFSKNCRVRLMQSHA TSTA_060230 MSIQTSDDHESLADPALLDKIDKLFACNVGEYINLPQLVVVGDQ SSGKSSVLEGLTKLAFPRDSGLCTRFATQIIFRRIEAGERTITDTIIPGSDANNPSQL REWKASDLQSLDGAAFSAVMSEVHALMGLSTSKEDGKPTFSSNVLRLEICGPDEDHLS VIDVPGIFRNTTPGVTTKGDKDMVREMVLEYMRNPRSIMLTVVPANVDIATQEIIDME REVDPEGKRTLGVLTKPDLIDRGAESRVVDLVEDREMRLKLGWIIVRNLGQMELQEGI TDRDAAEERFRLTPPWNTLSKDRFGIKALKSRLQETVTVNARETFPEVRGEISKKLRD CQNELQALGVERETREQQLGYLLGIMNLFQDITRQALTTSYSSNDSFDSQWELRLATR IVNRNDVFSKDMAVWGHKYEFARDADGDSNSSDSEVKHEDEDVESARAVARVEHLKIR KTSDMPDLEVLVHSMETDRVVTPRSHIHSWIEKQYRDSRGFEIGTFSSTLLATTMKQQ SDKWTSIARAYIRYHLCPVTMSHRACHHGILDCPCVWFRYCHHPIISNLVIVH TSTA_060240 MSQNDIIVCIVLPTVLIFITAILARQRGQVLAVDAADRKTIYYT PSEIRSLIAAKTIHGRDNQLRPLKSRSLPNESLQLAFGIQNAFTSDDQCYVEEFVKMS SNFINLSSDSWDIIVSTTQNTVKQWKSDAQGAYESRCEVELVPMVQSMTLNAVLTAFF FLRKKAGTNDVPSKALTKLAEAINDTWIMSKKEDTLIAFEHNDKLRSALIEVLPDADI SNPRGNPLNLILPGFETMWRIVLRCFLEVAYKTGKEHTNWREALVSYSENPTAERFNR AFSPDGASAKNLVKEALRLYPPTKRVYRAWKEATSPEPKKIAADIEACHLSTSIWGET AGKFDPLRWEKVTKEQEEAFLPFGSRPFECPAKPMFGPRLVGLLVGTLLGAYPHDWTD GARKLVLGHEK TSTA_060250 MDCNSVEGIIGYTFNDRELLAKALHQTQNKRLALLGDKVVALVL IDSWYQTGTSCSAGSSLLQHSASNEAMAHQEDKTHLKDTVCLNSHQAQSIHGLATNFE AVVGAVWIDCGKDLQTLEKVIRQLYTY TSTA_060260 MSHSEVPGKNGVDGSVGPPGPPGRDGSDGARGPPGPVGLRGQDG TDGARGLPGKDGQRGTDGRDGRDGRDGRDGVNGAQGPPGPMGPRGQDGVAGARGSPGQ DGQRGKDGRDGKDGKNGVDGAQGPPGAMGPRGRDGMDGVRGPPGQDGRDGRDGANGLP GPPGQNGRDGRDVHDNRNNVIRFTGRIQGSAIS TSTA_060270 MGLPPVFTAAKNGDLTILQKLLDDRETPNITTSDGWTPLHAASY YGQTSVLPILLAHGADLEAELPFGARPLHKACERGFLDIIRHLLEHGAAIEARTKHGH TPLYVAASNGRPETVRLLLDKGADLGARSVEGYTSLYAASRDDQREVARLLLEEGADP KASSQEGYSPLHIAAARGREKLVRLLVETGPLKDLDERTNDGDTALRLAARNGHAETV RYLLAQGANGNVANINGWGALHTAAYNGHSNVVDVLLAASQGGLELEIKRDAYRPLHK AVQNGHVDIIHALLNAGAKIDPKDTVGFTPLHVAVKCGQVAAAKELLECRADVNSQSY NVDGLRTPLHLAVQKGDQRIVSLLLAYGADGQRLDKAGKSALAYAGEKEDGGKMLSLL KTAVETTAAGNEEDFVLSVRGKTMTGTSCTSSEAWFDKLDELRTVLRAPRGAVHEPVR FAIIDSGVEPAHPNKMMIHGYRDFVDDSKEWKDNKGHGSTGVDLVCKVVKMPKIYVAR VFETSSGSVEVQDRIAEAIKHARTEWKVNIVTLASGFNRPHERMENEIRAACAQGILI FAAASNSGNSDFITFPANNPEVICMFATDANAKAITSSINPSPSLKGRPNFAILGHEV ESRPRTKPQTGTSMSTFIGAGVAGLILEFSRQSIVSDVIGTDWKRYLQTVRGISAIFE LMAEGGHEGYQCITPWKIRPVFQKTRELEREFIANAIKHVLERCIRGS TSTA_060280 MEKCWFTLAQNHYPPSTPTQKGPIQLGHLLSSLIPLPPPINTAS GPLPLPAYMPIYPSRLTDLRWQSATSSTLSASATAQLPIPQAMGLVSAGGGAGIAFQK SIARFWCFDAVDVEIIQPDEEYIEESLEVECVKAWIERKRKKSLIGAWSMFMITGLAI ARGVRGDKDSGMVEGKGWGIELGPQVSLPSIVDVGISGGVGRTGGQEMTFSGCSDFVW AIQVMRISKRFMSSGWSFEPYVKGATYAVEEEEDIEKMLAGEGVPFESIHRDGDDIFV VTSQKDQEIVED TSTA_060290 MVKDGKSYLILNKHRRNHVLTSFGPGVTYACENLDWSQSQVVTM FQDTDWLMLMSGKLLQFDGFVPQPTTATPTSFTGSGKPIPAPAQKAACQWTLGAKRHE GFRHIKASNGDYLYMDTGNALKTSSVDHDDRGLWKFIHLPSLKELTADDPLEKLDGKS ASEWRDIANYIFQEGLNFIGGTPLSSLSNPALRQSWVDTWKKMGRTRDVYPDPKSSF TSTA_060290 MVKDGKSYLILNKHRRNHVLTSFGPGVTYACENLDWSQSQLLQF DGFVPQPTTATPTSFTGSGKPIPAPAQKAACQWTLGAKRHEGFRHIKASNGDYLYMDT GNALKTSSVDHDDRGLWKFIHLPSLKELTADDPLEKLDGKSASEWRDIANYIFQEGLN FIGGTPLSSLSNPALRQSWVDTWKKMGRTRDVYPDPKSSF TSTA_060290 MVKDGKSYLILNKHRRNHVLTSFGPGVTYACENLDWSQSQLLQF DGFVPQPTTATPTSFTGSGKPIPAPAQKAACQWTLGAKRHEGFRHIKASNGDYLYMDT GNALKTSSVDHDDRGLWKFIHLPSLKELTADDPLEKLDGKSASEWRDIANYIFQEGLN FIGGTPLSSLSNPALRQSWVDTWKKMGRTRDVYPDPKSSF TSTA_060300 MAEAVGLASGLLTLASFAFQSSVTLYETVKSFNSHPKRVRDLLE ELEALIAVLGPLNDMVKNPAGTSLPALDLPLKRCGNACKEFEQQLMKCLSRSGGDRTS FRDWARLRYMSDDIDGFRRLLAGYKLTISIALTDATLHQSSITAEILENHKHLIEVAK DDLEAHLESIDEKLERVLGPAVAASERDTLEMQEMKEERLSTERCLQICARLSEHIEQ IQLHPEHNDHHAGSPEPGAVPEMIVNQGLQQCKESLILTAAKLEKHMQDIMNRMLAKS RSHLTSDEEIANLTRLRDEWETARQCIGICSQADKHLKETVTTIENYGTGDAIQFMIS TNGQIIHGKNRGLGWRTRQVGGHLSDDSLQQLSRDMTSINLRRTADEELSQDTQQPTS ADQESQTQAYRERYGRGYQLTPKSSLGNTPASFNQSGGSSGPAPCEYSEKEVTLSQLF HERKLLDDRKAQPRRILIQERAGIPLRRLKGMSDLDEFFHQEFFKHEAERDSLVLKLQ KTALDQTHKKTLLLLDGLDEVSEYRNASRADQTKIFNSLLNEDNVIITSRPHAVKLLG LTPFDLELETIGFHLNQVQAYLAKAMTDPDTRLVRIPIQLDPLCYSWDNDFRSGALLQ TMTAVYQAIVDIKLSSVETGSLSMMTWDLDRHREYAPSSSRCPPNSGVAQGCSKTTKG FPR TSTA_060310 MKRKVGSHDDIDKRPVKRREQESSPSTGFVNEQQQTQPQQPPSP LSEYLGKEASKCKRTANAPSFSESTFLEDRIWKWIERIPDEVHELEDMSQPPSKRSRS ISTDRGRTRSVSSDASTSSRDAKSSAYKDVNYVAILGQKGCFMQPSMAGPITEDAELC ERLLRQPNEIPTGTLFEDEYVDHFHNTLRNRSEARLLVDFHPLLMPSAENIYIQGSEE LKHVIDGYNDPWLKTEPIHGPKPQPDHAWGLKWSAFSEPQRRKLGIEPDKKSVYAVRD DMYFPYLTAEIKCGNQALEFADRQNMHSMCIALRAVVSLARAAQCLDQVHRRILGFSI SHELEDLRIYAYYPEISEGKIEYFRWSVKQFNIWSNDEKWACYRFVENVNCEFLPIHI NRLNHFLEKIIDPQDIPFEGNDDQDYGSQESRIGSRERSAYSRAPSSQYRGHAELRSM IHNLQQQLEEQRAEQRAREEKLLAQMEEREEKLLTQMEEQRAEQKAREEKLLTQMEQQ KAREEKLLDQLFTRLEHNEKPI TSTA_060320 MDSAASSSQIAPSLNQMASSLLDLLEKLQELAKVRETQEIEDVE RLPEVECPESLFRDLVHQLCQEAPAVAQAIAVAIQENIPTYESPTPRSNSNSSRRLSS KRKQKPDQAYQPSHPIQPASKKRRITQESQILEKKTEDPIGSMACIDTDGVQLTSRRM SKPDVFPCVSTSGALITDMEIAPETEDSHPETTPISPDGVKEVSPYMPDTDLTKGASF LETVYHVVNVVNQLKNYPSGLPRTVHIQILQTLQTYQETTMESNNDQWSDGSIWKQVL EGGSAANRRYTILNMLEYMGASKWYDSQIDLAQRTGLGHKGAATQVLDRITRHKDLLN RKLITNQLSRGKKLRTKLVKNLGLGILFSPDIWDYTKRSIGQIDLLVKKFQVDAQRMT LLRILGAQVQQLVRHGSTDPEALYNSLKEHDLVSEPEIQKIRESQESEHNALPEGALD TAVDNLINRVANQIFTKQSLEDVDTFSIPGNVKLPGDLFLRFRPGEWLNSWAIMAAMQ VADRPPFVKFSECIPVNDIGRHGRMRSIKKPFQIWAKKIAELRREAEHGLEDCRPLTF FSPICKENSHFTLLEINDGEKVIRHYDSLAAPTTINGTKKTSIAALVEDEFGDLEYQY IEAPTPQQSDDWSCGTRVIWNFKQRCNGFDIGSWDTVLDSERMQLDIVNGLMACIDSH AIRKYSRNSKRGVTNELPRCSGVEESSRVQICSASEA TSTA_060330 MKEIQAAKPEFMNCSIKKSRKLLKPLLSIQEDMTSDKSPTQRSI SELSRRSAPKRKRKQNPNHSIQPPLKKARYAMPARSCSDKPIPSIEKESYLQRDIIFE EDDPGCEVHSTPVFIRAGVLVGFWYADMNGNGMRPVYCLVKRDLEFAYRKGKKFISVR YYDFDPLDRFGDWMGDDAEDENAMSPKMVKMWAQNMWKHRNYAGSRDMTLEDLTSFDN QSMLDSDWEGSNLPGV TSTA_060340 MQSLIGLIEIGSPGQTIDVQFDTTFNGTLIQSTYEGAVISGSPV YNSSDSTSVNEIISENYGVPLFLYTFADSAEATAIAGSETFNIGGVLYSDIAFGQLWE YDLKKSGRIMPFGGASGVIGLNPYPWQPPDSPNFMYAIRNQLTEWKCSFDLYRAWKNG TLTFGALENPNNRADIAWAERNANQPTWSINITAISAGSRKNPPIATWSATISTEEQS LVWPQKLLDWYFTGVGATWSAAKNTYRYPCNATLPDFTFGFGNGTFTIPGAYLPYQRD QTGTTCVTIITGDNSTDSDYEYSFGSWWSQLGVLILDYEHSQVGFMNKSTPLPAFGIS SLESVVMG TSTA_060350 MSITSTSSHRLGDENITEERRLRALMVQHPPQIPYYGVYVRQAS GQAGDPAQPMRHWEPSGLIDPIYEGKRRQRAVSKKAKAIRAKVQKGLDSVSDQHTALK DQIQELKGELEKDFSDLDRLVASLTVHWVRIEDDIAREYGYEQADEDQLKILSLAYTD AEEQWYRTHSEI TSTA_060360 MEGQQQPAYFLVSDLHIPRFTHGGEGESLATEAAAQTSLGSPPS TIPFDEELPPLQLSSERLSPGEESSGVQNLRIPVIEISENEGDSSTLLSQPSRPRRRN TRHLDYAYRDYQATMDHAISTSSLGKRGREDSDTPSFPSKIRQLVTEIQGQYEALEKQ NEQSAQERHRWKEERDRWKKKKDEWKKKERELHRQIQFLQRQINEQKRRNILKCVVCH RTFNENWNVFSCGHTLCKDCVDDIHSKGSLFKYSCVQCERPIQACFDFYPNVVEA TSTA_060370 METPPSRYEFQIGWICALPIEAAAAIQMLDENFGILQEQERTDS NTYTLGRIGRHYVVIACLPDGQYGTTSATTVANNMMRTFRDSLRIGLMVGIGGGAPSV EHDIRLGDIVVSRPEGSYGGVIQHDMRKIGRDGKTQLVGSLNSPPKSLLNALAQMRAA ELYDDPQYPVYLQEAIGKNKRTRNTFSRPDVDTDRLFKIEYEHPENEASCDQCLVKWE EDRITREDGNPQTHYGTIASGNTLIKDGKTREAIRKDTGALCFEMEAAGLMADFPCLV VRGICDYADSHKNKQWQGYAALAAAAFTKELLGYVPKGVSQESLVADMCPLLKDIKED QRKAFDQRESHHREKMERVLTEDQRRCHQAFKTSTYEKFKNINPNRVEGTCEWVLNSP EYLRWWNATSNNLLWISADPGCGKSVLAKSLIDSVFGASDPTVSIVYFFFKDNDEQNN LATALCAVLHQLFSWQPQLLRHALPFWERNKEKIQYEVDDMWRIFMAATSDRIFKNTV CVFDALDECHDVDQKQLIERLREFHDRHQASQGNWLKFLVTSRPYDDIQDCFRPVTEF FPQVHLRGEEENDQIHEEINLVVKVKVTELGKDLGLRADTQQRLIKELREMKHRTYLW LYLAIDDIKRTLKNSLRPDNETIPSLPKDVPEAYERILNRAPSDQKATVEIILRIIVG ARRPLSVQEMAMALGVATSPDAETAAEAGLNPSALDKKIRQLCGLFVFIKESRIYLIH QTAREFLISRHDRSANMHWHLEQRKTEIQMTEICLKYLLLNDLVSNVEESIRSLLDYS AENWADHFRDVVCPDDEIVNRAWKLYDVRTERFRLWFPKFWTTAMPYHPQPQMEALHL AAFNGHQGILCRIDVNKTGAIDQVDGSRTTALQWACEQGRLEIVQLLLEKGADVNAKG GGYGNALQAAAERGHLKIVQLLLEKGADVNAQGGGYGNALQDAAEGGHLDIVQLLLEK GADINAQGGYYGNALQAAAEGGHLEIVQLLLQKGADVNAQGGRYGNALQAAANGGHLE IVQLLLEKGADVNAQGGRYGNALQAATNGGHLETVQLLLEKGVDVNAQGGYYGNALQA AAEGGHFEIVQLLLQKGADVNAQGGEYGNVLQAAANGRRLEIVQLLLEKGADVNAQGG YYGNALQAATNGGHLNIVQLLLEKGADVNAQGGEYGNALQAAANGGRLETVQLLLQKG ADINAQGGEYGNALQAATNGGHLEIVQLLLEKGADVNAQGGRYGNALQAATNGGHLEI VQLLLEKGADINAQGGYYGNALQAAAEGGHLEIVQLLLEKGADVNAQGGYYGNALQAA TNGGHLEIVQLLLENGADVNAQGGRYGNALQAATNGGHLEIVQLLLEKGADVNAQGGR YGNALQAATNGGHLDTVQLLLEKGAYINAQGGIYGNALQAATNGGHLDIVQLLLQKEA DVNAQGGFYGNALQAATNGGRLEIVQLLLQKGADVNAQGGYYGNALWAATNGGRFGIA RLLLEKGADVNAQGGYYGNALQAATKGGNLKTVQLLLQKGANVNAQGGFYGNVLQAAT NGGRLETVQLLLQKGADVNAQGGYYGNALQAATNGGHLNIVQLLLEKGADVNAQGGYY GNALQAATNGGHLNIVQLLLEKGADVNAQGGFYGNALHAAAE TSTA_060380 MENKLGPHHIHNESDDEIIYEPDCLCTTKHLWDSGDFCPGSIAR LLFLGITLLDFTQGRLNEPTSTRFDFVNLTITKGIIKDAFQTIYSKIEARPANVDQWT RIHSRLQLEYTRAMSIGGPYTPARVESVKPIIEILIQNIKEIAEEIQQLVV TSTA_060390 MKLAMGLTIADITFTRVLKESDSSTIFQVTVEGKTRVLKYHTTE RSHADPSNREIDAFTCESTAYCRLKEKGLCQQRVVPDFYGVIKQIDPISCQPFLKPFL KDKLLPNAILIEYIPDLHEIDLSTFSDHRIATLRTILESIHGVGIYHGDPYPRNMMVQ KYSERVLWIDFDHAQTFSESEWKWQWMQDEKEMVDEFLDALTKDYNDGKISRTWPYYY TYL TSTA_060390 MKLAMGLTIADITFTRVLKESDSSTIFQVTVEGKTRVLKVYHTT ERSHADPSNREIDAFTCESTAYCRLKEKGLCQQRVVPDFYGVIKQIDPISCQPFLKPF LKDKLLPNAILIEYIPDLHEIDLSTFSDHRIATLRTILESIHGVGIYHGDPYPRNMMV QKYSERVLWIDFDHAQTFSESEWKWQWMQDEKEMVDEFLDALTKDYNDGKISRTWPYY YTYL TSTA_060390 MKLAMGLTIADITFTRVLKESDSSTIFQVTVEGKTRVLKVYHTT ERSHADPSNREIDAFTCESTAYCRLKEKGLCQQRVVPDFYGVIKQIDPISCQPFLKPF LKDKLLPNAILIEYIPDLHEIDLSTFSDHRIATLRTILESIHGVGIYHGDPYPRNMMV QKYSERVLWIDFDHAQTFSESEWKWQWMQDEKEMVDEFLDALTKDYNDGKISRTWPYY YTYL TSTA_060400 MYWYRVFSRSALLGVLCFAKRLLMEYLQAGPPRLPISNQGQSRD NTTSGRYAAIDINNVGRWNGFNLGNILNQYGPLLTGAMIQREPMPTSPPQPITSETSL RQRFTLYLDSRIRRGLRVGFQHLGMQNSLGNRTIVSFGEGNLAQTVENFIPDTAYFAT DLPESTRPNRAPGDLKPSYKWSSDLRNGPTQRDRKEFKQALSQVNWYMKQHRARYGFI LTDRELVAIRRLDNYGNLELSDSIPWIARGSVSQPQLTVLLALWYLGMLSSDNQWWSL TSTA_060410 MYAKREFAISMAFKSTCHGSAFSETSVYHPSGPTIVMLKCECGE LFKARADPRQHGRAKHHYKCQHCNRSFISSKSLKQHSRDLHNHQCGSCKGMFSSLKSL KRHQKMTGHCYCRSCNLYFTSIDLFRQHATSPDHVGQFHCCDCDRDFVDESALKQHLQ NKIHKPPPKHPKGFACDKCERVFSKMASLEQHKKSLAHHPLSDLECIDPKCRKHFRCP SSLLHHLESGTCISGMDRDQLNAIVVAHDTKQIIHTQDHPLEITASEDNGSIFSDTST NSEIIYTPGTSVSSLTLTNIRIPPANVLSLNEGNRCDVCSRTFKTLRALKDHQQSPVH TSTQFHCPIGLLRGKFESKPVQSFSTLSGLAQHLEAGACAGEIAILREAANYIEGCLE DMGIRKSILLKIES TSTA_060420 MSLHGGVSISVHKATTLPLSLARDSDNNKVIHERKTIQSLIDGS KKPPYSRIDTILTNFFPEKYGEGYYGMEIGDVSCRPTFLQKLLHGLIYIPEVLNVSHG HLHCDEIYLNDEGEIKIGDVGKSMIQTGKTKDVSRGVQAVCKIADQLLSLDSNSDTTC MSWIIAKNFVTMPSTVDPRTLLKHPFLKFSQDSWYLSSLSGLLSFVRTTGLVGCSKSS Q TSTA_060430 MSEKPKIGYTTPIFGKPTRASEKPKFCYPTPAFGKPTPVSEKPK NGHSTPAFGKPMRESEKPKNGYATPIFGKPTRASEKPKNGHSTPAFGKPTPVSEKPKN GHSTPAFGKPMRESEKPKNGYATPIFGKPTRASEKPKNGHSTPAFGKPTPVSEKPKNG HSTPAFGKPMRESEKPKNGYATPIFGKPTRASEKPKNGHSTPAFGKPTPVSEKPKNGH STPAFGKPMRESEKPKNGYATPIFGKPTRASEKPKNGHSTPAFGKPMRASEKPKFDYP TPAFGKPTPVFDKHKFGQPMSK TSTA_060440 MQSPSTHAEAHLEIERSRNYKGTAKIDLEEIGFHPDSSQSVEQH IIDRLCEKFQKEGCRRLDAQNHVAAIISLQDLRAALQAAGKSFKDLLTSDPNHLLHLQ FLAGQVLCLHGRHRIRAGAKVLTAGDRWWTVDIYLENISYELRTALTEGYFEKEQPSD GEIYRKIRRYQKEPNAFIQRMWWARLTDDKARYLRQLSKNIDLCSAFDALLSIPGLWG GMSLEHVANVIALRCDEEIVHYLTSHLREFWISLVTSDPTNPDLEATMKIDAHTVEIL ELMAPKASYRDARKVQRLLRSGKVLSKFNLSERARMWKWLRDYDGIIPSLRTFFRDIE YFKECGNAMKLLVNFSKNGPTVRRAMRCCYNPRDSLEEGCLIQTSEDTFERQFGSREV QQELSYRQLWLYAMRVYPTLTKPNPLAKSQNKTLDAIVIYEIAMFAHKLGFQSPAIEK LITHSPDAMIAQNALFNARDQERYEYDATVFPTLVRRIVECFSMATPRGQPQIPSLVD STADLKECCGLPSSQAQRHDRRLLFLDSLHTDTVDVSGTVSTWYVRRNVYFAFFGRLY GFSDSLRVPVSTSSTFPLDRDVTSRPSSVAHEGSEIGAPRVECMDPIPGPGNTESRTE IANPATQQGEANEVVAGIELSDQPQSLREEETSVVDQEHFQREAEENAVEGTKLRDAP HEENELESDESPKSGLLELDTPNGLQPGTGYPSNILSPSLQLKNDLSNFIAKIHVLTS PLEDDLQQIGQLRSHIIDQANDARKRENTNDNTSGINKKLKSGNERKRP TSTA_060450 MNFSTGSSSVMEDKILSHSCNYVLPERHPPHAENGRTYHGYRRG IYMWPCDEQEQDRLDLLHKVITEARIGDGLLYAPHPSNARVLDLGCGTGIWAIDVANK YPEAFVIGVDLAKIQPLNRPPNCDFYAPRDFEDQWALGEDHWDVIHMQMGCGSVSSWS SLYRRIFMHLRPGGWFEQVEIDFAPRCEDGSLEHTSMHKWYRWLQKAMEMSWRPIGHS VKETVSVLENQGFINIDHQVVGLPMNPWHTDEHEKEVGRWYNLAILESVETLSLAPFC RVLGFSPEDVRCLATDVKKEVNNKKLHTYNILHIYQAQKPCEGYRI TSTA_060460 MADISLDNMVMYQYREPRKLSKLPAIPHGMSSFQSHMISSMSHP LTTCPYTSTVRPASPSLLIEENPSSIPHIRLTTAHTQTQSDGLHQPAAAIPIDSEEHG VCNTTICQNESRNESQNTDEGSCNNYYVDGEKDIAGLTNDTEMPTAEELAAVWSPELD APSHYSDCEQHGSTIPESPIWEMPPDVANKSTVPTKWPSGNSVDQVSISPIDTIPIID PICLRNPTITILEDNDSQPIVHQIPPTDGIIAIDFAPIQSQQIDSRTSGLVRKRHLNK SRPKQSTSARATSERLSVTDPARNLQSSNTPRALRHSRRSTSAQSTESDDSEDSDYRE HSHAEDQITNLESEPRPAKRQKRADAGTHPYRQHRGRLREQDHSTASLQSQPSPESMV QNSTGPETIHIDGRLLRKVSLGRAEYCCWFTEDQGSTALSPALSDCLASFQKQANEQD QWTSMSDLQVINIEGLFTRELKPCGYTWSCSFKERYTPPQNDKSSHEEHLTPGEDGFM EDNELSQLVETPVSSKGNEYTPEEDKLIIQLKEVEKLPWSRIAAHFRGRTKSALQVRY CTALKDKRHKSQTKGRRKPRTSQPRVAAEKSLQDIENSTPSRQYSLRQSRQFPDRYVP V TSTA_060470 MSRQALEVCLHVPPAELTLAKLAEEACLRIMTSPLRSTLYQIHG QAYCNDPYTLPLHRLETAINRKLGWELEGIDAALEILLRSQPCDDNPHEATIYTDNQA AIRAMCQPGRSSGQYILRRIVRHLGLLRDNRSRWRVRLQWVPGHEGVPGNEKADQLAK LAAVEATRCTQENARIARISAPNQTTPYAARMSYIPNQSTILTAVCRQRLHAGFAKRW KEQWEHTNHGWHLYWIIKAPTKMVLQLHEGLQRAWSSVLIQLQIGKSALRSFLASVRI EDSPQCECGLGDQDTAHVLIRCPTHINLRMETLWKEARETDYRKLLSEPQWVWQSNKF MMRTGLLTQFHHVTPLITTRSQ TSTA_060480 MAKLKHHAWEDYAEARKEMKWRTNELARDLHRQRIEQATESIDG FWRIARWVYNRGKPRAMFTPTLHYNNTSYTALKEKAALFREVLHPEPLEADLSDIGPQ YRYPKPYTMPPITLDKVRTAVTNVKPNKAPGLDGILNLVLQRLLPTIEAYLVNLFNAC LRQQYCPDYFRKSTTVILCKPGKPDYSNLKAYCPIALLSTIGKALESVLARRLSYLVE QYNLLLKQHIGGRRGCSCELAIHLLLEETHSVWREGSRVALELALDAAGAFDNVNYIR LIHNLRKRRVLDDLIGWIKSFLSNRRTSITLLEGNMGEFLVNTGIPQGSPLSPILFLF FNADLIEQILTEYPDVIVAERWERSHASKFAPAKYQLTHFWRKHQIVPKPSGRLDVPL TIKGVEIKPTDSIKYLGVYLDTHLTGEVHVQEMRKKAAKLVAGLSSIAGSTWRTPLVH LRKIYIAVL TSTA_060490 MSQYTTKQPISSSALNVRCINISPGTVSARSAAQNVHIKDPAKR LNTKHFAYARECPIQATCLAEAHQRRTYSPQYHTPVIRPGNIQPGAISPNDPTPAEAA NTERSPRAPARIATTRQSANSSSKSAAAAQKRVAE TSTA_060500 MGPKRGKKGTPWPEPRFADDPDIRAYVAAAIKDLEALKKHQEAL EIGMPRELSTSVVDAAAAREGRITRGKDAERGISGLSRDYYDQKQHEPPGGGNMPPNI PRDTYAKNSPSVED TSTA_060510 MPCVSQLPEEVLANLREDRLLSYMEEFDKADPEAIFEKTYTEEE FVKEAEENPYYLFAKVLRRQQHLLQLYEEAAAAHNEMVENLDAPGGVDTNQNQNQKEE LTRLKKELKEARREAKQNTDDIVKLQEERDQYIQAFAKLHLQTSGWGDSEGGIPRSTF TPKSIKLPKGAKLSDSVDPTFESWLIDVEGTLESNADHYPNVKDRILFVKKMCEGQAA KHLMPRLRKNSSHPFMDADDMFDHLKTIFEDMTSKMKFQDFLTEFTHEAQESETDIRS WKEDLYSKLSFELQCKLTDKVDDPDLDWTGFVRKVIKTANHLEAISTVESKVRRRGMN TNSSASSNASKSIRLTPAPSAASSSSSNKDNKKGEWVDDAAHALLMKEGKCFKCRQTG HIGRDYPNNDKPAAKKSSDLKKLEKVDEASSANSNSESENDQLIGREKDSFTIPIQIA KNTHLITTQALADTGANRLAFIDTNFAILLSRFLKVRTHRLENKCAVREYNSKTATPI THAIIFMLIVDGRRQLDVPFLIVNLGKHDVILGRMWFAKHNILVDCAKKRLLWPEEVS LKDEIVTKGYMRLP TSTA_060520 MIRGNGFHRLVHLYEIDKIVEDKKTLEEKLEEEEIRKKLLAYLK DFVDVFSKHESDKLPPHQPYNHKIELEKPNELSYNPLYKMSRDELEAAQEYIIDNLNK GFLEPSSSPFAAPIIMASKPGGGLRFCVDYRKLNQLTKKDQYPLPLIDEVFERLSRAR IFTKLDIRQGFHRIRMDPDSEEYTTFWCRYDTYKYKVMPFGLTNSPATFQRFVNNIFM DYLDRFLIAFVDDLLIYSENMVEHQIHVKMVLERLRATRLQAAIHKCKFSVERTKFLG FIVTKDGIEVNQEKIKAITEWKAPTTIFGIWSFLGFYGFYQKFIRGYSRIAKLLNYLT RQDVPFKWTIKCQEAFNKLKRCLASAPVLCHYQPELPSQLETNASDGVVAAVFSQLQE DGHWHLVAYFSKTMTDAEFNYDIYDKELLAIVSALKEWRAELHRPGKENTLADALTWR ESTPVDRKKGCMQLMLPKKCLGPSPGSVELSPMDTAIDVISRVITANTRSPEYKQFRE LARTGDENWTLHENVLLFKDQVFIPDEGDLRAWLLDEIHSRYYWITWNKDVERYVDNY ITYKHTNTRRDLPPGLLNPLPIPSRPWQHISMDFMTYPPDKIGCDTVFVVVDWFGKTP ISIPCSKNVDARELARLWIKYVYARTGLLDSIVSDRGPQFMLEFWNEISLSIADHAQT DGQTEIANQYLSQRLRPYVNHFQDDWSEWLPIINFAAASLPQDSTGLSPFIIEKGFQP RMSFDWRKPDPPRKFTANEKNARVWVKQFQEIWDFARADKHRQEVDFDVGDKVIVSTK GWRIDRPSRKLADQAAGPYKIIEKVGQAYRLKLDEEMQIHDVFASEKLWRVSSTEPLR GQILERAKLVEINDQQEWYLAENFKNTPRQLKSFHDRYPEKPGPPVNLQRWIKAAERD KFVDDDRNDNTIEELEPSAWGQASYRGGSNVTILLDMPALEDMRILVDYEHYEWHYKL SKENIQVVSTKRFLKQLVGSVRATNHGS TSTA_060530 MSQEHIGTIVSIVPSPIWIIVNMIICHSPSQYVDGIRGPILVND PLSPYAGQIDGELVVTLSDWYHEQGASLQVYYLSLPGNPNALEPIPMSALMNDQLWPT FSVLPNKTYFVRVLNIAGYAQFYFHIDGHNMTIIQADGVYTDPQQVQDLYLATGQRYG VLLHTLPTANQNYAILGAMDIAGFPRSAPPPANPNVTGVLVYDPFLSIPSTPVVQQFS TFDDFCLNPFDKIPLLYPPNKEIVLNLSTFSQTVPLNEQNRGGFNNITYITQRVPSLY TALSGGLYALNPIVYGNHSNPFVLSLGDFVETTIYNYDTGLHPIHTHGHTVQLVARTG SECNYRSIPMRRDTWMEPRWNSSDPNHPSTVVRFIADNPGIWFFHCHMEWHLVAGMDI ILVEDPLQIQTGQPDIPLSMKLICLEQGIPLMGNAAGNWINFLDLTGEVNVAPMECGS DYPPC TSTA_060530 MSQEHIGTIVSIVPSPIWIIVNMIICHSPSQYVDGIRGPILVND PLSPYAGQIDGELVVTLSDWYHEQGASLQVYYLSLPGNPNALEPIPMSALMNDQLWPT FSVLPNKTYFVRVLNIAGYAQFYFHIDGHNMTIIQADGVYTDPQQVQDLYLATGQRYG VLLHTLPTANQNYAILGAMDIAGFPRSAPPPANPNVTGVLVYDPFLSIPSTPVVQQFS TFDDFCLNPFDKIPLLYPPNKEIVLNLSTFSQTVPLNEQNRGGFNNITYITQRVPSLY TALSGGLYALNPIVYGNHSNPFVLSLGDFVETTIYNYDTGLHPIHTHGHTVQLVARTG SECNYRSIPMRRDTWMEPRWNSSDPNHPSTVVRFIADNPGIWFFHCHMEWHLVAVRMF NRRSWNGYHIGRRPAANPDRTT TSTA_060540 MELPDDKFMTEIGFNNQAGKDRVNMANWLYDAVPGDDEKKLSTY TIRVMYEAASGLQVDFEMFQWFHDFFEDWKIEDFNRLDKTTRTKLKDFLLWRGVYVDH TNRKTIPMALMELLEMEDPLEWPEKALQKRTFHPRSKIASRHHEQTATTYIKESRIVN DTHMVPSIEEEDEGIHKETPSKVPNQVIQDHVQSPLRSVQDISRFTPETGANAIPVMT PSHSQVKISAIPTSSVPLQARSLDPYTKVPPDEYGRQPVDSQLAMKFTKAWDKTKNYS GELYDILDDKVRIFLRLCRLTEIQLSQCWAVFPEMLSGRAETYYMHHVNPDASFAQMY WAIKSYFDTESNHALYYQDWTSITLVDVRRENTGKTLPEAVEILVEKLHLCQRALGPH YMSPEHLVSAIIRACQGSPEMSEVLSEPTTKFETLVSRLRARAAIMQKKEAAGQYLAE SNNVPSTHFTDRKYVGKTSRGNRPPPQRSWRRQNRQDNGSRQRRDGRCWICNKPDCRS YNHSEKERSEARERFDRYRHAEGKANASDKTYRAFLMDFEAGYNITSDSEDEEEADDN DEDEATAYFMVGQLQDQAFLHWITGEETEQDNPPTIASQFVIDRHDSEIFHGILPDTG AARVSTVGRRQLTALQKIYPGIMVDESRAGEHSIRFGQGDSVDSEGAVTIKTPIGDVD FHVMNTPTPFLLCIADMDRHEAYLDNTTNCLVKGDLRVPIVRKWGHPWFFLDNNHTPV TFLTEVEMRRLHRRFGHPSVDRLHKLLEQAGHDDVDHKSLAEIERYCHHCQMNRQAPR RFKFTLTDDQEFNFEIVVDVMYLDGEPVLHVVDSATSFQAAKFLKSLSAKDTWEAIRM TWIDTYLGPPDVISHDAGTNFAANEFKVEAMMMGIRCHQMPVEAHSGIGKVERYHAPL RRAFNIISAEMGSTVSKDVVLQMAVKAINDTAGPDGIVPTVLVFGAYPRLTLDSPPSA LTIRRAQAMKKAMAELRKAVAERKVNDALNTRNGPIITETLNLPPGADVKVWREGKGW TGPHKLISVNGHDVTVDLGNGAVAFRATSVQQYLRDQRETDDGIHVPEPPVTPPPPRR RGRPRGSKNKQKADVNVYLSKKEKGDLELALKLRREGKIVTEGAPFELSSVAEIDGLI ANGTFKIVHRDDVNLRDLRIFNSRLVNEIKGKNEIPYEKSRLVIQGYNDAGKAGILTQ APTIQRASQRLLISLIPTLLSMDMVVEIRDITQAYTQAKTKLQRIIVANLPKEMRGKY PLDSLLLVEGALYGIPEAGVHWFGTYHEHHKVKMDMETSTYDPCLLVTKPGAESFGLV GMQTDDTLIIATEKFARGEERALQEAGFKAKPKTQLSQDTPLEFNGARIILEQDNVFM RQKGQATKIEPVGTTDRAQKYIEQRARGAYLASICQPEASYDLAVAAQLQEKDRSEDD YLALNKRLIWQAENPERGLRFIPLDLTKAKIMIFTDGSFANNRDLTSQIGFLIAMVNE EFSEEGRFIATGNIIHWASSKCKRVTRSVLASEIYGLTTGFDHGITLASTIKMITDRL NLPTIPVVVCTDSYSLYECLVKLGTTKEKRLMIDLMALRQSYEKREIDEIRWIHGDDN PADAFTKANPNGALWDFIDKNKLTIRVEGFVERTKQD TSTA_060550 MDKFTKKMDSNIIYYVAAILNPRVKTSFIQAQMSKSDADVIVSN IREYLKKQYPASPISSSSVERPPDIISDIDWYLDSSPEMWSHSMIEDGDPN TSTA_060560 MVIDLLGPSLDEDKKLKGTARYASIKAHLSADQSRSDDMESLGY DPPDEKPDYSYIQDCGDVDANKPKNDMNTERQQYSAAQAAGAKPCTISNKRRNHRVIE RGFVNTLGTDHMVRRSDGH TSTA_060570 MKFDYETLKHMGPFSITVSALGITGFALSSINHLRDLIGSLADA KAMVQDIAPSLRAIQRPLTALEQLVISDDMTYSAA TSTA_060580 MGSRRTTAAGSNTQPLRIEVDNPTLRKPCTAAATASAAQVSSYS LVLPPPFLPTSMHRAYTHHELIKLAPTFTRAVHSVTRFLCRVTMPNPVQRSACDRCHG QKLRCSRSGHGGPCIRCTKVQAVCTWTPSTRRQSEHLSSKPPNLQESSIATLGSSPTY DDGHGIESMTPLNTSFPTVQTEFDFSALSTCPLPDNPTTATWSCPTFVDLVSGFSSTC ALSNASPTADPYLQSGDAASTWQYRFNQEWAMLSAEQQSPPNDGIVRTCPGTHRAKSQ ETQNLRLSTIRKLSDLNVEMFTLSSTIPKPPTSISQPHSWKNKDFAIDKTFQLSQRLI EVLDKLYPRNSESNSYIMTRLQEDALPVLAPNDSPSFDQSSFLLVLSCYQRLIETYHD IFGNMQACLDRSMVTAREDYVQMPDMKVGSFSVPDSSALQITMILQLSRHLLRRMGTI IKSLKTNYNGSGTNDLMSLTFNAVNTREDELIETINKLRNSLLSLDIL TSTA_060590 MPAMPKLLVKLALLAAAVAVIMVLKKNDFFDAGCLDDFAAPLFK IIDVLKYLLEALELLEKIFKTVNNNSEGGNAQWYQKVFRVRGAFFVFSNRVRKLSREY GVSRKKLSRRWHGLPSRSTRPPTRRLLSLDQEKALILWIEYLDNIGAPPTNQQIEESA NYLLGKDFSGPGEAPRAGKNWVHDFIKRLPKQYVRIVQKPQEKERTVAEHYGEVERWF IDLELAIQQYKIRPQNLWNFDETGFIVGQGKDEAVVTAYPKTSKRVSSLSSRESITVI EGINAEGKIIPPLLIPKGKVHLEEWYRHIKDDDWLVAPASNGFITDEIAFEWLQHFDH FSRPGAFPDWRLLLMDNHTTHLTIQFVQYCEIWHIRLFRFPPHSTHFLQPLDGVPFQQ YKHVHGRVVNKIARLGGFDFDKNDFFEELRDIRIKTFTTRTIRHGWRERGIWPLNPRL ILDMMLQPEEAFEALVAEGDALKIYGEADDTIPSSPTTKSISPPSTAVKLRRYVNKIE KSIDGIKDILDEVSPGLSRRIKVVNQGSLTLAELGDLHRESFAKVRDIATRKNQKTTK RQVKASGALYVKDANRLIKRRHDGDLLKIYKSHVVGVPQPMEEVASTEPQNSGFFFDT QGDR TSTA_060600 MSNNSSNKEAPSESVPGIDSPPTGEECEEIEKPSSTGEPPKDNE EPESLTKAEAFLLIVSICIPTFIAALEQTIVSTAVPTIAKSFDATPVEYAWIGTAYLL PVAASAPPWGALSDIFGRKPALLGAIFVFFIGSLIGAVAPNIHAVLAGRVIQGTGGGG ILGLSATVVGDAFSPRERSKYYGVIGVIWGLACGIGPIIGGAFAQFVSWRWCFWINLP VAGVAAVCVFFFLKVHTPRTPIIQGLLAMDWLGTLLLVCATVMFLLGLGYGGGAYPWD SAIVICLIVFGVVTLGVFVVVEWKVAKYPIVPMRLFQSISNVSVFGIAYLHGAIFIAN LYYLPLYFLSVLGATPILSGVYLLPVAITLCVLSSLSGYYISKSGRYRPPIYIGLIVM LLGTGLYIDLKPSPSWSRIIIYQIVSGLGLGPVFQAPIMAIFTLTKPADIAAATTTLL FIRDIATAMSIVFGGVIFQNRISQQSGKITAAFPPEIAE TSTA_060610 MHTFFKSPFFNFEFLRLLAMAPFEGGEIAEILEASMGILKVQRP VISVWWSGRRIVSPAKTAIHKYIMEQLHLGIC TSTA_060620 MSWLQDYDPQTQRCFFAASESGWTNNDIGYRWLVDVFDKETKSQ ASRGWRLLILDGHGSHVTMKFIEYCDSNRILLAIFPAHATHTLQPLDVALFSPLSNAY TKQLDDFIRDSQGFTRLTKRDFFRLFWASWNEVFISKNINSAFRTTGLYPFDPEIVIN KFNKKITSRPSSSESGASIIPPEDWRRLEKLVKTVVNNIYDEKALQLRETVSHLSTQL ILLQNENQGLKRALINAKKPKNKKQPLLLGLPSEQDGGALFMSPTKVQQARDIISQKN DEAAQKQAHKDDKKLQQQLKKQAREAEKVKRAQIRQEKREQREQEAAEKQRLKDEQEL AKLADLQLQNDDEGKIELAISDLKNERIRSIREAARIYMVARTTLQDRMKGVPYRQIT RANNHKLSQSEEDSLVKWVLDLTKRGLPPRHFLVRDMANYLLSQHGDQRVGDKWVYNL VQRRPEIESKFSRKYNYERAKCEDPKIIQGHFDRVRDIISEYGILPEDIYNFDETGFA MGLCATAKVITGSDRYAQPKLLQPGNREWVTAIEATNSTGWALPSYVIFKAKKNIGLE WLKTHFIPLTSGRTLGTYSMLILDGHGSHLTAEFDRTCTDHKIILVCMPPHSSHLLQP LDVGCFAVLKRYYGQLVEQRMRLGFNHIDKIDFLTAFPQARTVAYKAQTIRNSFAATG LVPFNPDRVLQHLNIQLKTPTPPPSRSSNTASSCLQTPQNIRQFVRQSTTINKRINKR TGSLNQNEEINQAVIRLSKAYEILANDALLVRKENRDLRAAHEKEKQKRKRSNKQISI EQGITREEAQALVQGQVEASHAVTTTLAEPELPASQAVKVIFRRGGRFAYMAGSLTDY VTTTTTTISG TSTA_060630 MSVTLVNKQVGQIGFGLMGLTWRPNPAPYEEAAKVMKHALEKGA NFWNGAQFYGPPHANSLQLLEYYFTQYPEDADKVVLSIKGGMTPQGPNGTREGIQASV DKCLEVLKGKVKISIFEPARVDPNVPIETTVEALAEYVKAGKIGAIGLSECSAATIER AHAVHPIATAEIELSLFSTDPLTNGIAETCGKLGIPLVAYSPLSRGFLTGQVRSPSDI PEGDLRAHYPRFQPDVFPLNLKLVEELEQIAKKKSVTLPQVAIAWVREQSRTPGMPVI LPIPGCTTVARVDENLTSVPLDDDDLKQIGSILDQFPVHGARYGGHIAKYMSG TSTA_060640 MGFQYKHALVVGATSGIGLALTERLLHDGVSVTAVGRRKDRLDE IVRKYGERNTSAVRMDISKLDNIAQFAESVITAHPDLDCIFLNAGIQSKINLADSTQF DLEEFQQQILVNFTSIVALTHAFLPFLQGKNSPTSIVFTGSNFAFIPAAPLPAYSCSK AALNAFVLSLREQLQDSTVKVVEVSPPAVQTELHDYLGAEMGREIGMPLDEYVDITYK RLVAGEDQIIVGSIGPPEIFHDIIRHRRMLSTNLAQMMRYQIVAGQDTD TSTA_060650 MFSRISVIHLLAWQLLGSLVDTAAAQAIRNPGWNCTTTSNCNYD YVIVGGGTAGLVLANRLTEDAKVRVAVIEAGTFYESVTGNQSDIPGNDYVYNGKSAAD TNPLVEWGFTTTPQAGVGDAIVHFTRGKTLGGCSALNYMSYMRGTKGTFDDKWANITG DSDWDYDGVSPYYLKSGNFTPPDMSKRAANTTPAYDPSTLGTTGPLDITYANFGQPFN TWIQKGLQAIGIAPRDGFTSGGLFGSSWLAATIDHTNGYRESSEKAFLDPILNRTNLV VYTTTMAEKILFNGKVAKGVAVSSGNSTYSLFADKEVIVSAGAFQSPQLLMVSGVGPA VILQEHGIKVIHDLPGVGQDMNDHIFFGIAYRVDVTTTTSLQYGNALEEAIQEFNTEQ SGLLSNPGGDFGGYEKIPANYRANLSAQAQADLATFPADWPEFEYLPVPTWAGNFTYP GEGGPDDGYEYGSVQLGMVAPLSRGNISISSASTHDQPLINPAWLTHPTDIEVAITAF KRLRQLWATPVLQDHLVIGAEAYPGPQVQTDEQILDYIKVAFETISHPTSTCRMGQAS DPMAVLDPRGRVYGVKNLRVVDASSFPVLPPGVPQGTVYMVAEKIADYIKNNTP TSTA_060660 MSNPAGTFDKVYHTVTDYLGIPRNNRKDEQGTCIIVLGIQIDSI AMEARLPQEKLCRATLDAAAALNATSLSLKQIESLTGLLAFCSRVVQLGRTRLQSLYT FQIAFPRGSCTRRRIPYESTLDCWWTHCHQLQSCNAASLALAQDAHVHINTTEVDAIL QGFLLFSHHWLHHTLVIHTDSSTVHTGLSKGFLRGPPNVPLKSLLILAVARDIQIHNY ERHYALQNLPAWPASKQSLILWLTSRLLGNSNQKAIKPDTALSDLAALQAYHIDNFLD DKLFDNKHFRRLIDRARRLTPTTKVRVRKPISCEAITKLSSGLIALPSQPSEMTADLI DDLNFATACQVTFAGFLRIGEFTYKKEDLNASSIFTSTKLTRLDIRFSPTLDHVQLTL KGSKTDRCHEGVQIILAKTGDGACPVDALQKLFFLDPRGLNAPLFSFHRRPFTHAAQH AHNSGILDDQIQALGRWTSEAFRVYFTTNALILYKLNHQFQTGSPAPLSL TSTA_060670 MDTADRASWLAQIQRDRAKRLELDKTEVDLRRRRTHLDLQDDDD NQEENREPPPEVKPLIDMFPGVSAALLTRIFERKLKATKLLHFKEKSVIDADQENGVF KMTESGGTVSFKKAASSLKDWGPNPQTWTSCFLTYLAVIGYLFGDKHPKAVPNLLMFM RQILDFAQTYQWPEAVLPLALNFHQYLLDKGELSTDSYLVTPQFREKYLRYNLTLPAK PTQNPSAARPRHAKSARSLNNDTEILCGLGKHGAITCRKKK TSTA_060680 MNSSHLASPIAPPQLNIPHSTYTVDIRVIDTETLIYLDPKLFWQ PELDGFDGVHAPIYCFLISHGQQHVVFDLGVRRDWENYAPRIVSLIKATTEVIVGKDV AEVLDGDQSGEVNVHSRDISSVIWSHNHFDHIGDPSTFPVSTELVVGPGVRDASWPGY PSRADAGLLDSDADGRVVREISFESGLKIGRFDAFDFFGDGSFYLLDSPGHAIGHICG LARTTAAGDGLSSSESSFVFMGADACHHPGVLRPTEYLPLPQSIRPPLFRFELEANVA ACPGEFLAQLIPSGDPADPFFTVANTALFPDHEAAMETVKKIHELDAAENILVVIAHD LSLRDRIPLFPKRINQWQEMNLKSTTRWLFCRDFEEAIRRR TSTA_060690 MALLQNLLTTVSKMSSWTLLLSIIGLFPVCLALYRLFLHPLSHI PGPWFACISSAFLHIICYQGTESRVFAHYHRKYKSPVLRIAPNSVSVSDGAALHTIYV AGGGLPKDSRYRNFRIEGHETIFSSINPAFRDLRAKAVLPLFAPSRIRTSGENNGAIQ QSVEKFVALLEKEKQEACQGRGNHHRVDILDLTSKLSIDILTGYLFDKVYGGLNEHDN NNKMNIGSSVPKHKRLSATPFVLAIVAFSRFSLLPNWIFTIVFSIWFRVAMRETDLVV SLGKVESFMNELMAETETQLGRPGVSMEETRSQCKGVMFAGADSTALVQSTILFHLIQ QPAVLTRLKAEVEGTTPSTELQSLPYLRAVFREGLRLALTNPTRMTRIVTTPDAKGIN VSGFHLPPGTIVGAAPYIFHLNEEVFPDPLKFQPERWLEEKRDGPGESQLRALRDRDT FPFGLGGRICLGRNLATYQVLSTTKAIVQSGVLEGARTCQERIEMIGWFNAEIKEHHL EIEWNS TSTA_060700 MVRERRKWTQQEDSLLHAAVQKALTESKPLLWRKIAEAVPGRTN KDCRRRWSNSLNVDFSKGPWSESEDERLWNAVRKNGTQWSRVSEAVRTRNSDQCSSHW SQTLNPEIDYSDWTGKEDEWLMLGVQQHGTNWTSIVADYLPHRTSLALKNRYASLRGK LKKATTTKTIDDSRAINSFKLPLVFSIEGRGTEAVTIANNSSESSSFSDDEDYEDEND GEGHASPPHENSQATRVISHQQQQQQQQQQQQQQNCQIC TSTA_060710 MDSMNIFPGYRQADSIVFIAVGSILGLSLLHLLRTYWRLRYIPG PFLARFTNLQRVYWVKTTRAHEIHQQMHEKYGNVVRFGPNMVSLGDPALIPSLYPIRP GFPKSDFYRSLMPYTRKGGSLPAVFNTRDENLHKVIKTPIAPLFSLSNILPLEVFVNR VLEVLFQQLDTRFVSSGDTFDLADWLQYFAFDVMGTLTFSKRYGFLEHGRDVNNMLLT IWTYMSNCAPMTQIPWFDVVWNKNAFITLFRRASGLSILGHVGELIADRRQRRNTPSA VSDEKASDRDMLSRFFELQEKDSKIPRWAVTAWAFSNVIAGSDSTAVTMRTTWFGLLS HPETLKTLRKELLDQDSKLEGGITRPFPAWKDICNLAYLDACVNEAVRLHPPFCLPFE RVVPSGGLTIGGTYFPAGTVVGMSPWVINRHRPTFGHDAESWRPERWMVPEEHRRKLE QSVLTFGAGRRVCLGKHIAMLEIKKLTAALALNYEFDLLDPKRFKVENGWFFRQYGMD VKARKATVWEEDKKQ TSTA_060720 MSVISVFFGPQWTKATPDDLAVLQSTISESPDLKFLVDSVRELG SLWSTLVEECPTLRQISGHQEIENLEAFIACQGDLKVFQEPNISSGNILRNALTVISH VVQLWQLRTTVQIDAFPTLTSKLVDQGQAQLGDVQGFCIGFLTAAAVASSKTPDEFQK NACIALNLAVCVGALVDLNEMKCEDPSQRTTSLAVGWKSQTEYEELQGILEGSDGYIS CVTDHNRATVSASNAKTELIVSSLLKADLSVQPIKLRGRYHHSAHSSVLSSLSHLLAT DKRFQFSIADHLTKPLRSNVDGEVITTGDLHNIALESLLLHRSEWYKIASSAITYLKE TDTRPVSIVAIGYEKSVPRSLSSMIYNDQKVHTNNHIYSESHTANHVNSSFTPSEIKT PASESGFNDNAIAIIGMACRFPQADSLEEFWKLISSGMSVVRPLPEDRFRASDLRREP KGPFWGNFLDNPEAFDHRFFGNSGREAKSMDPQQRLLLQVTYEALESSGYFAPKLLEQ TTDVGCYMGVGSVDYEDNVASHDATAYSALGTLRAFITGRVSHYFGWSGPSITYDTAC SSAAVAIHSAVKALNAKECSVAVAGGVNVITSPKLFQNLAAASFLSPTGASKAFDASA NGYCRGEGSGVVVLKPLTTAIADGDSIVAVITGSAVNQGSNSTPITVPSEDSQRALYR NVLSVSGTNPADVTYVEAHGTGTPVGDPVETESIRKTFGGAQRTHKLFIGSVKDNVGH TESASGVAGLLKTLLMIKKRVIPKQANFSRLNPKISPLMPDRMAVPESTQMWTAPSLT AVVNNYGAAGSNAALVVQEYRHSEEAYPKTALASFSGREFPFLVSAKSAESLQAYCSK LKFSLHENAEYRASDDVVDLAFNLATKQNRDLEYFYTFTATNISSLEKCLGEASHSPS SHFQKLPSNTLPVVLCFGGQNGRTVGLDEGVYKSNRLLQIHLDTCDSVCSTLGLPGLF PGIFETGPVEDLVSLHCILFSLQYATAKAWLDCGLKVDTLVGHSFGQLTALCVANSLS LTDGFRLIAGRARLIQEKWGAESGAMLSFEGESEQIDKLLKLARQQNSAFAADIACYN GPQSFVIAGTSASIEQVEELCASREWRSLLKVVRLRSTHAFHSQLVESIMPGLKEITE SLSIKPPTIRIEACSDCEGWSQVESTKIAQHSRMPVYFTKAVERTVERLGPCVWLEAG SASPIVPMARRILTSKGIEKGNMFQPTDLRGHDAQDRLARITSNLWAAGVKTQFWSFH SSQRFAYSWINLPPYQFEKTAHWLEYKKPEPVLPQTISAKLPFDGPGTLLRLLESHTG KSLFEINNLSEIFQLCTTGHAVMAQSLCPASLYVECAVQAATLLLESRSSSIAPRVGD LKISSPLTKNTERNVFLQLTRNTNQGDQEWIFTLFSSSSNVAGDSPVTHATGAVDLFP FNKVSEQSRLKSLQRLVARSRYEDILNFPGSNSLAGSVIYKVFGQIVVYSPYYRGVQK IVARDNEAVGHVFVPDNQPQALASRGSCDPITLDNFLQVAGIHVNCLVEREDREDVYV CTEIGELLMTEAFVEKREMMRSWNVYTTFEESAGKSVVNDVFALDQETGELMAILMNA KFQSVPVKALARTLGKLNGRPKPTVSNNDRMEVRSNGLQDNRREERDTKSKMLTNRNG LHKRNEPQSLELVQAMFSDILAVPIEDIKADATPDDLGIDSLLVTEVLSEIKKRFNVT ITVADFQTVNNLSTLASLLEPNRPVIDRQSLPAPLSKQPPVSMNGLSTHEQSESLMPQ VQNMFAEVMEMPASEVLPATSLQDLGVDSLMITEIANEITNRFGITVPIPELQDLKDV HALASRLQPHSTKTHSKPINGHAGYSSSAKTNGVSQMNGHSTPRALNGESRPNLTLTA DESFQKVRRNYDTITKITGFSDFCRDVYPAQMELVAAYVVEAFTKLGCPLAELRMHEK VPEVSFLDVHAKLKRQLYRILEEGGLIQRDTDVGTYLRTDIPTPSTPSNILHNDILNK FPQHVSEHKLLNSTGSKLAECLVGTADPLGILFGSSKARLLMEDVYTNAPMFKAGTIQ LAQYLVNVFDKIDDPSRVVRILELGAGTGGTTKHLVETLVGQTNQPFEYTFTDLSSSL VAAARKKFAGHNFMCYSVLNIEVDPPADLLNQYDIVISTNCIHATKNLTNSCSHIRQL LRPDGILCLVELTRNLFWFDLVFGLLEGWWLFEDGRTHALAPETLWKKHLQQAGYQWI DWSESPSDESNIIRVITASPGAIGSRRGENGLSDKNDIDVETVEFKREDDLPLLADIY YPPRNGTQQQTLAVALMIHGGGHIMLSRKDIRPQQLQMLHDAGFLPISIDYRLCPEVT LPAGPMQDVCDALEWVRSTLPTLALQRDDIRITGKEVVAVGWSTGGHLALTLGFTAPA RNIAPPEAVLAFYCPTDYEDPFWSQPNVPYGREMAPPLYETQYDLAKGVFDRPITAYN ISPAKRALGGWMDTTDPRSRIALHMNWRGQAVPILLNGLSPFSPTLSRDLATMLPKPT NEQVRSISPLAQIRQGMYKTPTFLIHGTKDDLIPWQQLQRTFECLLENNIPADLRILD GAVHLFDMFPDYVRDDTAYSAVRDGYSFLRLHVERRKSA TSTA_060730 MLQQRAQRRRKAIPNEWKAALRAPHRINHHLTHQNLRKWFEDTY NQPIDRATVTRILSSKYAFIDELQEYQLKDKRHRVEQWPELEKAVMDWIRLAETEAPI SQEAIRYKAQQYWDMPARVLIIQPKPPVPLFSSKACPTCLTDKILSRFPPFNMQTKKT VTIAYRRVSSIISYIIREHIKKMYGAIQYS TSTA_060740 MFLSTHDSGRFSLLLAKLVSSNSQSTIYMQEPLLSTSELYVLPQ TLAGRIIEAARTAAVKRTCPRCNLSFVKSSDCNKLTCICGYSMCYVCRKALNGPSYRC QEHDGAGRNVFPLDENPAEAEADEGETGYKHLFEHFRVNPGTRCTECNKCELCFSEDE EAIACKAGEQAEREWRLRQTMMIANNNKNINMNNSIINQIQSGKQGPGSSSIRWEDHQ SQKHPDLYRIPTMYNHHNRSSQYWLIEVWREGCWRWEIQTMADKLVERVVVIVDIS TSTA_060750 MATNTPDRMVTRQNRPDYLLLNDGFDDEALPEDYISEAQSEINS LTGIPSSEILPWESVSQTVISATTIPNSSSSNIPLYRSRKRSRPAPITNWLWDYLLLS GHPNQDIRCAYIDSKTRIQCAWKTTDSQHQTSTTNMQPHLEKHSIFSPHHLSGRTTQK EQPSILDLLAKKSLTPQQLLKKNLIHWIINDNKAVTTIER TSTA_060760 MSLASQTPRSTSCLLRLAGYTTRASGSTALRSTSRPMLRLLHEF QSPLKTSVASLESGDSGLDTSFPLQEFTFLQNLIKNTPLFLQPSKRKVDEFQFPLGTT FKASSREIGLEMKDAFAQALREYGIIAIELGFDDPKSHFMLEVVEAMGCTPDTHSSTE GALWDITYRPSGVISQKTAGSVVSRSHSLGEFAWHTDGSFEAKPQRFFGLHIIHPDKL GGGIFRVLPVNDLVKLLSPVSLEALHNHEFEIQVPPEFYKGTATNRGKLLSIDPETGR YLVRFRRDILANPPSDDPVANTAVAELNAILEKPDNVGQTFSEDIFKENVIILMDNAR FLHCRTEIKDPRRLLRRVRFNGTPGVRK TSTA_060770 MDGHLNTDCFPSIDSFPLRTQFLINTGTLDSEYHSFGLVYCIHT IMTRKTNRNAALTIAQSTIGNSLGPFVTTVLIKLYTSTHVWYPDILPKTSGILVPQLG RDPAMVLGWAWSLLHEYTN TSTA_060780 MAGSPNDCSATQVWTGEPDTVTSSELPREQEHVSSAEKPHRSWL MRVLLGIGELAIDQWFLIVMAILIAFASQVQVPHAKQKLKQTVVDYLAVAVIFFINGC TLPTKLLVENLSKWKMHIFVQIQCYLLTSSISFGVVSATATSHYFMDPSLLIGIIILG CLPTAIAFNTIMTRKANGNTALTIAQSTIGNILGPFVTTALIKLYTSTHVWYTDMLPK TGGNFGETYRYVFKQLGLSVFVPLVVSQIVVSIFPNFTTNVLVKWKASKIGSFALLVI IWDTYDGAFAFKAFDDIPSDNMVFIVFILVALFFVWITIAFVISRVWLSREDAIAVSY LVPTKTPAMGVPLTTIMFVGLSAAAQSRMRLPMVIFQAIQTSASSLLTIPLRKWQAQG KVERDSDGPECP TSTA_060790 MVNKADILKAISDLKAQKKPQYAKIAGKYNIDRTTLMRRYKGQT VSNQEAHSIYQKLLTNAQEEVLLDHISRLSARGLPPTPQILRNIVKEIIGHDISECWL AEKIKKYCISPSNIYNFDVKGFIIGICCTRKRIVSIYQLRSKKLLGSNQDGSRKFISL LACICADGKALPPSRIYQGESRDLQANWLEDFDSSRLTSESIHLSQFWILKGDKAGVL AVISRGVAISFKLSKYSISLCFTWAIQQEEGDLTQATKLVMKAAQKLIIRNEILEHQY KGLVNALVNEKNRQRRGMPLGLIDKENPGEAQFFSLSKVEAAKQRIRDIEAQKEQDKI NAAILRTQKALERERRDRENQEKRESRIREREAKKQQKELEKEQRHIARETKKQAKHD QKMQESQIHLKRRRSKRVTEGSEDVSSKKQKIEVTRLGREINLPVRFRD TSTA_060800 MKLSIFARLGALSPLILTAANAADCTAPNQQWYDLSAVQMMWSI RAWVCPNAWWQSITAGPDGAWCHVNGDLAGSYWGSWTISGMQSEQECWDVLEQIIDQC MWYDYKLTSYNGGTWKWGNTYAGGWFWADNSKPCVHAVQARGSEETETETETIGYGAP TNFTLANGTVMKINRQVWLNLSEDGSPKLVREETYEGEHNGVRKKPVIFSDSQAALRT LMNPRMVSGQTYFRDCVELLKKCMDKDIDVTLRWIPGHEGVPGNEAADRAAKRAALIG ARRQVVPGDIYGWIMLAAAAKRRIRQSTKDAWERSWDRQKAGKPIKKLINKPSKRTLQ Y TSTA_060810 MAPTDYTDFDPNGKDRKTIEPCVITRTKIYTDLYDDDLWFTFKD DFGDWTTDNLCKATVPVLGKLRDILRTNGIYVPKGGHAGRVLANTLTLPEPHEWTESE VIEHIQLKGTFNSPFIQLKFAATIKRINDATNVITQNNAQFVQEDTPSPPSTNLHSMV TRAKASTGGPQDMTPPAETAPQAPLVQVATPTQTATWQGTGYIPAIRDQERTYSQMGQ SALLYVNSIAQLRKVYTTDSTKYGDNEDSFDLAHNIFLDLCRQMGLHTAEARNQAVSV MLKGLALDYYYTWKDQWERMGIDPAVAVKNHFENDEHLRKVQTDWDAINLYTVIVKYP EKSTTECLEIMFRDIQKLYHKLRPELRNEVIWHAKLISATRTHPACHAATGNPASTIP GLMQSLRGSVSQFEDTKRAAQQHFAGTYNTDPYDVPRTNMTERRFFNNNLRYQPQNRS RFTRKPSRHFRGPRNDKKTCYICKKPGHLSYNHSDEEREAHKREWNKNRSGSYQQFMA EIEGWEYDPESIEELASSGAYFEDDSSDDEPPRTKDSITSKDSANKNAPLQTTSTHFA SAFFTTDEKPKGELGKLITTELANRATMHCVKALATKEAQDGDNIENNVEETIDTSTY VSASRYSEETWQGILIDTGAADFSTAGYSQFLAYQKAVKGAVIDTSTANSVGIKFGSG DPVRSKGSVDVDTPIGRVRFHILETMTPFLLSIKDLDRLNVYYDNTKDLLIGPKENMT TQVIRRFGHPFLIWQETYESCLLESLDENPCFLTEAELRRLHRRFGHPSTDRFYRVIE RAGHDADREAIEHIRKFCHHCQIHGKSPGRFRFTLQDDIHFNHSIIVDIMYIDGKPVL HIIDEATRFNAARWLPNISSSATWDALRVAWIDTYLGPPDLIATDAGKNFVSKEFSQL ATSIGTTVKSVPIEAHWSIGMVERYHAVLRRAYTIISDELPDLHPDMALQMAVKSVND TAGPNGLVPTLLVFGAYPRLTQNDAPAISVEQRATALKKATAEVRKLYAQRQVRDALN TRNGPSTTVIHSLPLNSNVLVFREGNTGYAGKWEGPYKLVEVNNETCTVALPSGPTQF RSTVVKPYYAEDMPPEDIATTLDHDNAPEPPTQGNALLPPSTVKIPSQRPQRNRQPSA RYRDDDFEAYINNKEITQPRANFDEVLEQTRFTDSRKQEVDGLLERGVFHFVHENEVP KGERIFNSRFVDEMKNSGTDKAFEKSRLVVQAYNDEGKDFILTESPTIQRCSQRLILC LTACMVTHSLWLRDVVQAYIQSQTYLNRDIFVRPPLELAILLSPGTLLKVVKPLYGIP ESGNHWFNTYHSHHTEKLQMETSTYDPCLLHCIDPSNGFGIVGMQTDDTLILADNAFA NREEKEIKAAKIQCKPRERLSPTNPLKFNGGLISETAQGIMLSQERTCKLIQIVQEQH ADMTSSRGKIRKNASPKEQYVSQRALGAYIASLTQPEAAFDYAFAAQSTNPQKEDIKY LNKRLQWQIDNPYRGLKFVKLNINTIKLYAFVDAAFANNKDLSSQIGFVIVLADASNN ANIVHWSSVKCKRITRSVLASELYAMVNGFDFAASIKATVTQILHLENPLPLVICTDS KSLYDCLVKLGTTQEKRLMIDLMCLRQSYERQEITEVKWIDGNSNPADAMTKNKACNA LQILVDTNKLHITVDGWVERSTTTPQNRAIKANSVAFANPQ TSTA_060820 MVKSRTKNDPSYEGRLSLAIDALNNEKITKLRDAARTFDVSLTT LRRRLKGSVPAHNAGITRRKMTPTEEAVLRGWVFSLERRGVPPRQHMLHEMANILLAQ RDPTKIPEKRQPDLKAKFARRLSYSRALCEDPVVIGGFFEEIKQLKEEYGIADEDIYN FDETGFAMGISSTAKVICSSDRSGKPSLIQPGNREWVTVVECVGSTGTVVPPLIIFKS GTNRAEWYTSPKLPPNWSITHSPNGWTSDEIGLQWLERIFEPKTRPLTVGTYRLLILD SHSSHLTPGFDQACKNNNIIACCMPPRSSHLLQPLDVGVFSVLKRLYGAAVESRIRIG IYHVDKLDFLDMLYSVRIQTYTTQNIKSGFSHTGIVPYNPQKVLSQLQIAVREATPAS IRPSTSSSSTWSPKTPYNARTLKKQAKSVKRSLNMGDLDSNSPSCPAFNQLIKGSLVV MHQAAILARENHNLREANDILQKRRTRRTKALQADGILTVAEGRELAQELPEEAQPPP PPNGSAPLQPAQRALPRCSNCWEIGHKRNRCPNIST TSTA_060830 MPPTTDYSKSFDPTDKDAKTIEKCIIARIEDYTDLYDEDLWNTF REDFSTWTEGAFRIAPLKTLINLRDTLRTNGVYVAKGRQHAATTLSETIAESEQHEWT ESEVIYHVQRRGTFSSLNIELTYGPAIRRLQATTPKAPQQAVHSKPQAMTIPYGMTLR SQRNEERDTTPTPIAQSVQQQYNPTTPAATTLYEQAVPATTHSRQTVHTNYTTQDTSY YGANISQLRKAYSEDLRYGNNEDTFDYSYQYFLEMCGHMGIHTQDAQRKAFFVMLKGE ALRYYFDNKDEWVRRGINPISAVKSYFETEEHLRFVQSQWDATNLASTMSKNPDKPIL ECLEIMLRDLQRLYSKIRPELRNDVYYHSKLVSATRLVPACHAATGKPSPNISSLIQD LRSSISQWEDSKQAAAQHPMDSYYTDRRYYSRQSRSPHRRCSPYGRSYSRGRSNLRYP SRSPRRYQSEAPKTCHVCHKPDCWSTNHTEEERQAARRPYEAAIDKFIMEFEGQPPTP GKRFESYLAEIDEPSQYDLPDRTKSEPTMATGYFTVATTDHAKFSPSLASELANRSAA HYLSCLLGNNQECTAKLTPSDEDDTNVRIIPSSHHLTVPEYAFLAEDRYSSNTFIGLL IDTGAAAFSTAGYAQYLAFRKVAKNCTMDTSTAGSVTIRFGAGNALQSLGSIDIETPV GNVRFHIIEAMTPFLFSIKDLDRLKVYYDNTKDLLIRSEPYLTAPIVRRFGHPFLIWD YSLAMYISQSFDDEQCFLTDTELCRLHRRFGHPSVGRLHKTLQRAGYDTHPEAIEEIN KFCHHCQTHGRSPGRFRFTLQDDIEFNHSIIVDIMYINGKPVLHIVDEATRFNAACWL TSISAKATWDALRMLWIDTYLGPPDFIVTDAGKNFVSKEFTQLASSVSTMTVSVPVEA HWSIGAVERYHAVLRRSYEIISEEVPELAPELALQMAVKAVNDTAGPDGYVPTLLVFG AYPRMTEYSPPAPTVAQRAAAVKKAMTEVRRLHTVRQINDALNTRNGPSSTLIHRLPL NSDVLVWREGGTGYPGKWKGPYKLISIDGETCTIDLPNGPTKFRSTVVKPYHKDDDPK QENTNNNDKAEPYSAPTAPLIEPSNVLPPPPKVAIPPYEPNHTEPQSQRPQRERRLPP RYKDDLTYLQELDAPDLHAIAEIFTQLLAPGTYDESRKKEVNGLLERGVFAAVNREDI PPEARIYGFRFVDEIKNKGTNKAFEKSRLVVQAYNDKDKEFILTQSPTIQRSSQRLIL CIGASKDNVQFWIRDVTQAYIQSTTHLNRDFYIRIPSDLQRFFPGCEFLKVERPLYGI PEAGNHWFRTYHEHHVKQLAMETSTYDPCLLHCRDPKQGFGIIGMQTDDTLIIADEAF AVREEEQIKRANILCKPREQLITSKPLRFNGAVITEDAQGVTLTQERTCKNIRLVQDH PSDTVNSRGKIRKNASPYEQYVAQRALGAYIASVSQPEASFDLSFAAQATQPDEEDIK ALNKRLKWQADNPNRGLRFVKIDLRTAQLYAFVDASFANNKDSSSQIGYIIVLADAQN NANILHWSSTKCKRITRSVLASEMYGMANGFDAAAAIKSTLTQLLHLLEPLPLVLCTD SKSLYECLVKLGTTREKRLMIDLMCLRQSYERQEITEVRWINGNSNPADAMTKSKPCR ALQELIDTNKLRIDVDGWVERPLTKRNSESKNVRFATPVTTPAP TSTA_060840 MANGFDAAAAIKSTLTQLLHLLEPLPLVLCTDSKSLYECLVKLG TTREKRLMIDLMCLRQSYERQEITEVRWINGNSNPADAMTKSKPCRALQELIDTNKLR IDVDGWVERPLTKRNSEELPVSEYQSHDSALLHPGAEASTYVRERDNVIPSARTATSY IATMTPRGPLLSLS TSTA_060850 MEAITQIQTVVNDQIEILGISDLSVTGANERAITSQLDSGAAKL DRGRAYLVQQPSSLQPPPQPLPLLAPPPPQANFSSSQSQSSESNAYSYPSHLLLTRAS YINSEIRQQDYLSWILDSGATQHFCNSKLDLKDYKHFLEPREIYLGDNTTIYAEGSGT QHLQVGPYILVLNVWFVPKLAENLLSLQLLDRAGYSTLIENGIVYIRQQGDSNSAWFQ LANSKHGDLYRMHISPSSLVNAPRALRTREFSTLRLWHNRLGHRNFRSVGDLMNLSVP RQLPTCTACLQGKMKADSHPPVLERCSKSFDRVHANLIPLDGISLGGSKYMLLLVDDY TRYAWCYFASSKNVPAITPLLQGFINLVLTQFNAVIKSWRTDGGTGEFINSMVKEINR QYGILHQVSTSGVKQQNDVLERRVQTIKNIERSMRAGAGVLDDYRLQAESLATSVFLT NILPSTTLDNISPHLLLYKKQPPLTTLKPWGCLVWIHLRKEHRSSSSDPRCRPAMMVG YIQDSKSIYKCLDLHTLQTSNHSEIKFDEDLFPGPWLKRPAGFKLSIAHKRNPPGSAV DTVLGQSVPGALPNVSSVPFSSMNPFWLQQSQPPADPVNPEDPAKPVDPMELADVAQR ALDSPQSLALRMDSQPIYNPRGSVVFGTYVKIHEHDTTRELVEAALIVQGMESLSCPP WQTAERIQTDHNGDPLSYSDALLQDPIRWPPVVQEELKSHEENGTWIVQEISQMPKGC KPIPGKWVFKRKPSPDEGIRYKARLVIKGFLQRFGVDFMETYAPTASLAAFRLLVAIA VHNGWSLRNLDIITAFLNGNIDSEVYMGIPEGIDLDPKKYVLKLRRSLYGLKQAPRI TSTA_060860 MTSFLLKAGFYQCDAELAIFIRSLDNKFLILLLFVDDILLTGTP RRFLGIHIKHRNGKVILHQKAYIQRILERFNAPTNPVATPLDPKHPLVEATNAESLNE TDALEYRAAVGALIYLMIYTRPDLAFALSRLSKFVQKPGIKYAAALKRVLRYLAGTQN LGIAYCKSYSNDSVLYGYSDSDFAADLNNRRSTSGFIFLLNGGPISWKSKQQSLITSS THDAEYIGLATASYEYAEHTMPSNTIHCDNQGAIATANQPSHSLSTRSKHIDIRFHVI REAIANSLIRLEYIRTTEMTADILTKALLKELHERHWEEPSQSDAFGEIPDEWECQRA TPVNLPPARINYVLRVM TSTA_060870 MAGGYHNPFPSSLRHECEKACEILEAFLNPSVPNSTATQILQNA QGLAIISTVKGAFMGSVRFGSGILVARLDDGSWSAPSAIVVGGVGFGGQVGVEFTNFI FVLPRKSSVRTFAQLGSLTLTTNISLALGPMGRCGEVGLGASLHGLGALWAMSKTNGF FGGFSVELATFIENGSSNQKLYQKKLTAAQLLNGEIKPPDDAKMLMQCLSHKAFYSRR HRVPDPELPSDPSTATALELTSGAENQLPVELSTRGALPVGLPSESLSSPLEGRETSA REA TSTA_060870 MGSVRFGSGILVARLDDGSWSAPSAIVVGGVGFGGQVGVEFTNF IFVLPRKSSVRTFAQLGSLTLTTNISLALGPMGRCGEVGLGASLHGLGALWAMSKTNG FFGGFSVELATFIENGSSNQKLYQKKLTAAQLLNGEIKPPDDAKMLMQCLSHKAFYSR RHRVPDPELPSDPSTATALELTSGAENQLPVELSTRGALPVGLPSESLSSPLEGRETS AREA TSTA_060880 MARVQKRPSTSSDNKEISHPFRDSSSQSYKLGARSCRGCHQRKI RCDRGLPCSNCSRCGITCVYPTKDTDVARKGPTLQNISNRLERLEVLLSRFAESSQLT TASALGSGGSSGVPQPQIQVQSSANANAIGTAIRTPSNQRSCESTWELLLNEERVARY ASNSNIDIPPRDEETAKIAQSTGSQTTPSHLQHSTKSHNTLPRQPDTCAPLEMGSDVL DFYPDTQLALQLWNVYVKSVDPVLKILHIPTVQSTVVATILDPSSAQSSTVALTFAIY FAAITALCHDDNAESIELPCEKLEILKRYKICLDRLLIATDLMNRPDMPALQALAIYV TCLRVHEVGRSVWVLNGLAIRLAQSIGLHRDGACFQLSPFETEMRLRLWWHLCVLDSR APEDQGFQPTVDVMNRDLRLPLNVNDNQIYPGMTRFPVESDGWTEMSFFLIQTESCRL LHPILELQEQNSTGAFLSNTIEKRKIMEEHGQYLSAKYGILSGSGPPNDLSRIAIQHI TTAGKKMEFVLQLREEIGMRKQKEGQEDATPDVLKLSFKLACDGLESSYVLLKEDLAS RFRWFFNMYTQWYALAYVLRCLCSSSIPGGFETERAWALVEELFPRRMSLHDHSAGMH DDYTYGGIWGCLNQLRYQALSLRQHAQLSVATSEAGMHSASRGGDRTAQLLPDTEIQP APSTTATAHGISNLPEIGQELIADSNQNIFSSLDMTMPEIPFLPDWNAVINGCLNDDG HEMNTSYFSNNTVDAAQY TSTA_060890 MSGTDVVKEDANDISSGGNLIGLGSVNGVDKPSRKAINAMNWPL WMKIYHTAIPCFLAFLITFTSSVDVPATEALMKEFNISQTKSLLSGTLYMLGLAFGPM IMAPLSEFVGRWWLYLVTSSSIVAFACGAAASKSFATLLVCRFFCGFLGSAGVAIGAG TILDVWGGAKAGGLARLLFICGPFLGPSLGPLTGAYAMHEYDGDRRWTQWVVALIGAP TWILILFMKETSDSRIKPTREHSGPFGIVIIALSTLKAAAARSWSILTTESIAFSLTL YTGYAYAVIFSYFASATYVYEIDFGFNERQVGLSFISVVIGYFLAAVMHVVVEGTLYA RAVRNAPDGYPAPEHRLYTAMAGSVFLPIGLFWYAWGAQPNGHWAVAVASGIPFGLGA FVLFLSSIIYLVEAYGAAAAASALAANGSIRYMLGAIFPLFTIQMYENLGIHWAGSVF AFLSLALLPIPWLLFKYGHLLRRNSRFISST TSTA_060900 MARPLLALSLFTHGRSALEYPPNLLSGVDLSQKRFFFLASVNKE YDADNYIRLVKCLILLYFHNGTGTHPLCHASHESSQIPDILVDASTTPEYSDFFYYQD LLRNLFLYPADFRAISMTRTGTIVYPEENLNIAYTVIDQDNLYKGWVSVMTFKPDGSI GEYANLMPWNYSTIINYHYGLGWPFHELFDYENGRTFAAPWFNEEINMDEPLLSIVDV LIQRNSLIHLQLEDWKDEIEIFAPGFLALESRGRASDFDLKDLWNEAGLSYIYYTREQ KRVMRETGKLI TSTA_060910 MDLDRKVVALPPELWPPKKPITEDDDSRKPDIMPIVLQNFPGTG VLAVHIHRAVGLSVPQYQAASKQPENSSSNVTPKTTRATLPISNRRSLPYAILECDGS EISIDAFEGTNENPDWLNLGKMKFDIARATELKIRLYARNADSHYKGSQDTLLGYAKM NPSFGDGNSHQMEWLPIENGTGKLLVESDYEPNRALQIETSKPQFSSRKHAHIYAYIG HSLEVDTSSTTYNRRYGSRLIGQNSPKLLSGPLLTSTVTKESKWTLGSFLFEMLTGLP PFYDEDAEQRRYNILSEPLERPTRGEWGIRNQGSSLFDGIDWEKVSQREYEPAFKPHE CEMIFVQEKRRPIDKKSLEDQFSSWSWEPPLIERRPAVVKSPPIIKERTPVVELDESA SENKEDWELIWQREVQQFYFYNHSTKNEKSIISNREKQSRKFKGGAAWVRDVPNANID TSLPDATQSQEALRAVLENQYMHLIPTLLKEYSIDLNTQFQFGSVHTTPLNYVTGLED VETKGNQELIEILVQRTDRIPCTRALTHAVSRKDVPIVNILLANGVKCDFEDSDRPAG PGPCVLDDDWDLNATMGDASEPDEYIPPLVRATFLGDVHFVQLLLAYGADANIGYHDL HSSMPGFYDISEQFHMRCGRPIQLAMELGHRDVVQLLLSYGADIDLAQPVWQHHHCKM IPRAARHEIIAQLRSVVASVAV TSTA_060920 MPPRRASKDATASQQPPTMNPYNLRSLHILRSIRPGESFDERHY VPTRAQNQIQRMKERQEEFGLPAPITSKTAIQKTRQDAAKKVNSYRKRPAPSGRKSTT RSPSKTLSANSVETTPLRAQSNAPRDESIIASLEADTNEETDDNEDSVSEDDDLPVVV PGRPPGWNMADYLNGEYDEEENRLLRDTPLSESTPFNSLDIEIAATNLYNAKEDFKKL SAKRRIKVAEHALREAREDISIVNISDIQDEFNHEIG TSTA_060930 MTRRSFDLGVVEDQFHDQIIKYTDDEPFTFETCTAFVKSMSGRG RQLSHQFDDFSITETLKVLDLIDNKREAHPGTALCVLFEIKVACEALISKKKRTAIST AQQPQDPISIPSSPPVLPTQIRSTRTTQLLEEAAIHEARRDQILTAGDFQRQLMQKYQ CNDRNCTNYNNFCFPNPMDNTQHYNILATQHELWANRIASGGATIENPPDELKQYWTK KQGSINRDSRQPVKKNNAQIMEQFMEMQTKILMEQMEAMQEKQERRDEEKERCHLLRE QRDLLTQIPHQMAYLLPQRSTTSLSLLSFKTDKTPARFEAIPTTPTPRPRSSSSIDSN EDEYDTLAKFFTWKINSIKNQDRKKKWEDAQSTIFANDWSIRELRQMEDDKTPAYQRA IKAGISDGLARGFKRELRQYRQFVRRVHDEHAAAMALGALGGGNSTI TSTA_060940 MFETLGTDFFKNVDTPKFVSNLGNSNRAIYQVMELPNRPTTENI ALEAGQQTRQLIPRPLSNKSNALSGDKISQIGRYLRLGWKCEAIASACNVSRATIFRY QSNLLRYGSLRKPAYRSLGRARKLSQADEDAVFEYLLHESWRQQDEVRSWLYYERGVD VSVPTISRLFKRRKWSRKQLKRISLNRSEPLRRAYLDDIRQFAADDLVFLDKSIFNEK TGWRRHAYAPIGDDAEIDADINRGKTWSICAAMTLEGYLPCTSIKDGYYSTGDFAD TSTA_060950 MWAIAHPDNQTKRCYDMYNAIDYLVRAAIESGLTYGVFDKEWMF KRPESAATDGALYWDQTDLIATSEQLLEQIDCPLVSIYFLEIFPLFATMHEHFHTIDK RDPKSWEPTGPGQIIMRTETSTRADYEGMGLMKLMAHWEIKDAAAKGFRGINMETLHL AVDHVWMYPHKTKSDAN TSTA_060960 MRSTILKVAAVLSPVALAQAAVFIPDSSCATCAGLSSLPVPYNL SASQTNSIDEGASNSFWISSFVSGSNGHQYFILSHVLGGSLASYRASVLDITNPSIRA QFSTSDTANTSIYSDTGIFNFTTSNFTFGATSETDGLTKMRTWSNYNSVQFDITFETS SPVLLNGGTGSFEATGGFGYEWSMPAGKTTGSLTIQNTTVTIDTLHSKTWYDRQWGNV AQAWYWFEIHIEPIAAYPTGAVLSVWNWIDDISGNKNFATVRDALGTQSIVPVTKFAR NLNSVWTSVHTGDKWVQEFDLMLEDGTSLSISSVREDQELWDEADAAAGGGFEGYMTV TGSYKGIPGARGFANKKRTNKITGVSKTLVDRTKYINNTRFDEWWDRDCNTTERLSIV HGDKLLKFRYLRDYWTMLEKYLKSASYPEAAAANKIHGHLCDLSQVELLETNLNALLE FATNNNTHKLDRITYTVANVPRLPGLADYQASETKASILILRYDAPIEPTIANNNIIE AIIISHRSWEVGTRIHEPRPTLHTGASTATKPTPGRGLLTASGASTEGVAKGLAVDLA PIRVNFVAPGTVDTELLRALAGPDPKVQAGFKEMLK TSTA_060970 MADQTVITSYLQQDIATKKPPSWKSYLWDSLDLPKEERWLLFKL DACVLTFSSIGYFLKYLDLNNVTNAFLSGMEEDLEMYGNQLVTSTTIFTVGYVIGQVP FNLLLTRVSPRWVIPTLEVGWGIAVICMSSVKSYQALYALRFLVGIFESGFYPGIHYM LGSWYKPAEIGKRAMIFWLAGSIGQLFSGFLQSAAYTNLDGVYGRAGWRWLFIIDGII TFPLAVAGYFFFPNLPHSGIKTWYITEEEHELSIQRMKSIGRAGKAPWTKAKVKALFT SWHTYLLPLLYIIWNNGGPQPAMGYWLKSFNKEPPPVPGTHYSVSQINNLPNVTTGIF IVMALIWAWLSDGPFRGARWPFVYAGAVITIIGLDQLIFSILLRQMPLYENIHGRTVV YWLGNIGQGAGPLILTWTNEICSADTEKRALTVALANDLAYVVQAIAPNFVWKTTDFP AAKKGYLWSIVLQILLIVLTAIIQLLLWHDSRKRIPLKHSGNDIEADSEDMLPTLLNK QASKDVIGTSRYVATSEQRD TSTA_060980 MAPAVDIISQSVVFDTASTDISLRKGNYSRYIREPLKYSGALDK YKSFDVTPTIGREFPDVQLTDLLNNDQTLRDLAITVSRRGVVFFRNQDINSDQQKVLG QKLGELTGKPATSKLHRHAVNNSKRNITVNEGKLDDEISVISSEINRKLYGDRFKSSS HHLASEGWHADITFENVPSDYAILKITDSPEDNPGGDTLWASGYEVYDRLSSPIQQLA DTLKAVHYQPRFNQIAKEHGIDLIEGDRGAPENTGYEFKATHPLVRTNPVTGWKSLFG AAHQVEHGWIEGVTPRESEILKQYFNQLIAENHDLQVRFKWNTNDVAIWDNRSVFHTA TNDYKGKRQGNRVVSLGEIPYYDLASTSRRVALEAELGAF TSTA_060990 MDANMVSVKDSAELSAQQALAKITIPNQDDIRGFITLPTAIKEG SETFKITWTSSNPGIVSDKPNGQTAAGVVQRPPPGAEPAQITLTASIENTLPYPSQKK NTTKIINREFHLTIQPSVQLAPFSRYGMVNFALSNCDRGQQIYMAYSIGNDPTRWKAA NNGHVVLTSTKGMHAVRDPSLVRSLEGDKFYLLATDLNVDGTEHGWRGWDWAQSGASR YIEIWESRDLRTWSEQRHVLVGPPEASMVFAPEAIWDPEIGAYVVFWTSSMYPADTYF TEDVEDPKRRYPLTRNQTLYATTRDFVTFSPAKIMSGRENHGTLDACMVLEEETGYYH RFVCDRISTGVGVTRYAGPYPADDIYQERSKRVLAPEKDWELVASCITHKLMKTAYAE APLAFRGNPGDSRGGYYFFSDQIWAESPAGKPLEEQLHPYWTEDLSLGQWMALEWTQK PEYDGCRGVMRHGTIVNLTCAEHAALRGVELVSLSVQQTSHEGFTGAVKEGFDAPDLM VTAVYSDGSTDVLGKGYGGYVLSDLGTCSSTSGKPLLDVDCGK TSTA_061000 MADSAPNIAAKEHRESIFFIARKASTLASQKAESKHFIVLTGAG ISTSVGIPDFRSSDDNWALRAQSRTHTKQTNTLRAVPTLWHMALVELQTACIDRVIES LRTMREMWQGVSPSQKFRAVSDYMNGIHDHRTNRKFVCGGKLHDTIINFRDSLPVKPQ RLAFKHSQQADLCLVLDHYNEPNLELTHMYKGDGGETYLLDYNPQTREWTVDTWDEGL FTKVLCVIKYNARMCTLFIDFENHGL TSTA_061010 MTPRPTIVAIASFAVLAVTFVQAQQSGYGQCGGANWTGATSCVS GWTCTFLNDYYSQCLPSSTTLTTSSHSTTSTTSSTATSTPTNTNGGKLKWFGVDESCA EFGTAMPGTWGVDYTFANTATIGEFINQGFNIFRIPFAMERMARGSVGATLDNAYLTN YSVAVDYITSNGAYAVLDAHNYGRYNGNIITDTSAFKTFWSNMATAFKNNSKVIFDTN NEYHDMDESLVFDLNQAAIDGIRGSGATTQYIFAEGNSWTGAWTWNTTNDSLKNLKDP ENLLIYEMHQYLDSDGSGTSATCVSSTIGVERIEGATAWLKANGKIGVLGEYAGGPNS VCQEAVTGMLDHLTANNDVWFGAVWWAAGPWWPSSTWSSIEPPSGQAYVYYDNILQAY TP TSTA_061020 MSGNIPNTQTAIQPGSRILVTGANGYIGSHVVDVLLSLGYLVRG TVRSEKPWLNQFFESKYGPGKFETVIVADLGDQEALVGILNGVNGVAHVASDVSMSPD PNEVIPRVIGYTEALLKAAAKASVTRFVLTSSSTAVLSSQPGVEGIVVTENTWNDAAV KAAWDENTPAESKPLIVYSASKTEGERAAWRWIKQNKPNFTLNSVAPNLVLGKVLHPN IGGSTMGWIAGLLNGKTDVLSFLPTQHYVNVEDVARLHTIALLDKNVNSERLFAFAGP YTWTGIIDILKKLRPSNGQIPNPPANDLPDLSNIVPSKKAEGLLKSFFAQSGWIGLET SLKAGIESLGL TSTA_061030 MTSMHNKDRVYRDEVDPSPESTSDSSSEASNFRAARFSRTDRRS SVDESALDRVGVMAADINRQREDNGTHTNGDTQFQIAVRNADFGTLVAMQEDDVEIDA RDSNGKTALHLALEQRDLGLVEVLLRFKPNLNIKNPNGKTPLHLSVSAEWEPAVRLLL SHNLDIDATDDKGNTALHLACLGGSREIVQTLLERGANVHIRNSTGRTALQLAVLSRT PRLPELLLQSGADIDDVRHGSGPDGDPKDIPKDDPMTQPSHSKQKDTMETEPSDIGSV LTEFSEGHWDGVRRDNGDGFTLANYDNHDIFFDAKVEAIRLLMHEGLEMHAIQLKLNF MKPFSNRNRIRYAKVDARFATVESGVLPHIHSIMPQADRVEVSEQEISSGQTFTVGAS GNGGPSSVNISMEGSKSRKSTFKGVRIIHGVVKDKMHASWRMYEEPGSQSGLPEIVRL LLLVQCNTEFDLRLSMSVKACHFSSFRIPRTLDAKTGPPYRIPSITSMLKLERAMKLK NILNVADRAATTVQETRILEREFTRLIQNHSNKALIMEASRDPEFVQEWADIADASRL GDFSMLHDKVLEMDVSGNARRLYERVILEPEDHSAQTDMEEPRRYHFPSPSPRNRVMR EYRRRGLRRGGIEGDRPYDSQATNAMESFSAVGPGYKVSRLA TSTA_061040 MDSSIKTIFQPLEEAVRKKLDPEYVKLHDDILQYCKPSELEPWD AEWRFRPNPIGFASPILVEVGNVVDISIDEFQARVFTPETEAPAGGWPCFVYYHGGGW VLGGLNSENGFLRHICKYLNCTVVSINYRHAPEHCYPVAIEDSLAGLKWILALETATR LSINTSKIAIGGLSAGGGLAAIVSMQAALDSSIPDNIIYQILICPVIDNTATVDTAWA TSKHSPWLTPSRMTWYRNMYFQSEDKARNWDASPCFAPAEVLEKSPSTFIAIAECDLL APEARQYGESLQKCGVAVEIESYSGATHSILILAGYINP TSTA_061050 MTLTCLIEDIGGKSDKASAASANQLLRSGTGKASPYQIREKFPD LVNVTHDVQLGESESSDIKQHGVLKKTLNLLLSSGVELRGVEPVPPELRTDSAFNKIF TMWCTSLLCPLPLVTGMVGTLSFGINLRDTSLLVLFLSLLMCVPPAYIVTISPQTGMR QMIQARYSFGLYPNILVALLNMMTLCGYNIICLVTAGQTLAAVSGDTISQTVGIVIVG VLSMLPAFGGFQVLHHYERWAWIPAFIAIVITIGSGGSKLKEQVQTAPSDAPTIFTFI SLIAGYFLPYSTTVGDTAVYLGPNAPKWRIFVYCWMGICLPSILLMIVGAAIGGAVPN IPSWSDANDRDSVGGILVAMLQPLGGFGKFVAVLLSLSVIAQIAPGFYSVSLSFQVMW PKFTVVPRIIFVIVVTAVDIGVGIKAAESFFNSLEDFLGIIGYWSAAFTGILLTEWFV FRKGRASSFDPRIWNNVRELPPGLAALTSFVLPFGLVVPSMDQVWYVGPIAKVTGDLA FEFALVLAILLYLPLRSLEIKWSGRPMNNMPTALVESHPFYQTSKAAGYHRRIGWGTK PALILIDVCRAYWAEASPLSLLSNPSGANSPNSMTRLLKAAREGGIPVIWLQVRYNHP DMVDGVIQFKKSPGISIWQEGDARGMDAWMPGLVPDWENETVVLKKNPSGFLATNLLS QLNALGVDTIVLCGVSTSGCVRATAIDACGYGFRCLVVEEASGDRSVEIQRATLFDLD SRFVDVINEPEAIDQMKRGWK TSTA_061060 MKKCLPGYDNDWAFSLSPSYLMHVDRIIMGEFMRIPSEESLSDT SPLKSKYGRSATDCYTSPPTASDPYRYMLGFGNLFVSEAVPGTLPEGGRNVPQRCAFG LYSEQLNGTSFISSRQTLQHVWMYRIRPSVAHRPLQCMAANDDIEACFSPSNQCIKYT PLSYTWGPLEIPESMTRTTFLSGLKTMGGHGDPTNKEGIAIHMYAANASMENEAFCNN DGDFLIIPSEGALDIQTELGRMMVTPGEVCVIQAGIRWKVNLPRGKARGYVQEIFGSH YELPELGPLGSNGMALPRDFETPVASFDIDETKWTIIHKLTGDLFSYEQAWTPFDVVA WHGNYAPYKYELSKFVSFNSTLKEQVDPTIHTVLMARSKIPGVSLTEFAAFLPKWQTS TNTFRPPYYHRNMATEIGGLIYGQYGGSSREQVAGGLTLENSYMPHGESYEAWVKATT EPLENVLVGQDSLGFMLHISSHFSVTNFAMERHNCIRPQRAAFWDNVKGPFLDHIPAI NKILQEQGLAPLGTPQ TSTA_061070 MQQPWCLMHSLAQWGSGDKDKSSMNMGIAVRMAGILRLHREETY SLPPDATTDKIVEAETARRTFWVLETEDNLHSSHASPVAFGADDITTLLPCEESDFAF GRIPSSRAALPGTKAAKLWPELTSLPSRSLFATLLQAHSLWGSVARKAWRADFCSEGP PPWDPDSTYAKMCQILTQWERDTPASHRWSVWNMRGHSAEQVHAAYLSVVMVTRLSHI VIRRVYLEE TSTA_061080 MITSVTQRKRDSAPRSFWISIADELFENVFALHEPVNTFVSNRS PHEGFSATLVVCPRLASRAQPNLSRTLEILEDLQNAWPLASRWLTVLRSSAASISTSL PTASCFSPGPVLSHPGFTNSHSNDNLPEHDLTTNKPGIGSLEMLSEAAVSAERNGSDD SSRITGSKNRGNNSNLNRNRVIDEFLTFSVPETVLNPLNRYPGINTDNGASFDKELTE FMNQPSYGMIDIWIPSEQDAWLSSGEP TSTA_061090 MSTLSPSDYPAYAANISNKTQDPLDWGLRPALLILDVSKAYFSE ASPLSLLSSTCGTAATLPANVSRLINAARSGECPVIWARTLFTNTKLHDAGIWTRKMP RQLLQGFSSKNEDGLHEFLEGMTPSAGSDGDSQKRLADLVIEKKFVSAFFGTNLAGQL AMIGVDTIVFCGARTGGEIRQSILDAQGLGFRGIVAADACADTCKETHFANLFDIQAK MGDVLTTDMAIEGLSKGWLWQASN TSTA_061100 MMGILALPLSTLLPLGTLALLLAAVLAPKVLGKRKYPLPPGPPG EFILGHYRRIPFVAAFKQYAKWGKEYKSDVLYFETFGTKWIVLNSLKSAIDLLDKRGS NYSDRPKFILFEEMGWAPTLTWLRWGPQMQRHRKILQPAFSKAQVRQYQDNQQRQALI CLRNMLDDQSNYLSAIRRFAVAIVLNISYGIDVESPDSRWIKIADDAAEAISNSGAPA SSIIDHFPATRHLPTWLPFMERLRYARKWRWAIEAITNIPFALAQKEMSEKIDRKCFS HDRLTVYNANAEKGNLNEFTMDDIRGASAAIFIAGNDTTATTVQLFVLYLMQNPDAQA KAQAEIDRVVGSDRLPTWDDIPNLPYINLILQETYRMNPLSPLGIPHASISDDVYEGM FIPKGTIVYPNVWGIHHNESFYSEPFKFWPERYLPKEQGGKGEPYPVGNFGFGRRVCI GRVLAENSLMIILANMVATINIRYPLGSHGERTPFEPEWSYIGQAHPLPFPVDFCARS AMAEQLLRTAVGVAR TSTA_061110 MDNKNILLLIADDLGKQLSCYGSTTIHTPNIDKLASEGTIFDFA FASTASCSGQHTHQNGQYGLASHRHHFVTFDHVETAPQLLNKIGYRTGILGKIHVGPP AVYPWEERQESDTRDVAVIADQARIFFQQSQNEARPFFLTVGYHDPHRDRTRGGFGND QEYDSRVQRGTYTPQEVEVPPFINNTPGTRFEFSEYYNSIHRLDQGVGFILEALVDSG FADSTLVIFLSDNGPPFLNSKTTLYDAGVRLPLIIRHPHIGRAVSPNMISYVDILPTI LDYAGHKAVIDGSKKRLGRSLIPILGNSSVLPGYDQVFGSHTFHEVTNYWPTRFSRNR RYKYHRNLAWRLDFPFAADLYGSLSWEDIRNMDSSKGDILIGGRKLRDYFFRSPEELY DLDNDPDELQNLVKDPKHSSVLENLRGKLEEWQRRTEDPWLYRDGVSVWFVRYHLPAG LKIPDRLDFDPDEPGNEGKRTFPTNTTWGADV TSTA_061120 MPILTWLGDPPSHTTGTTFGVPWEQGRYLPGCGSLVCFDSNRQR LPLQSWINAYWPDGSIKWTGHALPGVSNYPARISVEYRSSTPFHSKENSVRHDLSVCR IKVNSASESLDVDTGKIKIVHSGTGKVVAQQGHLIYQHQSSMAVDEETSYNNNISHYT LKGEIENVEIEHKGPYRIVVRIEGKYQRPASLDETPSAASGCLPFILRFYLYANSDTI RLVHTIIYDRNPEEHFIRGIGIRFQIPLKDEPQYDRHVRFAGEDGIFHEAVQNVTGLW KDPGIDIRMSQISGRPTPALDQWNTDFGSGLRWVPKWNDFTLLQLSPDGYTMRKRTNS GNSWVNIPGGTKANGLVYLGGSHNGGLGIGMRYFWERYPTQLDIRNAATDLGDVTLWI YSPAAGPMDMRPYHDGLGEKTYDDQLDAMRITYEDWEPGTGTPYGISRTNEIYLYAFD NTPSTAVLSKLVSNMRDTPLLIADSEYIHSTKAFGQYWKPFLTSIHTITEPIPKAQDI LRNLEFLFNFYQTQIAQRRWYGFWDHGDIMHTYDGDRHTWRYDVGGYAWDNSELSPDL WLWLYFLCTQRADVYRVAESLTRHTSEVDMYHLGPYKGLGTRHGVQHWSDSCKQGRVS NVLYRKFFYYLTGGDERTGQIIRETLDSEKTYFTLDPYRKVRKDRSRVTLEPEKGVLI SLGTDWSALAGAWMMEWERKGSEWKKYREKLFNSIKGINSLTNGFVTGQALLHIQSGG ISPPPSDPQNAGIVQVGHLSAMFGLVETCAELLDLELYEQIEHTILNDFRSKWLDYCM YYNARSDAQVSRYGVAFPKLILRQGHSRLTAYVARTLGKEELARRAWKEFYEGDGYEA SLPWTAEHKSGSEFPVPMDEASWVSTNITALYGLAAIQNIAFVAQYLMT TSTA_061130 MGTIDIKQANASSSEINPTQKPNVKENLNDLAEPEETKEYQSLI TLLFRRSKKVDLDAIATTRSVFDDSLLRQYYQPHPQYENLHRFDVNERWTYREEAAVR RKTDWKILLWILVMFFGLNLDRGNLGNAAADNLLKDLKISTNDYNNAQNMYRIGFLIA EIPSQMIGKRLGPDRWIPIQIMAWSLASGGFFACRFFIGLFMGGFIPDSILYLSYFYT KTEMPFRLALFWFTDSMSGVVASFIAYGVLHMRGVGGREGWRWLFLIEALITIVIGFL SFLFLVPGPTQTRTWWNRKGYFSEREEKIIVNRVLRDDPSKGDMHNRQALSLKMLWQS LKDYDLWPIYVIGILFEIPTAPPKSYLTLSLRNLKFTTFQTTLLTIPVTVFASINLLL ITELTERFHQISIIGLLTQLWCLPLLIILFKCAGTLSNWGLYAVTFVLLGWPSPHAAQ VGWCSRLSNTVRTRAVSAALYNITIQLSGIASSNIYRSDDSPLYHRGNKQLISINAAT IVVYALAKIYYDARNRWKRTRWEKMSPNEKASYLETTADLGNKRLDFQFDT TSTA_061140 MASNQLLASLLQGYESSGDNAEPQNDLHNRIPLPPRLEEEVFDS PDTIIEFINNFTQNHGYAVSKRRSKRAKNGHIKTVFVKCSLGGEYHDRVVKPQLENGI DTRHIIASLRKKRGDNVGIKDQDIYNFRRNMRKRFLNDSTYKTNKYRMPLLDIVGCTG TNKTFWVGFGFIKNEKEESYSFILKSLEQVIFRMGLGHPKTIITDKDQALMALRQEVI RIDYEGKGMKSTLVDEFKEKIEAHWIIKRDLGTSTMDLLGATLSIEMTIEKQHQKIWQ EIEDERVQIKIDFKNLRLFKHVLKKVSSHALKIIHSIFERYLPESAPDKKPIKPCTGV TRRTLGIPCIHKIKEYYEADTSIELFEFCPHWRLHTDEDLPPVDPRELVLELEVIRLR GRPPGAINWPTTSEQSQSAEDRSTRRDPSAFEHLLTQESSRGRGSGHVRGSRGGGQAR SGRGARQRGRGSHGGGQAGRGRGGRQQGGECGSGSAGTSEVSTQSHENDDNEISENRD DKTNKNQIRRSKRRGHGQPAPWLGDENE TSTA_061150 MPSKSYLLLSLAGHAMTAWATCAPGYFMPILSNFQLQLPYSSGG SGPLFVPGSQLSGCDGFQNPSWFYWNETTSHLVMNAPPSSSNCPKTSNSQHCRTELRE QNPSSWSASDTNVMTVELTVPKADDGRYGTVIGQVFSAEWSKPVAELYYSPSGELNMG VEQSKNGGNSIFTSVGNVPEGTKFTYELSYSNDVLSFSLNGGAKQTFDTSQLGYPDSY FKVGDYNQGTDVYSKVNIYSISIVHDPRQHAAVT TSTA_061160 MPSEIDGFAASTQILAISHVLWIAPGPGGTTMLGERGGIEAAAQ RGLERRQREKEETAAREGKFMNGAMSDSGTTLTPENDQPEENERVDRKTDLELHSKKI DGNISSLSDSSEGDNGSAQKQSEGRWERFTKRFQ TSTA_061170 MATWTRTICGSFELASEQLLAYIDMPASPVENAVNNFQWIAIHA IMDSHGSYLDRTASNTVLAVGGVLGSSDCGRPSSSMAADVNVFLADPASIDPSSREEG SSIEAQEVPIPLLTPIQRACLDFCIELLNQRVVHREYSCTLEFEIEEDCGPRRIIPPF YPKSSSRHGSWCLVISRNERVDRVDRLLDKSVSNILRAITVSAMVHIQDLPVELLGQI LEFVTEREDETPDENGDELNIEDINDDTDKLKDLRNICLVSRSFRDLAQPLLFRDFDE DGTSGDMTKIVSFAKSIYRNPELAKHVQRISIEAVAPPNVLDTMRLDREDFVFFKGVV KQLQLAEQEKVWISALKKADLSIFIALLVNQTPNLRHLCLPGGEFSMEPILRLFQRNP SLLSNLELFWIDSQDECAGHSIAAYARILTLTKLRTAGFEYGDLDRTSFPASWTPGVL AVEDLAFHHCHIDAYALQKLTKACKKLKSFAYNNFSLDPRVQRTMISEDVREFNAAQA HKAMLQHKDTLEHFNLAFASDLWDVEDLEEHIEKQIKIGSFRDFAVLESVFISHELLP PHPQFPHSLQILQITDCNVSVRGMVQNIANDCKNGLYPNLTNFLILAVDITRPIKLPG QRIPPGQTPQQCYLSLRELFDGTLVDFLICPYKTPDFNVELQDSDPEEYDEFIGRQGP GPSQLAGLLNMIMQHALDDPQSAPGRSYAASDDSWETESDD TSTA_061180 MLEIEWIAGNSELETRAIDFLQERNEIDRTRNAVIGFKETYGFL LKPQIAAAREEIVETLN TSTA_061190 MYEELKASAETVSFFLDVWKTSNKKYILVICHLSTEDFEDRQLV IHFGHCVYGNPQLSISTGILVIPLHLPSALGMSPVGLYVTISSGISQGIKLFKPTVIS RVRSHMGHTGEDMAKEIQDELQNFDLGQKLVAICNDNASNNPTLCHSLHKLLKQ TSTA_061200 MLVTTGDLNNSKVQSLLVHHFRDLQCKGSADTSFVLDLTALRQP SITIFTAWDGDELLGCGALKELDATHGEIKSMRTAPEHTRKGVAKNIVHHIITEARRR NYTRLSLETGTASAFSDAQRLYQRLGFEECRPFCDYGKRIVLANIYDGASARIVASLP QSHVIATAIYTVAEAAGIKDETLSREELVRSTKAIAASIQNFNKALTVDIRDGYGKML EITVKELIHAGVVGVNLEDFDNDAKKMYSKSEAADRIRTVLQLVKAAGVPDFVVNARC DTLVNNGESDEVIQRGKAYLQAGTTTVFVWGGSTRGGITRDEVFQLVKAFDGRLNVLL ASKNGLSTKELATIGVSRISIGHTLQLAALEKIKEVAQSILSL TSTA_061210 MSQKIVTVVGSTGQQGKAVIAALAGNPQYRIRGVTRNPDSAAAK VLVSEGIEIVKADLNDLKSLTAAFQGSHIIFGVTDYWNSYPMYGPTKAKDVEREQASN LVKAASAIPTLEHYVWSTLPKGNKEYPVYHFEGKPEADDLVRAGPFLPPRTTLFMVCF YANNLQIASFRPYWIETANKYVQFTTYDPETIIPFIGAVKNITPFIKAIISNPEQTKN GAMVIGSIGQWTAKKWVGEWAAARGAQAQVVQISQKDYNALWPWPRWSEESALMMNYF NLDITPVETLEEWAKTYELPDPSSGSY TSTA_061220 MPGVGQNLQDHYMVPFQWETDKGFADIPIPALFNPRANQDFLNA AVIFDRDTTMMKHTSFFNLSSQEQHWLSRANELNLEILAVNNWHPGVSPPATPKLFLG AVGLVPQSEGSVSLTSSDWHDAPSIQSNLLGDEHGLDLAFAISYTCRVLNLIEYTDAV SPHIVSPELRPKSDSEEDITASLGENVATLWHPARTTRMGPLDDESDRMVDPFFRVRR FENLRIADPGVVPVMPNCHPASVALLIGAWASERIITLKLEKGKQYYLQGSSFLCVHG FQDRSPNMVAGMTGQIELA TSTA_061230 MSNMYLLAYSRRSTKPDQPLSIIWRGQVNESVYEEARVKRIFNC QRPTRYPQAIIFAKTESDIINAVNLAIDQKYSISIRAGGHSWPVWSLRDDTILMDLGC LSDISLDEETGVVRVSPSTTGKELSDYLHAKGRTFPVGHCPDVGLGGYLLCGGMGWNS NNWGWACEYVVAVDVVTSTGEIVHADVEQNNDLFWATRGSGPGFPGIVTRFHLQSRLL PKIMKSSLYIYTMKHYQPVFDWALKIPSGIDEGLEIAVIGSYPPEFNEPCITVALLAL GDSDESVIKVLRRADESHPDGAVTRSFCGNTSFNEQFRHKAKVYPEKHRYCADNSFLH NDVDVPSILEKAFSTLPTRKSLVLYNSLIPTSRRDLPPMALSVQSDHYFALYGIWEDA KDDVHNQAWVSNIMEEVGRHSTGAYIGEFDFLKRQSRLWGFQEKEKLKAIRQKWDPNG VFCSYLGLELGL TSTA_061240 MNASAVYTVPLSYGICPGTQKDILGVPILIEEGQANIAANGSCD ALTHDVYYGQFGIATADNGTYLDVKNLPSNHTKALSNIPGLASLTSPPGGEIMTVTFL NKTYTVTATPYNTTKVSATTSSSGDFSRSALSSSSAVKPASATTTRFIGAAVTNQPQV TLGLLSLNLAVAFHLL TSTA_061250 MAARLSTCLLAALASNHSAVFTPSSPNYNSSLTIYNLNIPILPA AVTYPSSTEEVAGVVKCAAEGNYKVQAKGGGHSYGNYGWGGESGEVVVNLANLNGYSY DNSTGYATVGAGSRLGSVTTSLYNSGERAVAHGSCPDVGIGGHATIGGVGPTSRQWGA TIDHVVSATIVLADSRIVKVSETEHPDLLWALKGAGGSFFVVTEFVLRTEAAPTGGVS YTYSFEGLNATAQAQVFRDFQIFISNPNLSWEFYIMMVGLPQVIEITGAFFGSLDDFN ALGLEQAFSIAPAANVTVIPNWLDMVAIWANEAAAAERPAYFYAKSLDVMPNALLSNE TIDSMFEYLTTTPDDALTYQLEVQLVSGAMAAVASNATAFPHRDVLYWIFAYAATNGT VSKTTIDFLDGFNDVIYSAFPNENFYAYAGYVDPLLSNGPELYWADNLPRLEQIKTIY DHHDVFHNPQSVPVVSSDKWL TSTA_061260 MHKERPLRYYFDNKDEWVRRGINPISAVKSYFETKEHLRFVQSQ WDAMNLASTMNLSGAMKRRLLLFKACISNPSRPCVPRHNWKIFTKYLQPYTGSMINHV RHTEDIHHTDEATHKEGAIYATLAVYYVATKAKHQKPTMYAINQTADKFIMEFKGQPP TLGKRFELYLAEIDEPSQYDLPDHTKSELTMATGYFTVATMDYAKFSPSLVSELANRS AAHYLSCLLGNNQECTAKLTPSNEDDTNV TSTA_061270 METSTYDPCLLHCRDPKQGFSIIGMQTDDTLIVADEAFAVQEEE QIKRADILCKPREQLITSKPLQFNGAVIIEDAQGITLTQERTYKNIQLVQDHPSDTVN SHSKIHKNTSPYKQYIAQRALGAYIASQADNPNRGLRFVKIDLWTAKLYAFIDTLFAN NKDSSSQIGYIIVLANTQNNANILHWSSTKCKRITQSVLTSEMYGMANGFNAAAAIKS TLTQLLHLLEPLPLVLCTDLKSLYECLVKLGTTREKHLMIDLMCLRQSYERQEITEVR WINSNSNPADAMTKSKPCRALQELINTNKLRIDVNRWVERPLTKRNSELSFLTPVRTR YFYFILLIFPLPHQIVYHSLIFLLFHSFRELPVSEYQSHDSALLHPGAEASTYVRERD NVIPSARTATSYIATMTPRGPLLSLIKPTLANGGSDDEAGLEDQIESQAAEALDTLEY AASQTITSSQSSMLSYVRAQPLQSPQELLDQNIFNWAIGTMQPFSTFDDPLFRQIWSD LPGYSCKYGSLNSFSRRVDKEFAKARIQLKNKLRKIENCNAIALSLDGWKSANGYKIF AIIGHWITVDFQPRHRILDFQEIEGPDTGENLASIVYKVLCELDIRAKLLSITGNNAS NNLAMAEILHDLLKADYKTGDTQQAIRYQEEGSFIRCLAHILNLIVKEFLTVLKATDI TGDFQIIEDLENNLSLIQSQSAFSRIRILSLYISSTTERKREWQNLCQIKGMDSKLIQ YDVTTRWNSSYRMLNDAWNAAPQIQEYLKMNYILPPFTDQDWNQLGQIWIVLADQSLA IYYQLFDLLQEVQDREGKFKDFDADIANAAKSAMTKYDKYYTLMNDLCDILYITMLLD PWFKKLVLEHELQDEAKDIITAMQEQLEIQYPIPYKLELPTASEEPGPSAALENPHKT IVLEMMSKIKAKSQKSAEKSSDIARYLNSDVVEFDDKKRDWIYTWWRGHIDEYPRMAA AARDYLAVPAAEVNVERVFNTGRDLLGLRRWSLSSGTMRKLLILKDSLGK TSTA_061280 MNSKSYEERPLTPMSRCIPVGLPAETMQTAAATFAQTEFHDCDE LLGDAVFMHAKTYCFAHRFLISRLEVLALQRLTQVLLTNNTSRGPFYSRLADAIHLVY ESTPKSTQFDDPAQKLLAQYVALNFISIPTESLETVVSAGGEFMVDVAQKLAQRIATS GRSTESLEEYIDELKTKVNALELEGQKQRSLLEKTEKEIREWESWNRGISGKYIPTLQ GVCVTAEQDVIQKQVRFDIPEATEPEECCSEIGLRDEGKSLVTWSRDNVGARLR TSTA_061290 MVSSSTIDVPHLGGIKAGYVLSGNGYDSSKPTVVLINSMCTTVA LYRNQFEDKNLTDAVNLLAIEPLGHGSTSSPTEHFTYWDSAIMALQVMDKLGIKKAFA LGTSQGGWIVVRMALLMPEKILGLLPLGTSMDYESAESRDQGAWEPTAIIKPFVEKWT SKTETPEFIVDDIWCGMVASLGFSGTVSAETIDFWNETLKRTYTGDEGRKKVRMASIN LLSRDGLLHRLQDIKCPVYWLQGTEDTPFGMTLPVEQIKLFTSSKEAKLTMVQGGGHY LNATSPREVNEAILDIVKKYGN TSTA_061300 MTPKLYKEEEALIAKALARANTKEKPPNFSKLAHYMGTPPTNQQ IEESANYLLAKDFTGPGEPPRAGKTWVYNFVGRLPEKYVRIVQKPQEKEPFPKTSKRV SSLSSRESITIVEGVSAKGKIIPPLLIPKGKVHLEEWYRHLQDDEWLQYKHVHGRVVN KVARLGGFDFDKNDFFEELRDIRIKTFTTRTIRNGWRERGIWPLNPQLILDKMSSPEE AFEAMVAEGDTLKIYGEAYDTIPSSPTTKSISPPSTVIKLRRYINKIEKSIDSIKDIL DGASPGLSKRIKTVNQGSLTLAELGNLHRESFAKVRETAERKNQKSTRRHVKASGALY VKDANRLIKRRHDGDLLRIHKSHVLGVLQPEEEEAPTEPQNYGFFFDTQGPPADSQPQ GGGGDATGSDPF TSTA_061310 MSSHGPDDLQPWSIAVTASMTSLAFICVCLRLLSRYERKQALWW DDYMIVFSMVWNLIVVVFICLMIHAGMGLHVAEVGVEGAVLMAKYLVAAEVLYVYNLV WTKLSFLLMYYRIFHFPYFKRWAYIIGGFVIIWVITVTFLFIFICVPVQKLWYPDLPG HCINQIGTWIANASSTIATDLVILILPIPQIWKLQLGKVEKVALTLTFCLGFFVVFAS VYRFTVLFSYSAKDPTYTLAHTVGWTVIEMSAGIMSACLPTMRPALMFLARSIGIRHL VSPWGSRNTTAGLTENQGTRPLDSSNNHLRKDSQRAFTRIPDDKLGDRDDWTPVSADA SLRPDKCAAMVTRAMGSRGDEESLEGDEVPLHNIRIQTDFKRTDK TSTA_061310 MSSHGPDDLQPWSIAVTASMTSLAFICVCLRLLSRYERKQALWW DDYMIVFSMVWNLIVVVFICLMIHAGMGLHVAEVGVEGAVLMAKYLVAAEVLYVYNLV WTKLSFLLMYYRIFHFPYFKRWAYIIGGFVIIWVITVTFLFIFICVPVQKLWYPDLPG HCINQIGTWIANASSTIATDLVILILPIPQIWKLQLGKVEKVALTLTFCLGFFVVFAS VYRFTVLFSYSAKDPTYTLAHTVGWTVIEMSAGIMSACLPTMRPALMFLARSIGIRHL VSPWGSRNTTAGLTENQGTRPLDSSNNHLRKDSQRAFTRIPDDKLGDRDDWTPVSADA SLRPDKCAAMVTRAMGSRGDEESLEGDEVPLHNIRIQTDFKRTDK TSTA_061320 MAPNTNPNEFDPEGKNRTQRDTYVEGKLKEYKEAEDVVLWAIFK QDFEKWSLDHLRQTSFLLLSKLITLLKSNGVYVDDTKGYLIAENVATAAAQREPHEWT ETEVIAHLRKGRTFESSDINTRFTSVIAANTQPQRIVATTPEPESHLRTVPDTPTPYQ RAHTGVVTRSRSDLDPALSSNAAPETPYQATRFLTPFTPALASRTTAGTTAQLHGIPE LQEQQNINTQSLRPQPQYTAVQAIINIGKSYNGASELKYKGSGDSFKRKLKIFYGYCR QNGLPNTPESYREALPHMLRDAALSYYWDNIDLWIVQGKDPAEEIIARFEGPEHQRSI KTEWSATTLASTIQANPEKSVYECLNSMLVQLQDLFYCLPDELQTQTYWHMKLLEATS THPACDWATAKPAPTVPGLIQDLQSNVRQYERKQQSNVHGINFTDRRYNTRQPSRSPH RGRSSYGQGRSRERSNSRYPSRSPRRYSRDHAPQVCHVCKKPGCWSTEHTEEERRATR KPYEAVIDQYIVQKEGKKDTSKSFESYLTEIDKPSQYNLPDREKHLTVPEYAFLTEDR YSSNTFMGLLIDTGAAEISTAGYAQYLAYRKVAKNITIDTSTTGAASIRFGAGEPLQS LGSIDIKTPIGTVRFHIVEAMTPFLLCIKDLDRLKVYYDNTKDLLVRDEPYMTAPVVQ RFGHPFLIWDYSLVSYIIQSFDEDQCFLTAAELRRLHRRFGHPSVGRLHKTLLRAGYD ANPKVIERINKFCHHCQTYGKSPGRFRFTLRDEVEFNHSIIVDIMYINGKPVLHIVDE ATRFNAACWLTSISAKATWDALQMLWIDMYLGPPDFIVTDAGKNFVSKEFTQLASSVS TITVNVPVEAHWSIGVVERYHAVLRRSYEIISEEVPELAPEMALQMAVKAVNDTAGPD GYVPTLLVFGAYPRITDYSPPASTVVQRAAAVKKAMTEVRRLHTVRQVNNALNTRNGP SSTLVHRLPLNSDVLVWREGGTGYPGKWKGPYKLISIDGETCTVELPNGPTKFRSTVV KPYYKDDDSEHKDDEQPAPEEAPPTAPLNAPTPTPQVATPPSKPNNAKAQPSRPQREH RLPSRYRDDLTYLQEIDNTCLKEIADIFTQLVQLTGDGQFDESRRKEVTGLLERGVFM AVNREDIPPDARIYGFRFVDEVKNKGTNKAFEKSRLVVQAYNDKDKEFVLTQSPTIQR SSQRLILCIGISKDRVWFWIRDVTQAYIQSTTKLNREFYIQIPPELRHFFPGCEFLKV VQPLYGIPEAGNHWFHTYHKHHTKQLGMETSTYDPCLLHCCDPEQGFGVIGMQTDDTL IVADEAFAAREEEQIKRANILCKPREQLTTGKSLRFNGAVITENAQGITLTQERTCKN IRLVQDHPSDTVSSRGKVRKNASPHEQYIAQRALGAYIASVSQPEASFDLSFAAQATQ PGKEDIKALNKRLQWQIDNSTRGLRFVKIDLRTAKLYAFVDASFANNKDSSSQIGHVI VLADARNNANILHWSSTKCKRITRSVLASEMYGMANGFDAAAAIKSTLTQLLHLSEPL PLVLCTDSKSLYECLVKLGTTREKRLMIDLMCLRQSYERQEITEVRWINGNSNPADAM TKSKPCRALQELIDTNKLRIDVDGWVERPSTKRTSESKNVRFATPVATPAP TSTA_061330 MLPLHRLETAIDRKLGSDTSQRIETIYPFVVPPWWEPPEARIND TREEAIKAIEAISGTDTTIQFFTDGSGFDNGIGAAVYSSIGQAYKPWVPGHKGVPGNE KADQLAKLAAVEATRRTQENARIARISAPNQTTPHAA TSTA_061340 MKRRTNELARDLHRQRIEQATESIDGFWRIARWVRNRGKPRATF TPTLHYNNTSYTAPKEKAALFREVLHPEPPEADLSDIGPQYRYPKPYTMPPITLDEPN KAPGPDGIPNLVLQRLLPTIEAYLVNLFNTCLRQQYCPDHFRKSTIVILRKPGKPDYS DLKAYCPIALLSTIGKALESVLARRLSYLVEQYNLLLKQHIGGRRGRSCELAIHLLLE ETHSAWREGSRVASGLALDAAGAFDNVNYIRLIHDLRKRQVLDDLIGWIESFLSNCRT SITLLEGNMGEFLVNTGIPQGSPLSLILFLFFNADLIKQILAECPDRKHQMVPKPSGR LDIPLIIKGVEIKPTDSIKYLGVYLDTHLTGEVHVQEMRKKAAKLVVGLSSIAGSTWG TPLVHLRKIYTAVLQPQIMYACSTWYIRGGRGFTGAQRAAEQAIRLIQDQALHQISGA FKRMLRQALEVCLHVPPAELTLAKLAEEACLRIMTSPLRSTLY TSTA_061350 MYLFGESEVQNYDILAIQESYINKHTDPLTTYSLALKGSFHILL QPTPKEEYKKRPRVCFYVNRGLDLATWEVQYHNRDLSTLILHTAAHGTIHIHNIYNLG VNSNEESIISALQTAMAPRAQLEQLLPPGTITYERVNAKSTIDLVWASHNLANRVVSC DTKLEWWYGADHVPISTQFDLTAIHVPPLVRKQWNATDWDLFLKLMDIYNWHPRELND NEAINEAIHYLVETINQAAEQATPTK TSTA_061360 MGPKRGKKGTPWPEPRFADDPDIRAYVAAAIKDLEALKKHQEAL EIGMPRELSTSVVDAFLYLARRVKNTPTNEQLAQRLAKVELHVEKTQKEVSQASREIT TTKSNTNRLVEAICHPTSPGTRTAKNSPSFSHVTTSSESYVQAWGRKVPSNPPTVPSV GLSSGGSLPSTPYPSQEDLEVYLEHTDPNILNPIRRFPDKVVEKANLVIRSTQDTTIA HRRIVAACILPSGDIILLLGIVDDVNQLTRKKDWIRAFGNEAQNIHIKDPAKRLNTKH FAYARECLIRATCLAEAHQRRTYGPQYHTPVIRPGNSQPGAISLNDPTPAEAANTERS PHAPARTATTRRSANSRSKSAAAARKRVAERSEPELISPTSGDPTNRSSKKPMRAQWD KDLVIDADPNPEPKTGPETQIKYTYNTCARQNTKPPPGTPVLQSDIAPLEISHV TSTA_061370 MDSYLYAIGTILSPQDKLQFFSTADWDPEEGGINYQATYHQSLE SSLKKYSENLAQEYNLRFDSQFSLTSLQDILTASQMPNLEPSGNITKMNSLEWQGLHK MFSLFQLVVQDDDEEDEGLSTEPQATSHSPSTKALGKRRLRDIAKDVESDQENNSEIM SLPKIQHRVSGRVRKRSRLLDGYEM TSTA_061380 MIEARAIEVAIIGGGITGLTLALGLQKRNTNFHIYERAQSLREI GAGIGFTPNAERAMLALDPRIHEAFKSVASKNASDWFQWVDGFSGVNNDKDTVKEDLL FNMYLGERGFEGCHRAQFLKELVNHLPQGCVTFGACLDTIIDQGENERILLKFHNGTI AEADLVIGCDGIRSRVRQLILGENNPASYPAYTHKKAYRGLIPMEKALPALGESKVNT RLMHLGPDAHTLTFPVAGGKLMNVVAFVTDPGEWPYTEKLSAPAEKKSAIEGFSKFGG AVRTIMNLLPEDLDEWAIFDTYDHPASTYYHGRICIAGDAAHASSPHHGAGAGAGIED VTVLATVIEVAQTTLLESPDKSRSGVLNAALATYNAVRLERSQWLVESSRILGEIYEW QYKPTGRDKKKCEEEVYWRSHKIWDYDIGQMLQETTEYYKQRVGA TSTA_061390 MYDPALTQDVLGQLPLLKAYNHIMVGFPLHDTSNREEIIQSLES AALKLTAAIPWLGGKVVNVGSGPESSGHFQSVPYLLPPYQEIVAAKGPASMLDGAVIG PKNAFPASYEESETDPAPALLIQASFLTGGLVLDFAAQHNMSDGGGVLAMLNVIATAL RGQEIPASTIEAANLDRRTVIPLLGPEEPLLDHSHLIRPPPPAVRRPLPSLLAWCFFR FSSEKLNAIKTEASNPAHLTVPFISRDDALCAFLWKRITSVRLRRRNTPDDLSKFTRA VDIRKTMGIPFDYMGVSVTNATTWLSFQELIDLPLAAVASELRKTLNQIDAEFVRSFT TFVSRQNDKRNIAYAGKFNADTDMGTSSMASVPLYKANFGPLGEPTLVRRPTFAPIPS TIYVMPQTLDGDVDALFCLGEADIAALRDDPEWNSSVEYIG TSTA_061400 MPPPRGTPNILEGPGDYDVTSIIHSESYPAIDSRQFDFSGKAIF VTGASRGLGCAMVLSFVRAGASFIAAGARSDMSHLAKDVATTAESTNREAPKFLAVNL NVADPKSVEDAAALVEREFGRIDIVINNAGLLGSHGGITDTDPEEWWNIMSVNLRGPY LVARSFLPMLVKSPNPYIIQVTSVAAHLLNPTLTAYQTSKASLLRFTQLIDAEYGKQG VTAFAIHPGNCPTDMMGGPGGLHDFEKHIFVDSSDLSADTIAFLTAEKRQWLGGRYIN VTWDMPELVAKKDDIVQGDKLKNRFVF TSTA_061410 MVSRIANPCEGNIVLLFGPQALSFDQEAFLKLRATILGSLSYRW VLDVVDELSSIWTRLVKDIPILDVIDGGKKLKKLNNWIQSGDIDHDGFPLPNILLSPL VVITQLTQYASYLQDHPVSGKLDKTETLGFCTGILSALAVSSSSGEAISQYGAVAVRL AMVIGAIVDGQDESSEQGPSKSLATAWQSTNGEYELKQILNEFPDAYTSVHYDQRRAT VTTSTKSIAALQKALRDVGIIANEVGLVGRFHSHSNQESLKSVIDFTNTHPGFQFPEL SQLVFRTHFTTKIEDLSNMKLHHAALRQILVDKSEWYQLFESVQEARLSDKDSLLLSF GPERPVPPSIMRVLSSQVIHIADVNEKVIERSDTNPYLIGENDIAVVGMSLKVAGADD VEEFWELLLKGESQHQEVPKDRVTFETVFRDIDPKRKWFGNFIRDHDAFDHRFFKKSP REISSTDPQQRHMLQCAYQAVEQSGYFRAKNPDKKVGCYVGVCAADYENNIACHAPNA FSATGNLKSFIAGKISHYFGWTGPGLTIDTACSSSAVAVHQACRAILTGECSAALAGG TNVITNPLWFQNLAGASFLSQTGQCKPFDAKADGYCRGEGIAAVFLKKLSSAIKDGDQ ILATIAGSAVYQNQNCTPIFVPNAPSLSDLFRDVVGQARLEPKQITVVEAHGTGTPVG DPAEYESIHNVLGGINRTTALQMSSVKGLVGHTECTSGLVSLVKTILMIQEAKIPPQA SFSAINPHIHHSPDHHMEIPTKVLTWNENFRAALINNYGASGSNASMVVVQAPFVDRS APTVEGSKFPFWFTGPDDRTLRAYASRFIRFLNSKSVSSKNLTLANISFNVSRQSNRN HDKGLIFGASSLQEVEQKLSCFANGGSDVNSQPKTAPRPVILCFGGQVSTFVGLDQQL YEHVTILRQYLDQCEAIITKLGESSIFPGIFQRTAIEDPVYFQTMLFSVQYSSALAWI ASGVEPVAVVGHSFGELTALCVSGALSLEHAIKMVIGRAKVIRELWGSEKGSMIAVEG DIEQVQSLLSVSVVEGEAAATIACYNGTRSFTLAGSAKAIEGVAKTASTGLFSSMRTK VLNVTNAFHSTLVEPLITELEKVGSGLTFCQPTIRLERAIDASPHASLTSKFVAEHMR FPVYFNHAVQRLAQEFPSSIWLEAGSNSTITTMASRALGSSGDHHFQAISITNTSNGI TNLADATVSLWKNGLDISFWAHSCKQTYSFAPLLLPPYQFEKARHWIEMKKPSKSGGE PTVLEVVETTIEELPKTLLTFVGYEDRDQRQPRFRINTMIPKYEELVSGHIIANTAAI CPATVEVDLAIEGLRILYPDVTDSMQPQIHSVDNQSPICVDTSRFIWLQYQALDNAAH TWSFKIYSTGTGKEASTTHVTGKILLRSLDDPQTHADFARLERFVNHERCAALLKADD ADDVIQGRNIYKAFSEIVNYGEQYRGLQKLVGKGAESAGRVVMNPSSETWLDAHLSDT FSQVGGIWVNCMTDREPTDMYIASGFEQWIRSPNLRSNTKRPGSWDVFALHQKHSDRV YVTDIFIFNGIDGKLTEVILGINYARVAKLSMSKLLSRLTAVKNTASSALPSAATKLQ SGIAISASGPVLSTNDISLPQKSPKKVKAPKKKTPSSQPDITGKVRAMLVELSGLEPE EMKEDVELANIGIDSLMGMELAREIEDIFKCSLPEEQLVEVTTFKALLQCIRANLPDS SDDNPDVEEDDESLENTRVFTPSDVATSVSSARKADVTEFLVEFLGIEESIITRNTLL RDLGVDSLLSTELRADISSKFGVDVPEEVMLEDLSVHEFDVQINGSSTGAAPEPEVPR ASSTSGVPSTTARALGGNLNLPASTVLEAFHETKLLTDKFIEDYQCAGYIDTVLPKQD QLCIALAVEAFEHLGVSLKGAKAGQKLEPIKYLPQHDRLAEYLYMMLEKGARLIDIDS NGVITRTAVATPTKSSNDICQQLNRDYPDHNFANRLTQFCGLRLADVLTGKIDGIKLI FGSSEGRELVSGLYGDSLLNKLAYEQMKDFLRRLISKLPMHDGPLKILEMGAGTGGTT KWIVPMLAQLNVPIEYTYTDLAPSFVAAARQKFKNFPYMKFRVHDIEKQPADDLLGTQ HIILASNAVHATHSLTVSTRNIRKALRPDGFLMMLEMTETVYWVDVIFGLLEGWWLFE DGRRHAIAHQSRWEKDLESVGFGHVDYTDGHRPEVNIQRIFIALASGQRYDRIPIPPK AIMHEAPKTDIGAREAAVNKYLQKAVSGFTAVPISYTPYPVLLNQGVLVTGSTGSLGS HLVAHLANLSSVKTVFCVNRVSRSDALKRQQDALLSRGISLTPEALSKLVVIETDTHK PFLGLQRDSYDRIVHAVSHIIHNAWPMSGKRALMGFEQQFVVMRNLVNLANEASTSGP RKITFQLISSISTVGYYPVWSGKRLVPEMRVEMNSVLDNGYSDAKLVCERMLDETLHK HPDRFRPMVVRLGQVAGSSTSGYWNPMEHFSFLIKSSQTLRAFPALEGELSWTPVDAV ASTLGDLISGDHRPYPVYHIDNPVRQQWLDTIPVLVDALGIPQSNVIPFKEWVGLVRR FPGSEVDNPAVRLIDFLDDNFLRMSCGGLLLDTTKSLKHSLTLAAIGPVSGDVARKYI QAWKDMGFLH TSTA_061420 MWILAASCSRQFQSVCDLLYNDTRQMLEVIDTSDIDIDGLEIEQ VQAWILLVLYEVTRSHSRRGWISAGRAFRLVQLMRLFEVDGTDMMLSMSTTEDWVTLE EKRRTFWMAYLLDRFISVENGLPLTLNEQVILTRLPTSDVEFQSVQRVQMGFLSEEIT ATIPTIMSPFTECIVLATICGRALLHKHCASVERVYGHTSNDFWDRHHWIDNILTQRI TMLSMKYPPASQNIDPMLIFTEMVANTAILFLYKIINLMPCQTITNSTNVIEYKKRAV KAAGEIVSITRALSFFTQAKIHPFTPICLYICAEFFTLHREDGAHSYLDPQLHEIMEA LRNLRSVNNLARNFLLILDPMSSPCFSSSKPITLGCETVDGSQFSFDLSSFSFPSHTD LVSMDFSNTIDDRQVGFEWRMGN TSTA_061430 MSSSPGSDQGTAPRPRQQPGSACEECRRRKLRCDRQQPRCSVCA ETGVECHVTPLRPPRGPKRGQFKALQNRVDLNMNVHSNLATTAALENRLTEQTTNTSP LDSLKAPDEDLEKTSLDMDSNANPQIQQPASQSESDTPACRIPEWMRAELYATVTCTT IFELSQLIRE TSTA_061440 MDTPSSERFPTAPDGQFHIEVDNIRCTTFVSREDLEQLKDQREL FLLAHSAASQQRIVSKVELALKFLQHLLDSNGASSSTRAFLQGFENQFLFASELHVVL HSLHIDPPIRNTLLSTYYKAVFLITSESTNSSSSALFNAAKSGQVTPYVVFGGQGTAN ATCVRELIDLYSTYRPFLKDLIDIVGALLSRLSRLPQTREYYCGRYLDLQAWLHDPDQ VPGSDFVSGVTISCPVIGLLGLAHYCVTCKVLDVTPGDIRDLLRGTTGHSQGIIVATA IAMADSWKAFYSAALTAVETLFWIGYECNDGLARSFLSPSIIQDSVSNGQGQPSCMLS VAGLERSRLDEVLNVFNKSLPLTTRVSIALVNTRENLVVGGPASSLAALDRYLCTIKA DPNIDQNRVPYSKRKPPIQHQFLPVSVPFHTQYLLKPAQNIKAHISSLKIAPEMLKIP VHDNRNGRDLRELPPGTNILEEIIDAICFAPCDWPAVLESLDVSHILTFGSGGMPDLV LKLVDGKGIRVISGSDFETRDSEMGSKVDIFSPVLLSTSTKLESWAEKFQPRLIQAAS GEVQLETRLTKLLGVPPVFVAGMTPTTVPWDFVSMVMNAGYHIELASGGYHSAEEMSA AIDKVKDTVPAGRGITCNLIYSNPQAMTWQIAMLRRLAKDGVAVDGLTIGAGVPSQEV MIDYITTLGLKHISIKPGSLASVREIIEIAKSHPHFPIILQWTGGRGGGHHSFEDFHV PILRTYSSIRRCPNIVLVAGSGFGDSEDSYPYLSGTWATRFGYPRMPFDGILLGSRLM VAREAHTSSEAKKLIIEAPGVSDSEWEKTYDGAAGGVITVISEMGQPIHKIATRGVLF WHELDKTIFSLPRKNQVEALLKKKQYIIKRLNADFAKPWFGQNSKGEPADLSEMTYIE VLRRLVSLMYVAHQSRWIATSYMRFVMDFATRTLERLHSDANIEITATALQGPRLFLE EFLWYCPHASSELLNPEDVTYFILRCKKRGQKPVNFIPIIDEDFETFFKKDSLWQSED SDAVIDRDAGRCCILHGPVAAQYCNTDNETAKEILDNFNAGLIRNVREDFYPSGPTPQ SENTSLSSESWSVATPETDVHDIHHTSMDSIASGVEDLLPSTILILGKMGNPWIRPLF MDEYILHGRNRRINPFRRLIQEAWKGTVEVEPETSLITISVHNEDSSGSKSIVKISAR NVADISVELSFPSPHGTKPVVLPLAFRYDQTMVPYGISEVTASRDDSIKAFYSKVWFG EDVSACTEEVRSTIWGPEMTLTSEMLHDLLNTVGRAYTYGKNTGHGSQTFPISVGILA AWDAVAKPLVLRVIPGDILRLVHQSNSVEYMSDTANLRVGDVIMSRANVRAVYIEDAG KYVTVEGHIICSNEPVLKITSTFLFKGTFNDFKSTFRETQEPDMIYVVFSEQEEALIK DREWFHLEDPLLSLIGKTLLFSLRTEVTWKNKATYNTLKVSGHIYQRSSLGQIQGIGS VNFEHGECVGNPVMDFLERRGSPAAKKTDLASPGWTGVSSVEVQMPSSNEMYTRVSKD YNPIHMASVFSHWAELPGTICQGMFTSAIAVSAVEHLSSNGECHRLRRFTATFTDMVL PGDRLVIRLKHVGAIDGRLVFKVSALNKDSDNIVLEAEAEVEQPQTVFLFTGQGSQLP GMGMELYETSEVARRIWDEIDAYIFERFGWSVIDIVSKNPKSITIHFGGKRGRQLREN YLAMETEIATPDGRTIRKTLLPGLTRESRSYTFSCPSGLLFFSSFAQPAIVLVEKIMF EDMKSRGLVPLNSFFAGHSLGEYGALLAFSGFMTTKELMELAFYRGLSLQFAMERDSE GETNYGMVAANPQRIGKFFNESSLRQIVHMIAMQSGELIETVNLNVENEQYVCAGTLK NLYVLENILSYLSTISHGAELVGEIMTTKDDLSATIIGQQLAASLLEANALPGPIQLK RGKGTIPIPGIDVPFHSSFLRQSVASYRKILQRRIPEENIHLDRLLGRWIPNVMARPF SINDDYLQEAAELTKSPILAELLNGPVTV TSTA_061450 MASGKLDEQIATKKEVRGAKNKRAIAHTLLIELLSYQLSLPVRW IETQKQLFNAPESVRKYVEIGAKTTLATMVKRMADRQPTAEKLSKAMEFYSYSDQKSD LYYEYRPNAGISPQSSSQLEAQQSIPSPAATESSITNATISEAPVSAKPGLLASDNPT VHKRAVKAVPNLSPTHIVIALTAQKVNKAFDTLPMQKSIQELSGGKSTLQNELMGDLS VEFSSVPNGGEYMPLETLGEMLQQGFNGKPGKQMSTLISKFISRKMPPSFNQVTMQNY IETSWGFGKENSIIPICFAVTMEPATRFEHADSAKQFLDDVVNRLASFFGIPLSLQLS DDGSTSSAQSAIIVGSSALDRIRQEQRNYHLEEFNRLSDHLKIDCRSSDKLQNLISST KAMEDKLNRWATEFDENFFDGIGPLFDPKKTRNYDSSWNWIREETIRIFNQFSLGHID YNDQALKLISRKWDSSCVQIAQDFLQKIEKVDPEVTIKARGFLRLESPVLGLQPVYRY SGKAMMPLTYVSSTTSNIEYKELPREVTDYVCFLKQGRITTHGEAVPYVHLRRRQPAN GEYRYNAALTRTMMDMFELGSSSGLSFATKTILVTGAGPKSIGSGVVEGLLNGGAKVI VTTSRDISTSADFFANMYRKHGAHGSSLTVIPFNQGSRTDCEDLVKYIYGADSPVGGD VDYIVPFGAIPEKDNISNLGSMSELAHRVMLTNVLRIVGLVYQNKKDRRIDSRPTNVI IPLSFNKGGFGGDGLYPESKLGLESLFNRFYSGNWQQYITITGAVIGWVRETSLSQTL SLVGYAIEQIPGLNVCTFSRTEMAFNILTLMTPAITELAEDHPLYADLTGGAKEITNI KDIMSDSRSKFTTESNIRRALFAEKSREQNVLGGAASSVKASFISAPRVQRSNLNLQF PVLSSHADLTGNISGLEGMIDLARTPVVVGYSELGPWGNARTRWDVEHLGDFTLETYV EMAWILGLVKHYEGEINGQVYVGWVDSKTKEPVCEDNFKQLYGDHIKKHSGIRFIEPE LLNSYDPAKKEFLQEIVVEEDLPSFATSEASADAFKLRFGNKVSIEPVSDSEECRVTV HQGAHFMLPKSVPFDRAVAGLLPSGWDPLRYGIPEDIVQQVDPVTLYTLCCVSEALLS AGISDPYEFYNHIHVSELTNCIGTGAGAMLAGRGLYRDRFLDRPVQSDILSESFLNTT AAWVNMLLFSASGPIKTPVGACATAIESLEMGCEAIKTGKSKVAIVGGYDDFQEEASY EFAMMKATVSSEEELAKGRRPEEMSRPSTTTRSGFVESAGCGVQIVMNAELAIKMGLP IYAVVAYSQIAADQNGRSIPAPGQGILTAARERTGRHYSKLLDLSYRRKLFDEDIAAI DKWWQEKSQTAGLSETDLNEIQALARSKIRQAQYIWGNDIRSQDPLISPMRAALATWG LTVDDIQVTSMHGTSTNANDTNEAQVINEQMKHLGRRPGNPLLAVCQKSLTGHPKGAA GAWQMNGCMQMIQNGIVPGNRNADNIEIKLKQNTYIVYPKEAIQVREIKATMLTSFGF GQKGGLVIAVASRYLYSAVAAGTYEEYRQKATRRQRAANSVFISGVIKNSLVRLKDQA PWGKSDEKMRKVFLDPASIEF TSTA_061460 MSPIFNARIKLGPPICFHPRAKYSPLVLTTTSLDTIILHLLLFM EASFINIKKMPSNRAAYQPAQKAPSLEVRDAPYKPADADKIVIRNGAAAINPIDWLIQ ARGDIMFTHLAYPFVLGSDVSGEVVEVGKDVKRFKVGDRVVGFTRGSDPKINDPAEGG FQLYTVLRPNMTSHIPDNISYEEASVIPLGIATAAAGLFDKTELGLDLPSEPAKPFNG KTVLIWGGSTSVGVNAIQLAAAAGYEVFTTASPKNHEYLRRLGANHVFDYKSPTVAAE MIKAMKGKTAAGALSIGQGAAEKLMEILDHCQGNKFVALATFPVPQKEPQNLIFLRTV IFFVSWIISYKVKGMFKGIKSNMLNAGMITNSEVGRGIFVDYLPKALAAGTFTPAPKP EVVGTGLEYVQDAFNIQKKGVSASKIVVKL TSTA_061470 MCSPWPGVVLRLCQVHGAGHSAYYCAAGTQLERLVISLVDGNKT TNASDQDGLSLPVMTTLARSSGRPLSGDAANLQSPRHWGQIRQFNQETAYVPGTHWTV ILDEIKQLKNMLSHEDTSNSRSTSSASALPLDTTESNVLFGGHKSLSSQEILAAVPSR SIADRLVAGYFLDRPIVTIVLHGPTFLLEYDGFWEDPLGTPMTWIGLLFSVMCLVLSY RPEAVPMISDSQELARIYREKIVQCLYLGKYSNGTPYSVETLLLYLHIELLRASDTQS EPWTMLGVVVRLAYRMGYHRDPSHFPNIPPFEGEMRRRVWSMLVRLDIQMSAQVGLPR MIREDQADVAEPRNLLDEDLHRDMQELPASRPAAVLTEIQYSLLESRLLSIRGGITDW MEAVTNRRTNLALAKANISRLDQQLDNAYAALPETLRMRPMAKSLVDNAETILRRMVL FLHLQESKCSLYYRFATLLPSFGIEEESQINHNSAHSIYIEAALHIIRCQRTLYDETQ ISGRLCKDRWKVSALLRNPCLMATSLLCSEIGAVHSGPVFNSSTNQFPQQSDDSPTSP KSQLNHLAPEKRAAIVQALHDSCLVWTHLSETSHEAFKVVEAVRSVLNAMPNTDMTMS PTVDNGPILDMTMNNVTMVPSGSVAGSPSRMLDLLQQQSDGHDPNMRLSTATSQLPNL VAQHHGIGEGSQMHYDPLSQNQLNMVSKTFGRKILKADDSFQHPQRLEQMERMAKYFP KRFAFLL TSTA_061470 MCSPWPGVVLRLCQVHGAGHSAYYCAAGTQLERLVISLVDGNKT TNASDQDGLSLPVMTTLARSSGRPLSGDAANLQSPRHWGQIRQFNQETAYVPGTHWTV ILDEIKQLKNMLSHEDTSNSRSTSSASALPLDTTESNVLFGGHKSLSSQEILAAVPSR SIADRLVAGYFLDRPIVTIVLHGPTFLLEYDGFWEDPLGTPMTWIGLLFSVMCLVLSY RPEAVPMISDSQELARIYREKIVQCLYLGKYSNGTPYSVETLLLYLHIELLRASDTQS EPWTMLGVVVRLAYRMGYHRDPSHFPNIPPFEGEMRRRVWSMLVRLDIQMSAQVGLPR MIREDQADVAEPRNLLDEDLHRDMQELPASRPAAVLTEIQYSLLESRLLSIRGGITDW MEAVTNRRTNLALAKANISRLDQQLDNAYAALPETLRMRPMAKSLVDNAETILRRMVL FLHLQESKCSLYYRFATLLPSFGIEEESQINHNSAHSIYIEAALHIIRCQRTLYDETQ ISGRLCKDRWKVSALLRNPCLMATSLLCSEIGAVHSGPVFNSSTNQFPQQSDDSPTSP KSQLNHLAPEKRAAIVQALHDSCLVWTHLSETSHEAFKVVEAVRSVLNAMPNTDMTMS PTVDNGPILDMTMNNVTMVPSGSVAGSPSRSMYSASSCH TSTA_061470 MCSPWPGVVLRLCQVHGAGHSAYYCAAGTQLERLVISLVDGNKT TNASDQDGLSLPVMTTLARSSGRPLSGDAANLQSPRHWGQIRQFNQETAYVPGTHWTV ILDEIKQLKNMLSHEDTSNSRSTSSASALPLDTTESNVLFGGHKSLSSQEILAAVPSR SIADRLVAGYFLDRPIVTIVLHGPTFLLEYDGFWEDPLGTPMTWIGLLFSVMCLVLSY RPEAVPMISDSQELARIYREKIVQCLYLGKYSNGTPYSVETLLLYLHIELLRASDTQS EPWTMLGVVVRLAYRMGYHRDPSHFPNIPPFEGEMRRRVWSMLVRLDIQMSAQVGLPR MIREDQADVAEPRNLLDEDLHRDMQELPASRPAAVLTEIQYSLLESRLLSIRGGITDW MEAVTNRRTNLALAKANISRLDQQLDNAYAALPETLRMRPMAKSLVDNAETILRRMVL FLHLQESKCSLYYRFATLLPSFGIEEESQINHNSAHSIYIEAALHIIRCQRTLYDETQ ISGRLCKDRWKVSALLRNPCLMATSLLCSEIGAVHSGPVFNSSTNQFPQQSDDSPTSP KSQLNHLAPEKRAAIVQALHDSCLVWTHLSETSHEAFKVVEAVRSVLNAMPNTDMTMS PTVDNGPILDMTMNNVTMVPSGSVAGSPSRSMYSASSCH TSTA_061480 MGLITPQSPDSLSRFSLADDTNSNCHFCDISHCFHIPFSVNIGI MIVDQPSPVVDQTLHLPRILCLHGGGTNARIFRAQCRIIKRYLSTTFRLVFAEAPFSS DAGPDVTSVYQEFGPFKRWLRSKKSHPKIHPDNAVKAIDDSLKAAMDEDDRLGGRGEW VGLLGFSQGAKMCASLLFRQQVRAERLGLHCTGSNWRFAIILAGRGPLVSLDHHLLMT PAVVAASEASTTALPDECLRGSTEHVLYLPTIHVHGTQDAGLNEHRKLLSQYCEEGTA RLMEWEGNHRVPIKTKDAIALVQNIWDIAYETGILTKQLDVEAIVQAEAFQPANVFRP RTILA TSTA_061490 MISYSYLGVTHLTEAKFSKRERDTAIMNNGVPRKTKFPIVLGSG LYGSYERFEGARVKSVEDAKVLFDIFQSHGHTDIDTARIYSAGSSEEYLAAADWKARG LNVRDKLYPTKGKPLGHLGPAYSLEPEDVRKGLMDCLEALKTDKLDLFILYAPDRKTP LEDTLREVNALHQEGYFSRLGIANYMSWEVAKICELCDRYGWIKPVIYQSLYNVLSRV IEPELIPCLRAYGLALHTGQPLAGGFLTSRYTRHFSPTEHEAGSRFDPKCFHGKHHRF RYWNDTNFDALDMIREVAKKHNVTEVQCAMRWLSHHSMLDKDRGDAIIICGVTPEQLR EDLVALEEGPLPEEVVQVLNAAYLKVRGLIGPYNH TSTA_061500 MSSETTSQRPSSTTVVIDFGEEPSSPKPDPSHFDDIELEETTQP PTSPSLQASQKQPKQLDPESRRGPPQNHEWVTGPKLVFMMAGITLVAFLMLLDTSIIS TVHSSRLLMSIASQFHSLNDVGWYGSAYQLASASLQPLTGRFYSTCNTKWTFLSFFFI FEVGSLICAVATSSKMLIVGRAVAGMGGSGIQNGAFTIVAGSVPMPRRPALMGFLMGI SQLGMVAGPLVGGALTSYVSWRWCFYINLPVGGLVAVLLVFLDIPEQIPKPKGLKSVT SVLQNLDLVGFTLFAPAAIMFLLALQYGGSTYPWNSAIIIGLFCGAGVIFIIFMSWEY RVGDRAMIPYSIFTIRTVWASCVTYGFLASLLICGSYYLPLYFQAIKNASPMISGVDI LPSIISQMISAILSGQLLGRIGYYLPLSVLGTSLAAIGNGLISTFSITTDTGRWIGYQ IILGVGRGIGLQMPIIAVQNTLKLQQVPSAMAMIMFCQSFFSATFLSFADVIFNNSLR TIIPQEAPGVDAEAVIRAGATAFRSVVPSSELQSVLVAYATSVDHVFYLAVGASCAAF LTCWGMGWTDIRKKQGPPGASGGRGGQKPPAVSDRPKTDV TSTA_061510 MNYWQQRTIYLTYTNPIARIPGPEITKWTNWYTQYQLIKGTRPR WIHNLHQIYGPLVRIGPNEIDFSDVNAAREIHKVGAGYLKSGWYRKLGYQGSETLFST TNPRFHAERRRLLSGPISEQNLLKMEPIINQRIYMTIARIEEEISNMGAADIFKWWTF MATDVIGELSFGESFRMLELGKKTQYITDLQNVSRLMALRSIFPFIEIVSKILPLSVF KQATEAGARIRNYADQSIKRYKRIIDQNHIDPKPTLFTKLYNPGRDGKEGLTDQEIVR EAVGYIAAGSDTTAVTLTYLIFGVCINPEVQKKLVAEVANLPDNLQHKDVQDLPYLNA VLNETLRLYPATPSPLPRVTPPQGAELSGHHIPGGTIVSSQAFTLHRNEYAFPNAEKF QPERWFNPSREMKDAFLPFGGGSRVCIGMHLAKIEIRMGAALFFKKFPNAHMSTRNGM TLEEMNPLMYFMATPRGMRCLVEGN TSTA_061520 MATTWTQTSPGVYQANLDGAETVYRKTATVFAPLKREHWRLHTI CRFNFGPGYNGPTDKETALRQAWKALRYEFPGMTVAPDRSKKIYKTPDARTTKEWLEQ TFFVEPKRTADEIIAEAVPLQEVPSLYYLPATSEILFFISHWRIDAMGSWMVTDRLFH HLANPSKSGLPKVPPPNEIEKISPSLEDAAGSPKVSTPEMDKVAADHIAAHHRNAIQM GGMPYKGDAITLPGSPKREAVIFTRESTQKVVSACKARGITVTAAIHAALAETVFAMG LPESREHDYVTVMPVNLRNYLKTPYNGDGHALQTYAGSITPRVPRHATFAKRTSYLVE YYKTRYDPKLIECLRPIYRYHAEALFKPLPAPAAAPEGTPATSNGNKRPPPGLPSGIS INSLGVVEKYFAGKYGDSVDVATFTFGVSMITRQTMLYVWTWKGELTLSSEYNAAYHD PEEVREVMLSIKQVLEKELEIEQNRKREVEEQKEQP TSTA_061530 MAAESKCPAHQRVLRSNAAGGGTSNRDWWPDRLKLNVLRQHNPV SNPLGEEFDYAAAFNSLDYFALKKDLHDLMTDSQDWWPADFGHYGGLFIRMAWHSAGT YRVFDGRGGGGQGQQRFAPLNSWPDNVSLDKARRLLWPIKQKYGNKISWADLLLLAGN VALESMGFKTFGFAGGRADTWEVDESANWGGETTWLGNDVRYSGGNAGIDAHGVLSGQ EAAHKDIHNRDLDKPLAAAHMGLIYVNPEGPDGTPDPVAAAKDIRVTFGRMAMNDEET VALIAGGHTFGKTHGAGPADKVGPEPEAADIGQQGLGWSNSHGTGKGPDTTTSGIEVI WTKTPTKWSNNFFEYLFRYDWELTKSPAGANQWVAKDAEEIIPDAYDPDKKHKPRMLT TDLALRFDPVYEKISRRFLENPDQFADAFARAWFKLLHRDVGPRSLYLGPEVPSEVLP WQDPVPAVNHPLIGNEDIAALKQHILGTGVNPSNFISTAWASASTFRGSDKRGGANGA RIRLSPQREWAVNNQPWLGETLSVLEKLQKQFNDSAHSAGGKRVSIADLIVLAGVAAV EKAARDAGHSITVPFTPGRTDASQEETDVQSFSDMEPVADGFRNYGGSTPRVRAEEWL VDKAQLLTLTAPELTVLIGGLRVLNTNYDRSPHGVFTQRPGKLTNDFFVNLLDTSTAW KAVGGGNFSDLYEGTDRRTGGKKWTATRNDLVFGSNAELRAIAEVYGSSDSQEKFVKD FVAAWDKVMNLDRFDLKQNKKFNPGHRL TSTA_061540 MSTGLLLNEIMDLKNYTVTERPEICFIHNSQRSNSPSDLFKVAE MEKRSLTGIPKIDLEIQAFRKLEKRKIKVIDNPDPNPEQ TSTA_061550 MDEKKTTVILKTSDDWRKWMEQLRTKATKERVWEYVNPSTINTG DLEPAPTKPIEPIQPSKPDLSGDEDIAIKQFKLMNWQADMAAHDSQRRIYEHNKARYE KHIERMTNVKNYILDTVELGHQSEIRQMEDIKEIIRTLKRRFALTEQRENDLLLSRER SLLNPKRTQRPKEWAEKWRTLVLDMKLANFYELSDTRLARDFIQSTAEIAPKFHDIWS TRILEYDMGLDTSGLTEIPDINEIIGTFDKWVEANNKLESSHRRDIAMATLNGKSDQP EDNKKSQMRSKSKDKTCLCGQKHQFEDCPYVNPAKRPKDWESDISIEEKFKNLEKKDT PYANALKRVKKGLEKKKKERDSDTSKKTDKDSERSNFMYDSDEIACAVRLDTALLASN DDLTNKVIMDNGTTTHIFNDRRRLRNLGNESRWLLVGNTRIKMTGPGETIVYPTQPIS EKVKRKGIIVRDAWYVEGFHTNIVSQGIMEDHGIYYDTRKRLLEKNSQELCHIRREGN LYLIEWDENKPARSSLSVDFAFNSMEKNILKDPMNVWHKRFGHVSSRAIEKLQEATEG AIVMSVPSRNNEGFKIKCETCELTTAKRQISRVAMPLPTRPFQKIFVDIIVMNLARNF DRYILHAVDPLTKFHVLVTTTTKSVNFDLERLIEDIEHTFKCVIETIHVDGESSINGN DFKDYCKRKRKTLVTTVPDTPEQNGLSEKAGDIIATRARSMIIEANLPEGLWPEAARA AVHIMNRTPTKSLNYKTPYESVYGKKPYVGNLFLFGSKTYVRIDTKKSHKVAPRAQIG YLVGYEAHNIWLIWTTGPRGTKVIRARDVVFDETKRYDPEHPFAREIIRDGVTTITES LEISNLEDIDEDNQVFDSVDDDMRLQRWQPASIRFSPARGSNECSNQPDTETPVQIEA PITGIGNIEAQNDEHIYDPDVQMEDAQEIFQDIRTENRGDSNIVESGGVKEDEVVVRK EETDRSYTGESGITKNPEEQIPQIGNRDLPTPPLTNQSAPNTPLRNVTGSEKEITPPP TPSNNNNAPKAAEISADLSERNIVTGPRVRIASKRARSPATDNSESSKNVRKKQRAAF ARMKLFQESSLAKSFMAAMEKTDNLHESELPPEPKNWTGVLRHKYKKEFIEAAKTEFD TLKKKGTFKFVPKPYDKQVLPLTWVFKYKFDKFGKISKFKARICVRGDLQYDNNLETR AATLAARIFRMMISLAAVFDLEIAQYDAVNAFVNSNLDEEVYTEFPNGFKIPGMAIQL KKALYGLRRSPRLWQKEFTKTLMKTLGFEQIPDEECLLVKNGIFLLFFVDDILIFYDK ATKQAEFEKIEKTLMATYELRKMDKFEWFLNMRILRDREQRKIWICQDSYITKIAEKF GLTHGLVKTPISVDIKPFDGEATNQDIHHYQEMVGSVMYAAVMTRIDIAKAVNELAKH ATNPSPIHIQQIKRVIQYLFNTRFLAIEYSPLRKSESDVVVCASDASFGDNIDRTSSE GYLVQLYNGPVDWKATKQRYVTTSTTEAELRAATEAAKRLYIWKRVFEAIGFKPEHEL SIQCDNTQTIRLLTSPEPNFHTSLRHIDIYHHWLRQEIQSKRLHIQWVDTKRMVADGL TKLLKGQIFVNWRKHQGLVDIAHLLQE TSTA_061560 MEDIVYINTLIILVIVPSILARRRVEYLTSMVLRKKVHYCTPNE IRSLIQAKMLPGRKPNHLEPPDSRSLMNQSLKRTFGIQNAFTTSDEIYVVQALTLRAI LKVFFFFDKEDGLQDVEFKQLGELAQAINDAWIKSKSENNLLEFRDNRYLRDTLTNVM PRADILDPRKNPLNFILPGFETMWRVVLRGFIEVAYKTGKEHPSWRNALILYSQEPTA EVFNRAYPPDVVSANFLVKEMLRVYPPTKRIYRAWMDGRSAEPLKLAADIESCYLSTN IWGPTAEICDPLRWNNVTNEQQEAFLPFGSKPFECPAKPVFGPRLIGLLVGILIAAFP DGCKLISSDAGEVFGPERLSNKRCMGRSLKLSIPSNYDSTPEIDRK TSTA_061570 MDLLPQDLFHYSEIHRVLICTSCRYAVQPTAITRHLKDIHHLPS DKRQSFVTYWKNLPLKNPDEVEPPFPQDFPVSYLPLEKGWQCNSPGCYYLCASKKRME THWPAEHGRKGNPSRDWSPTLLQTFFRGNMVKYFTRDSRSLLAAVHVVHVKSQHTIVK DLGDSDNLQFKRKRIGDIQTKYNLDSIDSWILEYYWTSAYISLGNDHETEYIWSKVVP DLAYSHSFLLHGLLACTAQCMTHMNFPQQREELLLRACSHQNYALPAFRKAIDNPKKD SLLLVENDVDCSNGDSIVPPWLFFLRDGCVMLCDVWDTIEKGPVARLAAAWELEMYKG NRPLPYWSHFKNVAREASIWSKEEGRIYGDAALLLAKSFATMEYDQTDIFFNTWKILG SWPMRVESEFMTLLYRRHPGALILLGHYCIILRYMEGYWYFQGGAAKLMLSIMNVLEE SWHKFIREPVDLILGTGFLHE TSTA_061580 MPAKFLRSILITGGTSGLGYHCALNVARKYPDYQIIIASRSDPN SSANALNNALNQKNVRFLPLDLSSLAQWETNQFPPIHSLVFNAALQFPGDVQYSIDGF EKTFAISHIGHALLFSLLRPHLADMARVVIVSSGTHDPAQKSGMPDAYYSSAGELAHP TGQSLKNNGRQRYSTTKLVNVLYGYALHRRFEAINKKSGKHWTVAAFDPGFMPGTGLA RDYSALQRFLWLKVLPKILPLLRLLLTPNTHTVEHSGKMLARLVIESDEEIGSGAYYE GPKRIKSSDASYDQDKQECDGRSRPRNNFISKRSSIDEEN TSTA_061590 MAKPGLGSTASEEPSHGCEGNGARETTEGRDRGSRRLTFDTEGA ANVTVEENVQLPDDLTIDNWLENIRTAPKLMYQRVNDLLKAQRTELDRIYQDEIFRKD EELSQKNEAFQRLIDERDELQFAMNKLTLRFLNQEPGTGTVAASVTKKSPKIPDGKKL SDGKDPRYESWKIDVRAKLKANKDQYDTPEARRAYVKSMCEGEAAEHLLARLRDDAPD PFIDADDMIEHLDTIYLDANRVSNAKMDFRNLTQGKTRFQTFLSKFALLALDSGLSRS EWKEELYYKMNTEMKRAVMRESNDSTMSYEEFVKFCTMQANRLEQIAREEKTQRTADN RNNFNPTASGIPSTDKKSTAAKASNSNDDKKKKSLPWQDPELKPLMEQGLCFNCKQPG HRRNSCPFKKGTEIKEIETSTEQPKAQGDENTMTVSTRALADTGANGLAFMDRRFAML IANHLAVSIQPLGEELRVRGFDGKEAAPINEVLTVHLLIDGRRQLDLPFLLANMGKHD VILGRMWFAENKVLPDCHGRRLIWPDEPSLKDTLVTKHYLNAPKRILKRINADPKHQR DVERRDKLMEVEDRVLRVAVPSRGSKDSNWSPMSPLARGEITANQRMLSSVETVQRDP PVVYGRHHDVSLAASYDRMNRALREALKEPEELPPPKRVRQHEKIPPTMDIALINAVG FVRHVRDKDTETCMTSLHEIEKAIDGQLQLQNEEEKDTETEGIKKTLPEKYWEFIDVF LKSKSDELPPHRLYDHKIELTEEKQLGYSPIYRMSLEELEAAREYILENLHKGFIVPS NAPFASPILMAKKPGGGLRFCVDFRKLNSITRKDRYPLPLIDEVFERLSRAKVFTKLD IRQGFHRIRMHPDSEDLTTFRCRYGTYKYKVMPFGVTNGPATFQRLINDIFMDCLDKF LVAFVDDLLIYSDNELEHELHVRQVLQRLRNAGLQAAIHKCEFHVTKTRYLGFIVTEH GIEVDPSKIEAILRWGVPTTVFGIQSFLGFCNFYRRFIKDYSRIAKPLYRLTHNNVPF EWTKNCQEAFDKLKLCLSTAPVLSHYQPNLPTRVETDASDGVIAGILSQLHEEGLWHP VAYFSRTMTPSERNYDIHDKEMLAIVRALEEWRPELVGLQREDRFEILSDHRALEYFM TTKKLNARQARWCEFLTDYYFVLRFRPGKANVAADTLTRRDGAPKDEGYRERTILTED FLDSAVKADLGLVGEIDSSIDIMSRVVTANLTAEEAESYRQRAREGDDDWNLLGGRLF FRDRLFVPAVGDLQARLLDEIHQQPSTAHAGKGKMTRLVKERYYWPSWSQDVNRYVDN CMTCKRMNTRRDLPPGLLKPLPIPERPWQHISMDFMSYPKDKHGYDAVFVVVDRLGKR PVSIPCHKTVTAKEMARLFIRFVLPWAGLPDSIVSDRGPQFVSEFWQEVCRILGVTIK LSTADHAPTDGQTEIANQYLTQRLRPYVNHHQDDWSEWLPIMDYAAATLPQESTNLSP FMIERGYQPRTSFDWSGPAQPGRLTINQRDAQRWMTRFAEIWKYAKQQLQLAQERQQA QANRRRREVDFDVGDEVMVTTRNWNLNVPGRKLAMQWSGPYRVKEKVGHSYRLDLPPG INVHPVFSPDKLRLASRTKPLEGQLRDPSPPVEVNGEHEWEVDKVLDSKIRYRKLNYR VAWIGYDPDPQWYPARNFKNAPAKLREFHAAYPDKPGPPRRLQEWLDAADRDEFLPDE DDDDLV TSTA_061600 MSSNTAAWITEAKKAPLEVKEAPLWTPKENEVLVKNQAVAVNPI DGSVQQFAYLPLSYPSILGTDVAGEIIAVGPNVARWKIGDRIVGHGLVLWDFKPEGAA FQHYTILVANMAAEIPDHISFQDAVVLPLCLSTAATSLFHDDYMGLQLPTCPPQKTTG ETLVIWGGASCVGSNGYEVITTASPKNFDYVKNLGADQVFDYSSPTVADDIVDALKGK LLAGILDSIGFSATQFCLDIAHRSRGFKFVSTTKPRFPNPPEGVQIKHVRGDALVANS LGKSIYEDFLPKALKCGSYVAAPKPTVIGKGLESVQAGIDAVMKGVSATKIVITL TSTA_061610 MLLGLYEIIVGNELHPGYHNPHARGLAALLGIRSSSVGLIEAVH RSHPLLLRIGAKKHKETIFSTPASKKDSRSLDDLLLSLSSLVNRANALFQESSKDFSD TLNQLHNEAQELDRAFPMWKETRETSFHPSTIFYMEDNYDPSECPVGFWPGEVDTYFD LYSSAVWNIYRAARLFLVDLILKLSSALNDERSLDTETETANCLVDASHLDIVNFQIK RYLNRCLWWISKNMGIGQAAIFAQVCINPFTRTLRKMPHLLIHCIGV TSTA_061620 MTTTKKRISRHTRSLSEAIGEVFTRASKAYEMSINELTIAQKEL HDLRAAHEKEKQKRQKSKKQISHDQGITREEAQALLQGQIEASQAVTTAPAEPELPVS HPPKLRFEFNIAYAFGEKLSARLPITLSYFR TSTA_061630 MPPIRNKNEKNLAEQEGRMLLAISDLKNGKISSVYQAAIIYNIP RTTLYDRGITCQMGTRSRQTWITPRHSLVREMANYLLSQRGNQQVGEKWVYNLIQRRP EIESKFSRKYNYERAKCEDPKLIQEYFDRVREVISKYGILPEDIYNFDETGFAMGLCA TAKVITGSDRYARPKLLQPGNREWVTAIEAINSTGWALPSYIIFKQRNILG TSTA_061640 MIDGTPRSRRTVTRQNYALLDNPHRRIARSRSTSLIPETHRPIR ERSPTPSTSQETVTPSESASNLSARIPDIMKKTWGRKSRTGAQQRWSPCYEHFENIDL DKFYYKKEDKARRFAYQDKAPNKKYILTVICHWATEDFEDRQLVIYFGHLKGSHTGEN MAKEIQEVLQNFDLEQKLVAICGDNASNNPTLCRSLHKLLKQQFVDSVRKLNLLGEDC KLICFRGDESFVRCLVHVLNLIAKSMLKIFKVGSYQEAKRVIKQMSIDKRETFQTEEI PQSAISRLRLIVMWILASDQRVNKYMEYASVALDYNVDTQWNALLKMLEIAIRERSAI NCMCKEYKLLEPLVLSELEWIFLGNIYQVMLPLYKKTLLVSQTNPTIFQSAETY TSTA_061650 MARKGPGTDGPLQTALLESTSATTTRASEGQKIFSPIAAFLDKH RSQTTGLAPHLLRALTALSDDLALVAQQHFNAYISGISMIFILPALSPSTSSSLTPNP LSPLPPPLRPPSGLEQSTYTTITQYAPVKSTPTTHSKASTGFALCTPLNAGYAASQSI LKRAMLTAAQPWSLINVLPDTYTAINYIQLIP TSTA_061660 MGDRNSSDIMRPSAAFFCPQNKPPKPSYLDLIRRYLRNNATLQP FRAAILDSLFTTWKILGEANPGIAALTQGPRYIQHFHDWIAATESPLTSNELQWTEIM SGIISLPLLTVMQIVQYFQYLETRQINHEQFVQEIRIGGAQGYCGGLLPAAAIAASRN EEEVVKNACIALRLALAIGAYGELGDDENITGPTTVVLRTKYSEQAEEIVSRFPGTYV SAITDPETISIVGPVNVVEELRVYAEGQGIKSTKLHLRGKVHNPENEDLCKELSSLCQ KHADLLMLPTTASLQCSLRSNKTGKELSNGDVTAFLSEEVQESTLASKCEWYNLLNGI AEDLDKASKTEKEHTFALFGTGRKNCVPTTPFEEKNIRISKLDVMIYVEKLEIPRDGR TLNQYPENAIAIVGAGCRLPGANSIDELWEILSSGASRVEKLRASRFDLSTISRGTVG PDAKEVNKHELYGNFIDDVESFDSNFFGISPREAMYMDPQQRLLLETAYEALDGSGYL RNHRREDFDNVGCFIGASYTEYLENTSSYNPTAYTATGTIRAFQSGRISYHFGWSGPS EVIDTACSASLVAVNRACKAIQSGECPMALAGGVNIITGVNNYFDLGKAGFLSATGQC KPFDEAADGYCRADGVGLVALKSLRQAMADENDVLGVIMGVGTNQGGLSPAITVPYYR AQIRLFKNVLQQSGLKSEQISYIEAHGTGTQVGDPIEISSVREVFGNPERTEFVNLGS LKANVGHSETAAGIGSLMKVLAMLKNGKIPPLAGFKSLNPKIPALEPDYLCIPTELQD WDVSFRVACVNSYGAAGSNSALICGEAPKVASMSSVSSTDQKVLEVSHLQYPILLSGA NNPSLKANAAKLASYIRSYSNAAQLSISDIAFTLYHRRKHHKVQWTGLAHDLESLCQS LDKIEQGIEVPVSPKAVVLAFSGQSKQTIGLNPSWYVSFPRLRYYIDLCNDTIMRLGY SAIFPAVFATDPVEDVVALQCGTFAVQYACAKCWIDAKLDVKAAVGHSFGELTAMAVT GVLSLEDALNLVATRASLMQRKWGAERGTMLAVEANLDTTRQIISAVSESIEIACYNG QKSHVLVGTETDIGQVERFVATDNKYKGTRCTRVKTSHGFHSVFTEPLLQDLDEVAQR LRFEGPSFPLESCIEKPICEGDQLIQPSRIVQHTRTPVYFGAAVSRLEERLGSCIWLE AGSDSPIIPMIRRATQDPSKHTFLGLRTKDFARPIDVISQASMTLWQEGISASCFEGF YSSMSEGITLDIFKHIWLPPYQFQRTRHWLQYMDAVTEERRAFDEKLHSISRVGSLTN QTPMPSQKLVTARSRDSTSWSSLEFVIHSETSRFTDIVSAHAVRDQPLCPASMYMECV VMAAQMAEPSISVKSLSFQNLSFQGALGINYNRDVSLLLEGDGEYLAWNFTVGSTVKD SKGRLTTHAKGKFSVTSHIDFQVYERMLADRMNEILVHPQSERLMAGRAYTLFSRVVN YAETLRGISEITILNNRHAVAEVCRPKVSVSSSESTAVSVCDTVTLDTFIQVVGLLIN SSETCPVDEVFIATGIDNIIMQDCDFTNLQHDTWKVYAMATTRSESHVAGDMFVFTKD GKLIFTGSGVQFSRFPISKLEKVLEGIGMNNGSKSPISNNNIGRGLPSPPASPVHVHM NEDFKTIKDRHGLRVSTTVDTDEQTLVGQYSVSRRPSALKSAMVKNDSRFGTLGLDSM SKLEFVNQLRAQLGDEISPTQDLSQVADLYNKLFAHNSTTNTKSVHIDHVHEIELDVP LSPTAVNSPATAGDSQSKLRIRQRILELITENSGESVTNIKDEVSLQDIGIDSLSVIE LKESFEDVFGLQFGDWDFGLHLTVRELLDYVVVSGNV TSTA_061670 MSWTKISSNHYRRPMGENERLIKFIGDRAHSAGREQWSVSTTAT FIPSDNRSAKEWATPTLRDAWKLLRFRHPSIASTPSVDGDYLEYIVPKEDDLEDWIKE TYIVVKTKNSEDVIAEMKPGPFMTLHFLPHNWEIVLHTSHWRTDGYGAFQLLNALLEA VKIVIDDGLPALPWGEEVTRLVPSVETALALPDCGIPATTASAIKYANTQVFLKDSVG VTYNGESMTRPGGTRAVRMQLSTEKTQSIQRATNERKIDMYAAIHAALAKVNLTYAKP TTSVTLENEKEYASTIRLSLRPLLISPFTENPSVAAGLYTGGYIFKVTLNDHFLKIAR QYQDQYENGASSEFVQSRRQFAAMALSGLQKGLLLSNPPPSNIDISFVVGVDEMVKSG FDTKQGRLEVSRVGLGVETLTRQPCLFFWLFRGQLDLSLVYNEAYQDAEQVHKMLNGV VEVLEHHGSTYIGIIT TSTA_061680 MAPTNRAAWTTAEKAYPLEVKEAEYTHPVDNEIVVKVHAIALNP VDFARQAMGSRLFPWTKYPTVFGTDVAGEVVEIGSQAAGKYKIGDRVVGLGNGLESNR PSDGAFQEYVVLRGDLTAHIPDNVSYEKAAVIPLGVATAASGLFTDDQLKLDLPTSPA KPTKNEWVLVWSGASSVGSNAIQLAVAAGYHVITTCSPKNFDFVKSLGAEYAFDYNRP TVVSDIVEIFKGKKSAGAYAIGFTAAKPVSDIISQITEGSKHVAASNMPPSDLPEGVT SKMVFGSALKNSPLGAHIFNDFVVKGLVNRNYRTAPEPQIVGQGLESIQQGLDTLKAG GLSATKLVVTL TSTA_061690 MSWKSSADGTWRKELGGVEKIYRFQSTIFRGTGREHWGLYTVCQ VKLAAILNSDDIIAALCNAWKALRFEFPALTLIVDGYEAVYTDVVEEDGIGVQSAVED WAKKTFLVEPLLTMEELLADYKLHDLPELIWLPATSQIVLRVSHWRVDGIGTCMLLNR LFNLISTKAADVIPGDWSIEKDLAKISPSLEDAAGSPMASNQEIENTAREISTTFREK ALQSIGLPYNGDRTTPPGQAAVQATTFTTETSKALVAECKARKISVTAAIHAALAAAT FELTSAKGVDEYVTIMAVSYRPYLKEPYNSEAHACQTYVSSIAPTVSKNASFDQQTTT LTELFKNWNCEKMSHALRELYHGASQALLSPPPCSAGPLPNPPSGITHSNLGVIDNFI KCRYYDNKESELLEKTPIVEVNRFRFGVSILTRQMLLYPWTFRGQLNLSINYNDAYYD ADTPHKVLDLVQQKLEEELHLKLERLCILEKNIDYNTIDTIGS TSTA_061700 MAFFLSWLSSLFVSAISRIALSARFYFPFITLFSSRRREASLSQ KDVSLENGFFTAPAIVEKHQDWQDLDRYQDVLGQLPMLQVYAHILYLFPLPENVRRKA VLSDLSRAVASVRKAVPWMGAKVINEGRSETSSGLYKVTEDLAGDETCPSYEELKKRK APISLLDARKFTSVPGFPIRFEDSEKDPSRVLRLNATFIKGGLVIDFLIHHNTADAGG HFGSIKMIAMAMRGEKFPARLLEQANLDRRNLFPLLGPHEPMMDHSSHVRTPITSAAP LVTSDPNSAKYHVIRFSAEKLNMLKDIASENLDRDVPFISTDDALSAHCWKHFTLARA HKFGPQTKSRFARAVDGRSALGISKDYMGDVIHNVSTFLTFEQLTTWPLSRIASHLRR HLNAKNTAYDIRSFATFIANTPDKSTITYGGQFNPDTDVGCSSVRGLGKVLFPSFGIL GQPEFVRRPKPGVAFPGLLVFFPGSPSGDCDVWVCLAEDEIKTLTENDREWRKWAEYI G TSTA_061710 MRSIAQEAKRLLVFGPQALSARGSDFRSLQLTVKQLSWIADTIT DLPSIWKDFVKEFPKYGVILGEDLLRHLIEWTKTGDLTLSANEHLPNIILSPLVVITH ITEYLKYLDTATAKSSYGSSIETLGFCIGFLSALAVSISKDTKDIERYGANAIRLAMI IGGIVDVQDVLDTEGPSKSLATAWNSAKAAEELKEILSRFPEAYVSVSYDDQRATITT AFKSTDALQARLRDAGIVANEIGLFGRFHHQQYAEDVDPIINFISSRPLLLLPDASNL IYQTRSNSGTGLITSGKLHAHALRTILLQHSNWYPTFKSITESQVKHQRIAVIVFGPE PCVPPSILREIKQNVIHASDIQPVSVEPPSALLPQQFKDDDIAVVGMSLKVAGADDTD EFWDLLCSGKSQHREVPRNRIKFDNEWREVDPKRKYYGNFLNDHDVFDQKFFKKSARE AASTDPQQRILLHVAYQALEQAGYFTSPDQDKKIGCFIGECAADYADNVACHQPNAFT ATGNLKSFIAGKVSHYFGWTGTGLTLDTACSSSLVAVHLACKSILSGECNAALAGGVN MMNSALWFQNLAAASFLSPTGQCKPFDANADGYCRGEAVGVVFLKKMSAAIANGDQII GTISSTGVSQNQNCTPIFVPNAPSLSSLFENVIQNAQIDPKKISIVEAHGTGTQVGDP AEYDSIRRVLGGANLRSKPLSLGSVKGLVGHTEASSGVVSLIKTLLMIQNKTIPPQAS YKSPNPHLNATPDDKMQIITKQTTWEDDYRAALINNYGASGSNASAVITEAPRLPKSA TSSHELPVVDYPFHIFGKDDRAIRDYCAKLVKSLETKGVESLSIANLSFNICRQSNPT LDRALVFTSRSVKQLIEKLDAFQTGDINFAGTVVQPKSRSVVLCFGGQISTYVGLNRE VYENVKVLATYLDQCDYVCRSLGCESIYPGIFQRSPIDDIVKLQAMLFSIQYACGRSW IDSGIQPVAIVGHSFGELTSLCISGVLSLEDTLKMIVGRATIIKESWGSEKGTMMAIE ADQREVEKLLAETLAPCEEAGQRAPTIACLNGPRSFTIAGSSRAIDIASHTISKNPAY SKFRYKKLNVTNAFHSTLVEPLMSDLEQVGQTLQFNSPSIHLERATEFYSERLGPKYV ADHMRNPVFFNHAVQRISKKYPDAIFVEAGSNSTITNMASRALGSPSGSHFQPIHITT DNGFQLLVNSTVSLWKEGLIASFWAHSRLQTYEYSPMILPAYQFEKSRHWVEPVPPPT SSKQDLYGHIEKPKGLWSFFDYKDEKKRAARFRINTETERYKELVSGHIIAQTAPICP ATVEVDIAIEALISLYPDFSSSGLQPRICNVDNQSPICIDTSRSVWLDVDSQNVNPNS WSWQIISDAETSKASTVHVTGQINFVSVDNAEWQLEFSRYERLIGHKRCVGLLNSDDA DDIIQGRNIYRSFGEVVDYSAPYRGLQKLVGKGNESAGRVVKKFSGESWLDALLSDCF SQVGGIWVNCMTEKDPGDMYIATGFEKWMRSPDVTGDYKRPSSWDVFAYHQELPSEHS YLTDMFIFDSTNGKLTEVILGVHYHKVAKATMSKILARLSGLPIAPASSAAKPSADAG ERSSPAESDTSNSGSKDEKGKSGETQDIVTRTKGLLAEISGMGVEEISNNAQLADIGI DSLMGMELARELEGLFKCILPSDELMNVTDFAGLVQIIKSTLGIGDDEEGSDQEGSDS SGSDSSSTFTPSTPATTSLSDVEDNGNGNPTSKVQSNVYTGDLQLPSSTIIEAFEESR KLTDDFIVNYRCAGYMDTILPRQTQLCVALTVEAFEQLGCPIRSAKAGDQLIRISHDP QHQRLTNYLYKMLEEEARLIDTDGSKITRTAIAPPSKSSDAILDQLLRDFPDHEWANK LTHFAGSRLADVLKGDCDGIKLIFGSDEGRRLVTGLYGDSLLNKLANVQMQDIISRVA SKIPTDQGPLKILELGAGTGGTTKGMVALLAKLGMPVEYTFTDLSGSFVAAARKTFKE YPFMKYKVHDIEKPPVAELVGSQHIIIASNAMHATHNLEISTANVRKALRPDGFLMML EMTSPVFWVDLIFGLFEGWWLFDDGREHAIAHQTIWERIMRSAGYGHIDWTDGNSPEL NIQRVIIALASGPQYGRQPVAPLPKPENQLQPAAGRKAAVDEYIRKYTEGFCLGQPIK GATSPSSYEKCVLITGATGSLGSHLLAHVTALPNVKTVVCLNRRSGNDANARQQKALE DRGILLDAASQSKLQVFQATTSKPMLGLENTDYEELLGKVTHIVHNAWPMTGKRPLSG LESQFQVMRNLIDFARDISARRPEGAKVTLQLISSIAVVGHYPLWTGNVVVPEERMTL ESVLPNGYGDAKFVCERMLDETLHKFPDQFRVMSVRPGQIAGSKVTGYWNAMEHLSFL FKSSQTLNVLPDFDGDLCWTPVDDVAGTCSDLLISERQPYPVYHIDNPVRQPWREMIP LLAELLDIPSNNIVPFKEWVRRVRAFPGSVEWDNPAALLIDFLDDNFLRMSCGGLLLG TTKSCEHSPTLAAVGPVSVEVTQKYIQSWKNSGFLH TSTA_061720 MLNYDPDLNMPRILCLHGGGTNARIFRSQCRVLLSHLRGKFRLV FAEAPLQCDAGPDVLSVYKEWGPFKSWIPSALGCPEVDNNTAIELFNEALDRAMDGDD DLGATGPWVGLLGFSQGAKMCASLLKLDFRFGILLAGRAPLVALDLKLASIYAAEENE KLGKGSGAMLVDPNGQPVYGNNIWPRRSGSISQSNIRLHIPTVHIHGLRDSGLPLHRE LLHDCCQGNTTRLMEWDGDHRVPIKTKDIKELVRHILVIASGTGVAH TSTA_061730 MCDKQYPTCKNCIRNGFLREDYSNTGSRCIHKDGQKIRAMSPPH TARVLQSKRPHPTFINPPSDFMLNYNPNDRKSLQTSNPKTLTAVPFSMGPIPATTTSM LVHQDNNSRVQWIAFDTFDFSRDRVKTEYTIRGDMETVHIDNLAADFKEEAAFILEPG SRTDTEAAA TSTA_061740 MASTEKLQGSERDQSKIETNNSDDIPATTDTYSESELRPESEKA PSAPYRDAEAANKHDKLRPVPVPRLKRRGLFGQITLIPEVENPRAYARSTKWILTCTI SLATLIAPMGTSIFYPALHQVETDLHTSATGTNLSLAFYLLAMAIFPLWWSNWAEAFG RRTIYLISFVLGVVFAILAAISNSIGMLIAVRLLSGGSSASVQAVGVASISDLWEPRE RGRAMGIFFLGPQLGPFLAPIIGGALADRWGWRSTMWFAVILAAAMLLMLSIILPETS LRKETTWRTRVNERIGNHDSFLRIILLLRILLIDPFRALLVLRYPAMFLPMFYIGIVT IYFYILNISIQNTFASPPYNFSTLIVGLLYIPSSLGYICGSIFGGRWMDYVMQREARK ANRCDETGRPKVYPEERMKENAWAGALLPVVALLWYGWTADKGIYWLCPMIANFFTGF GMIIIISVGITMISEFMPGDPRGLAASVFIRNVLGCVGTVVAAPMLDELGNGWTFTLW ALVALASASVIVAITKFGPQWRESMVASGAVQG TSTA_061750 MEFHFLNTDFPHFTTMDWSRLSQIHNILSKFNELTLFVSEKKPQ ISLAVPIYYELHDLLDEASKRKERFLDLDENISLAVKEGMKKYKKYYTFMDASDTYYT ALILDPRVKGDLLLDKLEDEATRREILKALRDNIHRDYSVTTMESSLLSK TSTA_061760 MGRQIDFQTRNGFVRVPILKISCGMMRPANKTLQTLPPGQPAFQ REASTSAMGDDCFDEAQMSDGGDSLRSLFSDFTDDRLGDADHNSVNGLVEPLKHLPNA SVSHAATNNDANRSELSGFEEPQSVAGNVPFAISLRRHDSPVHNDARLLLSSTPVPSL CNFTEIYSDSTTPAKPNYNDLAVVDPVGIMHIEAGTQTDHPDITQYDRGTQTDQNVDT TDSVELNHQHLPELVPTLNACKHHPVAHSVTGGTRGSHLLPIHGFFTVHGSPNQLAYT LTFFESIAEPSSESTYGRTSSLARNDAVEQLSAVHDLAVNNADDDKDFPVSDSPLNAD AGSRSHSRDRSSTQHHYVSKGRKGERFLSEDDALLVQLKGEGQSWKTIATFFPGRSEN TLQNRYYTKLKRTLPVNGRAQKRARTASYQYLDDEDGAEQLQYELNRIAGDRTVAA TSTA_061770 MAQYQHYIPQFLLRNFSHPYNPPKKEGSKKRGSFRAEKGKRRGE KVLNVVDLTSDEPQLLESPVPRWFGQEDMYKDVADLIESKKDVEQELSKLESRTAVIL QKVKKAHEDSEAKIWLTRVERNILRKFLFIMKYRGPGFFEKYSSKDLKTYESEDKHLL RDYMAAKGMTRPRDVWLHNLRTILDLDMDAEGNWITKLPELMFPADAGMFIFQTQASY MAFCTPAEIHDEFILTDQCYNLFEGPTNDTFCARTGKYLGNTYMCYHDFGPVSPKLII VLRSWVLPEPLEDMNPEYQTIRQRIHNAAVAQFPNPDNINFILSDLPVAKADNSYTHV VNGRRELALGASGIPRHKFKHQFTQAAKEEFEALKRKGTFEFVPKPQDKQILPLTWVF KYKFDKYGKLTTFKARICVRGDLQQPNDLEKRAATLAARNFRMMMAIAAIFDLEIVQY DAMNAFVNSILDEEVYTYFPDGFKQDGQVIKLRRALYGLRRSPRLWQKELTATLLNLG FTQIPDEECLFIKNGVVLLFYVDDILLFYDKATKQATFKEIEKGLMRKYELRKMKKFE WFLNIRITRDCAQRKIWLCQDSQITKMASKFGINATNNVKTPISGNIEASTEQATNEE IHAYQELVESALYVAVMTRVDVAKAVNELAKHTKNPSKAHFLQIRRVIQYLYNTRSLA IEYSPPENANMDAFVCASDASFGDNPDRTSSEGYLVQLYGGPIDWRATKQRLVTTSTT EAELRAATEAAKRLQVWKRVFRSIGFKPDRELSIQCDNK TSTA_061780 MGPKRGKKGTPWPEPRFADDPDIREYVAAAIKDLEALKKHQEAL EIGMPRELSTSVVDAFLYLARRVKNTPTNEQLAQRLAKVELHVEKTQKEQHEPPGGGN MPPNIPRDTYREKFSKYRNLQCTSTNSVKLNTQRYLYSGNIDIDFNSAHSSIYILRGL LDTIYA TSTA_061790 MSSSSDDTSIVVLVTRHQDKLTRLFEQTNIDWPVVEKQLLDWGD LFLAGKRMKLIISFNYIEDSQTLAASRKATDKRGPSSATQGMLQDCEREIDAEEEATG EPVAWKQVDELVSLVKYVQSGKTLKSHEDVPGMIREQIYRAERRRHEGQKGHSRPSSE STYPPINITNVIPAQSSQYDMSSTSPSKNLNQSANTPRLGITGARDAAVQA TSTA_061800 MDHIIFEDPSQRVSRAKKVCSNPSVPLARRDGAIEQAPGQINPH FSHTGIFDIQFNSNEPVSLYDMFSLCGDDKSGNEDQSNGSSKALSLQPNTQQERSTPV PHKPEDDIILIDPAILEGEKINEDKLSKNDAPDCSGSPAYPISPKLLTLHGHAQNIEG LGHASASFNVLQTVVEAPQFSSKRPSSNAINAEPPCKQTASLYERLEFFSWLFQYGLS ESLSAASAELPFTQAKTADKSPESLCLQRRRLPPTPNRRRATSIRSRKGKAWEPDEIE LLIKLKEDGLSWSVITKRFQKRFPRRSRGSIQVYWSTNLKYLH TSTA_061810 MADEQSAEMLKLPPESYTVGWICAIPCELTAARELLDACHEQLE SQAKYDENNYILGRMGKHNVAIACLPEYGTNRAAIAAKSMQSTFPNLRFGVLVGVGGG VPNAENDIRLGDIAVSLPSEQGGGVMQYDLGRREVDGFRRRGALNKPPVLLRTAISNL RAMRKLPQEISSLVNETFGGEEDLEEEWTYPSNSKDILYEATHKHIDKNPDCNACARD LTGVVTRDPRRSTNPRIHYGNIGSGNSVIKDARERDFLAKRDNILCFEMEAAGLMDDF PCVVIRGICDYADSHKNKKWQPYAAAIAAAYAKKLLSVISPQAVDKLSPIGTEILGEL KGMNERLEKALNQKESYHREQITRALTEDQHRCLQAFKTSRYEQYKDINPNRVEGTCE WVLKSSEYRLWWESSSNDLLWISADPGCGKSVLAKSLIDEFFPVSAPTVSICYFFFKD NEEQNNLATALCAILHQLFTLQPHLLRYALPFWEKNREKIQQEVEDLWHIFIATMSDP ALVNMICVFDALDECQRSGQKQLFGKLQQYYAKHRLSPPRNWLKFLMTSRPYDEIEED FQPIIESFSQIHLRGEEENDQIHDEISLVVKIRVSELGKQLKLKAVAKARLEKALLEM EHRTYLWLYLAIDDIRTMFKDSLRPDEESIPLIPKSVNEAYRKILDRVTPGQEATVKT ILHIIVGARRPLTIMEMAMALGVATATHARTAEEARLEPEGLREKIRRLCGLFVFIKD SRIYLIHQTAREFLINQVPGSLSHKWYLQPSDTEIRLAQICIQYLLMDDLVGHEQEAN LESLLEYSAQNWPDHFRRVPSLMPELVASVIQLYDYVTGRLTLWFPIFWETVMPYRER PRMSALHLSAFNGHDVVIHKLITDGKSVINERDSTGATALQWASRRGHSKSVQQLLEK GADVNAQGGLYGNALYAAASKGYVEIVQRLLEKGADVNAQGGRYGNALYAASSGGYVE IVQRLLEKGAEVNAQGGFYGNALYAASSGGHVEIVQRLLEKGAEVNAQGGFYGNALQA ASSGGHVEIVQRLLEKGAEVNAQGGFYGNALQAASSGGHVEIVQRLLEKGAEVNAQGG FYGNALQAASSGGHVEIVQRLLEKGAEVNAQGGFYGNALQAASSGGHVEIVQRLLEKG AEVNAQGGRYGNALYAAASKGYVDIVQLLLDKGADVNMSDGPHPYGEHGNHALIDYQF QLMLLERQNKRRLVMARQEQLSSVQRENALKEAEVNAQGGFYVNALYAASSRGYVEIV QRLLEKGADVNAQGRLYGNAQGKLYGNALQAASAEGHVEIVQRLLENGAEVNAQGRIY GNAQGKLYGNALQAASAEGHVEIVQKLLEKGADVNAQSGFYGNALYAASLKGHIEIVQ RLLEKGADVSAQDGFHGNALYAASEGGHVDVVQVLQKYV TSTA_061820 MTAVYQAIELKLWKKDILNLEKRNNTGYNGGTAVRKLRTRLQIE SQHMKTEIDIIEFDPGHRDWLYEQPEFCEMSDDVLDRLSFLRTSDTSDWDKSYHFLHL TFQEFFAAQYFVRCWRSESSKPLTCLKAGFVRENYIAKISPERFLREEKYSGRYDVFW RFVTGLLYSTGREQLYSFLKQMDEEPRDLLGPAHQRLLMHCFSEVPRSEDSGMAKDLR EKMELGCIQWSYYEDMSLGTMRLCSETEFPEHVLCKLLEDSLQKKTSRRILEALAHRW HISRNLMDRITIFMDDGDSHVRRAAINALGRQSSWSPEILEAVMRRLDDEDTDVRRAA ISALGRQSPWSPEILEAVMRRLSDRDLHVRYAVIDAVGRQSPWSPEIVEAVIHRFNLD EGLHMGDVAIDALSTQSPWSPEILEAIMRRLDDEEIYVRQAAIDALSTQSPWSPETLE AVMRRLDDEDSDIRHTAIDALSRQSPWSPKILEAVMRRLDNKESDVRDAIIYALGTQS PWSPEILKAVMRQLDDEVSDVRRAAISALGKQSPWSSEILKAVMHRLDNDGDSYVASK IEALLWKHDEFLFLFFDLNANATSALCKIWARRSIHKIFACYVRDGSAYFETSDGRSS IPLSKEKIKLLKRTLRAATDNNGPDATFGL TSTA_061830 MSSTHKDYTIAWICALPLEVAAARLMLDRTHSPLPNPSTDPNAY ELGELDGHYIAITCLPAGVYGTVAAANVVSRMRSTFPRLQYGLIVGIGGGVPGKNNDI RLGDVVVSKPVGQHGGTVTGGKLEPTGALNKPPKTLLTHMSQLEAKMVTGSEGGLKKI TEEVLKQNPDMEERFSPPEQLMDLLFESSYHHAAGKDTCEKCDKEQLVKRKPRETRTP YIHYGLIASGNQMMKDSGTRDRLALQHGILCFEMEAAGLMDELPTLVI TSTA_061840 MPEPPARTLLHQAAQGGRGSCIPLLIEHGANVNCIDEMGMTPLH HASEDAIHRLVEHGADVNATSLNGMTPLHCATQNGNLDTIQLLIDHGANVNAKDEIGM TPLNYAIYHDFSDTLELRRMTIEVIRGGGIQRDSLRPEAPSLKGSNTLSTFHCIHCGH GLTWKGKVVPAAKTSLAHKLNLERFSFIVIVYSPKAITYPSNTPGLPRVHS TSTA_061850 MLAALSSAKEKLSEYYAMTDHVSGDLYAIGTILAPQNKLEFFST SEWEPEWRVRYRKSLEDHEQPPMTRYHVILGADILTTPASGSGVERLFNSARNICHYR RGSLKPHTIKELMLFMCTTKFNLESEELSLMDEYLTTQEIQRAREERDAQRALEAQNT KYDFDPISDSEEAESEDESLVLPQSPQASQARSQHSLGKRPAREEEPLIELDGNEEDE VPLPYNRHLVTVSSTQRRSSGRQPKRSKRDEDFVYKTP TSTA_061860 MTFLIRRRALKTKSKDKGLSVYGATCSPVNIVSPTQTLPPSDSA TTLAGALDTPPSNTSRCKQLNVPGPQDAAVKNYSEWHASKVDDYDLKNDYRKAYQVIF NRPDPNFFIEREVKIGTAHRFVDVDDIMEWAERY TSTA_061870 MKWADISKSFPGRKPDSCRVHYHHHIDQQGFDKEMKTNFAKAYE RLKEETWTPIAKELGISWEVVEALHWKMSKDGIARRASAVPSKRRGRNRTSSPVPSCS PECPGDQAASPRPDVQLPPFLHQHPSQLHGQVQLPSFKEVIDGFLAAEDGSDLAS TSTA_061880 MLRCAHRELHKPYIFRLPALSHGSSIDESSLRALEMSLDKIKSK AIPLEWDLFLAYPQTGDELRKIQQHCKAKLLGDFPFSDYVEDEYQWQASLCCSLEAYR NTGKEWLDWMELTLKAAKSFELQAAHKIADVSPQHFAATKFRCLKPLWVVWGKKTEEG TAEETKELNSFLEDHGISVADAPHILPLRYGLFEVWFEENRRAELRKLFSKTYTDFDE DSPLVRFQGYCESLRIVLDAYKKQSNFWGEVDVIVKRRMDELGALTSRAKI TSTA_061890 MDTEILHIRERAPAFHKGGISDSEYLQVASTSLSIVDFLIASKD TLPAGIKQALQKAFSQGSKTGKTGTARNREQALARVKEVRKDDITVPEFLSDALPCYA REGSIFWSGGEKTSTAQSKHTILGDIYFADRRKAIASSIRRAFHSIALRYVIQQILEI RGIQHFTTEVKKWCVDTILSEGIDTESKEISKWFSTEYEMGAVYESYTQKLGYGIIFY LFALPASTYEKYLNRTDDVSFVVHHYLSQGFEVEESSNAAATSIMGYVKDRFCRQMSI FRKETLNLSRPQMPNKGKRKRGYSSPSRPANTAPQTVTSQQPNDEAHASQQEPNSWAT FTCQQVELSTDKTPIQQPGLSTPLPLSPRNPPITHRPGTHVSHSPLHSHIATAYEITG ASNSVLTGNQPHAENGGVHFTKVAAKDLGCFHHQNFPSMNTISTNSQRHEQPPPALGA SQSQQNPGFGNLYLTEPEPPYSLPSFNSISAIPTGSPVSNEPSNIVSILLPSFSQITG IPSP TSTA_061900 MVVKFKWIWLIDDTGAYGGDRVGGPGKQVIFTKFLRQRPHPSVQ THTLLSRSPIMSGSGILAQWQARRAVLVVPMGGVQFLSFQQQPAIGTRDLGSCSVVLI ASARGAILAHIPPRPLQPSPDPFAGDNNARNMMNQVATLYQQNSGYFSSADSVVVCAW YNGAVALPDQMEIMSSSLRQLGLNPTIKTYHVPGNRSLPGQGTVIAIKSANQPRPQIY VEDRLI TSTA_061910 MDVLPPPNYPPVHPSEYPQIPTRTPPATLEIARACCVSGDIQEF RAILDSQDPSSENFDIHDFHAIMVEAINRADVQFIKELLDHGLPMDPLYALEAVSTKR KDALEVFLQNGWNINQPISELEPPVLGYAVADEGMVGWLLDHGANPNQQCVIDFTPLS RAVESAPISVIQLMLRRGGDARKGQLLHHAIARNSDSIAVLNLLIEKGADINSTMYND YPSWALFFFMGLGTALHKAAELGKVDIVRYLISKGADLDIKDANGRTALECAKMSNHS EVIQALEKDNGLSIQDA TSTA_061920 MTLPLATQDECSMHLESNGKSCKRLHSESYSLDEPSRHSAKKRK LNPPASSQSSSAFWDNLSRVWLTRNALRELDRRNFQRTISEEEDLLVRRPANDFLRYC SPQDLRKIKRYARRGGPDLCKIRGYRKPKESSVPGMNSRTSSYRIQKRVSDSRASSIS QVSSTSRSTSRSTRPSRTETTRSSGPYDRDFQQHLIDYGIYPHAYDYPDGRRPPKPSN WSEIKERLARPRASLSPSKFTEEAHESFVRSDANAFKEKQVTESVISMIEGNSGDVRC VSGGVTFGNFAPLTDGTLKPGNPDRYYGARPEQLRRDIRSELSGRIKPSTQDDLPILP NFLLAAKGPDGSLAVAGRQASYDGALGARAMHTLQTYKQEEPKFDNNAYTLTNIYHGG TLKMFTSHPSKTTNSDRPEYYMTQLRSFALTDSIDTFREGATWFRNGRDWAKEQRENA IRRANDHFTSNVVQSTLNTSFSTICDTSGDESTESVSRESHFSFIQTSMTESFHTKEF TEKSRRDGKTGP TSTA_061930 MESPNVLAYLFPIADPEDVHSYQYALHTVKRSENSLRYVPEQRK VNIELQTHSRESTVSLDDHIEDSTPNYLFKPGLQLTFNPGPRAGPGYFLGTDENSCDI VLPKLARISRRHCFFTFDEKRRLILQDCSQNGTIVTYNGKGGEKRRHFKWILSGDRVP EGTENFLLEFHNHLKFRIVVPKHETCPDLYAGRVDRFLQEVTANIDLPIDRLGIQSAS TTANQSGELTPGRDPIYIPQGTLGKGAFSVVSRVWDVSTGKLYALKKFRNIIGLDWRK EASIMEQISHVSQGYPLVLVWHFILTPLQEHIIEFIRVVKTPSPGLILECAPFGNLGN QEQISEYEVVTILCQSLSALTYLHRKGIVHRDIKPENILVQSRNPLHIKLADFGLSKA AGTPRTVCGTETYAAPEVFEGDYTNACDIWSLGVIIFEYVYGLPKRKGDRGRRWCQRI LQALDDWEDGLTEILSTAMLIMNPKERLPADECYVKAVWLMTQPHSLVASSHPSSQTS GDIKSKSNACLRNSNASTFIEPQNTILRTMQPGTQSQHLTKILSPLWVDLLAGRHAEG NVQEAGAPSKRSLNGDANEESLQLQRTAKRPAIRIYSDELNQKNYKFRCRLINGQIVR MQLRDRKICFTSYAQAMRRGPLWIREHLGRIDCGKKEEYTSRGFKGTLVDFEIMKSYV QSWGIQDSLDWRCLIGDRHDRQPLLEQQGILYLPIFMDDVMIPFNTLSQTVNITSIFT AVTGSRSQLGTLLKKVGIQYTSVHGGRLVQGTYIAQTDVCKFGEHIGVDLRGLVRQAE TWKSTTSASDSNIRHEGDPLSQEAEIWKSTTSASAHISHEGDCLSEEAETWKSTTSAS AHISHEGDCLSEEAETWKSTTSASAHISHEGDSPSQEAETWKSAASASADISHEGARL SQLSQEIGDDISSSCNGITYPSDLDLWNLLS TSTA_061940 MATSGRKLYACEVAAYSDMELDRFLEEIRDSGAICVDIEDPENL PQLFYQRLRDRWLRAPDAVKAESRPLNLDQVTARLLEKQDNKLSPRSSTSSTEAGDEE NEYNEDLKHEKESYHILVNDGCRPWYSIDHFDDVTKNPEEHRDKLWLWRSYDDQWRVF GSQLGRWQVFRKYQRYAREQKVENERTLCIAAQNYTTWEEFTERCPAFYLNDPERERF GFPEYIKALEDRLIRHGFTRKFQLDDDPHRQDRLTTWIEYLGYEYGEYDRCVNLMKRL QRQYDESWKMLIDSEILRPEETEEHINDITSAFQRQHEESQTEESVESALSAIMSAQQ AITNSRQSLAAAQSKLATAIQNRSFIKKRNDLISRFKRNTENYRIAKHEAGRYDTLLQ WMLQQVPLIELEISQSKAIEKIPDCRGQKKSLKRGPDEVSEHPKRQKCDNDLRSWVPT TTSSASQERGRERGGERSKRTHLHHTFIDESSSTLTSEPCGSTTARGERGERLRRSAR IHQQTRLDSGKKPHIANGSNKLRQTRNKQQYYSDRQIAAHQGSRSRRRCQFKKLPVSQ RHSRDGNPNEAGILLPKRRYGNQSQLVNPLRRSERLRNRADRCCEG TSTA_061950 MPQTLPLLRGKELTYSAAREEEVNIVHQLGYHAKQIRFFAYLRD RRDWMRAIVSHHLCLPSVACQVATEENWLHGSYNVCVPVTISCWNKKRVLIRFPLPYR IGEAFRPGNGDEKIRCEAGTYAWLQENCPDVPIPKLYGFALSTGETFSRLENFPIVPR YLQIFRRHALSLLGYPIPSNYVRHPVPNHITLDGIGSTGYLLIEFIEEAQGTMLSDTW TDGKDDVKLRGNLFRSLSRILLSMSRISLPRIGSFAIDNSGFLHLANRPLSIEIQELE NENIPTDIPRDYTYSTADSYIIDLLSIHDSRLKHQPNALKDLGDFAYQLSALSAMRTV FTSFFHRDFRRGPFSFTLTDLHQSNIFVDAQWNITCLVDLEWACSRPIEMLRPPYWLT NKGVDQLVWAEYNSIRMEFMEILAGEETKIRASTRPVDSRNVLPYSLTEVMNRTWATG TFWYTLALSSPSGLFSIFHKQIRPLFCPEIYGQEFNLIMPFFWEKDIGNIVRLKTSHK KKYDEDLRRAFEDS TSTA_061960 MAETSERITPTILFEQPEFDNNAYTITAIYHGGTLKIFTSHPLK PANSDRPEYYMTQLRSFALTDGIDTFREGATWFRNGQYWAKEQRENAIRRANNRFASN VIQSTLNASFSTVCETSGHESIESFSRKSHFSFNQTSMTESFHTKEFTEKPKRDGKNG P TSTA_061970 MSQGYFGRQDSGVSGFISPDEFSQESDSIRDHFASTPNPQPEQQ STSPGAAPLYDPLDQQTHLSHAHTAELETGTASTLQPTCGDGSQDLSSNKRRTVPEYS SGQPSKRVCARTTTNENVNTPLIPTLCSYFLTAPVDDRLEFLSWLFDGALSQCMSGFP TTPTSSLNKARIKGTGRRGRQTRQLVPTASESVPSSAKGRKGMSWEPEEIDLLVQLRR VEKLPWSDVVKRLTERFPGRTAGSIQENAEHLPIIMNTFFFYEPNGSANRFSNKERFR PRPNPQAHVPVNRDVIGISESTSDNNCDMMHAANKTLQALPPEPHTPTRDQFYFCEVV DDDAQPRREHAQNATEVIVIEENDDDCR TSTA_061980 MAPPLARSTVQLICDMLRSDERVSRIARTAECSRKAVYYIRSNL ERFGNAKAPPNRPRPQRIITQTMLKALCDHLLEKPTLYLDEMAVFLSDEFDKDVAIST ISRTLASIGWSKKVVRQKAKERNQDLRDEYVNYISDFSADQLVFVDESGCDKRAGFRR TGWSPLGVAPIQICKFHRDRRYQILPAYAHDDATMFEDFIEQLLKHCGKWPEPKSVLV MDNASFHHSDRVKEMCSREGVKLVYLPPYSPDLNPIEEFFAELKAFIRQNWQVYEKDP DQGFDHFLEWCVEIVGARDQSAKGHFRHAGLVIEEYALENCSV TSTA_061990 NCSYLLTQLQTSYSWLATHSKLHKLQEDDKCECGAIEIVIHVLI DCPKLRVPRQELRRKIGTAFNDISNMLGGRFQGKKGKENDMQGGSIIGITEISKPRVI GPLNTTPGTKAITGRDEALNSS TSTA_062000 MQVQLQINFSSHFHQNLNTNESERSPEPAKYHGWAGACPGYDQK PTRKNQLRAPMNRLIFSVISWYLWTSQHVINVRDLDEQAGHLCVWHQSKYANFIVTDS IKFCLRMPIMVSFFLVSFRVQQTLQCSRILLSGSSNTGKWPKSKSILVMDNAFFHHSD RIEEMCLRAGVKLVYSICHHTPRIRTPLKSFFAEFKAFVRRNWQVHVKDPHQESFLEW NNEVEKLLAQVMTTQKQVLGPEHPSTLEAEELQVQVMETRKQVLGPRHSVPRPTLKRL QRGTSYRTSEMPNTSEPERIPIMITTLRLLQLNIWKSRAGMEALINDHQS TSTA_062010 MSMRERKRQNIHSAFRSASRRTMLAAGTQLRLLENFIKTVKQPP YMKLERQTLGVIRYEKESGKVILVNICAQLWPEYLQLRKTSKHKKTNLAGCHGEGLKI ATMVMSWNGYAVKIYSNSYTWSFCFQGLTDSQMHCLITPATVLPLAQVNVAQDTNCFV PCICRDVSIIIERGHDGSRVSLEEFRRWLTVSLDIRGFSYPASIVETDHGNLILDPDY QGKVYLKGLYLPSPSSDARSYKLGYNFADGKVNCDRHRELIWKFLLKESNGRQFFYCD RFGPHSFDTIKEGLKKEPISLPDILWNMLRANSLLRTANEEQFYSFKKAKICKVPETL FAKNVERGLKASMALWKVIEKIEFVESRNVNVEMVFDPGENSLKVHNQWLDYGAMHTK YRCRSIVPKDSFVCDHIVEELVRGVMGVIFKKFIARD TSTA_062020 MAIGKLMVDREGYGYAWLLMLTVVCLFVLKNILYSSCLAATIME TLQRSSPVATTSEGIQSLAPRADHEQSPVGSLPSTIPLDESSSRDTESVADFIMLDDD DDDDRAVASSPRPRRANLKKPDYSYSDYERMIKDVTNPSRKRRRQDKDNIIPVDSILV RILIFRLLILWVDTF TSTA_062030 MGRQPPRHLSSKRKREQVLSPSSPPASKKTRYTMPSRSYSDEPI PSIETKRDSQQGITLKEDEPGCELPSSPVFIDEGVLVGFWYADASGNGRRRVYCLVKE DFEFAYQEGKEFILIRDIDFDPLPKFGDWRGDDTEDENAMSPKRIKEWAQKMWKLRNK AENQRMTLTDLIHRELRHCHSNKYFQRQPNPWGSPLPGYSTHGPSITVITADNGSTSG AGMSTRGTDSFGRCAANGRQNGVRVSRRATGRAARRSIT TSTA_062040 MQLSNPYPIRSPLNHNNDEDQRDYSYTNPLDASGSNFPCKGYQN DAFKSVATYVAGSQYDMSLTGTATHGGGSCQLSLSYDTGKTFTVIQSLEGGYAPSGKA LFAWSWFNKIGNREMYMNCAQVTIEGDLSKVLNARDTIASHTATPFSSRPPMFIANVK GPGGCTTIEGQEVNFPFPGPDVVGRVTGTGYTCIGSS TSTA_062050 MDNSDITHVSTSPARGNENHAVNERSSVSPANTSQAVALQNAAA STRNVTHEDSMLAASPLESKNSSVYVDRADKDGAKRKRDVDELADTSTSKRVVDETGI LDDTDSSDESISFNDPGLRSPKYYRRLEEKFDAELARRAALSPEARKKEDFKNSRRYL KDHITPRLLVDRHGLPVDLDYYPRFILQLAPDSRNGATCRLDHCTHRIKPGDYRIALT PGMSDPRGPDYYHVRCFEHLLHMSSPHYAARFDADMEKHTPDRGARSILEEYISRWRL RLAPAQNQENPSSSIGKNKVGESEKYSPEAEAEAAEQQEEPVTIDDIRELADIIAGRA PPIQTESARVRARCIFSAAKAAVKDKWKIADEFYEQVRKAKTERIRQRAGIYYRPSIN EDNSRNENEGCSWNITQYLLSETDPKYTKRHALSQALKGWKRDVKLATAETNKLNDAG RQAQANLPIEKIKRYV TSTA_062060 MGTKEPLTEPEVPQFSDYAPTRSQDSAPGSETPNPHDGPYRGTR RQTARSSPNRETGGRSQGSQATVMEDTMHPARAAALNEDSFSKLLKEAREAYSTDLQD FRVDHSLYESQNKKIKTIHQWMKDSVNSSYFQTCLAVTHDWVKGYNNLKAQVGQGTRE TQKSIRSEYNKLMGSFKPGHKDLNGWITKWEETMIKGQKKSMAFALDTEEWSSRFLEV IRPLDEAWATAFELTVETKLDEGTLTFKELANAFRRLISRIKRERSNSRVSKGSFYTR ERETERERKDQPREHSQGRDRSRGRSSTPIKKHFTLSSYFSNVPNLNARINEYNQWFG RIAIFILLAINLCQSHQLISSGEGFATYYYDIKQPDACHISLSNMNSIPMQCGPSADD VNSNYIVAINLTQLNLDMTFYCGKQVIIPINDKISTLLLFVGDGCKRSGGGSPSSDTW NSEAASRLDLSYSVLDELADGAACGKGYIRITWEIRDVLLYDFNSNVSRSQQGLVTSE TSALVTPNSAASTVCFEGSWQCSRNSEVLEQCFDQIWIPHATCPADHGIKERCHFPFN FADKCEYEEELSSSSSDGVSTSESVPTPTTGTVITASTTFATSILNSVSKGITRTTPG LSGWATSALSVTRQSLNSTKIVIITETTTVCVSSSSL TSTA_062070 MDSYADYTSQLPRFKFDNFAMDTIGEDSESNVEIDLEFTDADSE SYYSETESDKAFVVPDGNLDHVDPTYEPGETESSSGSDWSDGSDIQNFEILDKREVDG PRSKYTEYRVCLWVEERHLNTLLSLERLAAEGFDSVTHLMDPTTIYASTAGGLVFGTL FKHLTYPFLLQRHRLAGPWTRAAVLMYLLYAAINLFCISFRAVSSSEIARRAGNLSLS NLIISFGSGSFDCCTDVFGVPRRVCQQAHRATAWMVTILLAIHITASLPANPQYFRVD TQDSLSGWIGAVLVAGIVLVSMPYLRHHAYEVSFRTHQTLAVALLYAIWQHLTSTAQL PRLYVIMALVLPTLGLVYDSTMTLFRNGIIPFRGRTAMVLSHGRSGAANRGAGILRIA LPRPTKVEPGQHVGVWMPTVSFWSWAQIHPYMVVSWEHGPVDELDVFIQAHRGFSGKL LQHAPVGSMRAVSFPGLIIGPHGISKNLNRYERVLAITSDSGIASVVSHVKRLISSHA NPESRARSVHLVWQLDQAGRFITSTGVRN TSTA_062080 METMRDDSSTRLQTAKKERFSTVAEKQRELREELRWHNWENVFY GTCHDLFEDVMLATIDVADDLLSQSQIAMLRRLFYPEEQPVDRTFLYDAAQRFNATLQ TLHTKEGLAKQKWKQRWQLEPDRIKPWV TSTA_062090 MTSIAKGERKGKADRTQQEQIRKRRHNLFKRLKEFNDRYDISIW LTMEMPSGRIYTFNTNPERRIPTEEEITANKLPVVRKTPADYASSLPTIRDPPPFMLQ RPLYDSNP TSTA_062100 MGGPPKYNASSSYIGRTGGETHTSTYISGAKTASTGSHSPGLVS TFTTKPWIKEGTTLSSSTGGFFSATTDDTKIGTSTTYYTSSSTSFVLGITAFPSGPSA FRPPATSDANPETNLPPTAYNRPSRTSYPPTVTITTSATSFSLIVTPSEVPDVVGGEP QHPDWTSAADIQSTRWPLWLSSSTASTLLIVSTEQSAYQATISPGSGSQYRSPSSTTL SVTSFYTPSTGEMTIQPDSSSWVSPPRHRSGLETGGSSSAGIPSTTMSFTTLNREYPG VNEAFLTSAIKSPSYSLGVITAPSTQYIVPISTTTSHSASKSTSLHPYISETSTIFIS KEYVSGSLPLRSGTDWSQLALTTTLSPGQNTVTYNTGATDFRPTQTTQYSASPTSSTG KIQSIDDSNQSSVVLKRSAEISAGAVGAALCLCIGIFAWAIPKRLNRNTVIMSSRTRF PFYWVVGGLFQSLIFANVHTHPALKHRRWLGSTNSSVSGSEVHLTMGRSGSSLIGLVE IGTPGQSVYVQFDTAFDETVIQPVSQVNPVIGTGVGYDYSSSTSFIPITGINDSLFDV STGVVLTETANETFNIGGRLYTDVTFGLLQDFSSYPSGQAMPFNGAAGVIGLSRDSEL MYDLKNHLGDTEWVCALDSYRLGKNGTWSFGNIRHKGDIAWTEASPPGQFGSSWWSIN VTAISAAGRKRLPLKWTAVVASEEQSLVWPQSLLDWYFEAIPSSIWSPQDRTYRYPCN QTLPDFVFSIGNGTFTIPGTYLPYQKDKNGKNCITIITGDNSTRSAILGYPFGAWWAE LGVLVLDYENKRVGFANKLTPLPAFRTEGLPSIDDF TSTA_062110 MATMLSYCLLCTLFSIVARGAKYDRSTAVGNQSDFSSVVPLTIS NWGLTGQIEIGTPAQHLDVRFDLETSAVILNSTGHYNSSASTSFTNMDQSLWMELDDG SQSELWLGNETFDIGGTNFGNVPFWQLGEFSPPRYGDSLAFNGSAGVFGLDFGSWQGL EYPSFMWTVKKYLPGEWVYTIDLYRTWNNGTCRFGSIDSSEHHGTIGWANIGWATELF QAVNLTIISAGNRTSPENVWLAFLSNDLSLVWPRDVLDWYFNGTGATWSSNDQTYRYP CNITLPDVTFGFGNGTFRIPGSYLPYQRDANSKAFDRPGNNVVLPFFYYYG TSTA_062120 MAQTLDPKEDIPHPNLPLPSTSALGLTRTYAREWTSAHAFREFY QNWKDAIIQSYNLKSMDFNPDLADKKDEILITIHRHSPTGLVEDCMGYIRFKKETGTV EFTNFGAILARNCLEMGYSTKTEDARLAGRYGEGLKVAALVMRRNGYHVRICSNSRFW NFGFRGKSKNEFYYQLNRASESRVHTLRTAFNNAHESGTARKMSPNIWEDVSVTVGQI RGHGQPVDLDTFANWLEVTIDLHHPCKLVCTLYGKLILDPAYAGQLYVKGLRVTLADC RETQYRYGYDFLTPDMSPNRDRRLEVHPEREAKAVAAIWEAAIRQDQDVIHIYTDLLK LDNSADVAFADTNITEATAQKVWACLGADARKDGLFYFPAGYEADNVQLIRHELKYEP IPLPYSLWKLLRKYGLAKLPFEEIYHQFQQSREVEPPATLFAQETSRAFGALFGRHAP DKETRIVYVHFADNLPNMAFSKEHNILYVHEKWLDSGRAHGTSPCEMSEVGVILTDMF CDHIVDDMFKSAILAVRRPIDPPYNFMVKDVQSLQSLVRNCKGKTREMAFNVQVAAAK STNSLRVTWSDSYSRAFAETFRSLVEYIVVLHGAACILEAQRLWYRENSITCDCPRQI VQLAPNGMENVAVFESLDDKPRFPMVARFRQSSAEPSLWALPPPPISPINTSAEGILD ETTLDGRENDVESDEGSEAYSPVLEVPQIMHHDSERLHELSEDTVAMVVTYEQYAERT AVCKAQSLAGVRAISQVRSGQYLRVPTDSSLFWILYVHEVLYEDHCARLLVTKYSSYS SLLQSDGIPEAVQQKELLLHFNDFHHMGKMEDADWVTTDDLPDLSSTEISVHHGTEKP GKLNGNSHTNYFCRFAIRNTDDLTNCSLLPLRTQVPNMTGKYKVPVLSALPAPMVIDF SPRDIGLSVGFKRAGCHIERGVGESDIHPLWQTQNPDARIAKDVDEGLHAPEQILNPR MSSIAIISSAIPTKPGDSSANSLASAMDTCRRVAQHTNRTDFILLAIHYASWVECASS SLKDVISCLLEAQYSVHVRLHTVSAGLPEDSPALFVLAAPFCTNPQYLDDILGDINHA RMGAEVSFNTHDPVAIAATKGFDDSIAGALNPSNYEQVIQGVSPIFAHQIATAAGYFF VPFNSYISSAIYVFFTLPGHLAMDKETLRTIRSIGRQRAMNSYYKTCYVLLRLLEKLI ISAEKELLSLSKSESDDDFCYDAAAKVAIRIHKATREFKKLKADAMSAWLTLQRASRT ESMVDS TSTA_062130 MGKSKDYYKILHVNPDLDQDGIKKQYRHLAKQYHPDKHPGEEAV YNSKFQDLQEAYDILSNEKKRKEYDRNRWTTYASNTPSSAGQRTGWNRQEGFPKRDPL FQQRGNAGPNYGNPGTSRSSFQQNSASTKHPNWKGFNAGSFRSPNMFPRESSTKHMNA KRQGFNPGTASGDEPMASGTSSYSKARRSDHISEIFESVPEARPQSQGGERTHLSRED ISRDNLGAGSVDPPLTKDGEGKEAKTRQTDSTTPEMPPNTNPTAPVPSSHYRRPYVST EDEENATSETVTSDAPFQSSDFQLPQPPILNVEQNDLHKNLEEITLYIMKWSDFQREV IQKLSETRVTHIEAVARNIKVSSDIMKRQREAYEEYTRIRKHWNIAQEQYQKCILRLT EIYRSLRTL TSTA_062140 MAQQQDSILALERAQRYLGTAYVKLSQITQFDDCQPALQPNSHR VDTLEKKFRRAAVEDHKNENHLNAAVRTQGLDRALQVQQLSRGAFKEQSPNPINRARL EFKDGELLFLGGRSRVLAARNIPPWDRWWPVDLYHEDISEGLRRQLRDNHVIQAPISD GEVFLTRHRYKQAGDFGSMTRWGAYLSETKEKNLSRVADTEFGIVLSSLCMIPALWQD GVYLGVLHKMRSLHANSEYVHYLVEIQKCFGEALEQSPAHMARLTASDIETLQCTAPG SSEDDRKTLEGLVQGGKLLKAFDGNEQRVIYDHLCSRPFMIPTLFTFFQDIHIINQCA PMIAKLIDKSRLVPESGSREPDIKASMRYMFERKGGTEYSDNFEVEVSENRTRAVTAT SGLRFELAYRQLWLYAIRHFLRPDHRKHRKIRFFIDEEGETKMLAAFASKLHFSSNSI THLLSCGMPQSRTRVILDEGAGTRYAKESERYGIPHPASWQLDRQLLYLDRIYSSPEC HDGITTFFVFQSVFYHFFGYPDGFTVARMTSEQQDPPPSAESTLNENHSEPEPTRQTN PNFSDQGATNIMSENDWPSNNPPPSAEATADEAFDRQDTPMDFSEEGTTYTSTDQTYT SQATDSPSESAPTNPVAEDQNYTGTLSQRMIGELLERISQLKNESERLRKEKLSKHLE SADSLLDTIVRVSQASLSEGYENLEELENRTKMLKLSLSARIEGLDDCSGACLTMTAE LEGMKRELEQPLATTNQEAIHALSQIHHLYERLVETMVVRYDFYRTQVDNDISFEQQI GEELLRDAVAVQHLYILNTSRGFDELMKSITKERSTIELIMKFAEEEGDDSATTLNDI ATAWDTANEKWKKLEAELRGIKIPPVNISQCWELFHWQEQWGPVSDELRDTVTTSQDR FDTTGKYLFGKIRHNLQLQLKKQAERVESMTPKTADVQDLAERVQRNQTLLGAVDTWQ DLQQCQARVKETETLLAQNASIHDLGMDTEPEAPRLAELDRIDAHVKYVVACFVDEDW EKDQTYGKSYKEALINYEKSSQADDKAGRLREAAIELERSVYNGVQRYLAVHRDSRKN REFLETCMKIVGWASRRQTHIADTIDLDVRPGSNEYNDHELWSKQFRAIRNQISTPRR SSRLQQLLNIKVWVRVESGRCRPLMIEVDRKDLKVLGEQFTKGGFTLAVLRVTGSSCR LVPINFSVANQVVSGKEFVGLFACQGSIKWYDQYGAYLEQSENFSRVTWGNGDVPPWE KVDSRFDFNLTEAAGLAEGSDSSEL TSTA_062150 MEPLIFTDTEIQLGTERSRKYQGIAKIDLQQIRFHPDSSRAIEP KNITRLCEIFRKEGCRRYDIQNHITAVVSAEALQTALNTARKTPDDLLTAAPNNFPHL HFSTGGVLCLHGLHRVRAGAEVLPEGDRWWSVDLYLDDISVELRTALIEEYSNERQPS DGEIYRKIRQYQQEHNARFQKRWWARLSPNKAKRLRQLQKNIDISSAFDGLLPISGIW GGMSIGKLAKVMALDSDEEIVNYLTCHVKQFWAALVSPDAAHPDLAAMMKIDSHTVKM LELMAPGVSQEDARSVHGWVVSGEVLGAFSATERSHMWERLQQFDGLIPSLHTFFRDV DFLQPCAHAVKRLFPLSKYSPTLRSAMSHHYMRPALNEDECLVQTSESRVERRGHTGA NHVELAYRQVWLYAMRHYPNMAKDPEGDDLLAKPASEKADETVVYDMAVLARKLGFTS PSIQDIIDRSPDRQIAVHCLLKARKPDSYEYSADVFEQSVRRIVECFDAATPRNYTPH SHSLVSFPATRRARCGLPSTQSQINDSRFLFIDRLHSTASPTEIVSTLYVRRCVYFAF LGKFSLSLQPPAGSSTRQADPGTPFSPLFVPNDEPKGDLGPGVTGRTSDTEMEDRGHP EEETHQPVEQRLPDEAHLSRETDALAGEGERLQQETDANQARSEAEVPRQSCSQQEAE GGAADQDRLRREEGERTIAAERERERGRKEEEEKAAAEAAERKRLQEEAIAAEQARSQ REAEERAADQDRLRREEEQRAIAAERERERERGRKEAEEKAAAEAAERKRLQEEAIAA EQARSQREAEERAADQDRLRREEEQRAIAAEVAERKRMQEEAIAAEQARSQREAEERA ADQDRLRREEEQRAIAAERERERGRKESEEKAAAEAAKRKQMQEEAIAAEQARSQREA EERAADQDRLRREEEERAIAAERERGRKEAEEKAAAEAAKRKRMQEEAIAAEQARSQR EAEERAADKHRLRKEEERAIAAERERERGRKESEEKAAAEAAEQEWQRQELISAEEER IAQERAITLAQLEQDDEAVSLPPDAGPVEEPRSNTNADLPIDLPSFITRLREGLRQDH SISLEPNETATPTSAEDQYQNAMKARVVEDPTNGLSLSQTITAEEEQVLAPFEPLTVD QDRLERRGESLTRASRSGNERLENTTRAGEEDNENCTPSRGAESEEVCLNRSNEEPDL AATMLPGYSNFPSEGASETRGRDQGAELASLGKLTDKLLPQATVTRRKPRPKGILKNV KSAKARSGSAIKPRESSFHPSFEMPDLPAWPGNNQSYEEDIGADTMSTLGQVEPPVST ISSLWRERRSQLANKAAQTSFQRNNGVSARQQHISIPHNNRGVGLNRTMSFRVWNGRQ WKEIERITLDRSDPFRVKRVADRYERHEHAEFYDKNMHKIAAAKCDQAAQAEGTNCIF MLFKDGPLERPITRAMATAAEGVSELDRHRKRAH TSTA_062160 MSPNTKFGLASGVDQIAPIQFPSRRKYTSISNGQGGVLETMEMN PYLPPRVKHHSPWEDYRKEFGCELAGDAVAVIHKREPSKVFLLRCYPQSIGDQMLQWF TQLRHPNIMSAKECFSAENSFYALCEDLPLTLEHLIVCRAYPTEAQLALILKQVILSS LFQGTINHEEDIGRPLLPDGGKPGPPVVNCLQHSNGARRGREDGWGCSLEDIRSRNQN RDQRETLNALATVTMELMEKHTRKSDAPGVNDLDRWPADSNAVKFLATIESARNVDEL SKTRVVKVTVPTESFFNFFSPPKPPADEDDAISDIEERLELDYQDPVEQSLVQ TSTA_062170 MSETRRRSRFQHLVFNNATDNTRDPGALVDEEAPKTTGKISLPQ HGRLSSSGKPRRVVKTETTTPKKVSFTQPGILSSSGKPKGVFKTKTSKLTRTNTKHRI ESPWDNYVFVDEIVKDDDTVIKVRKSVSGQMFAFRTFPNDEKFEVIEQQFKLLDHHNV LSAQEFFRHGQRAFIRSSVMDISFDRIVMCRQYPSSRVLASMIGQTMDGLHYLVSNGV ICDSLTCSKLMANKDGCVKIVAFSDAKIQEDIRASTTNLIMRLTSVMVLLMQKFDNEA GETSIQDNARWSPQDEACRFLQDLHSTKDFHTIYRHRFIDPKERDGDGFKDLIVKASD TTLDLHVRLDQREEFQPI TSTA_062180 MGWLKNIKKLKIKDMDPFNKNSQVRIELANLDKNVLQPVWDQIL DPLLLDPISKAYIEYVEAQAMGKYKPLPLWLKLVLKVCYAEGISTLQDDNAITFGNNI HFPRSINLDRYDEGARGDIHWILHELQHVVQYKKLGGLTAFINKYLVQAGIGSLEDLS KLGKLWMFFVNKVHASMPIEVEAESKANETIDKVMKARDELSLGRQIPLGHNLGSRII LQNATMYEGDYLQSENSLYRFILQGDGNVVLYAMHNEVLWQSFTDGMGVPPYRIMAQD DGNVVQYGGYNTPEHALWRTGTNGLGGEVLMLQDDGNLVLYASGNKAVWESSTFRSHF QNFILQTGTVLHETDNTFDFIMTDWNGDGRPDLIAIKKSSTGTNSTEVHILSGASNFQ SFILQTGTVPHETDNTFAFIMTDWNSDGQPDLVAIKKSQTGTNSTEVHILSGASSFQN YILQTGTMLHETDNTFDFIMTDWNSDGQPDLVAIKKSQTGTNSTEVHILSGATNFQGF FLHTGTGLHNTDATFSFSMTRWSGHDRPDLVAIKKSQTGTNSTEIHVLTG TSTA_062190 MSHSYKIAYTIQFPVRFAISNYNVMEPFVGYLVASILCLCVVAL IAFRNRNRLMPVAYQILRILQNTWKYLLYTNIVGRHRYLGPWTVAEVLLHLLYISANV LGVVYPPISVRGLTSRAGAVALVNIGFLCCSLNVDFVAEIFGLTPMKWRHLHRAVAWM TGTLTTVHVISAVHQGSTQLGRASYVGSILGFVLILTILIASANPLKWWSGLLASNFH ALFALVAITAVYTHIVVETGVSWKIFILPGIFTVTLSRDVWYFLYRNGMLSGKGWTRV SFIRLGDNTTQLCLCPGRPVHVYPGQYIKLWVPFSKHSSWWYFRQYHVQSWEPTAQQQ LKLYDLPSGFISKSQKKMLYLQESAPHRAFFTGPYGLHHEYTQYETILIIIYDYGILS VYSQLQYIYYCIENRTSKARRLRLVWQWDIIPKTEYSFPEIKALEEKIHAILNEFSQK VGLDPIVLKYHLGIYTPPEKPEDDRILEGDLAQKRRHTDRESLESAVREYICDEKSDP ENIQLRKAALRHKINTVEMQIAPLENKIEQYAAQIRILDSVTSWINTKLKQKIENSPI ECSVYIAQGLETYSSGRAKTIAGTAGVRSIFEMEVQNHRRRIAADAPGTRRNFLLMVS GPPSVQDLVRNLTGENLGDVDLKEFQYIPAHRF TSTA_062200 MERTNQRLEDGQVIRASKRRKLDEHRDSEQGSSWASTSTQLSHD DYTIGWICALPLEFAAAKAMLDQVHPELPNMGSDNNSYVLGQICDHNIVIACLPSGAY GTTAAVTVASMMVLSFSQIRFALMVGIGGGVPSQSADIRLGDVVVGTPSHDSGGVVQY SFDKSLQDGIFQRGTIGRPPALLLTALSSLRSSHMLGDSRIPEYLSEIVARHPSLSTE FTHRGQQQDQLFEAQYEHVGGEATCDLCDPSWLVARPPRDRKDPVIHYGLIASSNIVI KSGEFRDKLASQRGILCFEMGAAGVMDRLPCLVIRGICDYTDSHKNKQWQGYAAATAA AYAKELLSVIPRTQNFQASTATTIPPGQLSGAENLSLTGDLNVQAAILRYNTGLLVQR LQAAHSELGLHQLGVLPEPTDDRPLSVFTNNNDTFLPALFAQLAGSIYRQQGFPRPST ASHFHETSPSSLAIEADPTRPRHRGDFRIALLCALPLEADAVKAVFDKRWDDDGDAFG KAPRDQNAYSTGTIGRHNVVLAHMPAIGKEAAASVAANLRSSFQGVQLAIVVGICGGV PTTSTGDDIFLGDIVISEGIIPHDFGRQYPDHFARKDNILDSLGRPNAEIRAVLAKLK SRWDHKNLEAKVNRYIPILQRVLGETSLYPGASQDKLFLSSYRHKHQRLSGCVLCKAC SHKTDPVCDEAVGLTCEQLNCGDEESVLRARLSKSGANGAENELTSYEPKVHFGLVAS GDVVMKSGEDRDQIAGKEKVIAFEMEGAGVWDTLPCLIIKGVCDYADSHKSKKWQYYA AVSAAACMKAFLESWITNDNSIFM TSTA_062210 MPSDGVPAGRAATRTPEPPDRDGYENSPPRLRPKRTTKPPNDYA QEQEKETEQRKTRSQQKRKTQKRPAAQRDAPTGDESATESEDLGEDLDTAKLVKELIK LRKEIRRRDEMHKEELKKVKEEFSAALADVRQEMQTLTLLSRSESCSQSSHDDILREI QSLRTSITTSDSANHLSYADVARTPPTSQPSNIRTLSSFNTTPTTFTDTLYCTIDTSK MADNESDRTSAGSIRAAVEKEIRTMENYTNWRCRAVTVDPKNTYRIRIACRDEAEHQL VKKAAEAKIGAGARVLRDELYPIKVDSVRKAAVLDEKDEIRAGAAAAFSEENDTTVAK IAWLSSKQSAKAYGSMVVYLTKGSDARRLLADGFFHAGGESGVTSVFEYRPRPAQCYN CQEIGHKAFQCKNAQRCAKCAKEGHHHSNCNEMVLKLRVIQLNVRKQGAVHDSLMNDK EIQDAVALAIQEPQARMVQGRLLTTPMGHHKWTKMVPSAWREGRWAIRSMLWVNKDVE AEQVPIESPDLTAAVIRLPERLILMASVYVEGGDAQALRDTCNHLRKAITKVRRTTGT VVEVLIVGDFNRHDQLWGGDDVSLERQGEADLIIDLMNEFALTSLLKRGTKTWQGGGQ GGDYESTIDLVLASENLTDSMVKCAIHGTEHGSDHRAIETVFDIPRPGPNHQERLLLK NAPWKEINARIARALDSTPAQGTLQQKTDRLMSVVLEAVHTLTPKAKPSPYAKRWWTT DLTQLRHIYTYWRNHARSERRAGRKVAYLEKMAESAAKQYHDAIRQQKKKHWKEFLAD NTNIWKATKYLKSGEDTAFGKIPQLKRTDGTTTTNHEEQAEELLAKFFPPLPDDIDDE GPRPQRAPIEIPAITMEEVERQLFAAKSWKAPGEDGLPAIVWKMTWPTVKHTVLDLFS TSLEEGTLPSQWRHAKIIPLKKPNKEDYTTAKAWRPISLLATLGKVLESVVAERISHA VETYGLLPTSHFGARKQRSAEQALLLLQEQIYTAWRGRRVVSLISFDVKGAYNGVYKE RLLQRMRARGIAEKLIRWIDAFCSARTATIQINGQESEVRILPQAGLPQGSPLSPILF LFFNADLVQRQIDSQGGAIAFVDDFTAWVTGPTAQSTGKDEAIITEALDWKEGVERPL KPRKRLLYTSPLKHINWIKNLSPSRDKPSDPKIILKYKEHIARAASKGLEAAMELRRL RGLSPATARQLFTSTVTTVMDYASNVWMHAFKDKAIGPINRVQRVGAQAIVGTFLTVA TSVAEAEAHIATAQSRFWRRAVKIWTDIHTLPETNPLRRCTDRIRKFRRFHRSPLYQV ADALKNIEMDTLETINPFTLAPWEERVQTDISEPPESHTVAGGSMQIAVSSSARNEVV GFSGAI TSTA_062220 MVEGDVVEGGVVEEGVVEEGVVEEGVVEEGVVEEGVVEEGVVEE GVVEEGVVEEGVVEEEVEEDMARWFEHAIRSNL TSTA_062230 MIDKLPSELLLAIFDLVIFFRVSDYDWNSISLVSRRFYQLIILL KYRTITFSSESEWSLNVLNTHRFMQSRSPSHIACHLGLVRNLRFVAPFRVAQFNRCSF RTVLWYSNSRGVSHEPDNEHFHTDFIWDLLDQLGDVFGNLKPGALSSFWWHLGTCLPS HVLDEDGYIPRFQYNIRDLSLRTDPHCPHAGLRLKGLRHLKKLRSLRWQQIVTSEELE LVVGCLENNSRSLELIDLEFAPEIRSTPFMDTINNLADLHTLSLGNFRFTEFIKAAFT LSISRLRSLTLRSCPHQLVVLQILSQLNDPILLQHFEIALDEAHGIDTLSEAADHALY SFLESFHTLEHLHILVSNPRNMEKYFQAVKHHPSMKCFVYHTRSYVMDPRRSESTLHM PVYLLADLGLALSSGAIQNLGLCLSPITAKAVFQSFLPNLQIQVLHLRLSGSEYQLYN MRQALLSELGGQHAESRFDDAMGFPGTDLDWYEASPDLFEVQANSMAVSHLLSLANWA FGPNGIKTLNILAYGDFSCGDRYKKQQAILVRADPDAGPISMSTASKRRPYRIVLHHS DFFDKHVGAHETISACPLETLIDNSDNIWSL TSTA_062240 MSLSQFNTGKAQESQGLSVQSFLSSSAIYATICVILVVYELKSS RSSNFPSLVAFLRWPRDSRSLIAQYGPDKYFLIRFFHTVIKIFLPLSIGLTASLFPID ITARHSAAVTGLDRLSWANLESGQAGRLWGNAVAATFSMSYICYVLVGEFHDLISIRQ DYLRRVSASSTAVLVTDIPRERLSEDSLREDYARFDGGPTEVWIHKEYGQILNTLLQQ RSRLMRQLEIHLTKKFYNREAAARTVEKDEKLKSIATEYQKLNEQIKDQMKDPNNASY RPSALVRFRDSIAPHLVQQVVQSPQIMRMIPHPIQSTNDIILPNLSLSWKRRLVQRLM VEAIVVVFCIFVSVPVGLTGALSQISYLADQIPWVAHLMSSLEGSRWLAIIQGLLPQI FLSVLITFSPQLILIAVSYQRHVTYSEKEMSIAGYYFFFLYIQIFLVVSLASGLTTVI PNVLRYPGSVPGILADNIPKSSNYFYSYLVLQCITQCSLSLRRLPYVVWYWLSSHLIQ WTSRTPREIWKMQEISVHWGLVYPVTGAVETGGLLYWRALHQLFIGMYTAELTQLGLF TLRDAKLQAMLMLLTGLMTAIAQWFLSRIYGPLLRHLPAGLISSRNESKQDTNSDPWM ASILIEQPIWAPAPDAIRHELLTRFGIYVSQEGMECYSDRIDPVRGPPQTIAARRTRT TLSNPTE TSTA_062250 MVVEVLLIKQDIQVDSEDNVGRTPLLVAAENGRETITKLLLMKG GINPNIRSKEGLSPLIFAARYCQIAIVELLLSIESISINLSDNKGRTPLSWAAGNGHE AVVQLLIRKDDIDLNSKDKDGRTPLSLAANKGHEAVVQLLLAKGDIELNSKDEDGRTP LSLAAKNGYKAVVQLLIRKDDIDLNSKDKDGRTPLSLAANKGHEVVVQLFLAKGDTDL NSKDEDGRTPLSLAAKNGYEAVVQLLLAKGDIELNSKDEDGRTPLSLAAKNGYKAVVQ LLIRKDDIDLNSKDKDGRTPLSWAAGNGYEAVVRLLLTRYDIEPDSKDDYGRTPLSWA AGNRHEAVVQLLLAKGDIDLNSKDEDGRTPLSWAAGKGYEAVVQLLIRKDDIDLNSKD KDGRTPLLWAAVNGHKAVVRLLLTRYDIEPDSKDDSGRTPLSWAVGNGHKAVVELLLD RNDIELNSKDSNGQTALSWAMKNGQNAMFKLLLATEDSRPDLPYSHAAASEIKLESDY LDLDSSKDRCQTQSLEAAENDLDTTSQQLSAGPHIHDDSNGFVRRWLIHIFESCATPP LRKFSVFINGFSSRITFYRYPGSRPGAIYTPSRTAWYLAQAQNCVDELWSAIMEKEAP RDSTRFKWTCCCGRTFTETVRELKPGAAREWVQAMNNAQLGQPETSTPARPQSVDITS LRARFSSGSSCKGQMSRDLRDDNEPSDIYALLCFKVGKHTIRLVQSDMSHTYNDEDLF RQLRHEYQGTSGSLIESVWSPKRWPLRLIYWLRLTSLKHIEFRKFQLFHSDKVDIRDD DKEEWLMCRSPTGCHDSCPGPHGNGEYWFDPAPPKLEPPIPRNAMMHFLKRPKDAGTV SNHIEAIQRKRGRLISGPGSAVKGWGLYFKEEKNQFMIMAIPGLLGTFALLWFLSHEN DLLTASPPATLAIGLSATVLTIMKEIAEWIMV TSTA_062250 MVVEVLLIKQDIQVDSEDNVGRTPLLVAAENGRETITKLLLMKG GINPNIRSKEGLSPLIFAARYCQIAIVELLLSIESISINLSDNKGRTPLSWAAGNGHE AVVQLLIRKDDIDLNSKDKDGRTPLSLAANKGHEAVVQLLLAKGDIELNSKDEDGRTP LSLAAKNGYKAVVQLLIRKDDIDLNSKDKDGRTPLSLAANKGHEVVVQLFLAKGDTDL NSKDEDGRTPLSLAAKNGYEAVVQLLLAKGDIELNSKDEDGRTPLSLAAKNGYKAVVQ LLIRKDDIDLNSKDKDGRTPLSWAAGNGYEAVVRLLLTRYDIEPDSKDDYGRTPLSWA AGNRHEAVVQLLLAKGDIDLNSKDEDGRTPLSWAAGKGYEAVVQLLIRKDDIDLNSKD KDGRTPLLWAAVNGHKAVVRLLLTRYDIEPDSKDDSGRTPLSWAVGNGHKAVVELLLD RNDIELNSKDSNGQTALSWAMKNGQNAMFKLLLATEDSRPDLPYSHAAASEIKLESDY LDLDSSKDRCQTQSLEAAENDLDTTSQQLSAGPHIHDDSNGFVRRWLIHIFESCATPP LRKFSVFINGFSSRITFYRYPGSRPGAIYTPSRTAWYLAQAQNCVDELWSAIMEKEAP RDSTRFKWTCCCGRTFTETVRELKPGAAREWVQAMNNAQLGQPETSTPARPQSVDITS LRARFSSGSSCKGQMSRDLRDDNEPSDIYALLCFKVGKHTIRLVQSDMSHTYNDEDLF RQLRHEYQGTSGSLIESVWSPKRWPLRLIYWLRLTSLKHIEFRKVRTDFQ TSTA_062260 MSAVEEVAYALQALRNGHQEPAPLTLDSQGLLFRYSEPWVKLRS LAFALADELRTPVTGQHQIDNMHFKDVVERYMQRMKTVIDNIGNPETADPPRKDVNLP PSAVIERLLSDSLRELESQISSGRSILDIREFVLTRHKSKILKKLSEIHRGIHNRAEL QQRLNSVKLYLKLYMRAVKRMLREHE TSTA_062270 MSGIEFPSMSPRRSMRNVNHLNVQNEIQMDPQMDPQNGAQGLRN AARLNRTKRDMAKELFSDKIREKVRQERQAFQRLIAVRDAEIAQLKADLQGCHTHNRS LMQAMNMISRQLHEVMQGIQQHENSQLRADTAGDNNPRLLATDPDPQNMSFPNDHIYV QLEGAAPPFSGTVPSNNAYSLEVQPLPQVAENIRAGEEDDERYLDHIFSAV TSTA_062280 MASWLHLTSDSGGWCLEDSGRLRQAVQSMKHPTAQYPLIVYLLG GPCKRQALRVLLPYNNTARQGSWGISNLHLRNSNIETAYPEIFIDGILEANTRRPCRT SKRNDPTLVRQYRIHRMQYQSYAHVRDHIFHQCILPFIQVLCIFADELQEPEVLEKSL SDLASTACRNEAAAQPHLLVVLTDPNNTSQKDEVVTKSPTFDKIRRSQLRWAVVDLRD RVELSAVARFEPLRLKMRQELETARIVSEDRRLLFCATHLEALMQKAVKHAAQGSRQK FDIIAAARANNPVPQGIEYHLTNFLRLATQHGLPRTDIVTLVASALAVDAYPPNMHAF DPRTIFRRLYARHCHAVWRANSQAMEECETVESFFASFASRITRFHRPVDLRRRILGY NLGTWAPLKTNLTCLYCLLRGTCEVLPCGHAICDVCIRRFAAPGAGEYLFRLETCDLC QSTFTYTVRQVPPTQRPNILVLDGGGVRGIVTLGYLRELASLRNWFQLVVGTSVGGLI AIYEYIENYSPEQALEAFVPFARLIFPRKQRLPGPIDFFGNLARNLLSDGSYNSETLD SILAEAFGDQRRLYEASRHSQGGCRVAITASQVEKNGELCLFTNYRGPERPEGLTSYD VMAPANVDDEPFLWQVARCAVAALGYFQTKRLAGLGTFQDGGICANCPVRVALRESSL LWPHSKRPNVVVSIGTGYLDRKEEENVSIAHHLPRVVSDILSQGYIKRAKDAFLNSAA VDGTHGWKEARDSIPDDLRNDVFRLDVALPQLPELDDAGSIDGLASLSYEIPKSLIHS LLSTSFFFELDTKPLFSRQSIRCEGSILCSRDSSRQVLTRIESEIPGAVFMTDLGENL GMVQVHDGCEKCGYYRKRVTFGRQNSVVQIIVLTGGLLCANVIVRGGGNDVE TSTA_062290 MSDEEGARLYTLLVEHKLLTHQGRGGPVNQVADLPKSITEENRL SNSQKRLLEETVQSFISRVEQQTESEAILNIPGFQGNETQKEEFVLRIVEYAKKRNGY NGKLDHVETALKGNKSREEKSQSEEEREREQGDAEERGDEEERGDEEERGEEEKRGEE EKRGEEDERGEEGEEVTTGGGRTGRSKNEPDKMSKGDVTVIHSGRKKRLYSHRNEDAR TSWPHKMVLDQDVGILLLFEKETDITRNGKCDSDGLYKRELWTEGQVNSASSIMLKEW KACRAVLGGDEISKENGALIEPPFRREARLVFKTSSRKYSPKTHGNIKEILWLAMKDY KNTVKDSKEPLMEFCVIFEKAKYPKMVRKSDLLMFVEKKVFSSVLEKLIARDNLGSLQ EHTPLQRTHYDPRSRYFDPLSHGRYALRDGAAQYGKSQGFKSRSTEDDDLENLVRALE ERLRINEQKP TSTA_062300 MIVPRMQPLIGFCFVTVVVARLSISIVNNLPGNTSTYAYVTAQD PDNRLVLLQTDSTWYHPEPSTLSSIPLKVSQSIAIPLAAEGASTTVTIPSDFSSGRIW VSINSLTFYAVLDNNGAATLIEPSVTDTTDLNSLVHWGFVELSYTAETGLFVNLSYVD FVGLALGISLESEDGSIQEALGIPAAAVTDICNRLRRQASSSGQPWDQLCVNDSNGGI LRVLSPKSYISLHPSAFQDYYSEYVDEVWSTYSRRPLTVNSQTSAADIFGCSTGPFNI DTTTDNNIHVAIVPRLCAALHRATLLLKGGDFQPGLDPAFYYMTDPSNVYSNLVHDLE LDGKGYAFPYDDVGPSGADQSGLIASQAPKSLIITVGGPSTIK TSTA_062310 MTEPPAKFAHGDYTVGWICALPETELVAAMTMLDERHPGLPATD PHDANSYVLGRIGDHNVVIACLPAAITGKASAATVAKDMIRSFPAVRFGLMVGIGGGA PYYGAKGNDDYAGGEDEGEDSEDSENDPEDIRDIRLGDVVISLPSKSSDAVVQYDFGK SLQEKEFIRSGGKLNKPPNIVLSAVSQLQAQHKLDGHNNIRETLSESVSKYPVHAINF QYPVSKKDRLFKSTIVHDEGKKSCKSCCGPQNCNLVQRKDRPNNSPQLHYGTIGSADQ VMKDAILRDKWAWEESIICFEMEAAGLMDSFPCLVIRGISDYADSHKNKVWQPYAAAT AASYAKELLLVISGLGVERMDPIKQIEKSIREIHDVVQETGVVVQNLSNDSRHEKVFR KLPYAKGSSFDASDAEHEARCHPKTRIGLLHQIQEWAEDPRQKCIFWLNGMAGTGKST ISRTVAQYFKEKGQLGASFFFKRGERDRGTAKMFFTTICAQLLLRVPALIHHVEMAID TDPYISGKLMKEQFDKLLLQPLLSLNQSEPITIMIVIDALDECEYAGDIRAILQLLPQ VQKSKSIRLRIFLTSRPELAIRLGFNQDNSYQDLVLHELPKPEIEHDIRVYLEDELSK IREERSFSNDWPGNEAIKELAQMAVPLFIFAATACRFIKKGTHPNNRLQDFLKFQVTT SASQMGKVYLPVLNQLMGDKEDDPKELLKEFQDIVGVIILLATPLSVKSLARLLDLPE QTINELLDPLHSVLNIPSDKDVPTRILHLSFRDYLLTTESLFHIDEKETHQKIALHCL DVMNTSLKHNICGLPSYGTQRDDIDSQAVHRNLSADLQYACQYWVYHLDHSEAHIVEF PAFDFLKKHFLHWLEALSLMGVISEAVAMIDAVQSSDGVSTDVEISGFLYDAKRFILK NAYIAGIAPLQLYSSGLVFSPMQSIVRRIFPGSILKHLHIQPQVEDLWSPGLQTLEGH SGWVDSVAFSPDGQTLASGSDDMTVKLCDVKTGSELQTLQGHSGSVYSVAFSPDGQTL ASGSHDKTVKLWDVKTGSELQTLQGHSSLVHSVAFSPNGQTLASGSHDKTVKLWDVKT GSELQTLQGHSDLVHSVAFSPDGQTLASGSRDETVKLWDIKTGSELQTLQGHSDWVDS VAFSPDGQTLASGSDDETVKLWDVKTGSELQTLQGHSSLVHSVAFSPDGQTLASGSRD ETVKFWDVKTGSELQTLQGHSGSVYSVAFSPDGQTLASGSRDETVKLWDVKTGSELQT LQGHSSLVYSVAFSPDGQTLASGSRDETVKLWDVKTGSELQTLQGHSGSVYSVAFSPD GQTLASGSRDETVKLWDVKTGSELQTLQGHSGSVYSVAFSPDGQTLASGSDDETVKLW DVKTGSELQTLQGHSDSVHSVAFSPNGQTLASGSHDKTVKLWDVKTGSELQTLQGHSH WVHSVAFSPDGQTLASGSRDETVKLWDVKTGSELQTLQGHSSLVDSVAFSPDGQTLVS GSWDKTVKLWDVKTGSELQTLQGHSDSVDSVAFTLLAEEHTATRSGRIPQPHNKCDPT LYSINPQISLSNNWVALGGENLLWLPPEHRQFTISAVKEATLALGYSDGRVSIIGFHT L TSTA_062320 MMGSEIHMAALIILVSLFLGGIYCLDEAALSLLSDAASQLRRID PWEQIPSYAPTPGYLGRERTVSGYSPSLNHGNRSSTPSRYTPPDQRDFIRDTEWDQAG TDTEEEWPSFIRYMIEWRVTLNNRMVAKDTEQDLALNPSSYWQDIKQKAETILRRKIA RNRRVRLDDTTIVVSVNDRSQRDLTKRFERTDIDWTTISRQLVMWKNLFHQGKKIRLC ISINYLDSDEPLSGTDKRGQTSVTRRMLNTREAEIDAEHFSGQPSAWRDVYRVMRCPG PPCRLDSQYCWQDPDGKKHYKLRTHHLRKLVRYVEKEHGIIETHDDIPDNIREQLYAE EQQRLERRQKGPEHPTTGSTVPININVLPAQPSQSVISSPADANIASLRTYPSKPIEI PGPLEVAVEEYTNWQLSRVNTESFRQNVRKARDVTLENCLDLNQIHQDQDPEFFIRQG VKIGAARRFVSEINDWAQEYQPNRADDFE TSTA_062330 MKLLTLFCATVLAAAPQPYGPEVTHAANRRFSQLDGISNKTNAD LTLNWSGAVLNAPPSGTTFTSVSAEFSVPTPKPANGRAGSSSVWVGIDGSTYPNAILQ TGVYLSVTSNGSASFGAWYMYEWYPDYAGGFSGISINAGDRISLCVVSTSPGSGTVTI ENLTNGQKASQSLTAPDSSSTLNGQNAEWIVEDYEEGSHLVVLDNFGIVTFNNASVGL SNGSSLETEGAEIMNMLQCFRKLVPRGIHPSPAWIIQISKNNQPWQSINIRVTGMAPN LARSTLDLIHDMLVSGELTTSQMAEAAGCSKRAIIRLRSNLQLFGSLKAPEVKGGRPR KITPVMLEALCDHLLEKPDLYIHEMELFMLDEFDMRVPKSTISDALHHKGWSKKVARQ QARERNADLRDEYMHYISDFCSYHLVYVDESGCDKRIGFRRTGWSPSGTTPIQVSRCH RDQRYQILPAYSQDGIVLSRVFQGSTDAPFFEDFIEELLQHCGKWPEPKSVLIMDNAS FHHSERIEQMCSGKGVKLVYLPPYSPDLNPIEEFFAELKAFIRRHWQSYKDSPGQGFD TYLEWCVDTVGAREESAKGHFRHAGLNIECHNTGL TSTA_062340 MQRRVLRMRLYHWYMELQKELKVPEKRPALRRGEKIQTKAIDMI LKDSYLDWEGASDLEKKSYRDEFHRNKDVGLKWCTLVQYFGEGIAVICGKEMDLIIND TKFKPKSLHALATFVLNFYPDVPHICRLFGFPLKFFIQDIGAGPDEYHNWQHSLDQEG AIIMVNSGLKGPQVPSEPPSTWIVPDCLEMDLTGFIRGVLKDRRRRLYPAPG TSTA_062350 MKISSALINLVLAASVVDGAPHKLQTRSPGGEVVVYWGQNAAAA SENNDLSTYCTADSGINIVVLAFLYEYGNNIVIPSGVIGKDCSISTSGEGINCDALAS QIATCQSNNVKVILSLGGAVGAYSLTSQSEAEKIGQNLWDAYGKSAGGSIPRPFGSIS VDGWDFDLESNSGNQYYQYMISKLRSNFKSDSGNTYYITGAPQCPIPEPNMGEIIQAA QFDYLWVQFYNNEYCSYPNTLNYAEWVSYISRTPSNNAKIFIGVPASELGSTGTESGA TYYQSPSILANTVASFDTSSNWGGIMMWDAAFSNANVVDGCNYAQQAQSILKTGSPCG GNDNNSPMFLASTVTSTTTTATPSTSSTTATTASVLVAQWGQCGGDGYTGSTVCASPY RCIRESKYWSSCQ TSTA_062360 MSQKELNEIRKRLAEAEQREKEERQRREEAERRQEVAEQNLRLE RLQTQNTTLPEFLTACHEHLFLGLAIQKDKKSSTKGDPANADRKLRPSRIQEWTDFPD EQMAIWEDLMDADFVTERHFTPLLALREAGKQIRKRMHGSELDIGHFLRETAESPVAS IIEELYASPQIRRKFHLNGDVTFENHANTLTDESGIVTDMRSLSLATDRPRRSERLAA RRSQESSRSSSRTTRQTTAQRRPPRPRADQFCVYNRGPDKKEPAFAIENKAPHKFSLA HIKAGLQDMELDRIVRYQKDESPEDICRRVVAAVITQAAHYMYEGGYEYGCVSTGEAF IFLRVPYEDPSTVLYYLSVPKEDVGDTTDWAGDVNGDNRLHLTAVGQLLAFTLRALRT SPRDINWRNWAMSQLKMWEMVYDDLLEEIEEKHIPASEYKPPLSRTKYWRQSPVKTRS TAARVISCQPSQGSPLSDHEDDTDDPPTPSRGPRDSHFPQRQTTTATVRNSSRSQHSA SSKGKARKYCSQKCLSGLRGRGLLDKQCPNVIEHGVGRHRLNTKMLINLLDRQLSRDP DPNEELGCESLHVHGTRGALFKITLWSHGYTFVGKGVPIDFIECAKREEMMYSHLRAI QGQFVPIVLGGLDIRRPFSYDGIAKMVRLTLMSYAGRNLANHHEIDQTLVIAQAETSL RAIHDLGVLHGDPIPGNMTLNEENKQVMFIDFERAQYQKRIPLGSISANRKRRRGTSD WDKSPNKRSDFFQRELSPMPDKASQFHLEASLVESFLMFYYVVLITEIASSRD TSTA_062370 MNAFSGIDYLPIHPTASPISVVDIFFPGFSALNASAQRLLLNNA NGYVRLICMGVVFLIFARYAYGYANDLINKYFSPTVHVYYYDEAYDMVINWIHQQPFA GEAASLMVRLKTRTVVQDQSLRKKPLSYSPWDGSFPFWYKNHRLTLHCHKREHHEEIS ISCIGTSPKVLIELMEECREQYLNLIQRKVPVFEPEGGEWKRTSLRPARDISTVIMDE EVKKNVLEDMKHLSDAGLSKLFSQLPPRCIVLLEDVDAVGLNRKNTNPGQDQKDMIQC GVSLSGLLNVIDGVGSQEGRILIMSTNHIDHLDEALIRPGRVDKTVLFKRADKNIVTK LFRTIFKETPIECEQPAKESGKLTIERLAEDFAAHVPEGEFTPAKVLSFLLDHKNSPI DAVSGIQEWVAKQKGQESTARLERKLVD TSTA_062380 MSREETKFNMDISKDQAEEMKERGTASQKSRGLQPSSGSLDEVK LPSPAEEELMKAAGTASQTRKPKN TSTA_062390 MASDLIKIPKCMDYDDLAWERCENLFEPWKNKIFDREVLREIGA TIDKYRGGVPDELFAPKRGAFNTWIRMKFKDGGSAVIRFPCPGASVFPEEKVKREIAV MRFLEHFTNIRVPHILHSGMTAESPCGLGPFIIMEYIDHDYDFIDALNIPGRSRQERP ILNPDISSERLELVYRQMADILLQLSSHCFSEIGCISKANEDDEFDDKWVVKHRPLTF DMNELVQLGGFPAKLLPQHPFTTASSYYESLAEMHLAHLSSQRNDAIESAEDCRQKYI ARCLFRKITREYQLCGDDSGPFKLFCDDFRPGNVLANAEFQMTGALDWEFTYAAPTGF AYSPPFWLILELPEHWANGLDDWIQNYEKVLPVFLRILREREQAAIDRHILKDTDRLS EPRKSWAFDMIYWAKIDRRFFGDGNLEDRLQLLTQEERDNMDAFIQRKLREKKERIYI GE TSTA_062400 MTLLIILLGLLIGGIFCLDERGFVSLNNERASQAETWEQTSFYA PTPGNLDRYTPSVYCPSPSRLNAERSSSLPRPGRLGYYDEASSGNLQSCDDHTQTYIN YPIEWRVLLNNRGVARDTEEDLVLKPSSYWQQIKEKADQAVRQRLRKASNRRVRSDET TIVVSVNDRSERDLTKRFETDHIDWTAIDRQLLKWEPLFRKGRKLRLSITIRYLEDRN PSPPGRTDKRGSSSTTNRMLRDLEDQIDAEESSGQPSFWRDVYKKMRCPGPPCHHEGQ YCWQDPVSKKHYRLRTHHLRSLVKFVENNGVLNTHDDVPEAIREQLFAEERQQIDHRQ KTLNLPANSSGLPPININVLPTMSSQPLLSCSYSDQSRSSRIEQARSFKIPESFEEAL ENYANWHLGRVSTVNSRENIIRARDIALEKCLDLQQIFIDNDPDSLSGVAIGMARRFA NDIPLWLKDREHRNDMEEPENE TSTA_062410 MPKKSFKIFALKIVAIPILWNLGGGITKNPEEQIPQIGNHDLPT PPLTNQSAPNTPLRNVTGSEKEITPPPTPSNNNNAPKAAEISADLSERNIVTGPRVRI ASKRARSPATDNSESSKNVRKKQRAAFARMKLFQESSLAKSFMAAMEKTNNLHESELP PEPKNWTGVLRHKYKKEFIEAAKTEFDTLKKKGTFKFVQKPYDKQVLPLTWVFKYKFD KFGKISKFKARICVRGDLQYDNNLETRAATLAARIFRMMISLAAVFDLEIAQYDAVNA FVNSNLDEEVYTEFPNGFKIPGMAIQLKKALYGLRRSPRLWQKEFTKTLMKTLGFEQI PDKECLLVKNGIFLLFFVDDILIFYDKATKQAEFEKIEKTLMATYELRKMDKFEWFLN MRILRDREQRKIWICQDSYITKIAEKFGLTHGLVKTPISVDIKPFDGEATNQDIHHYQ EMVGSVMYAAVMTRIDIAKAVNELAKHATNPSPIHIQQIKRVIQYLFNTRFLAIEYSP LRKSESDVVVCASDASFRDNIDCTSSEGYLVQLYNGPVDWKATKQRYVTTSTTKAELR AATEAAKRLYIWKRVFEAIGFKLEHELSIQCDNTQTIRLLTSPEPNFHTSLRHINIYH HWLRQEIQSKRLHIQWVDTKRMVADGLTKLLKGQIFVNWRKHQGLVDIAHLLQE TSTA_062420 MDEKKTTVILKTSDDWRKWMEQLRTKATKEQVWEYMNPSTINTG DLEPAPTKPIEPIQPSKPDLSSDEDIAIKQFKLMNWQADMAAHDSQRRIYEHNKARYE KHIERMTNVKNYILDTVELGHQSEIRQMEDIKEIIRTLKRRFALTEQRENDLLLSRER SLLNPKRTQRPKEWAEKWRTLVLDMKLANFYELSDTRLARDFIQSTAEIAPKFHDIWS TRILEYDMGLDTSGLTEIPDINEIIGTFDKWVEANNKLESSHRRDIAMATLNGKSDQP EDNKKSQMRSKSKDKTCLCGQKHQFEDCPYVNPAKRPKDWESDISIEEKFKNLEKKDT PYANALKRVKKGLEKKKKERDSDTSKKTDKDSERSNFMYDSDEIACAVRLDTALLASN DDLTNKVIMDNGTTTHIFNDRRRLRNLGNESRWLLVGNTRIKMTGPGETIVYPTQPIS EKVKRKGIIVRDAYQELCHIRREGNLYLIEWDENKPARSSLSVDFAFNSMEKNILKDP MNVWHKRFGHVSSRAIEKLQEATEGAIVMSVPSHNNEGFKIKCETCELTTAKRQISRV AMPLPTRPFQKIFVDIIVMNLARNFDRYILHAVDPLTKFHVLVTTTTKSVNFDLERLI EDIEHTFKCVIETIHVDGESSINGNDFKDYCKRKRKTLVTTVPDTPEQNGLSEKAGDI IATRARSMIIEANLPEGLWPEAARAAVHIMNRTPTKSLNYKTLYESVYGKKPYVGNLF LFGSKTYVRIDTKKSHKVAPRAQIGYLVGYEAHNIWLIWTTGPRGTKVIRARDVVFDE TKRYDPEHPFAREIIRDSVTTITESLEILNLEDIDKDNQVFDSVDDDMRLQRWQPASI RFSPARGSNECSNQPDTETPVQIEAPITGIGNIEA TSTA_062430 MKFTNRDYTVAWICALPLELRAAKSVLDEVHPSLPQVNSDHNNY TLGKISGHNVALACLPSGVYGKVSASAVVSQMLSTFPEIRFGLMVGIGGGVPSTGVDV RLGDVVISKPTGHESGVVQHDYGKIPRDGNFQQNGSLNKPRPILLTALSQMESDSISR RRQVRDIINAVQTEYEYGQEYIRPMDDGLFRATYDHTDETQDCSTCDQNQLVDRDPRE TSMPDFHYGLIGSGDQVMKNAKIRDSIGKQWNLLCFEMEAAGFMDQLQPLVIRGICDY CDSHKNKKWQAYAALAAAAYAKVVLSEIPAPPIISIDVKLQEYYEMDNRLRIKRLSGD FLDMEQCYINLSIIEYQHKNHDIKLPAAQPSSGFSLLNRLKITADSPEREVTLPNLFH DRKLPDGRMIQPRRILIRGRAGVGKTTLCKKIVHDFYHQQMWAGLFDRVIWIPLRRLK GMSCLDEFFRRDYFSFQAERDSLVSKLWKTVFDPSHKRTLWLLDGLDEISAYRNISGT DMTEIFNHLLSQANVVITSRSYAVNISGLAPFDLELETVGFHPRQVQIYLAKTMKDPD ITDQIHSFIRSHWLIQGLVRIPIQLDALCYSWNKNNFHSDASPQTMTEIYQAIELKLW QKDILNLENTRQLSETAVQNLRKRWQIQSEVETEMKFLECLAFTGLYSDIIEFHQDHR DWLYEQSQFYQMSDNVLDRLSFLRTSDTTSQDRSYHFIHLTFQEFFAAQHFVRCLISE SSEPLLSLKLDRLRGKCTMKLSPEEFLQKNKFVTGLLYNIDEEQVSSFLQKIEKEPRD LLGPAHQRLLMHCFSELPQPEDSELAGNSNDFLRRLREKMELGCIQWFDYENKCLQEM RLCAEIEFPDHVLCELLEKNFLQRRRSHREKILRALAHRWHMSSKLMGITANFMDDSD SDVRWAAIDALRTQSPLPLRILQAVTCRLDDSNMYVRWLAISTLGKQSPWPLEILQAV MYRLDDSDTDVREAAIDALGTQSLWPLEILQAVMSQMDDSDPGVRRAAINALGTQSPL PLKILQAVICRLDDSDLGVRRAAVDALGIQSPLPLETLQAVTCRLDDSDPGVRRAAVD ALGTQSPWPLEIIQAVTCRLDDSNRDVRWAAVGALGKHFPWPPKILQAVMGRLDDSDP CARQVAVEALGNQSLWPLEILQAVMGRLDDSNRDVRWTAINALGTLSPWPLETLQAVT CRLDDSDPGVRRAAVDALGVQSPLPLEIIQAVTCRLDDSNSDVRRAAVGALGTQSPWP LEIIQAVTCRLDDSDLGVRWQAVNALGTQSPLPLEILQAVMGRLDDSNGGVRQAAVHA LGKHSPWPPEILQGAMGRLDDRDWQVASGLEALLWKHDDFLSPFLHLHADAVSALCRI WILRSIHETFVCYVCDENIYFEIPDGKRSFLLSKEKIQQLKHTLWVATLNSPILRLVY GDGIPFTALRN TSTA_062440 MRAHVRLQKEHPSRRMALAGYNATFSERPETPAAPSLSIPFLRD PDFIDRATILNQLQDRCATPGSRTALVGLGGVGKSQLAIEYAYRIHEREPETWIFWIH ASNAARFKQSYEELAETIKLFGWRDPKSNIFKLVHDWLRDNKNRKWILILDNVDNAHF LINRSDNIQDQAGYETSRADRSLRDYLPQSPNGSILITSRNREAALKLVNQHDIISVE PMDGTQAQALFETKLGKQDEQQHDSQDVAELAAALNFIPLAIVQAAAYISDPDRGCSV RQYVNEFQKNDRKKIRLLDHEEGQFRRDREAENKVLITWQISFESIRESRRSAADLLS LMSFFDRQGIPKALLYNHSGGDVEETHSDDDHDSEALSSVTDKFNDDILMLRRYSLIS MNIDRTAFSMHNLVQVATRTWLAANGELERWQSEYIRNLNAELPSGEYENWEQCQILF PHAKSAAKLQPEGRDALIEWASVLYKAAWYDWRNGNGGEGAKLSVRAMKTWKRYLGPE HEKTLSSMELVGLINLLQGRWEEAEELFVQVMETRKRVLGAEHPDTLTSMANLASTYW NQGRWKEAEELFVQVMETRKRVLGAEHPDTLISMANLASTYRNQGRWKEAEELEVQVV ETTKRVLGAEHPNTLTSMGNLASTYRNQGRWKEAEELEVQVVETTKRVLGAEHPDTLT SMANLASTYRNQGRWKEAEELEVQVMETRKMVLGAEHPDTLTSMANLASTYRNQGQWK EAEELEVQVMETRKRVLGAEHPDTLTSMANLASTYRNQGRLKEAEELEVQVMEARKMV LGAEHPDTLTSMGNLASTYWNQGRWKEAEELEVQVMETTKRVLGAEHPNTLTSMANLA STYRNQGRWKEAEELFVQVVETTKRVLGAEHLNTLTSMANLASTYWNQGRWKEAEELE VQVMETRKRVLGAEHPDTLTSMANLAFTWKEQGRDVEALNLMKDCVYLQSKVLGVEHP NTLSSAAALICWQTEVLGIDIPVANEAMLPHVSTLPLAADPPEDPYNFNHPFDRKPQY EIQMAPRLAVSTREFTRDMILSNELTTSQIAEAAQCHPSTIRRHRSNLRLFGSVTAPS NKSGRPRRLTPVMIEALCDHLLEKPQLYLDEMAIFIWDDFGVQVKTWDISRALKREGW SKKTSKQKASQRNADLRDGYMHLISDFRSYHLVYVDESGCDKRAGFRRTGWSPLGVAP AQVTKFHRDQRYQILPAYAQDGVIMYYVFKGSTDASFFENFIEELLHHCGKWPEPKSV IVMDNASFHHSKNIETMCSKAGVKLVYLPPYSPDLNPIEELFAELKAFIKRHWQTYAD NPDQGFDTFLEWCIDTVGRREQSAEGHFRNSGLAIEKM TSTA_062450 MALFVVLLSLLLTGIYCLEETVYNAPNRNVDSQDRTWEQTPWYA PTPGNIEHERTPSVYSSSPNHRHNEPLTSSRRPTLSQLGFLNEDDCFGGGAHDAYASC INYVIKWKVKLNNRGLARDTEQDLSLKPSSYWQQIKEKAERVLRQKKPRNHRVRLDDI EIVMSINDRSHRDLTKRFEKDSNEPRIDKRNSSSTTKRMLNEREDRMDAEDFAGQPSV WRNVYKTMRCPGPPCHHEGQYCWQDPIGKKHYRLRTHHLKTLIKYIEQGGIIETHDDI PDSVRDQLYAEEQQRAEKQQRSSGLSTSAAVLPPININVLLTPQHSVSTANDMEVTLR STPTEVIDIPGPLEAALEEYTEWQLSRVETEQFIHNIKKARDIAFENCLDLKQICTDK NPGYFYEQGVKIGAARRFVNDVLLWIEDRKQKELIAARDIWD TSTA_062460 MDPFSITVGTLGITGFALSSIDHLRDLISSLADAKEVAQDIASN LEAIQRPLTALEQLTISDYAVYAEAKSDLENTRVAEAVNRCGQAQHKDALKRQEELQE EPEDEDDNGAQQTLAIKEVKEQSHLLESD TSTA_062470 MRYSSHLMNSIMASAEYNASFSGAHNYGLQAGYIAGNVHYYPTG KLPSLHHERPETPPAPSLSIPFLRDPDFIDRATILNQLHDRCATPGSKTALVGLGGVR KSQLVIEYAYRIHEQEPDTWVFWIYASNVDRFEQSYQKIADHVKLFGRRDPKANIFKL VHDWLRDLKKQKVDTDT TSTA_062480 MQYQARREITGADRPLREYLPRSPDGSLLITSRSREAALKLVDQ HNIIGVEPMDEANAKALLEKKLGINDEDQDESQDVVELTAILGFIPLAIVQAAAYISD PDWGCSVREYLSEFWKCDGKKIHLLDFVDGQFRRDWETKNSVLMTWQVSFNSIRQSRR SATDLLSLMSFFDCQGIPETLLHNYRLKDAKDTQREDDYNNGSSETDDFLDDILILRR SNSLQYAQAGAARNTKMTGSEWRTREVEAAWQVLFPHAKSALTCKPEDPSSLLEWASV LHKAAWYDWQSGNGAAGEQLSIRAMNIRKKLLGPEHEDTLSSMEIVGLIYMLKGQGEK AEQLFMRVMGKRKEVLGVEHPETLTSMANLASTYWIQGRWKEAEELDVQVMDTRKAVF GKEHPDTLSSISNLASTYMTQGRLKEAEDLHVQALVTRKNMLGTEHPETLSSMANLAS IYRDQGQLDKDLNRGMEVIRISLEVLGAEHPETLTNISNLALTYKYQGRWKEAEELNV QVMEISAKVLGDEHPDTLTSMANLASTYCDQGRWDEAEKLEVNVLKTSQRVLGAKHPK TLTSMGNLALTYTNQGRWKEAKELNVQVMEMSKSVMEEEHPNTLVSMANLAFAWKEQG CGVEALNLMKDCVHLRLKILGAEHPDTLSSAAALIKWQT TSTA_062490 MCTIAVAREVDRGIFCLEESGFISSNNELASQAETWEQTSLYAP TPGNLDRHTPSVYCPSTSRLNAERSSSLPLPAGLGYHDEAGNGNLRSRQDDAQTYINY PIEYRALVNNRSVARATEKDVVLKPSSYWQQIKEKADQAVRQRWRKASNRHVRSDETT IVVSVNDRSKRDLTCNISFFLGGKSPSDSQNWTPNFEEVRASIPFAIATGRLDAT TSTA_062500 MYGLQGGMAYIGRILINSDDVNNNSQPQHLAPAPEVVIENPWIA YIGYDFLWLPPEYRSNVSAVYATKVAIGCSSGQVLLFELDIF TSTA_062510 MQTSRHVDRGIENADSSKSLNKPQRKSTKPSTMPEHRKPQNPHH RGLPKTQPLAQRRENGRKPPSFFIERRKHHAAAGPTPPNDADNTKVSKKSVQAKWNRF CLDQLREDPEVVMQNLGAAEIKTFFDWIDENFRGSVKADSSFSNYWRVLKGLYYDKTF KTLDEATIKDCINYRREVSKRMGLRRLPKPKPVSRNDDLLHFLVVHLVWDDSVFPDEA QRFYLFVGLNLSSVTSCRAVSLFDTRKDTDDCESPGTEEGLDCGKSESLIEDYSSDDA VSKSDNYDILAEHDLHVVHEEGVISAHRVAELERTNFSANSEDIRLSEVDTMCDTPGD DFDMDIDYESDISSVTDDGYLGGNDETRTILWRHISFRIVRSPQQGMPNLLIAIVSLV NTKGQDRKPRVKRFVIEHEDNPLFDLLGQLIAMAFYDEIFVAEFRDIEDVYLRNIPDH KRGLELKIKRDKLDIPIFREPERSDCGYRTSLKVPLKASTWSRYQKRLGEKGGSKENL TQKVWRRGTINAINNKAPASVRDQIADHESNAVKYYINEMVEFDTAAAFLERPSNEAV QKEARLVTFLADMTAPTGITEEQKMFISQRPTIRRLRSISRSLTEEIHARGYRSWKAA EGTEIYDRKKEVEKKLNRELTSLREQLKEKNRLRHFRDADTAIINEQLSGAPSLSPKA TPPVYSVPEREEIVNLICKSPANLTEIQVYHRRLACIRLWIRWQDRQERPRRGRLAAP LMRLPPVPELPVTEPIADKYQKKQCPFCVANLSLPRRDREASFSRVNKMWDHVEKIHR QELAAFDTSKIPCSICKARNVTFVPLNVSDFQNHTQEVHKIELRNPFSQSLRLG TSTA_062520 MESSRHQYKFICIRERIKKPQSTPPILGITTPEELKVFIGAQIY MGITKEPELKDYWDEGLENGSVHAKHPLSAYITQYRYEQLKRYFHISPPPEISGGFAS TYYRSEPTLEQELQMSEEQLSGIWWHKVHIVLDMLRRTSKAFVDLFKMLCDIQVGACG TTRHTSCGKDFPNLLKKLKDLSNYIPYHKVCAIPVEDVLCFAWQDNNIVLGLTTIHTV NKTEDYVERERRRPQITSTNGALVRREFGDQAVKNMLIPRFIDDYNNYMGAVDIANQH RAAYETHVKAFRSWWPLWNWCLDVSIINTWKLHSLRCTELGIASLSHATFRRRLSKQL LSFRPLSTVYQVRPMKRKTEDLQLPELRLNDSLPHIAVRSPVSVQKRCIWCRYKNPKR QKGGGIGFTLFICKACDLPLCRPGSGKTCFQDFHTR TSTA_062530 MMTSGEVSYYHTISNLDSILSSSIIPAVVIHAEEDDNLVSAAYI QAVLERFGEKDDVFTPKFADSGVLIVIYDTNQPPLSAGDSFTEFTRERFVGGVIFQKT KNKARWLEGPYFLQGKDIHQAWRLYPDYLGAFTTAVVPAESSSLFFQPLKCISSDGLH PAVAVPSRLHSPISAEKPLNGLRIAVKDNYHLAGTVTTHGSRSYAKCYGVQSTTSTYV ERLIEMGCIVVGKTKLSSFAGTEVPPKGPIDYLAPFNPRGDLYQNPAGSSMGAAAAVA GYDWLDVSLATDTTGSTRAPAANNGVWGMRTTWGTFPMDGIIPSAKPFDTVGLLARDA AILHQLLKPYEHALISGHLPTQILYPTDWLPIKNEKQQKMIDKFTSCLETFLGTTRTE ISLSNEWTKSAPEPLRGTKLADYLRKTGYWINMYDGYHNFGEFRDLYEQKFNEAPYIS PVQTSKWESGRLVTPEQRAEAVEQSTTFKNWIKENIIKDGTTIMVVAVGNPGPSYRDE LPPPVPSGPGGSYNANFFATILGLPQIIVPVGQLPFTSKVTKRTEYIPVVAGLVGGAG MDDTLVRVAMDALNRAGWPVKVLAGRRAFAFSDEKSGAAGEDQIESAKM TSTA_062540 MADHPSQEATQVPSSEGETRQRPKPPTVNYLMRDPLYELEKPYM TTFDTTELGGQDTNYKFTEHNINAQSADHIQNVFDLEINGFQFLQHTTLLSHSDFECD LSIVSRYYPDVVELVKAVRPQVSHVHILSHTRRDASISKDLVVPNRLYQPVTNAHAGG CLNFPASFWDPR TSTA_062550 MVERYRRLHIPALAEFSDSTLHETTNSYEDLTEAAAAHSGFETI SRMERPTSTPASHVVSSAQELQSQQNAVNLPLYKIDFPENTSFVGRSDILEELDQTLT ELAARPENRAYAIYGIPGIGKTQTALKFAYNHKQTFKSILWASAETKYKLIQSLSEYA VLLGIVPNQAGRDPHNDAERLMQWYKTTDTPWLLIFDNAVDPTLFLDYWPRNKRGAIL VTTQLRIFATEDYCGQGKELLQLDEASAIQFLQSSVPDSLRNTEAARAIVQRVGCLPV AIKTSVGLIREAGCSLDDYNKEWNDPRTIIDRSDVKYADSRDARYNKGLRDLFAKSLQ NLDLDARALVNVFSLMDDEHIPEEMLRNDLLAVQLPFLKHRARLIRDLIESCLIGPET EAHRSSSRRFHIHRMIRAFVQMEMKLNDRKSAYESASLLLNARVVTGGDPKFAGNKSY FQHVQALWEYYQRELAPDDPTKVDNKFPVATPALVQLLRKTSWSVFSHQHTEKAMTLT FQGGAIE TSTA_062560 MQVSGEMTECLEVEVVWNHYQHACIHTDLGNFMESLTYFQKALE LYKAATNNHRRGLPRLYVIYGGLGNSYSGLRRQVDAEEYYTKCLELKPNSTKFSNYEL NICRALYDQGPARYAEASERVEEFIRRREQEYGKEDTRDYLAGQAFYILGNVRICQAE DPSPLITEEQRKLWLNDAYNAHYKSLKCLRATLGEEHHKTADAWMRYAWHLEQRGLDD LAINSLDEALKIFQNASNREYRHAEIARAHYKLSLLFQKKGKNEQAEIALSRAEKIRK TILGDKFHSATGLESYDDLVSHWQL TSTA_062570 MSAITPQAEWNQKIQEFSLHASLHNKHSPNQAAMKALWAQSFLQ PLRQSKSAEDETVKQEDATIGAFSTSAEEQFIVCIRGFPDHNVLQFLGRQLHIDPHVL LSHLPYPLSFEIRPLPTAPNPVIQVNLISLGFYHPEELTHPKQRTIDAKTKSYNRGLF ENNNWGYQQCRHVNQHGCRFFSVEQRISLIICREYGKLWSAIILNDSGIEHSESPWYQ GNRFPPRFLPLKEFGNLPLVPAYLPDNVAATKTIIQRRPDPCLSRVHNCTPMSSEEMQ LCLQDPIMLATDLFKTSALSWRQFFAFFHHIKNECTQQENRTEIADQLRRDKEVLDRA YRYFEDVICLLDHRYALNQPICSSSVEREQVDKILCQVRDDFKLLQAESAKLREQCTD SIGIEMNMISIRNAKKSIEQTERVRLLTFMAYLFIPLSFVASIFGMNVSQLQDPSPPI KIFFAVACPITLACALLPIWREVLAYILAARDQVRHILRGDAQMPLF TSTA_062580 MEVNPTQESTQPYSDPRRMGSHNSGLQDQDVSDIICILHPGSHP AHEAVDATSRHPKGYQHILQRDEFQYDSMDSSSRDIAIRMSSSVHDLSMGFVFGRNPA RCDVLLSPEVASKHISNIHFRIFMNEDGILMLQDLSTNGTVVDDTRLWKRNGQTTRML VNGSVIIVASDNKQNREVRFVVRIPSRDGFHVQYTQNLMAYLERVSKHNAKFKNLQAR APPPQSALQWTLGNPYGMHWTGGSIYNVTGQIGKGAFATVYKLATKQDGIVYAAKELD KRRFMKNGILDQKVDNEMKIMKDLRHPNIVQYIDHHEHDRWIYIIMEYIAGGELSSYL ASNGKIAEDMVKSIARQLLHALHYLHKRKITHRDIKPDNILISSIDPLRVKLSDFGLS KVVQEETFMKTFCGTLLYCAPEVYPEYDSYRQGMARKRRRIGDPPPRTSPYDQSVDMW SLGAVLFHLLCGSPPFTGRGDDRGAQMLRTIMTTEADYDLLREEGVSEQAIDFVSRLL RTDPHDRPNERDLFKHQWIVNVQDIDEYSDTEDFLLDDEQLAMITEAAEEELDASQLS INDDFGDDGLRVGGEFEETQMKRPRLDEEKSIHYPSLPHIDSFPAPPNLQVATPNRLF GEVTSSALRSSGIFRGLSSRGVDVTSDKSSGESMEVDADDLSVGVYSFPVPNFTGSAV SLLGAGSLVGQLRMPPSGDGSPKELAAADDKQGTPQSRSVREVTPANQELPDPAQDEH TANEATPKALPFHRRIELPLPDTASESSIQRSESPKHIFNDSASDNKYDELAVTIDAR TGREIVDELPHTTDNDYSDRPQLDTETIPSEIPQSFTLSNFLKPRRLLGKLVTVPGSI FELSIRLEGRMTSWGRGPLATIVFPDRMDTRIPAYAVEVTFWCPGIEARIARGEDWMD IPDVMTILSTKTRKCIWVNGVELRRGPQMDNGTEAYHFGKIYHGDIITIWQGNNKYLR FRCELYHGDSARPRPESEKGFIVRQVLHPKSDSNRNRLANRLHDDKLYA TSTA_062590 MRNAFFLSRGFHRLAFCLIIPKPIHLRKFTMGSTDLQSAGGNGK TNTWEGLGAAEFDLRSDTMTKPTKSMLEAISHASLLDDVFNEDPTTNSLQQYIADRTK HEAALLVMSGTMGNQVAIRTHLSQPPYSVLCDHRSHILQYEAGGVSMWTGATVQGIEP KNGRYLTLEDLQAKFIPPTNIHYCPTRLISLENTLDGMVMPLSETKRIVEWAHANDVK VHLDGARLWEAVASGAGSLDAYTSLFDSISLCFSKGLGAPIGSIIVGSKDFIERARWF RKSIGGGTRQAGVISSAARVAVEETFGSGPNGEGGKLKDTHTKAKRVGEMWTSKGGKL ANPVETNMVWLDLAASNVGPNDLAEIGKQKGLKLLGNRLIIHYQVSEEALSRLDESSR WLCPVNLNIALTPASRMVLDRRTLE TSTA_062600 MANFAGSCYRPPSVNMILSHLSRPSILCQCSRCSSSVAACENEW AKLSDTYSTVTGWLSIDRNRINVSSEKKQIPQSSELGFVRGRVVQDIVCRLCHQKLGG LVHLESDTKVFWKLSKMSFREIISMRESHPLFVEGSLSWLLAPVEQTPAPNESANNTP LSATHSEARLSQTLYNQGASLSRISNTVEELHDTMADLKQSFKALRLELNTTPSARNP EYHGDEAMQMLRTVLKELQSKSDEIEKLKLENESLKLKARYLQGRPLSAVPTTPRMLE DAAMPEEQSPGFLNESGKRGLLGASTQLQIADSFEDHEPAMEHTTTPEVAQHTTAPVK VPLKPAADILSGPKQLTQQEQDLAVPTGFRRRRESGEPATKRPRISSTEDPESSDTNV DVESHVPRKRRGRPSESRRSLQAASHPRTPSTLSISDPSTINQPNTEIQTADERVTDR PDNSENTQPARKPGNRRSRKVTSRSNSQAASRARSASPRVTRNSAKLVEENGGKQAEK PTLGNEPPGRRGGGFEILVNVAELTPESVVNENDLFNRLGHPQEEDGSAGNVGEKTTD DSEQRQARVAAREMIVKAAMEREEAMADG TSTA_062610 MPHGAKRKRDPDDYADPVMASSAGESGFGIGQTLSLLHESAPSP SSTVEEESIRAETTHNGISHKKRRRGENGEKTKYPTLTYVEGKLQSSIRIADLQNGVA PQWISMKHSGHVRKIVVLMVPGLEIGMFDGSIPLSGTAEATKVESGKAEGEKDTHDED FERWKKGLPLPDRSSRFNPRSLKREDLPGPLQELADMFPHIWPVKAPGDTKYNKVHSP LQAILLSQLPKTQEEAKIKGPRPPRNEKHFVSKRTPVTAFITPKLELRENDYVLHPVY FKTEPEQAAEQRIREHSGTTAEHGWVDTKVESLADGEPPESEVQKGSITAGREVLALD CEMCITEGGKSELTRISLVNWDGEVILDKLVKPDLPIINYLTQFSGITKEMLDPVTTT LADIQKELLELLTPRTILVGHSLNSDLTALKLTHPFIIDTAIIYPHPRGPPLKSSLKW LCQKYLGKEIQKGQTGHDSIEDARAVLELVKQKCEKGERWGTSDANTESIFRRLGRAV KSGKPQGRTGAIIDWGSPERGFGAQATVAIGCDNDDQVVDGVRLAVRGDESNMSIPAD GVDFAWARLRELEVARGWCNRRPDPNNANVSTVIAPPAEEIIPLADTTMDDKSLALIV STAVRNIKRIYDSLPSCTLFIVYSGTGDPREVSRLHAMHKTYREEYNSARKKWDELTV QWTDREEQMLKKACERAREGCGFMCVK TSTA_062620 MSTLQDITSESEFAQHLSSLHPSALLVLSFHTPWAAPCTQMRTI LSTLAAGYPVTSPPTVSFVSVNAEELPDISEEYDVTAVPFLVLIRDKKVLESISGSDA VKVRDAIERHAGKTSSSVPGSKSTIPPALSATPREPVASGAVTETPASAGTAPANAPP SVAAAAAAPATSAEETKEALFARLAELVKAAPVMLFMKGTPSEPQCGFSRQLVGILRE NGVKYGFFNILADEDVRQGLKEFADWPTFPQLWVQGELVGGLDIVKEELSADPDFLKA YAVQRPTATA TSTA_062630 MIRPRITIARLWTSTPAVREYSQPRQSGDQASTSRNDNKGVVKH IGQNKATIQLPKTALDQSDDRNLDVHYIQLRDACQCPRCVDPQTKQRSFRTGDIPRDI APREIRWVKKSEVLEISWNKDIAGYDDKTHVTRLTADEIKYPSAYFHHKSVGTGKGQI FWDRKEMERDQPWVDYNDWMTNDDLFRDALNKLSLYGLLFVNDIPDSREMVAKIATRM GPLRNTFYGSTWDVRNDPKAKNVAYTNLNLGFHMDLLYVHNPPGFQLLHCLKNSCKGG ESLFVDAFGAARNLDRKSWDTLASHKVPYHYDHKENYYRTTRPVLELPEDSTCRDDRT LSHINYSPPFQGPYHISPTESPEWPRKMKEYLSAIQEFEKRIEDPARIFELKLEPGQC VIFENRRVLHARRAFDTTTGERWLAGAYVDEDAVHSKMFTLRRNSGEFSTSV TSTA_062640 MGEIGEHYRNLREHRKRRRAEKEQTTNNKKKTTEEAPRRRCWDW MIVSEYRRVGKDVSTGWIQGMTTYVAGVGTVELKVQPKLEEGSPFRTLVLENVLHIPG AVCNGFSVAVYHTIHGGIARTGRTAEGTDEDGYPLWCSEDFKGLKKLVLAGNPQGESY LDDGPKVLSVNIDMDEIMSPGS TSTA_062650 MHLIWEEQSWLIDAVCNGRLNAVRSFLDSGVNLNSYNVCGFRLL NLTMTMLRLPRGPVKTTPWSRAIHVAVEVGADVVLPVLEADRPLDIAVRDDAEDTALH VAMHPLWLKFRRRQIVRMLLAAGAPVRV TSTA_062660 MDSKLIQYNVTTRWNSSYCILNDAWNAAPQIQEYLKMNHILPPF TDQDWNQLGQIQIVLAEFDRYTLELSTDIPQISQSLAIYYQLFNLLQEVQDREGKFKD FDADIANAAKSSMRKYDKYYTLMDDSCDILYIIMLLDP TSTA_062670 MSPGGERLKDEGTRTQVVIAGGIAGLVSRFCVAPLDVVKIRLQL QIHSLSDPVSHHGIKGPIYKGTLRTMQAIVREEGIAGLWKGNISAELLYVCYGGLQFV TYRTTTQILEELPRRLPSTAESFVSGAVAGGIATASTYPLDLLRTRFAAQGNEKIYTS ILDSIRDINRTEGPRGFFRGCSAAVAQIVPYMGLFFATYETLRLPLGELSTLLPFGSS DAAAGVLASVIAKTGVFPLDLVRKRLQVQGPHRSRYVHNNIPEYNGVTGTIVTIIQTQ GVRGLYRGLTVSLVKAAPASAVTMWTYERVLNIMRENE TSTA_062680 MRGEICHLHIGQAGTQLGNSAWELYLLEHGLKPDGRIDPEAAEN VGDAGSYETFFTETSGGKYVPRSIFVDLDPSPIDEIRTGDYRSLFHPEMLISGKEDAA NNYARGHYTIGKELVDNVIDRIRRVTDNCSSLQGFLIFHSFGGGTGSGFGALLLERLA TDYAKKCKLEFSVYPSPRVSTAVVEPYNAVLSTHSTIENSDCTFLVDNEAVYDICRRN LDIPRPSYEHLNRLIAQVVSSITSSLRFDGALNVDLNEFQTNLVPFPRIHYPLISYAP VVSANRSSHESFKVSDLTFQCFEPNNQMVVCDPRNGKYMAVALLYRGDVVPRDCTAAI ASVKAKASFNLVEWCPTGFKLGINYQKPQRVPGGELAPVDRSVSMLSNTTAIAEAWNR LDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVASDGLEEDVEGDA EY TSTA_062690 MMHATPITQNLSALKSLIRRHRSRRSARIETGGKESLLAHLVDV FLRTWDLGFTAFGGPPVHFGIFHRRFVEGSDGEKWVDEQTYQELFAICQALPGPGSTK MLFCLALLHSGFIPAIVVFLIWSLPGAIGMYALSLGVQHIGDTLPSPVYGLLTGMNAS TVGIIALAAVQLAGKAIKDKFSRILVVFGACAGMCYSALWYFPVLMVIGGVAAVVWYG WMMQSIRKLKSKLKRRKSNPESQVEEAAVENTVALEGRVESSDTTIVQRRNVASSSTP IPDSKSPQQSPPAQEQLHQEHAIKVRVGIAITVTFFASFIGVMVGRGVKAAPDITLDL FANMYLAGTIIFGGGPVVIPLLRSYVVGPGWVSGRDFLIGLAIIQAWPGPNFNFAVYL GALALRASRYPTVLGAILGFLGIFIPGITLAVAVQSFWRVLRKNKWVVDLLHGLNATA VGLVFTAVYRLWNIGYLTPEATSGQSLALDPWWVVVATVTYTESAWFGVPPAAAIVIG AVLGLCWYGVVST TSTA_062700 MANSEEYKTTNVVICGCGLAGAMLSAYLGQTSIKNVVVKNKPDI TTDPRGIALDEDGIRLLQDMGLFKFIDGIALDLSRKPFFEMDYNTRVGDTAHVGFICH DQPVLERYLCNKMAANGHSDLRSGCTNDVQYPEDCIKALRSRPFKFSARSCNKWSGGR VVLCGDAHVFPPWDCFWTPLQASIQGLTLPHEKFLAAWYLERKQQFKKSLALTIRNAK VVTESNPIKTLFRNWTLWFMQLNPSWHHQLRQGPRAPRGSDSISTL TSTA_062710 MSTPIHEIDEPRYSANTTRFPGNENIFEDEDAEYRGRDNLRLDT LNVTSSNRTDPSPSATREQALRLDDDLAVLQAERVVSSTQRSEEHSEGTSLRQLRSRT EPVDEFDIATNPLHETAAIYNAPEDPHTNIARALKRIHSSSFLVRYFFYITPLTLIIL IPLLLGALVFKTANVGGVQLLWFSIWLEIFWLTLWAGRITAKCFPPVFGIVSSIFTNN SKKYRDLGRELELPLTLFFWWLGVEVSFLPTMINHHVDGNRSVKEWENTMNKVIVSIF VGAILNLIEKIIIQLIAISFHTRTYADRIEINKFQISNLTKLYAFSRERISEKDEDFE ERASGTQSGAKTPLNIAGTALKVGKKTLNKVGDMAGAVAGDFTGKKINKSSHPHQVVL ALLSTNKGGQVLARRLYRTFVRDGFETIFSGDLKSAFDNNEEAEAAFTMFDKDMNGDI SMEELEAVCVEIGRERKSITASLKDLDSVVSRLDAVLFFIVCVITLLVFLSLISTSAA GVLTSAGSTILALSWLFSATAQEFLQSVIFVFVKHPFDVGDRVTIYGNTGDMMKGDDY FVKEISLLYTEFKKMQGHVVQAPNSYLNTLFILNQRRSGGLAEAVPVIIKYGTTMEQM DALRQRLLEFVRTEKREYQPNILTELREVTEAFSLTLNVVFFYKSNWQNEGLRLQRRN KFICMLMLSMQEIGIEGPRMNLQGAHVDYPFHVNHHGQPPSYAASTRPGPNQQQPISS SSETILEEHEPNFPENAAYSSGSNAPIRPQHSILRKGSMTAAARARGESLSRPGRHVD FSLGNSALVTNDLMGDVFEDRTPSRLEEVVRNANREAMERRIRDDAAASRESLSSASR HPAPAGFENRPRASMESRGSAAGRPSLSSSLGGRSGFLSRLGSHHSRVRSTDSDDLME QAHSMNRLHWQGYGGAERGPEWILEITSGPNIHQAVIWLQQRAVNFTGKLIVSKSIQ TSTA_062720 MKSVYVLMLLSQLTAAAFPNPGGNSSKWRLKKFKSLVTFGDSYT DESRYGYFASHNGSAPPVGWVDPISNNTSSGGYIWARYVAISANVNLYDYAVDGAVCS NEISPRYVPSINGNFPSVLEYEVPAYVADSKFVTNGSPFLDIPPWGTVYSMWIGTNDL GEDAFLTDSQVPGRSLPDYTECVFTALDKIYANGARYFVLQNVIPLQLVPLYATPKNG GVYATYGDTSGTPNVTETSYRMWESVKTVNEIYKYQLPFELLIRNRYPGAQFALMDMY SIISDIYYNPEQYLASPANVTGFIEHCDARGECSRLPNEESFLWYNYLHPSWKTDSII AERFVEVVEGRSKYATYWG TSTA_062730 MQFFTNLTTLFLVTLALGAVIDTRTDALGVDKEVESVTCSPKGG ACIEGQLSCCEGLRCYVPPKSGWEPQGAWTLQAYKILDMKE TSTA_062740 MKFATTASALLLAGSAVASPLAQRVARRNRNGVSRPNARLTATN EKDDSQSTNWSGAVLVDSGFTKVSASAVAPTPSIPSGGDDSTQYCASAWVGIDGDTCQ SALLQTGFDFCIQGGSVTYDAWYEWIPDNAYDFSGIDISAGDTIEMSVEATSTTSGVA KITNQSNGQSVSHTFTSSEVQSSLCQTNAEWIVEDFTIISGGSQSLAPFPAFDTVTFT DATATKGGSSIIDLVDQNNNVVTTASASGNQVVVTYQ TSTA_062750 MRAALLAPSLILLALQSKQHNVLKEALKWYDRGLSYMRTRLKNL DNIVPDEVEGHFLICAALFMSIYETLQTTVVGGYEQHVIGAVTLLQAKGPELFAKREY HDLFLAVRGHAIHVSLIKGKPTCFANDEWLSTPFTQLPKSLSERINDMLLLIPRYLHE LQIELFNTFDELERHNVRKAFMHKIGSVKRDLDEIRRRKSQSLKRVRSRERSVPNSED DDNPLYHTSYEYTSPIQARIVAMEACARIIIMSIELSSTTRTPPWPCFFPIEDWNNEN LTIEVEGASRDIIFASQYLSRFMIGCAYIRMILPLQVVAQMSPNHDQRVTARNILESW YKETPIKGLTTRALQAIDAPSNHRLVSGSLDG TSTA_062760 MASTYSNACCETPVPHNVADYQAQGEWLDILGEKVYVVGDKAAN RAIVWVFDIFGYSPQTLRGADVVAANLLSSSKGPVTVLIPDWFDGTVADKAWVPPVTD DQAAKLGNFIKTKAAPELVVPRVLKFAEALKQHQTILPNIQNLGIFGFCWGGKLASIA CQKSSDGVFAVAVQTSPSKADPEEARNISVPMALILSKDEDLPTMKRFYDNIPTPYKL LERFDDQIHGFMSGRGDLSDPGVKAEVERGYNQAVEFFDKYL TSTA_062770 MSPTNNIAFTTPINPPGVSPVLKKEQVWAGLLLKIRCAEKFIPN AIESTTVISESKDPSTGNIVTVREVVFREQQRKVKETVTAYKDARVDFVQPDGTFIGN IISEGASGELYMTYVFEWHHPGAPEEELRAFYVKEKGVAQHSVEGTVDVIRKLVTEGK LDEHRSMV TSTA_062780 MLNEHSRLSIAQIVFYSPAVLAAIALLFLRKAIRALPLFPWIVL LIFTLVRLVGGIVVILYENDPSSTGLLIATLILLNIGVFPCIAATIGLINIITYVDFR ENKILTKTIVCSRLLLLAGVALLVTGGSLQGDDSPDDQATGTKLVRIGYCIVTVFVAC LFSFQLFFWSRRSQLSRTSFFVLRSASIAMPFFVVRLTYAFLSIYHQDQTWNSLTGPV VPFILMALLMEYCVVLIYLYTGFKVRFPEERAHESADRPIELKS TSTA_062790 MVGVPGKSKGCKTCRKRKIACNLQRPVCGQCIKSKRTCLGYDNA VRFIPVRARLEDEKLVVSSSFDALHEKTSETGKGSDILQNELVSTNERFVSAISRRQD HSPFPASDFLCRPANREQLLGVFITTCIQASAGDMNGASEGGGPWMLQLPRLFMQTPA LQASVSAIAATVLGKQHNDRDLLNESLRFYTSGLRELQKSLWNPDVMYNDEILAACLC LGLYEAMECPGNGRQAYYNHCEGCMRLVEARGAGRHMSGVAHELFLGLRAQGALFSMA THVPSFLSSPLWMTRPWKRRPKRAIDRLVDCMCEASLIYQENDRIQHLGPIEKLESWL ESLAKCWQMDAILEDVYKEFETDFPQPMYWPVLSKEPNAADDPIRGKVFPVAYRFVNM AVARSFMLYWAIALLVWSGLSLLYQGIASLEIDPKNARCSNFPDCEAFHDDMCHCKYL RLQPSGTYNYDISHFPLLGHRVDPKFLINNVCQSIEYCLDSEIPVWGTWSAGTPLILI YETVKYVPGLEREVLWMEATLRKLQGRGLRLLNYTTSLNQPTASP TSTA_062800 MANIRDRTVAPQKGVADETTPLIAPGTTSKVDEIENGEVEAQTS DSEESEKLPMAQIMLLCFARITEPIAFFSESILSFTQMLHMIPWGRAADKFGRKLVRV ISMAGLSVTSALFGTSRSIWQMIMYRSIAGVFSGSIVAVRASISENSTPRTQARAFGH FAFSNNLGIFLGPFMGGALSRPADQYPSVFGRIQFFRDYPYALPTFTTGLIGATACVI SALFIKETLKKTPKKGVNGLPPKVMTITEIMKSPGVSRVLFIYTFTMLLALAYTAIVP VFYFTSVKLGGYGFSEFQISIFIGVGGLSQAIWLLLIFPRLQRRIGAGAVMRFCASVC QFAACQLAINDISPSPETLGTVNAISLTLNSDLRTVAPALFASLFATGIKEQIFKGYF AWFILILLAIGYRGSLRWLPAKAEGKINSHSTTATGFRDTDE TSTA_062810 MPRRKALIIGINYYGSEHALKGCINDAYNIRQFLVEERGFSPDQ RDMVMLTDEPKNEGTPFYPTGQNLIAAFKWLVSYNNPGDSVWLSYSGHGGQVADDYGD RESGFNDTICPVDFETNGQITSSTLHKLIISPMNPYARLTILFDCCHSGSAVELPYTY RPDADGNINLVNNLKEGVHLAMEASNLLQGGFSMDRLDDARSFVAEAATFFHSLHHQP EEADEQGLVDEGFHENWRNEAKDAWMFSGCADGQTSADTSIRGRATGAMSWAFMNVMR ENPQQSYLDVLANTRYLIQQHYSQIPQLSVGGEYDLSQPVSF TSTA_062820 MSPSGHPAGVKPPPPGQTANFVNPPNQNTPNISMYTILLVVCAL CLVVRIYTRSTINRSFGFDDAFCITGFAFLATYAGLMLDCGNYYAGRHIWDITVPMLI HGARLEVWAEWCYLVVSGTVKLSYLLLYIRIFGPFQRVTPVMWTGVVFVSLIYVVLLM MSILDCQPIKHHWDKTIPGQCLPAMVLAYSSGGFNVATDLFVLIVPMPTVWSMNLSTA KKIRVSAVFSVGIIVVALSITRLAKTPIVFKSTDPSWDLSNFAIYSFLELSFGFICCC LLTFPAFLEQHGTTMATWLRSRTNSSRGTYSKGTPSPPGSEKGGNRPKTDEHDQYSFN SYNNADARLAFAPIPAGLTQNVDIETGEPHHSPTSRL TSTA_062830 MEFRYSEYIDPTTYETHGLCDGIDLRIHKDPHGEIRGALRCQHD WTRLVAPVKQPFWGTLGDPYSFVRVTIPETLPERLEILSYANEFAFIYDDAITEDLDQ RLDSSNRREILDEFGSSNFSLQQQKDRAHHNQQDAALRREGVKQIQEQIFKEMLAIDK ERAITTMKMWQKFVKVQSSRKRSEPFSGLDEYLPYRISDAGELFWFGLITFGMGLTIP EHEMSLCKELDQPAWEALALTNDLYSWEKERDDAAKAGEALVVNAIWILMQQYSVSET EAKDLCRQKIKESVSRAVQIAESTRNRTDLSLDLRQYTDAITYSVSGNLVWSIYCPRY HAKQVERNNVFLSEYLREESFDPQDQLHQQPQMSQSNHNAQDNNHATSGSYSHNKYTS NNSRASSSFQLRQNSDLLNIAVGRKQS TSTA_062840 MDALKSYLPPIVQAYPAASLAVVGAVSYIVYGICLVIYRLYFSP LAGFPGPKVAAATHWYEFYYNFWLQGKYIYEVERMHQKYGPIVRINPGILCINDPEAY DEIYVSEAKRKTNNYQPFSQGLGFDGSHFLTEEHDLHRRRRKPLEPFFSRSGILRLQP LLAETIEKLDSRFALAKGTGAVIRLDHAFFAMSGDVVGKLCWAEKEDFLDEPNFSPGW YNLIHGIIKSIYLFQMFPWIAQAANSLPKFLIARLLPQALVFDDFDKMTLRNVAIAKQ AKLDKSERDPFRRKYPSLFHWIVNSEMPESELDDQRLANEAQVIMSAGSTSTARTLSH ITCHILSRPEIRARLTEELGPIMAEWPAKVPSWVELEELPYLQALIKEGLRHSYAVMH PLPRVSPDVPIQYKQWTIPAGVAVAMSPYLMHTDTSVYSKPFEFIPERWLDENFNQDM MRNFVPFARGSRRCLGQNLALAELSLALAVFFRPGGPRLELFETDTSDTNHVHDYVVP LPRLDTKGIRVTVH TSTA_062850 MAVAEWFAVPSLWLLTKSLFIGFIPLYFITVICNALYNVFLHPL RKYPGPTLRAAFQLPDMLSLLRGTAYKDTKALHDKYGSVVRLAPNTLSYNSSQAWKDI YGLKPDRSELDKHPAYYKRSSRNLLVVNQADHTRMRKLIAHAFSDTALLEQSPILTKY FDLLVERLKQQIDGPEKGRLNIAAWFNFTTFDIIGDMTLGEPFGALKTETILHGCGAN VFSAIKFLGVIRFAETYLIVGLLLLLLQKSMPSLAKKRAAHLEYTKKMIDARLARETD RNDFMTYILRHNDERGMSYQELVGTCRVFLVAGSETTATLLSGAIFYLLQNPSCMDKL KREVREAFPTANDITMRSVTSSGRLSYMEAVLQESFRCYPPVPSTLPRITGSGGAIID GKFVPPGTSVGVNQWSAYRSSSNFASPNTFDPGRWLPDAPGKYRGDNKAVLQPFTLGP RQCIGKGLAYFELRSILSRMMWHFEIELDTDSRGWLDNPREFALWDKPPLWVRLRHRT D TSTA_062860 MQITHFMGATWSQFFPPHPTLTETNLPSQKGKVFIVTGGYSGVG YELVAILYQAGAKVYLAGRSEEKANAAIAEIKAQPTKKDLSETGEIVFLHLSLDDLTT IKPAVEKFTSAESRLDVLFNNAGVSNPPTGSVSAQGHELQLATNVLGPHLLTQLLLPT LRNTAKSLPPGSVRVIWTSSIADQLQAPKNGIELSELERPHSDPQHNYTLSKLGNWYL AHGLAKQAGSDGILTVTQNPGNLKSNLTRHLPSYVAILAGPLLHHARKGAYTELWAGL SPDLKIEDNGKYVVPWGRLHPNPRQDLLATMKSKDEGGNGVAAIFLEFADKQIADFK TSTA_062870 MVCTNGVSDKPIYYFGIELEMFAIFKSGDSREITTEINARDKLI RAADEIVQLYNEAISGGSPPGEVGVKYPVMIRPPWGTEIVESYPTRWEINCVWEIHDD RSLYMFGVEQCDGWPVEFASPIFDYSNMLSGNGIVDGPYVYPWLNSIKKLFSVLHENT IVLADDECSLQVSVSANEYYGWENLDQVKSLAKSILYFEGAIRSFLPYHRRNTAYAKW NGLLTRITSTVARWNPHFENTSGIESRIALVDACTDVEGLVELMNNGTKRWSWNFTNL EADADSDLIRGRVEFRSPPAVDDWRECVAWIHFAIEFVHASISMKATIRRLGAFGRDS KGLVRFIDSVAPLMSADEKAAYRRELGLLEH TSTA_062880 MTSTESSPLPPRGSPIGISALVVGAGVAGLLAALELWRQGIDVQ IIDRAPSRLTGGDGFSISYNIIRSFRNWPYMAKKNEEITFHPYLAWHNIKGERVSGPI KVEIGDKDGEVNQGQEGGPSEQLYRHSRPKFHLMLGEQLEMTGMKVQYGKRAIRYIDA DPDQNNKASVELDTGDIMEADIVIAADGIGSHSTKVTLGHEVPARSTGLAIYRAAFSL EIALSDPEIVERFKLLPDGSPVAELWLGQVFHISHVKFFQSCLNSVDNIFIQASRKAL ESWSRSIPPQQVIDDTTSKLEGWPDYANRVILMTPKDKLIDFELVWRDPQRVWTSNSG RIVQIGDAAHTFLPTSGNGANQGMEDAISLAKCLRIAGKDNIAEATRVHTKLRFERVS CLQKVGIYNQATQYGQRGDDNQLTGELKPMPKYLRALMAPWVVEHDPEEYAAEKYHEA LAALKNGTPFQNTNIPRGHVYKPWNFEELLKVVEDEGEVELGGEWYD TSTA_062890 MFGLKSLIAGLLLSPLQTLAQLHTSSRWILDADNNRVKLRCINW AGHIDLRIPEGLNKQPIDTITTWIADNGFNCVRLTYSIDMALDPEQSVSDSFTAAGTA WDVQSEMTDAYNAAVARNPFLSGASTLDTFAIVIDSLESKGVMTILDNHVSKASWCCN LTDGNGWWDTASGYIASNSRYFNTTEWLAGLDAMATFARSHPGVVGMSIRNELRPFPL LQDLTHSDWYNYVTQGALTVHNANPDVLIIIGGSQSATDLSFIRNSNLDFSQWAGKHV WEFHAYSFTVTFPGNTDCMVASAEYGLFDGFLLTQDKSYTAPLLLSEFGVGQSGGSNS GLSDSDYNYLQCLVQYMESNDAEWSVWAVQGSYYIRDGTVDYDETWGLLNHEWSGWRN GNFSGLLVVLTLTVLVHPMLNKPT TSTA_062900 MPTDFSPPPSFSIPTERLHISYIQPGNPDHTSFFMHVWNLEEIK RFIGKRDLDTLEKVDVFIKNQVQAEYNRAGYGRFLVSLKPHPQASLAESKHIGLVSLI LREPPNGYPHPDIGYAFVPEHWGKGYATEAAIALINYARREFGVTGVFGRRVLEKIGL EFRGELTLRAFDGIQSAVYALPGMNPDLSVYGIEG TSTA_062910 MSARETSFQCHFPGCGLRYRRKEHLTRHARRHFQPESFECPFCD RVFARNDTLRQHVRIRHKNRELHSGRAIRACNYCRSRRSRCDGKVPCETCLGKGIQCS FARSSPRPKVEQQRSPTISTTPGTLGEPNYQYTAYASSNLIRNSDSIERSPCRMLPYI QAYFEKFHPNWPFLHRATFDVDREPTLLVQSVVMMGLWLTGENNAQLAAMDLHSELTL LIHQQRDKWDVSNQHEMSPGSLSPWPMATYQGILLHITFALIKGNGKQLDLQLTHQLS EIPSQLLVALVDCCRKREMLFYPSMLAQFNADSLPGVYIWLGIEEAKRFALALYKVCR CCRVHDTLLSYGGSMDSHLQIKLCAQVSSLLSLADLQFALPDSDELWHASSNLASRVA DNACAYWEKNAEENWICQAARLLQTPGGFNWI TSTA_062920 MAVCFNGGENKSGAVGMMADAQTPSLSSQISEKSRGMSDEEPKK EWILVVTAILSSHMLFALDNTIVANIQPAILEQFQESNQIAWLSVGFSLCSSALVLPW SKAYATYNAKWLYIGCVILFMAGSALCGAAPNMNAMIVGRAIAGAGGSGMYFGVLTQL SVNTTVSERPFYIGLTAVSWGIGTATGPAIGGAFAESSATWRWAFYINLVIGGVFAPV YVFLLPSFEPLPNATAKEKARNIDWVGIVLFIGALATLIMGIDFGGVDWAWRSGQSIA LFIVSGVLFILFGIQQSFYILCNDQNRLFPVHFLKRRSLLLLFILNTSASSGLFISVY YIPLFFQFTQGDTAVHASLRILPFVIVLIFTIILNGHMMSKFGYYLPWYLFGSICELI AASLMYIVKTDTSASAIYGYTSLMALGVGAFNQAGYSVVQAKVKKMEIPWALGFMMVS QLGGIVLGLGIAGAIFVNKSTHGLMKLLPDAHLDAIKNVIGGTASEFFKSLPKAQQTA ALDIIVKAIDDVYILLIVAGALGVILSVFLKREKLFMEVATGGA TSTA_062930 MSTHESPSKDSMKSTWRRANRDQWTITHWLLELLNVHHVCLDLD VPVHSKEDKVPYLPPWSLHMWVLFYASIPLVIHQVWVTFVNPDGLGKFATFNLYFFAF NAIIIRQTHILRRLAHRYGFLDGDKHERDGVPDVAVHRVAASLYKTTGSRLIMAVFLT YNKSQQPLTSLNGWIWWLPLEIGLYGIVLDFWFYWYHRAMHDINPLWKFHRTHHLTKH PNPLLSAYADHEQEFFDMAVIPFLTYISLKAMGLPMGFYDCAEIVIEDHDLHHRKGWR KSHNYGKQTRLWDRIFGTCHERIESIDSNIDYVNTAYMPVF TSTA_062940 MADAVETTTDGPPPLPYSLRQRKRSIAFFWTLFIIDTLIQPLVL YYALRYGTSLSLNLVFSISTAALGGVSVFEYFFRLYNLMRSKSRARPLNARKSWLDFF QINFTIVWLILAVELIVGTVPTIPYIRLLAMPLPTVMFYFGGVHLTLDILRMSGHKAP FRISSTPQGSVMPTALYVLVEDIVAVDGGGGQSYRYALRRRYLSSPYFRRMLFEMNCF WAGGSLVAAAAITAIIFTTPINVAYTLGWSLPFAWAGLWTLITFPWVQAELRHEKETW HLVQQHDGGEPFTDDITANPRTRMNSFQARILPFTAREKQLSPERESSIAEEPGSAQD NENIPADHTTRAPAGDDENFVGTVTAEEGTIGAGGLERSASRHDHEIATNNDNKSDNT PDPSRDLEKQ TSTA_062950 MSLYNPTTILVALLLLYISSFILFAFVRVATGISIQRIGYFSLR RISYAPRDGVQIDIRGLGLSLHRPSFSQPTWVRLRLTDLKVTIDSKQLKNNRNDGTHA SNSSSSSAAASQNNSPEVPQHATFGAPKSSKRSETWKRLTRLKERIKRLHSYIHWLAM IDVHAANTTLSFVDAGYIQVGSLTLAVDTRRNMVDRGRLFRHKKEHSQESSPAEWIMN VRNILLAVDGREPLELLDNFQVNIHGHLYRDLEGLRDTSVAVKIGRLHFPYDDLMTLS QRIRLSRKSHSRQDTFSETEDEISFADFVEELDKPGSREEAIVQTVADSKEFVSSILR GIEEIQVALSFFRISRSFQPSLKEQKEMQLNLVTHEIGIDLHRMDPNSPAHRMYFQQR DVAHQALLAAISVSVSMEDGSGTADRLLYIPMATTTIKTTLPSKTVSFSDKHDASERN SNVLFANFVITSPSLDMEPRHVSQVLRLAEAKKSSPRRKKRDNHRIISRLLPKAKINF SVQEPVVRFVLPIPKEAPVDGGDYNLLISSISSVSLDIESFHSAEAGFHYSLSSTYRV ASHQLYYQTPAGIKHNLLQTQDMELRVHLNATPEVCVFVSGSLNSFSVHMVNPDVNRG IKQVIEQIRAQMKPRNRMSTSNTEQKSSFLRRLPPWLMRVQFEANELTFEVAGPPPGS SKTNRGVALHLDSWTADYRAQKLELTRSSTRRRTSSHSHTATLGDDPPFRFPPTSPPR RTGNGHADGRRLAIHIRGLEGFVIESEDYMEPESFLSLPRCEVALTTHSDLQGPIFHI NSSVKAIFLEFSLYRTYSLGVAVNVLSETFMQSPATTPYTSQSMDFSASIPPPPRSLS QRSELTTVDVRVGLVRVKGIMPNDPRLMLQLYTVAAGSHRWSAPFFRCQLARLHVAAP KVQNVWARILSMNTVRVDLRESKRRHGEELRDERSVDVSADWIRIGVPHHLIMHRVFD NFTVTFKALKTLYQRFKTNSDIDVLEKEPEGPKIVPRISLRSKTLAFQLEDDAFEWKL GCIYRAGLVEQRHRLAREEAFRLKTQKIREAENRRTPSRFRAQSAHPESRLGRRSDDR RRSASIGPRESRRSFEDDADKYGCARYRTDGAASISSSSKVSEKEAWARLQEYNARTW KSKIDAAIQFQSKSIRELRNIFAGADQPPEDVEDDEPILAIPNRPALMSALIGDVHLV IDKPSFPIEEYSRFLHRIGKGMPVDMKFSLLIPMSIHLDMGEARVTLRDYPLDLLHIP SLRSGQSSRVPSWSLQTDFVIAEEYRTRESTRDVQVCVVPPSKLADGQMSEGFYVTVR RTIAPVKTYSEPTFDINTSLPTSITWCMSYQPVIQDMMKIIEGFTKPEIDPSERVGFW DKIRLSFHSRIKVRWKGDGDVHLRLKGSRDPYIITGFGAGFVMCWRKDVQWDIHPTDD PKEFMTVTSGEYVLAVPDYSHEARYSYEHALESNKNQPSTGQAKNAAHFKKVMMKLSG SVKWLAGLVFERNADTGRSFDFKSHYDVVLRNPHYIDEVQRKDYDAYRGFRSNHIHLS VAVMAPNSADQTTPNYNTVHLTPRLFTHFFNWWSLFSGVMSLPVRQGPLWPGITKTSK KFGRHLATVKYKLLLSPLFASHIYKHKDAEEYGDDVVTATGIKVRLANFKFDLHQRRE RVQTPIKGRLKQMKSSAMRINRAELDFEAADFRAVSASIEGTALDDILEDQGNIVESF QQPVPAVDVSRFNIPDRDYTWIDMDDFVELDWILPQESQPKTKILPLAYTPRFTYFRQ TDHGNAPVLENGINQFGDEPTHECVMSQGNDPWQVQMDLIKDRLANLDIQAKNHELQL SEHELQLVKEGEDNPDLKVKHELLVRQAEALDRRRKFLNAGLQRLERLCLGQESANDA RSHDDRGSEESSSRTDNGSESDKDDPAMDTSYEVPDLDSANDFNNRFTIHNPQVKWNN ALRDIMVRYGHQVSQRRGFVYYMSQQAVKFISDIVSEQNKNNRRRRGLFDESPTREEE GENEDDEYVQDRIEQLLHDAKRYVNADDPSSTSAPATEHTRSRSADFSDHISSEFTPQ HSYHLRLIAPQIQLQSDKNPRSVTLVTAKSMTLEVLSIMDKRRVSDDVSGLVQRRFSL DMDGAQFFVATQKNLKAHLQFYAGNKYGNAPGSAWPPWLTLEAMFDFELLPFGFSRII QRTSASLRYEKFNNLRLKYNDEVSQNEGDAAQYHDTTNGRVDQVWVDFPNVRAICDST EYYSIYIIVLDLLLYNEPLEKVRSEKLEKIMFASDFSDLRGAPETVSRLQERIRQLEE IKEHFQIQANSLDPRGWQDRIILERDLANCEEELFFIMKAITTSQRRTDERALSQSSA SMRWCISASDVVWHLMRDRNEPLVEFQLRNASYERTDYTDGSNHNLIEVQRLYALNLL ENAIYPQMIVPYMDHKSRTEMSGDMMLRVQWYMLEAVAGIPVLDQFEVNLFPLKVQLE RELGKKLFEYIFPGVGSNAFENGGFSPFMVKHIKPMDEDEDEEANESGAGTPDFVSTP RSQGSTSNGEDTQNLTGAGSIELRLQPTMTLPDNSRKQAHAARPNRLKVPPLTRFNKK DTQRLSPTDTSGPTLRPATHHGISKKKSAESLRALTRTSTEKSLGAASTASGSTGESK RFTLGKGSKKEQTDDLTQMMSRASNYMILAHVKINDVVLCLSYKGRGDRNIEDVHDFV FRLPVLEYRNKTWSNLELALRLKKDVIKALISHAPAILGNKFSHPRPNKQQQQRLREL ASSSQMLPASDTALNSSSVNLASTAQSVSSRDSASSNRNHLVSTSASSSSGHIQGGPS SLSQSNSSGTYPLKKSVSYSSSSNLSFSREPSIMINDSPVTRDDSSIISSKRDLQEGR PRTAHSIGGFSQDDSSRRSIRSLGRKLLHRHATND TSTA_062960 MDLEAMSFYDSQTTTPTRSSLDYSYQNGHDDEINRLETIPSVLD SNGEKHVRRPSPSRSRRSSDTRTIQQEDVEKQAGYTTGDGKESETSDDAAPEPTRDPN LVEWDGPDDPENPQNFPVARKWTITMLLSFLTIWVTFSTSVFSQATRITAAEFGVSDE VMILATSLPLFGFALGPLLWGPFSELFGRKRPLFFGFFVFIIFQIPVAVAQNVETIML SRFFIGFFGCAPLAIIGGALADLWDPIDRGVAVATFAGGTFGGPTLGPILGGFIVQSH LGWRWTAWITMIAGGAFMIIAFIFVPETYPPVLLQRRAARLRIETKNWAYHSKLDENP PTAGDILTRYVGRPFEMLFLEPILLCITIYLALIYGILYLLFEAYPYSFQGVRGWKSA GVAALPFLGILIGVFLGCAYIVYLTKTRFARKMRKHGVVPEERLVPMFLGSVLLPIGL FWFGWTSNKHVSWVPQVLAGIPIGAGILIIFMQGLNYMIDVYLMFANSAIAANTLFRS ALGGGFPLFATQMYKTLGVPWATSLLGFLTAAMVPIPVLFYLYGAKLRALSRFNPRL TSTA_062970 MVKSSEYSIAQRSQVITLVFLANMKPPDVANLLQMPKKSVYNII NRAKSAGYDPAVKPLVDDCHIVDKPRSGRPKVVTPEIETSILASLTKDRSGREKSAEV LAFEAGISESSCLRLLKFLSFNKCKPTWKPGLTEDMKKRRLAFALSHRHWTLEDWKNI IRTDETSIILGHRRGSSRLFVGRFKNASEFMFWGAFSYDYKGPCHIYDPENAAAKKKA LQELEIVNRGREERAREEWELNNAFSRLRLEPRKGRRPTFKFTAKNGKLERKGKGGVD WYRYQKEVLLPKLLPFAARCKESRPHTLVMEDGAPAHAHFHQKDVYDLHEIQRLLWPG NSSDLNAIEPAWFWLKRRTTLQGAPADRETAKKAWLKAWEELPQDRIRTWIERIPFHI EEIIRLDGGNEYEEGRPRGDSKKRCRQKGVLSFRAYLASEPAANTEWEDYE TSTA_062980 MSFSFSSSQDESHSTLHSGNVVSNTANANDSPQSDRNDVDLATR IHAASRAIHHKLHHGVVGRLLLAIPPNTNSPHLYALGISRFARIYSVFETAWREYLST SSHSSSAELSTNDQARYHALLSQAYISTLARSQRLTSDLTNLKTEWGDNITTAQEGND VAVRDTVTHVTQTCLKKPYVLLAYSWIMYMALFNGGRWIRDQLINAGPQFWFQQNENI DKADALIGPSFLSFWFFDDAEDGEDVKRAFKAAFVEASRKYLTQDECADVIRETNAMF QHCLNIVSEIDLVVALEREKKDEELRNTNFRGLKAFDRHVLLTVIILGVSLAMAVSYN GRFMTCLGY TSTA_062990 MQTATSVYTVTAGVKTTLFGEDYAPMKDSLSVSGTTCPHCGNDL VSQDAIAAQQRIQELEAQLKIYSEKASETAVRIADYENEIHNLRQQAQTWNNNNNHYN EATSTTAAATEQTHPPKTPDANSQQQQQQGRFANFASYFPYRRTGSRAGSKDSSTPPQ TSHSNYTNSSPGGGGHTSPTNNTSFLSHDSSSDTNALALQTALSREQGLRKAAETQLS QAHSELEELTAQLFSQANEMVAQERKARAKLEERVALLEKRDGEKRKRLDRLEKAIVR VERVRELINS TSTA_063000 MPNVSVDVVGESTKEQWSTTFRSSKSFIIVVVSVAIFADVFIYG MVIPLIPAILKDRLHLPDDQLQTWMAILLATFGGALLVSSPVVGYFADKGSSRKGPFL VGLIAVAGATIMFWLARSPTMMIVARILQGVAEAAMWTIGNALVVDTMKKDQLGVAMG YVSMSMNIGTMAGPALGGILVDRAGYDSVFMVALGLIGIDVVLRYLMIEPKTKPHVKV LEGETEPLLNTSSVDYQTHDSVQQPQSDIDNSPQSSSRIPPIFRLAMSGQLLVLLIAS IADAAIWTTFETVFPVFVIQKFHWGSSEIGMCFLVLTLPSIISPIVGLIIDRYGPRIV SVTTFSSLIPIFILFQFVTDDSLQSRILFVALLIAAGFSFSAVLLPLIVEISEPIERK EKESPGIFGAKGANAQAYALHGMAWASGQLLGPIIAGTLAQTAGWGVMNIVMAVISGS TALMLACTSEKIRGMISIPRSSKDQDVESRGEMV TSTA_063010 MSIGTFLADENFGSWADEMEDMPLPAAPEPRSTYGGERRAISST AGFGNGFNDRERPAFSSRPELPLPTEPPFTAHIGNLSFDATSSDVSQLFADCEVTNVR IVEDKLNRSPKGFGYVEFATVEGLKKALTFSGTTLQGRAIRVSIAEPPKDSGSSRDFS DWSRKGPLPDLPRRESNRSFNRDFPDNVSESGSTRGGRRFESDGKVRDFGNWERKGPL SPVAAVAGREGGRPRSNEGSNFRKNSPAWGEGRSQDGSRPPRREFQERPERPERTPTA AEMDNQWRARMRPDPPAPKEPSAPSSPVPTPAAPAVRPKLNLQKRTVSENVQSPPPSA TGDSKASPFGAARPIDTAAREQEVVAKRERARKEAEEKAKAEKAEKQRQSKEKAKAEK SATGDSNGTKETDPDAPKKSNFEVLRRAADEDGGENEAEESKEESTAAKEQPKEAADS KTNGSWRKAEPAAAEADEEGWSTVSTNKRNKGRGRY TSTA_063020 MDADTIVSSLKFGGISGCTGLLYGGTSGILRATKHPIVHTVAHG IHFGLWGTSFWFIRSNILHFHYQDDATRQQRKYVSAISGGLSGGIVGRLIGAKFTPGF VVFSLLGYLGQSGWNIAERWHQENEGKEASKPKSILERMAESKWIPLRALSDDDFRNI LKEKVLSIEVEIALLDEKIKSLEDERAAASLKESQNAKDEGQKN TSTA_063030 MADTCIVCLNDLGGDAVSSPVAAESPPRPDNDNDDDDGANLTAK ASLPQQDVEDAGTALQLARLVPCLHMFHNECLKPWVERANSCPVCRASFNVVELLDNL GGPVVSTYSVQDKVQVADIDPFMIFEEEVTDDSDTQPCPFCGDNDNEEVLLLCDGCDV PSHTYCLGLDAVPSGSWYCRACEAQRAIGAVSDTPSRFPRSRRPERRTRAQQRLLRTH NQANSLQWAAVWQSVYDRLNLDLDFPFDDEQQLSRFHSRVTPRRGSHRAWQRRLEVAE RQGVGRVFREPSAIIDEYVPRPSRPRVPRAPTPQPESLEEMRAWNAFERARELENDPN AARKRKEPTLSPSPEPTEPERKLKRPRTRRTEELAALATQNSNGEASRSAARLNNDSA GGPSFLQSLLKEVEEASNSPRIDTNGPPTYVNTPNEFHSASGPSSPSLSPASSNRSSP RLSSRSPPPHSRIRAVSPIQLSPSIDSPSSPSSPSPPSPEFSPSASPAQSQTDNSDFQ RQPDSSHRDPRRQRRAEGIRSSDVSPSRLSLSLSAKSSIQKLVSSELKPYYRRKVISK DDYTNINRTISRKLYEHVEEGVELQEDHLRELKDSARKAVKEAVDLIREEPSTTDDKT DSGNVLIGVN TSTA_063040 MSTDKITFLTNWHATPYHAPLYLAQSKGYFKDEGLKVALLEPND PSDVTEIIGSGKVDMGFKAMIHTLAAKARNFPVTSFGSLLDEPFTGVVYLKDSGITTD FKTLKGKRIGYVGEFGKIQIDELTKYYGMTPDDYTAVRCGMNVTKAIIEGKIDAGIGL ENVQMVELEEWLASQGRPRDDVQMLRIDQLAELGCCCFCSILYIANDSFLAANADKVK KFLRAVKRATDFVIAEPKKAYDEYVDFKPVMGTEVNRKIFERSYAYFSRDLKNVPRDW QKVTNYGKRLGILDASFQPNYTNDLLSWALDADSTDPIGDQKRMAELQKKVAAEGGFQ RLEIAA TSTA_063040 MSTDKITFLTNWHATPYHAPLYLAQSKGYFKDEGLKVALLEPND PSDVTEIIGSGKVDMGFKAMIHTLAAKARNFPVTSFGSLLDEPFTGVVYLKDSGITTD FKTLKGKRIGYVGEFGKIQIDELTKYYGMTPDDYTAVRCGMNVTKAIIEGKIDAGIGL ENVQMVELEEWLASQGRPRDDVQMLRIDQLAELGCCCFCSILYIANDSFLAANADKVK KFLRAVKRATDFVIAEPKKAYDEYVDFKPVMGTEVNRKIFERSYAYFSRDLKNVPRDW QKVTNYGKRLGILDASFQPNYTNDLLSWALDADSTDPIGDQKRMAELQKKVAAEGGFQ RLEIAA TSTA_063040 MSTDKITFLTNWHATPYHAPLYLAQSKGYFKDEGLKVALLEPND PSDVTEIIGSGKVDMGFKAMIHTLAAKARNFPVTSFGSLLDEPFTGVVYLKDSGITTD FKTLKGKRIGYVGEFGKIQIDELTKYYGMTPDDYTAVRCGMNVTKAIIEGKIDAGIGL ENVQMVELEEWLASQGRPRDDVQMLRIDQLAELGCCCFCSILYIANDSFLAANADKVK KFLRAVKRATDFVIAEPKKAYDEYVDFKPVMGTEVNRKIFERSYAYFSRDLKNVPRDW QKVTNYGKRLGILDASFQPNYTNDLLSWALDADSTDPIGDQKRMAELQKKVAAEGGFQ RLEIAA TSTA_063040 MSTDKITFLTNWHATPYHAPLYLAQSKGYFKDEGLKVALLEPND PSDVTEIIGSGKVDMGFKAMIHTLAAKARNFPVTSFGSLLDEPFTGVVYLKDSGITTD FKTLKGKRIGYVGEFGKIQIDELTKYYGMTPDDYTAVRCGMNVTKAIIEGKIDAGIGL ENVQMVELEEWLASQGRPRDDVQMLRIDQLAELGCCCFCSILYIANDSFLAANADKVK KFLRAVKRATDFVIAEPKKAYDEYVDFKPVMGTEVNRKIFERSYAYFSRDLKNVPRDW QKVTNYGKRLGILDASFQPNYTNDLLSWALDADSTDPIGDQKRMAELQKKVAAEGGFQ RLEIAA TSTA_063040 MGFKAMIHTLAAKARNFPVTSFGSLLDEPFTGVVYLKDSGITTD FKTLKGKRIGYVGEFGKIQIDELTKYYGMTPDDYTAVRCGMNVTKAIIEGKIDAGIGL ENVQMVELEEWLASQGRPRDDVQMLRIDQLAELGCCCFCSILYIANDSFLAANADKVK KFLRAVKRATDFVIAEPKKAYDEYVDFKPVMGTEVNRKIFERSYAYFSRDLKNVPRDW QKVTNYGKRLGILDASFQPNYTNDLLSWALDADSTDPIGDQKRMAELQKKVAAEGGFQ RLEIAA TSTA_063050 MQSGISVSQDLHDAFQAFTSDDSAFALPITIDSESLQPRPPIPF AESSFYSSLPQLQSILEPRTPLFLVLRHHSPAVSGLLALTYIPSNAGVRAKTLFASTR ATLTRELGSEKFATTIFATEEEEVYGEDAWKERDLEGNGTSTASFKREDLMDEKEREL EAVRRAEEEARHGTAGRDVGTGGSLGRVSGIATGGGMRVNMPVDEDAKTALRNIQEEG LVQLSIDVKSESIKLNATESNVSPSAVASHISDSSPRYTFYRYPGSTIVIFIYTCPSG SSIKEKMLYASTRRVAIQIGEAEGIQIDNKIEGSSPDEITAARLQEEVTPRQDEGPKR GFARPKRPGR TSTA_063050 MQSGISVSQDLHDAFQAFTSDDSAFALPITIDSESLQPRPPIPF AESSFYSSLPQLQSILEPRTPLFLVLRHHSPAVSGLLALTYIPSNAGVRAKTLFASTR ATLTRELGSEKFATTIFATEEEEVYGEDAWKERDLEGNGTSTASFKREDLMDEKEREL EAVRRAEEEARHGTAGRDVGTGGSLGRVSGIATGGGMRVNMPVDEDAKTALRNIQEEG LVQLSIDVKSESIKLNATESNVSPSAVASHISDSSPRYTFYRYPGSTIVIFIYTCPSG SSIKEKMLYASTRRVAIQIGEAEGIQIDNKVTIIQLHY TSTA_063060 MSRHHPDLVMCRKQPGISIGRVCDKCDGRCPVCDSYVRPTTLVR VCDECSFGNYQNKCIVCGGEGISDAFYCFQCTRLEKDRDGCPKIINLGSSRTDFGMGY EGITKAISNAYIYSPDWI TSTA_063070 MAEETLASAVSVPQPEDLDPVRASPEARQKRRQSSVSENGSKRR RISGDNHSPDNNNTTRQPTRPGGRRVVAAAEERKRGQRLFGGLLGTLSQTSSTTAQKR RADIEQRQQAKLKLQDEQHSETKRRKKEELLDERRSQQEDLERASMTLRHQNMLHAAG FLRTKVEPVLLWRPFELIEEDEDMISRQRQEAKETIVKEEAEFEERWRKREQEGNKIL HENGKPENSNNQQAEPSQDIDNDASKPSEHETQKEENGEQDVSTTDTGEPSTDATHNL LTHRQDDEGEEVMEEDKEDMVLY TSTA_063080 MQHTSVASNMTSKIASKIDRINYNEDSRVQWRNANLNRRNYAYI YGEPVSGKWKATVFLLHGFPDLAIGWRYQIPHLLNLGFRVVAPDQLGYGRTDAPDDPK EYAFKKIAADLAQLAHQLGESRIVLCAHDWGAALAYSIYHHQHSLISHIITVCVPYSP PRTNYIPLEELVDKFLPSLGYQLQFRSGEVEKAVRSKDDVRQFLTIMYGGRTAEGEGG WSASKGVKLDKLGSFRESPLLKGEDLEYYATEFSRYGIHGPLNWYRLTEINFNDEKQY ASTPKIEVPLLFIQALRDPALPPETMGKSMGKAIPDLTVKKLNTSHWALTEDPRGVNE AVEFFLGKYFPDKERSSL TSTA_063090 MSKEFDLVLLGPTGYTGQYTAENIYKGFPTTLKWAVAGRSASKI ESLVQKWRQLGYDRPDPEILIVQMNLDNLHALAKRTRLIINCVGPYHLYSTPVVDACA ENGTHYVDVTGETPWVRKVLHQYHEIAAKNGAIIIPSCGFESVPPDVVAWYAVNHLRT QLSAEPTEAVGCIYDIKAAGASGGTSYTVISTLESADISDLVKSMDSYCLAASPIPST RTTPRKSIIETIFGIRSVSDLGTLTSSPSGMVDETTVIRSSTLMSNLYGPNFSYREYF RVRNVLLGAVFHFVLTIGVTLLVFAPFRWIAKRFVPAPGHGPSKEETVNDYSEHRVLV SSNQKDSTTGKMKKVLGSIAYRGDLYGLTGITVSAAAKIVLEHEKEIKNISAGFVTPA TLGQAYVDELERGGFNFDVKVLN TSTA_063100 MSTKTSNGNGKKAASPATNLIAGGGAGMMEALVCHPLDTIKVRM QLSRRARAPGVKPRGFVATGAEIVRRETAMGLYKGLGAVLGGIIPKMAIRFTSYEWYK QLLTDENGHVTRKATFIAGLAAGVTEAVAVVNPMEVIKIRLQAQHHSLADPLDTPKYR SAPHALFTVVREEGFGALYRGVSLTALRQGTNQAANFTAYTELKSALQKWQPEYANSE LPSWQTTIIGLISGAVGPFSNAPIDTIKTRLQRTPAEPGQTALGRITMIAGEMFKQEG AKAFYKGITPRVMRVAPGQAVTFTVYEFLKGKLENSRWSIVGGKYEE TSTA_063110 MAEQGGSHLSSSQTLYNSTSSLPSSKLCSQTYKSASQLFLTRRL QESFNTLIPIITAPTASDDVQITNGDADGNTSTLAPIAYASSNVRIKVWNLYITLLSN VIDLGPEEGKKEFGQKEWKALVAKVRDGSIWEEIVQTGYQGLEGSVDADVIYNLGTLL LTHSPSQTLNQQRLETYLSAYRQPDLDLAAYMENSASGSRRLTRNGGTDTPKDLAARV KIIELFTLHVLPQNGEWDYAAEFIRLSEVLDEERKEVFLQTLDELKEEKERGNQRAAE LQREKEAELERQAQEEEERRKEASAAEKAQKASTGHRRANSEVDYGIDKSHPNGAAKG RSVKGADKPGPSSDNKKAQSATTRRTAFPPSSSSVSKSIGEREKSTVSVSRRIRVFYN VLRNILGYIQNSVAGNPMAFIRTILFTLGFILALSRQDVRARIQRLTNSSLQKIRGTV GMGVKLVLTIYWGKMGTETQVVSDNPEMQDMSLPDSRTSNRWTGILKKAASIILSQWL IIGMGIACLLAYLFPNLAKHDGIIRSQDSILYGAVALVFLVSGLSIPRQQLYTHMFNW HLHALVQVTSFLFVPAVVLAVVHLIIATDHARVIDPAVLAGYILTSAIPTTIASNVVM TRSAGGDDAAALVEVLIANFLGPFMTAGWTVALMPEAEEFEPWKTGGTSDMSQMYRGV FKQLGLSALLPLVIGQLIRWTWEKQVASMLQKLYINKLSTACMILLVWTTFSSAFATD SLEELTTQTVIFTVFFNVALYLFLTVVCFTLSRPPHTLRKTRWGKRVFRAMPPEETIA VCFCGPAKTTALGIPLLYAMYDSMDLYTKSKTSIPVLLYTTEQIFVAHFMVYVLRWWK GRLEADEKRDVESDVGGRGR TSTA_063120 MDFQEDIVIGEDWGFRLPGILRDISECAFVALDFEFSGIPSHQA GSRPQSLQERYAEVKEAAKTYQILQVGLTIVKEDVLREKGKYTVKPFNIPLSPWIDPS LHVDRTFGLSSNAIHFLAKIGFNLGETCENGVPYLSKKEVDEILDEARRFHGSRWQTA KRAQIILSDDDIESAAFLAGIRKDIEEWLNADWDKPDYLHVPALNGHAFTRFEKRLIH QLVETEYPSLTSIRSGESIKIVKYDEAKEHKKYEGKMSRVQQRLIDHRGFAWVIEALA GGDLSQMTSQMFEPLVIGEGPDAEYHKTQIITKLKARNGQIAIVGHNLFMDVTYLWQC FYGDLPDRVEDFAQLLHEKFPMLIDTKYIFTHDCGDMNPAATLEKIAEACKRIAKPQI KLVMDNLHTRYRKKACPHEAGYDSLLTAQVFIKQAVQLPGARTRSILQPTQATRKGNP TVQHTSGVALDISKETSTNDQGGHKQKFGTNFSVFETTELENSIDKLAIPDGGHELIP PFSSPVWKVYGNKLRVFGTHERVFELGD TSTA_063130 MSAGSRGTRRMAAVALRPSNFSVCRGCQESLTITRRDYASAAST VIGGGSGDISKAEIPLPSSSEASSTSPSYDIKAGVVLSRPPQITRDLTPFEKSFYFYQ KRLNERLALPFTRYFYFKRGTPADEDWKRKFRERLTPSRDIGKYNAYSPEAWNDELLV GAVESEPEHQVEMLLRDAELVTTASSEGATTKKVEIERPAFRVTEADKKNDQKSLDRL LQRTLYLLVKTKQGYWKFPSSSVGLNENLRSAAERTLSETAGVNMNTWFVGFHPIGHY SYIFKTPKPDQGTKELIAGEKTFFLKSRIMAGQADLQANTLDIADFKWLAKEEIQRFV LPQYYSSVKNMLADR TSTA_063140 MPPDRSSFTALNLPSTFSLPVCMDYFTLSAGPNTDLWRKPPNGD TSTAPMVFTSLRRPFVVAEVTISADWEMEWDQGGLVIFAGDAPSQSIPTESLRSSSET GVRNFNTMTSQSQSCKWVKAGMEFSSGTVNAASVSATSDGADWCLSPLAMPNIPTNIT SLRIKLERVGYSLWIWYQIPSLSPYAVTPGAVGSTWKKLREVTWFFYGVEDKCVHVGR GGTMWEAMNGMRLDDTTMGGGGDRLVVEFEDLEIF TSTA_063150 MAEVGEPVVLATPSETVTDEIKHGLAVAAKPAEVLDAHATPSKE KETSVKDAGEPVEAEATGESSINHADEKSPEDAPTSTAVDAVVKTDDPTVEEAPTVED AKDKAVEESVTALEDTTGEKKTEKAAGETIVDDTTSSEKPDEKEVEKTEETAIDAPLE NDKSAEAEPHAPPEVAPVAEETTETPAKEVDDAAPVVATEEDAATGAKPADVGSEAVE EPPAAHEEKVVDSAAEDTPAADVATEVESSSATADVETKTSPVIEATEPAAEESLPEP TAATVEPTEHATEAQPETTETEIPTAPENTSDPVVEPEAPVEEAKEDATEVAEESELT TTAEASEQAAEPHTVTTEAEPAAKTVPEPEFQTEKEAETDSVEAEQVPAATTTAEAVE DTKPQTEATEAEVPVALESAPESAPEPETAAKEEVEAPAHEPADEVKEDTTEKAVEEK PAETEVSEVVESVKESATEGQPEEAEPEPRETFPHEPAAAEMKDTSVVEQPKEIEAEP VEVAADVVEPTVTATEVPEAVPAETTVETAAKEAEAVPASGSDVKIVPPAEEETTVAP AETEPAAVTTETKTPEAVEEPASAPAEAPEVSTPETPEVAEETAPESSQVEDGTATES PIAVEEAVEEKEAVAESAVTEPETVATTTEDTTEATTSNEEPSKEINTHEEPAEAQPE AVTASPEVAESTSVESSAEKVEEAHELADTTPAIAEPEQVAAEESTPEPVQAAEEKTD EETVAAEAPIESTEPETAAIDEQPVAAVEQIDDVAKSEEPVETETADSIEATEEPAAA PAESESTPVSVPEPAVESVEELTTEPVAESITETTPETATESAPVSVTEPTAEAAVET AAEPTAEAAADVAEPATEVVEPVTESTTEPVAEAAPGPVAEPTAEAAVETAAEPTAES AVEEVADITEPAVDIVEPSAEPTTEPVAETAPLPVAEPIAEAAVETVAEPAVEPAVTE EDTEFPHTEAGAEPDVAPSVEENAAVETHVATETAVEDVPLAAGKEVPLATEEVSASE PAQETPAEPEAVEEASVPAVTDSQPATESWEVKPIEETASSTAKEDLPEEPVIAAEPE VTSPGAVEEPVVATEADPVAEEPVTESVKEEVAEAVAEPAVNAVDEGREETAEAAETA VDNATEEKSAEAQEESNIEEPATLTSNEAAASTAPEELVEAVEETPAEVVSKAPVEAV NETSVEPAEEQAAIAAEETLADPVEKEVVEVVEEAPVELDSTEAAEEVSQDVEETPTE LAAEEPSQVEEEVPVETVTETTAEPVVEEVAEVPEEVPVEATPAEPAEETPAEATAET TAETSAEPAVEEAAHLVEEAPVDAIQESPAEDATEPVEDVPVKTTVETPAETTEEPTE RAQEIPTGLVAETLVEPVEEEAAAGVEKTPEEVVPETPAAPADVTEVVEESPAEPATE GTTGTVEETPVEPVEEEAPKSVEEAPVEVVADTTTAPAESSAPKVPEVSEANTAEINE PTESVEDTQEPAAEALDATEEQPAKSADVPVEESVEVSAPPGANEESLPEETPAEAAV EVPEPLETSRTIIDEDEKPQEEATRSADAVEETSKITEDSQGANEVIAAETEKDNHAV TEAAVAAGTAAVAGLVAVAAASAASEETSNHEPVETKDIPIEIVKEAAPTEEVPIPEI VENTLAEPSKLPKDATESTTKDIPVVNGLKSSEGRPVEASTAKEVETEAAIPVEEVAP VSEKVPETEPMSKDIGVSVGEIAGIVGGASVVAAAAAAAGHALTSSQKDSQPKNVAIH ADKEHQPAPVHVVEPSTDGLKPGEGPQEIVAPPTPEPEADAALRMLAKDRETLLNQLG GSVSALNLLNGDKKPADLSARVQSYCEEDEHYTAANRSAPNAEGAENNDVSKITSGET ADTEPAAKKPDDETRSTGNQSTTALSMKSSNWFTALFRTIWATILGGIFSGFRRKKDS N TSTA_063160 MGVARETKRRRLSPSDDDNSSAAPKSKANKVSANIQNAFYSRAA EWDLEQDYERRPRKGKNKKEKEKTRLPIKTSEGLVHVEEPEEAAASDADSFLDTDSDG GNGKDENEEAETEEEEVESAPKVPLKILIVQAKEEMARIATLINEDPEEHIGLFKKLA DFVDKEKTHVAIRKLALATHAAVFRDVIPGYRIRPLGEEVNKNEKLSKEVRKLRSYEQ SLLSSYKHYVQQLTNLSKGGSKGSEDNAANSIRTVAINCACNMLLSVPHFNFRTELLK ILVNRLSKRRIDANFIKSRETMEDIFAKDDDGIVSLEAVSLLSKMMRAKDFNVHESVL NTFLHLRLLSEFSSKGSRDRVDRNEDDDQGGTSLQGKKKNKKEFRTKKERKLLRDRKA VEKDMREADALVSHEAREKNQAETLKLVFGTYFRILKLRTETKLMGAVLEGLAKYAHL INQDFFGDVLEALKELVEQIAIKDGAAGGDEKANDEGPDNDEEINYEEEGLLTLRQST RQVLLCTITAFALLEGQDVSKSASSLHLDLGFFITHLYRTLYSLALNTDIEYNPEKSL RLPDPGTSTANSQPDNNDDNKRKNKINFQTPMVLLLRCLQSTLLSRSHGIAPPIRLAG FTKRLVTTSLQLPEKSSIAMLALLTKVVKQHARKIAPLWNTEERKGDGVFNPLAADVE KSNVFAATVWEGELLRIHYCPEVREAALGIEKLVASG TSTA_063170 MRALTEEETKTLFQKLANYTGRSLNQLIQPTEDNERYVFRIQGS RVYYVKQSIANLATSVARDNLLSLGVCVGKFTKTMKFRLHITALDLIAPYARYKVTIK QNGEMPFLYGGNVVKAHVLRWSEDCPEHSGCVVFSQDDTPLVCHL TSTA_063180 MPPYTGTQKHYISQFVSFTQAKDVVAAKFLRASGWNVEQAVDAY FSSSHAATSSSVPALNKTFDDYRDDPKENPDTIGIEGAMKFLETIQVRLDEVACLGIA ELLKSPSMGEFTRTGFVDGWKSVGVESIPQMISHAATLRNQISSQPDTFRKVYRYAFP LCRMQGQRNLTFEIASEQWQLFFTSENGGVDWSTPSTPWLDWYLEFLKTKGQRPVNKD LWEQTEVFMRKTLEDENFGWWSADGAWPGTLDEFVEYVQHDKRGGKPAEAMNIE TSTA_063190 MSVKFQQETIRTTGVKAEDLTPKDLVHKVGERLTGGDTHTGYLA AYLKQLQTNPLRTKMLTSGVLSALQEFIASWLAHDVSKHGHYFSSRVPKMALYGMFIS APLGHVLIGILQKIFAGRSSLKAKVLQILVSNLIIAPIQNSVYLVSMAIIAGARTFHQ VRATVRAGFMPVMKVSWVTSPVALAFAQYFLPEHTWVPFFNIVAFVIGTYVNTHTKKK RLEALRRQYDQRRGGPPPPGSEYGRRDY TSTA_063200 MTADMSNQSSEKPEDTSPAPRSDAPKIIPRQKAVSDAVVSAIQK TDETVLRLNRLLSTKAGQDIVLSNLNHTSHALHYLLASSPISRLRLTIRLWLLRRLGR NVSSIPPPPATKIASAQQPPLLAFSNLISKTRYTLRLLGLVSMWSWGSATYKSPPKDP VLRAVAYLEVLSMLVYQALENATYLASNGVIGDSLIKRTGGIGKWELWSIRSWFGYVL LEFVRLWRESILFAQREREEQRQVSAGEKSIAVADAELKAAREAEIRSWRKSLVNNLA WAPLCAHWSFEKGIGVPSSLTGFVSLLAGVWGTYDAWQATALL TSTA_063210 MIRKTLRFLLLYLQIVTSSAIFTKQIILGAQQPSHDGLPYNASR TVIFTGHTVTLDGVPYYVPPEPATSIIVPGNIKFNPGLSPLTVFRPSALPFRQEELEA AVENYLDEDDVFTTSFLRGIYVRLFEETETPISISELASYGVETILMGSANLPAGPYF ISSEGHVFQALRLFADTQGAFSQSLVANTADGSYSPLPATLPGVESAIAIPSRLYFTR TPSQPLAGVRLAVKDIFDIEGIRTSAGSRAWYYFYPPANTTSPVVNALIEAGAVVVGK VKTSQFANGQLATADWIDYHSPFNPRGDGYQQPFSSSTGAGVGVASYDWLDLALGTDT GGSIRFPSQSNGVFGIRASHGAALLENVVPLAPQFDTAGLIARDPTVWRIASQVIYSN TTFDWSAYPRKIQTIISKPLDLSDPIDSMVDKFLEELSEHLPASLTYLDVPSLWTETH PSNMSSNLTEAINRIYPTIIGIEQAQLVRDAFYADYKTKHSGRRPFVNPAPRIRWGYA DSLPTGTLEEAITNQTAFQSWIDNNVLKPNAQSCSDSLLVYVEPATRMPLYRDCYRNE PAIPFGSGANMISVYGGVPDMVIPIGEKTYVSQVTGVEEKSPVSVNLVAAKGCDGMLF RLVNELVQKGILREVKAGRSLVNGGNILF TSTA_063220 MLATETLQLQSNHLPADYERHRNSIFANKQQISFLPWPRPAPVL VEDVHSEEHVEIEEKQLLLQPEVQTEKHQQQMICPYTAQLKAEEVMNIVEGYGYHEKT GPAGEAWLGRESFTPRVQKRIVANEIIPMVLPAFPMKSNNRMDKVLAALPDLGEELGL ARLANLCSDIKAVYPAGALVVIVTDGLCYNDLVGISDGEVWEYGHRLRQIAREKGYAC IRFNRIMNLLGIYRGAEISKGEYTQLCDKARSELHRRYGRPGFDVDTFLKTDEDYLRT YNGYDKFMKSDLRFSPVTKNCPGPKQYKKKVKLIAKSMIVRGVEFAELVRQIYPDSLR LSIHPSSGQTKLSCPLIPQPNSFSMSPWHSTVAVTRNGTFITAHKSQHREDDKYTLVY RDDQPYYFREKSDLFEWKSSNVEFEHHYKQHLVIRDKSVQKEECFCIDCSNGSVSDGN HSTSQAGELSEADLDKLAKLTILHKSVKFMSDK TSTA_063230 MAYTQLGQTQAGRLLSTTSAMKIDRPKPLHFHVPLFPGVQLLDF TGPLDVLSLRSQYPETSGLTLTLLSSTLEPVSTKPIPPPSAKWKFNLPPGTNTNFNPK VVPDVTFQDYLSALARGKISDNGDGSLKPIDVLLIPGGPGTRLDRIHSDPEAKISNTQ ETQDFIKAVASHVRHSVITICTGSHILAQTGLLNNLDATTNSARFKDVSTANHPHSRK VKWQANRRWVRTSVPNASISRSTTGQLDNEGLRPGIEVWSSAGVTAGLDLLLEFVKVH YGGEEVAQQTAKRLEYRWERD TSTA_063240 MGYSGLRTFPVYDLVISDCIRKIKENNAARIQIHNVDSLVAILP KTLNSNFQSSYMNHSIQDQITSRRISQSRTRSETTTLSTHKAKEVTTGDLPYKMTQSI YDERSPLLPRSPDAVESAKTKDSDIPNGGTWAWLQVLAGHLVVFNVWGYITSYGFFQE YYVKNLEISPADASWIGAVQMFMVHLLGTFSGRAMDAGYLRTCIATGCSLQTLGAFAT SFGTKLWHFWLAQGICSGIGHGLVFSPMISLYSTWFTSKRVMAVSLASCGAATGGMVF PAIGYYLFDRLGFAWTVRLLALIIAVNGLVVSAVTRARIVSRKSSPWFDFTAFKELSY TMFSIGSFFIFEGIYFAYIYVRQFAQSKANFSFQESLFLLFLMNGVGLPSRILVAYLA DRYLGAVRTCVLVSIPCGAMLLCWIAVDSHQSMVIWATVYGIFANCALSQVPASNAYF GAKTPEKSGTRVGTITTINSIPLLTGPYIAGKLIALNGGDYLYAQLFGGLCIIIGALF LVGAQWADTRKLNQL TSTA_063250 MTFEYDPTEIVPKVVQVLKSFSQHIRKPGSEENFEKVLDAVQGR IKEYIAENAPITMVLPAFPWKNPNLDKVLGPDPDLGEELGLSRLNDICQEISKFYIYG ARLLLICDVPVYNDLVGIPDDEAYDYGLRLRQLAQEKKFSSIQFLRSIDLMGLGDGEK ISRSDYLESITMVREKLMSSDYFDQSFNIETELEANPDTKATFDGFFSRISEDLKWGK GIDVAVVADQVAYDAEVARVVKVMIQRLIAYEKLISVTLGEHIRLSIHPSIGKNKISI PLLPHAGKFGDMPWHASVVVLCDGDIMSGDAKDFRDHYDVVMKDGRPYYFRERNPLYE WSVPVYIQHSYKSLLFKNPGDGKQILQEDDRLKLARCIVLHKGHTVHVEGFAVPEDQV A TSTA_063260 MPLGQEFHDDDSTSSTLNENPSTPLINLVDGDIESGAAALQNGP RSDMMPELLQARPDGQKFSAIINVGGMSCVACSNSITNGVRENFEFVDDISVNNLTHS AAVRWTGARSDVNKIIEQIEDMGFEAVLDHVNSKAVSARSNQNVYVVDLAITGLTCGS CVESITRGLQSLPFVTNVAINFLSHSGKVVFEGRENSHAIIAKIEDLGYNASIHGICP VNSDTDTVTPTGARTISIRIDGMFNDRCIDLVVESFNDISGLKIEERPSLNNPIITIT YFPQPPSLTIRSILNTIDSVDKTFHAKVYRPPSNEERSRLMQKRTRVQLLRRLLFVFI ISIPTFLIGMVFMNFAAPENEIRKYLEAPQWLQITRMDWTLLFLTTPVMFYGADLFHK RAFKEIYALWRLGSPTPLAQRFFRFGSMNLLISAGTAVAYVASLISLIFDAVYDTAAD AHRSTCFDSVVFLTLFILAGRCLETYSKERTDDAVASLGQLLPSEAILVEKFGAFSSE RFQNISTHLLEIGDIVTVPHGALPPADGTILSTGTYHFDESSLTGESSPVQKSAGDQV FAGAINVGSPVQVRLTGVGGLSMLDQIIMVVREGQSKRASIERAVDTLTSLFVPVITL IAIITFITWVTLASAGVLPRDYLDSPHISSTFWSLEFAIAVFVVACPCGLALAAPTAL FVGGGVAARHGILVKGGGEAFQEASRLNAVVFDKTGTLTDSGSLHISDYEVLTGNLEQ LSVAWNIAQSLEEQSKHPIATAIRQFCQDKGAPFVHIVDSDISEIAGHGMQGTFTLNL DGERSDDGSSAKYIQYEAAIGNERLLQRLSPPSTDTYYLSSLLSKYKTTGKSVVILSI RRSDAPEPSERTPLTPVIVFAVSESIRPEAARVVARLQDNNVDVFMCTGDNQTTAQAV AETLGIPHTHIMANVLPNEKADFVNQVQQGTLELQSISARGKRARSEARPRSIVAFVG DGVNDAPALAAADVSVAMASGSDVAIKLVLLSRRVFRRVRFNFVWALAYNVCLIPIAA GVLYPVVIGQRECVIDGRVVLVNKHFRLSPVWAALAMAFSSISVVCSSLALGIEKRHF KQLFRRSTKS TSTA_063270 MSSEPDHVHSKQRVNLSDPSGADKKEELDTATAILKKKKKPNSL IVTDAVNDDNSIIALSNNTMETLQLFRGDTVLVKGKKRKDTVLIVLADDDLDDGSARI NRVVRHNLRVKHGDIITVHPCPDIKYAKRIAVLPIADTVEGLTGSLFDVFLAPYFREA YRPVRQGDLFTVRGGMRQVEFKVVEVDPPEYGIVAQDTIVHCEGEPIQREDEEGNLNE VGYDDIGGCRKQMAQVRELVELPLRHPQLFKSIGIKPPRGILMYGPPGTGKTLMARAV ANETGAFFFLINGPEIMSKMAGESESNLRKAFEEAEKNSPAIIFIDEIDSIAPKREKT NGEVERRVVSQLLTLMDGMKARSNIVVMAATNRPNSIDPALRRFGRFDREVDIGIPDP TGRLEILQIHTKNMKLAEDVDLEAIAAETHGYVGSDIASLCSEAAMQQIREKMDLIDL DEDTIDAEVLDSLGVTMENFRFALGVSNPSALREVAVVEVPNVRWEDIGGLEDVKKEL IESVQYPVEHPEKFLKFGLSPSRGVLFYGPPGTGKTMLAKAVANECSANFISVKGPEL LSMWFGESESNIRDIFDKARAAAPCVVFLDELDSIAKARGGSVGDAGGASDRVVNQLL TEMDGMTSKKNVFVIGATNRPEQLDPALCRPGRLDTLVYVPLPDQASREGILRAQLRK TPVAPDVDLAFIASKTHGFSGADLGFVTQRAVKLAIKQSIALDIERQREREAAGEDVE MDEAEGEDPVPELTRAHFEEAMASARKSVSDVEIRRYEAFAQSMKNSGGSAFFRFPSA EEVTSGDGAQNGFGDAGNDDSLYD TSTA_063280 MTAGSMWCEPEKEKSQLSPPVHFLVHLQLGRDSARRHNRTGPFS PRFLHSLQASLRTIKKTQDEQGVCRRKDHITSIPIMPSETTFSPETVESEIYNHLLSD SSTALDDLHANLLFSLQRAGWTERVQSLSLELLRAGRCTHFDDMVDVVVTLATGQTHP IVPEANNNTTTNGHEKNSSNGTATAANGVNNATSSESFFRDIDVRIPKEVVEQGVRSL KDSLRSFATIEDDDDGGPEVDDKELTNGKASKSEKEQTSQWK TSTA_063290 MSLFGTSPDETSTEVNPSRLSKSSLFDDEPSHSQSGSALFADDN HGGDSPWDMPTPKRGGRQNLVKNLLPASDVPDSYINGFDLVLDSSGRGGAGVGLTTTR QILASSGLTAANQAKILNLVLPGGQEASSEGLGRNEFNVLLALIGLAQEGEEITFDAV DERRNKLPQPKISYLDELRHSNAEPASTTQQPGETQQPGSQQQPVHARPRQTSFGTPE ADPWGSPDLHRGHSHISTHESTLNGFGSVRSSTNGWGTATSAATANESQSTVQSNGQG PPSSSGSGWGGYNNTSQTAPFGSTAQPGFEAFPQPGNAQEGIDPQGRSLGGGRVTGPG AEEVVTVTLLPEKEGLFMFQHRNYEVKSARRGSSVVRRYSDFVWLLDCLHKRYPFRQL PLLPPKRVAVNGTHLSADSNSFLEKRRRGLVRFTNALVRHPVLNQEQLVVMFLTVPTE LSVWRKQATISVQEEFAGKTLPPDLEDSLPSTLNETFDTVRSGVKRSAEIFINLCALL ERLAKRNEGLAADHLRFSLALQSLTEATKNTYAVDTNDVPLLNEGINATARHLSVSQS LLEDEARAWTDGVLEDLKQQRDCVVSMRDLFDRRDRLAKDNIPQLEKRIETNERKLQD LRSRPQGTVKPGEIEKIEESIFKDKESIVQQHARGVFIKECIRDELLYFQHSQYHISR LHQDWSQERVKYAELQADNWRGLSEEVEGMPTGE TSTA_063290 MSLFGTSPDETSTEVNPSRLSKSSLFDDEPSHSQSGSALFADDN HGGDSPWDMPTPKRGGRQNLVKNLLPASDVPDSYINGFDLVLDSSGRGGAGVGLTTTR QILASSGLTAANQAKILNLVLPGGQEASSEGLGRNEFNVLLALIGLAQEGEEITFDAV DERRNKLPQPKISYLDELRHSNAEPASTTQQPGETQQPGSQQQPVHARPRQTSFGTPE ADPWGSPDLHRGHSHISTHESTLNGFGSVRSSTNGWGTATSAATANESQSTVQSNGQG PPSSSGSGWGGYNNTSQTAPFGSTAQPGFEAFPQPGNAQEGIDPQGRSLGGGRVTGPG AEEVVTVTLLPEKEGLFMFQHRNYEVKSARRGSSVVRRYSDFVWLLDCLHKRYPFRQL PLLPPKRVAADSNSFLEKRRRGLVRFTNALVRHPVLNQEQLVVMFLTVPTELSVWRKQ ATISVQEEFAGKTLPPDLEDSLPSTLNETFDTVRSGVKRSAEIFINLCALLERLAKRN EGLAADHLRFSLALQSLTEATKNTYAVDTNDVPLLNEGINATARHLSVSQSLLEDEAR AWTDGVLEDLKQQRDCVVSMRDLFDRRDRLAKDNIPQLEKRIETNERKLQDLRSRPQG TVKPGEIEKIEESIFKDKESIVQQHARGVFIKECIRDELLYFQHSQYHISRLHQDWSQ ERVKYAELQADNWRGLSEEVEGMPTGE TSTA_063300 MAWDQLSVDKPHWAYIILGGFTSLFMLCSLFVKEKLYIGEATVA TLCGIIFGPYAANLFSPDTWGNVDKITLECSRIVLVVQCFAVGVELPKSYMERHWRSV FFLLVPVMTYGWLITSLFIWWLIPPLSWLESLACAACVTATDPVLASSVVGKGKFAKR VPKHLRDVLSAESGCNDGMAFPFIYLSLSIIKYRHVPHEVALHWICNTILYECVAGAV YGFLVGYIARHMIKFAEKKNLIDRESFLVFYFVLALFCAGSGSTLGMDDLLIGFAAGV GFSNDGWFTAKTEESHVSNVIDLLLNLAYFVYFGSIIPWSSYNMPDLGMVPWRLVVIA ILVIFFRRIPIMMALKPIIPDIKTWREALFAGHFGPIGVGAIFAAILARAELEHDSTE PQALADMPRPGEENYLIVQLIWPITTFLVIASIIVHGSSIAVFTLGKRINTLTITLSY TTANDEGPSWMNRLPRVQSMSRSSLSLRKADSEGSNEQEYPPGMLPPIGVPGNFLRRV KEGEDEGRRPQSLRPKRRRKRMTNAGGPISQSAIMPARRPDVTSPTDEKPTAPEEPSP VSEEERAERDRIEREGSPPRAERDRYGREPEIEVYQEGNHLIVEDEEGNVLRTEDITH ESPEEQRQHILEQHKKLQADKSGEFAKSKSHPHEKTEGEEIQKEVEQTAFHPGRSFRH LFGNWGGWGGKEPSTEAGPSTTKPAKPPRQSAHAYQFGDTIIVEDEDGEVIKKYTIPS AGKSADVPAAQATESQVRRGLTRMGTWVGKREGESSKASQKTGRRDDLDLDDGLRFTV AHDPALESKGVGVKGRRMSKQDFIKEISKLDPKARRNLVEKSDAPPAVKQVARHEAAV QDTVEEKAKEDSQTYPTTMLGDPVSEEESGESDSESESVRDIPTHDVAGALAQFSGNA QERRRRLAGEDEPVSASHEEENDSEDDGTERIPPSVRKAASGITGRSAPSQYDTGETP AERKRRLAALGEIDDSDDENDDEEAELSGVPRRPRPAVVRVPIVNEEDAEDEEVEETR PAPSSIQFADGTRPSRGTSGISENGNGNGNGSSRNPYSHGNRHRISWGGERGRH TSTA_063310 MSLLKTQFHDDSDADDEYERSLASPHLPTDSEISPTDSDSISTE HTPTTYGNMGDDHSSLRSTITDWTAEECADFVVGLGLRQYRAAFIENEIVGEALIALK HEELKEMGISSAGHRLTILKSVYETKVKQGVAIDPDHYIAPSADHNLHESATYGDITR LAQTIRARDERLSVVEAELRRVSEDYRRLREELLPIFKLAKDRSQPLPYQPPVQSGTT SSPEIYHDASSIMSPSNLRNESSALGRSLSRRVYNGVTTPQSNNSPTHIPPSIHEGRP YNDGANIDPSAAAMAASTHLTASMNGGAQTSPGIPSPTSPGQFGQQQQQTLGPRSYVR DNSKSSAASIRPGYEHEEAPQPNPTPVPTPTPSASSRSESRNTDSSAPSVEIFKSFRV SMDDPCHKVLPAALKKYNINADWRQYALYIVYGDQERCLALDEKPLILFKQLDREGRK PMFMLRKSAPSDGTAASYPGSGGSAPNSAVFDGKNKSTVPGGVL TSTA_063320 MSTTVVHRVMDHGEQQKVHPFFGSFRKTSKTPDPASLHSVNETT TDHSAAHKQTPSPRAQQLYNGPKPEDNTLEEDPNTERRKRVKTSTPVAALEVDEPTRT RSENTPVPRDQPSLPPRKLLKLNANGKLLSSPPAQKVEAVANRKAEERRKSSRKRNDT KRIVIKYEKGSEIGKRIGGIINGRERYTASQKPAAEDNTTIKTSTKPPKATHPFFLSK KPATKASIEASLPTVASESQQNSAKSIASIQSSTKNTFSRSLFTKHPEPVPPVWPPRD LVHVRGVAHEVRDKFEETNFSQKKNKQRTVQIDDAENILLYESQKARSEMQFQMSESN EPVLRVPLRCTISGQTLRKSMKSKVLHSAESRSKQGSGYLGEEGRAHPCIAKLYASIA TSTTSFDSGVCDTVQWAQKYTPKCAEDVLQAGPEARMLRDWLKNLTVSSVGTGDMSSD TNKKKSSGGHKSKKRKTADKLEGFIVSSEDEASEMDELEDSEEDELAGRVTVSSKRTV IRVGDSIGKFKKGEKGRLTNAVLISGPHGCGKSASVYAVAKELDFEVFEINSGTRRSA KDILERVGDMTQNHLVRLEGKEEESDTNIHTTGLGSPDARQNTVNMFFKPAAQSKAKD NRSKLKGNAKRENSQETSTTPSRSQKQSLVLLEEVDVLFEEDKQFWIGILALIEQSKR PVIMTCNNEGLVPLHELSLHAIFRYRAPPPSLAIDYMLLIAANEGHMLSREAVSSLYS ALRQDLRRSIMQLDFWCQMAVGSQKCGIDWILDRWPIGSDIDSHGNKLKVISLHTYQC CMGWFSRDIALVDKSLVKDSELLLEGLDWWQLDIDAADEDVWWTNYLSQPRQHQKRID QLQDVMTLADSHSAFDVLSRNWSLDQNKDNLDTTILDISTKEKANFQEDYPLLVADCK SDYTGLSSDIGSTAYALMRRITLGENSQDDSLTTDRVLARQSESKSTPFLSTTYYDAF EPMMRAPDLVPQATGRIAPSFENGVSAIAEDIAPYIRSITAYDLRLERYRAELSGLTS SGSTKRKARTTRASRAALEGGSKSHTRRERWFPVDANPKRILATGSKSWEDALAQTGN LIVFPALSRTRENTLTESDDSQSTDAEGENTPGQLLTE TSTA_063330 MDLPAPSVLTQLPRPLQAATGKTQVGEVFSLAESKKRKRYEIAV AVDGEGVNVYNVQYPKLVTSYAVPPQSEFSCCPISIRLRDKQSSAVKRYTYCAVGRPQ NQIKGFFEEIVSGSTSAPVITSASYALKGSRSPVIFVGIIPGSSSESSSSKDSFDVLV VHKDGSIRRLTSDLETEKWSVKPAGLDNGIVAAFLVDHDDAKRALFRRRPDLATLAVG DVSISANNIPPVLLLVTQAGSSHAAKVHMFSVTSGAEVTSSNELQQLETVELHKIDGS QPDAEEIQWTFHPGSAGLGLSFRHGYVNYDLSQYPPSCTSSLILADEDFSSIMRISPN SVIGAGKSLIALYDTHYSSIQRSITLRELSANKSLGKYDSKTVTKFVGYFAKLGIALA IKENTLQAFDLSSTHSIRGTSIKRQRDGLLIDAIGRGVNSSSASVNDPAVLLGLKSTQ EVHKWNAFKSELEKASKAKDVVSFDQAVVGYFGPSVESQAPTAVHPEQITPILSQIFT VRQHDFGNLKNASPTLEVAVWPEKTCRWLVKIGQMSVNQVNIAVRRANRPQVLPPFEP GALVRALAECDKSLKALTMVLTGPVSLDREELARALKIFLDEARARSMALDQSATMTI TGIPGGEMTTDETQQKFAPSSPTEASLEDAFIGLNLTLSRLHDYPTNQIVSCLRSTLS NTDTLAIVHHLRVSLATGGYTARFTEEPPIPLEAIKAAPPLSLKAIIDLMNASVDAIG PSGWISAVEFAGAAVNEAEMISHMQSEISAALAGIEEAAYMKGIVGEFIRYGTRVSKS TTTKASSTAPETTTATITMDAKDINNGEYTTIINDGTRARINREYDPYGKLWETYTFP KAGEEDGKMLPLSLNTPDSEVSRTKIQKSTGEVKQRTKREIAYLQRQAVPDYSVVRIH L TSTA_063340 MLGEVMVAQDPTSIAHGDDLLSIREMPGETTDMPSAEETKKPDT KPNGTSSNGPQPKTDKPRPHGCTTCGRSFARLEHLKRHERSHTKEKPFECPECSRCFA RRDLLLRHQQKLHMTAAPSRPRNARRESTGTAGSGTNRVRKNSVAGGTSTSMRPRANT ISHIDNGALGVINAANANVTRPALQSGHSFHSSLSSAPGVPNFETRGYSSVHLPANGL PKLETSGIPVDLTGGLRTAPVYGSFDLSLGDNFFGGGGGSTINPAQLHFGNSPQFGHD IPPSPFHQNFHPLASVPDTMLDEENNFDWVNGFDATLPLGPVSDSAIEESSPSAMSTE SQSRTSDPMLEASAQIASPNGWQTQFATSQSAPFAMDFSSPFQELGFPATVDTISPKS LMTPIQFTDPFAPNQPVTPVSQSMVGNHSQSMFSSSMVADRESPNPFNVPFASSPLPT SIPTTAPDAFSDRANRPTSLTGIPQSSGTLRRESSQLSNGTSYNRDTASRPSAGLNGS YFLPNSFDLQRYIQAYINYFHPHLPFLHIPTLDLQNSDLTNNLRTASGHLNLSSTGVA GGGGCLLLSMAAMGALYEYDMAVSKDLFESAKKMIQLYLEERRKADMSAALSRNHSSR DNPVHNTPLWLVQAMLLNVIYGHTCGDKTSADIASTHCAALVSLARAAELTRHVNAEQ LPQDYLNSGNKQSNGSPDSGVSEQNSQAPNPPKERKDWLDWKIVEERKRTLFAIFTLS SLLVSAYNHAPTLTNSEIRLDLPCEEDLWAAESPQAWRKMGGATASKKGISFSSALAL LLTASQRAKFVNQPSQAFGSTLRVEDLPQSDLKPSTFGCLVLIHALHNYIWETRQRHM GRQWTSQETDAMQAHIEPALRSWQAAWASNPLHSLERPNPYGAGPLSADSIPLLDLAY VRLFVNLGRSKEAFWERDWNAMSDELARGAEIIQHADDGGIDTYSSGNIDLLRRDSIA DLGVGELRLSAQLNQEQSSSHLSRRYRPNQSKRERHLRKAAFYAADSLCMSDRLGNTF AEWTSRELPLQCAMCSFDCAQVLAEWIATVQERVGPYMGVLGRDEVDFSQVPGIMLLE EEDCKLIDKIKEILGSSEAKLQREAQNAGSSPAFNILQRLPSAIEGGYGSKVLMTTAY LLDRAAVWPITKLMARSLETQASRMKERAENSVMIEN TSTA_063350 MYNGNPPGRGPHAVNWNRDLIWKMRTDLKYQWKLFEEEIPTIFE KLMQRLKNNLDKLELHLGGLDPKSVEGTRVHTQDFEHMYSRIQREFENGVRSIHGRAS ESDSQSYVVQEMIPTYDAASEISGPEKATQQRTIIQGKIKDGTLFDGILRHLISDMEE QFETFHKVKDFLYETVDSVRENFDMIMSDAEEKQKAEERLKKQLADEVQQLLGKYQEI RFSMLKF TSTA_063360 MKYTSKTTGKELNVFCISNKTYKKFSDQGNAGMVCTSGIPELRR FCLSMAAEPRLLEAKDFLQSKLPSLLNSITFWTKSAPTPKSDHTPSVSQFFQDVKAKF LTRTDNKKLRADWINAAIIKAQDWVKEKSERSQI TSTA_063370 MASTQENGNIVVPKESIALESRSQNDQTPGSAAYSSFATRITPT ATNAPSNPMVFGFGMQNGGPLPTQHLSNRNIKLPVSVRGRIGKRMQFNEESKRLSLPS TNARLPLTSYGRRIIVKLPGGPRKVITPSLTRSSHDYRILSTASVKNSPFEVSHTAAY NVDNEEAPLEQFYTPVFQKILQQGIDIAKSAVTAIIMFGGTPYPGSHVPKLLIDAHSL ATFHCSDTRMIAILGDSGEGKSSLINSLLHCPGVAKISDLGSACTTVITEYRQQKKGQ TTPILIEAEYLTQDRYSNGIVLVDLPGPQDTSLARLQARQEYIMKSDHVFVLSKDIPG TNRQISERYHKRNFPTAAIFGGQLHDEKI TSTA_063380 MSLLASSGIVIVIPNIDDSKAIGHASIKILSIRLSVGPWFNENI ADPFELFSWEANLYPLVDTAEVSQEQFTAAILRALPLEIDAFSSLFDEFWDKSIDLIR KAPNDFNAYTIGRMHKHMVVLVPARSVCYKPAVNFSKHKAGFGGRNMWWCSLLRRKTR NYHWRCCHKRRDRSKSTSGFSSEEIHAGTLGRPNVAIRSLLQKIKTKLIQERLAKKMA NNLQNIHNNLGCDSAQYPEIDQDELFIPTTLISTILRAFAPRVINFRAPLIQCMKTSL IYLGATRIPSSQMIYIALFGSGDRVMKSGLDRDEIATRDKVMAFEMEGARVWDILPCI VIKGVCDYADSHKNEVWQHCADATGAACMRAVLDEWPIGLCGNCSQLLASF TSTA_063390 MSKRTADLEEEQSTALKAGQRPLPEAPPDEVGEFEDEFEDEFES EDEILEAGVDGRPDEEREAEERDAMDVDKETFIPGRTKLAPGETLAPDPSTYDMLHTL STPWPCLSFDIVHDNLGDNRKAFPATVYAVAGTQAETPRAKDNELLVLKLSGLGRMER ENETDSESESDSDDESSSDPILESKTIPLGSTTNRIRAHQTPNTSGDYTKPPQTITAT MLENSHVVIHDVTQHLASFDVPGTILAPSASKPISTLRMHKSEGYALDWSPLQPLGKL LTGDNDGLIYVTTRTEGGGWVTDSRPFVGHTSSVEELQWSPNEKNVFASASSDGSVKV WDVRSKSRKPAVDVKISNTDVNVMSWSNQTFHLLATGDDAGQWGVWDLRQWKPGSSQS RPAPVASFDFHKEPITSIEWHPTDDSVVAVASADSTVTLWDLAVELDVEESRDAGMSD IPPQLLFVHYMDSVKELHWQAQMPGTVMATGASGFGVFKTISV TSTA_063400 MSGETIHQNNAMDANPNPEVVPSTSIEHEHEVNDTVAQSYVNNQ DIPETTDPTAVESAPNADYIEEQSHQNTYPAEFISSSQNYIPAEDEQNSSRFSSPTMS HQQIPQQSASRPGSGLSSGGERYGYPNSQPEQNQKQVAQPSRNSVVIKVGMVGDAQIG KTSLMVKYVEGSWDEDYIQTLGVNFMEKTISIRNTEITFSIWDLGGQREFVNMLPLVC NDAVAILFMFDLTRKSTLNSIKEWYRQGRGFNKTAIPFLIGTKYDHFVNFPREDQEEI SLQAKRYAKAMRASLIFSSTSHSINVQKIFKIVLSKAFDLKCTIPEIENIGEPLLLYK SV TSTA_063400 MSGETIHQNNAMDANPNPEVVPSTSIEHEHEVNDTVAQSYVNNQ DIPETTDPTAVESAPNADYIEEQSHQNTYPAEFISSSQNYIPAEDEQNSSRFSSPTMS HQQIPQQSASRPGSGLSSGGERYGYPNSQPEQNQKQVAQPSRNSVVIKVGMVGDAQIG KTSLMVKYVEGSWDEDYIQTLGVNFMEKTISIRNTEITFSIWDLGGQREFVNMLPLVC NDAVAILFMFDLTRKSTLNSIKEWYRQGRGFNKTAIPFLIGTKYDHFVNFPREDQEEI SLQAKRYAKAMRASLIFSSTSHSINVQKIFKIVLSKAFDLKCTIPEIENIGEPLLLYK SV TSTA_063410 MADEPRAQTIQERIAALNQSHVGRLPDTSPVRPFAPRNKTVNNP PHELNGSVLESKEIGNKPAPIRQNGVLPLPTAALTEQKIASAKNGCKVPPPLPARKNS GQHPPALPPRRPSAQTERRISSDSTRSNASYSTTSTSGTTNTVDSKSRVLVAPAWQEA DLPPLPVRDKSRPGLPQRKSATLSEAEKAKRVVKAPLKPPPLPSRTSSTDSNGGDQTT RVPLPVRTLSSETRKIPQLPISGVKIESVTPSQRKIPPVPTADALQKLQQKSFATLSK HVEEDEPDQSVPPAIPLASRPDLAAIQATKPKFAANGHGSSSPASFSTVCMICRDFSG PDNHAAQFPRQSLPTSDLAWLATQLTAPFPSPTDKARALFTWLHYNIKYDVDAFFNNN VQPSTPSKTLATGLAVCEGYAGLYATLATHAGLEALVIGGHGKGFGHTQLAPGSSIPP FSSSHAWNVVKIDGGKWKLIDPCWGAGAVQGKGQPYIQRFDPSHFTKSNDEFLLSHYP QNKDQFYTDDGGPGLSWEAYVLQDHNKPFGLEQPVIFTDAYNEGIGDRSFRPACAKIS IHQPGPIRFQFGLKCEHWTLAHHGKKSAPFLFLLHTHGIDGRKDEKIPFTYIRGTGPN GGGDLWYVDIADPRILGAPGQKLGIANITSFATHKDARGLSLQEYQAQAGRVVGADYL KRSNDGNL TSTA_063420 MPRTTATTETIHVPVLIVGGGIVGLSASLFLSHNDIHSLLVERH SSTSIHPRARSVNARTMEIFGSIGLSELVRDAGASLAPSKGILHSNDSFKSVMEARPR MQKNPATDTRGWFVRWAKIGPEDGTFVTQDMLEPVLLKVAAERGGDIRFHTECTGIRQ DQEKVTATIQNRETGDTITVIADYLIAADGANSPIRSQLKVPTTGRGTMGHLLNILFQ ADLKDLVEKREISLCVIDRPEVAGLLTAINNSDRWVFHLSYDPSRNEKPGDLSPEICR ELLRIALGIPDLKIRILSILPWQPSVRVATKLQHGRTFLAGDAAHQMPPYGGQGANTG ISDAYNLAWKLSLVLKDMAHPRLLETYGIERLPVGKVAAEASAAPADDRGLISPLKLN WKTLNGWSKIVPLTSGFGSAVIEENTWPLRGVTWKAWSAPSLLHSLDGRPGSRAPHVW VEKDGKTISTLDLLGKGFVLLIGNEGKGAWTEALETVKLKFKDLELIGYCVGPNGKII VKRSKEWEIAAGISSNGALLVRPDGFVAWRQRKIPTEVQAELERVIRKILCL TSTA_063430 MLPFFTTLTSVKLSLLVFWYSLTDMRYSVSSVGGLAILLASSLV DVAKCSNTLLPLVFEPLPLGSVTAEGWLQDQLQLMSDGLAGHEHDFYLFVSNSSWLGG SSEYSNLNEGFPYWFNGLVPLAYSLNDERLKTQVLESVDYVISHQQNDGWLGPETDVN QRNLWGRFPFFLGLTQLVEATNGTDVSTRVLDSMHKFVELMNSMLEDNFLGYVASDTS PIDDQWGRSRAADMILSLQWLYEHDPRNDTDILFNSMNLLFEKSNNWAHWYTDGVYIK QDLDTVPVAITNANYAFEHGVNVGQGYKYGAVVRRFNGDDSLADSSRRAVNWTLTYHG QPSGVMIADERLSGLSPVRGVELCAVVESIYSLNYLYQALGDASFADSAEMAAYNALP VMLTPDWWAHQYVAQTNQPISHTFDETPFYNVDKEGQHFGLAPNYPCCTVNHPQGYPK YVSNSYVRYGDNGIAHALLGPTSVKTTTKSGVSVSVSVDTLYPFVYELTYTVEASDDF DFYVRVPAWATSSSFFSINTGPHNSVIADSSTAMHHIPLRKGRTTIFYSLTGDIRVAH RANNTVAVYHGPILYSIAPGENYTSYSANYPGAPSEALEYNIYPTTEWALAIDPSSLK YNGPGFGASLSNPVWTLNAPPVSISATVCEIKWNLVDSYAPNPPLLANRKCVGDPFQV NLVPYGSARLHIAEIPTISLS TSTA_063440 MPTTRRKSSLGADIRGDTSAPAMSTMDMNKSASLSDIAEQPQGP GSTKSGKAHHHKRRKSRSLLRRFKDAALKNTWLIPLIILLVLLFAYAINPSEMNPMHS ALFLSYPREPKNPGEPVMYGKGKKDFAFVAFYTVVLSFTREFLMQRVIRPWALYCNIR GKGKIARFMEQVYTAMYFAVFGPFGLWVMSRTNIWYFNTTAMFEGFPHREHTAEFKAY YLLQAAYWFQQAIVLLLQLEKPRKDFKELVGHHIITLALIFLSYRFHFTYMGIAVYIT HDISDFFLATSKTLNYLDSPIIGPYFGLFVSIWIYLRHYINLKILWAVLTEFRTVGPF ELNWETQQYKCWISQYITFALLASLQAVNLFWLYLIMRILKNYLFDDVAKDERSDNED EEEEEESSVSKTANGTSEAEGVSSQVSVNGKSADGRKRK TSTA_063450 MRIKAAMMSSRLPRILRGTALSPQARFVAANIRNRQQVIARRSY AEAADNNVHERRKGNFPWLVLSVAITVPAAWYLWSSGPKETSQGAGPKRPAVKGPEEG RQVSGEKQSERDPAHAIKPEGNIGENSGAQTSKQQGVSNDDTMNPYLNAPGKGEKPEG VTDSSKLKGTVSPER TSTA_063460 MADRQSTLHNLHNLLGKLDDPDPDIRYMSLNDVYGILSSPNSLF LLNDNVTSAKLADGLLGALEDQHGEVQNQALKCLGPLAGRLPVDSLTPLLERIADLTN SSSIDSSVPNTALRVIVSSLPSPRSAGAASPEATTAYAAVSKVLLPRLTGEKQVRSTR RGSVAQGMLEKDAAKGYSSDAIDVVIELVNCFGSLLKEAEIASLQKSVMAIIENDTAG TVVTKRALTATAALVIHFSDAHLSAFVSELIESLRSAHLTITRRRHLIATIGSLSRAA PSKFGPYLKTLAPFVLAAVSEQEMDEMQQDDSDDGEHDPQADELREIALITLEGLLSS CSQEMQPYLIDSLNAALRYLKYDPNVAFDEDEEMGGTQDEGSEDGATEEPDEADDEFE DFEDEGGYSDVDDVSWKVRRCAAKTLHTIVSSYGTGRLLEDGTLYHQVAPALISRISR EREESVKLEVVVTFTALVRKSSESLTVVALNGYRESVGGSKNSRKRRRQDSFAGIIDF EPSMGTSSAIDTPIATPSPPESGPQAELLLLLPGLIQNLVKMWKSATVALKQASVVLF KSLALARHSVLTDFLQKIEDPIADALKASTSGGTITAGTAVSVATLQIETLSLIGAIA ETHASHALLPFLIALIPGVITAVGDRNYKVASEALGAIECIIKALTPPRVSTSDAGNL RPQLQKLYDVVVSRITDTSADLEVRQRAIHVFGVLLARTSGDAGRKFVSETHRFQGLD VLGERLRNETTRLATARAIDDIAVLAISAQDVNNAWIRQITSELGAQLRKSDRTLRSA CLEALRSLAMNPSTRAHYDEETMLEVEKSLLPLIAPDDFHLLAPALIILAKLIPGHAK TLVTGDLISAICAIVAVPLIGTVLRALLLLVKVIGDQNAGADLMKRLLRDVGINGDPS VVGRAIGTLLVHGGSKLGVKMEDFLAELQTAQDDQRKCLALAILGEVSLRMGASCPIK PDLFISNFDAKSDKVRLAAAVALGNAAASNTKTYMPIIIDDLNKTKSSTYLLLHSIKE ILHHPERVRDEIAPFGTQLWQILLSASENEDIRVVGSECLGRLALLDPASYVPHLQDY LSNQNATIRGTIISAFRYTLSDSSVAYNEVLRPLIVPLLVSMLSDPDLGNHRLALTTL NSAIHNKMDIIYPHLAELMPAVVGDTHIKPELIREVQMGPFKHKVDDGLDLRKTAYET LYASLDAALNRINVSELFDRILAGLDDEQDIRTLCNLMTAKLITLAPAEIQRQLDSLS ERYTAVLTFKPKENAVKQEIEKAQEASLGILKISRELDKAFPVSEVGNEHLKWKSYME WIRKNFQPQIRNLDAEA TSTA_063470 MSRQPRLDTSLRQQVYSYVETPIELSPPGSHPPYSSDATTDQTT VSNDQTSSTNPSREGNIYTSPDAVNIATQELQQARQTRVQEKQALALSTTNLTEHPAL SAPYTEGNTSITNLPTHASSQYQSPPYSPGPLPEKRHADFEYSSPQKISITPDTNPLQ SPRSPIHRMGTFPSINQQSQAVHESFPDHHPGQIAHANQIDSASALMSELVVWASLAR AFSTAERSIDYCANLEEKTRPIYWDTKLVTDLVHQWQFCAVVNGY TSTA_063480 MNNIERRQIWAQPTSTTLVHPSCTPFLLPSNGVLIINSSFSVTM TEDAYYQPTCTGAGNDGVFPSAMLNNADPFYASVGPQLYAIGCMTVVSYLLVIILLIT PRKFYIGGPGGDGNILGRHGIISGSYSGHSSVTGVGGRPWLQKVAALLVAISLTIATV DSFRVAERQYDMGYTDAAALTEEVIDGTEIRAVHVVSSTFLWLAQVQTLIRLFPRHKE KVMIKWAGFALIVLDTIFAILDNFLSHGSETRPRLFDDAIPALSYLFELALNLLYAAW VIFYSLSKHRFAFFHPKMRNICLVAIFSLCAVLIPVVFFIMDISSPDVAGWGEYIRWV GSAAASVVVWEWVERIEALERDERKGGILGREIFDGDEMLEVTPSQEVEWPRSSNAPG NKGGRGTGAAWRGSSTPNNRVMRRGHLGFQLGTRNRNDADNEEPHRRAAPAQDASAPP VPPPAAVTPISRADTTSAASTVYFVRYHTVASPTPPLSAPPVESSGDPDPQNKQEDIH GTAVAASEAHPTGHYAPVGNEILLTAASGWRRWLPGASLFGARRTSPPQEIATAQEEE ENARDKYSESDDDNFAEKDVSPSNKPSRFQQALSSFRLGELQQRFGTRSPKPLTVTVI PSRDIAHQRWSPQANEVERSSHLANSNGLPTTVIPAQGRRTSAWPSPSNTSDEHGNND QLQYDPETAALVGVEPDYVTQPTRTVSVDSSTLDASDTPDERANNHSSGHQQMHRTYA EGETRREDHPTSRETLQFDYDDGDIEAGRGRGYQ TSTA_063490 MAVVNSNMFDFSVRPNHQNGEVAGAHIISLPLNLIAHIVSWIED PGDLARICRTCRLLHYMAIPHLYRNIALTSYDRIRYRDELPEGWGNASPFSMGLNTLV TRAHASLVQSMTLRGEWSEPELEDYSKVGRVPDSSMMLNISVRAALDRTKNIESFSWE LNTKLMETVYTGLSKLPKLTSLSIRFPSSRFPRPIYIIPAMPHLRSLKVTDIDPLCYP DDISTLLAKSPRLDDLKLQWSPRMRENQEPSVAVYDYFRHCIINKSPLKLKKLAFHNL FSRHTIDWHQAVDESTLEEVTFLNGCSGDSPFQFTFVDQTWTAARAHNPRKLKLKLVR HDLVTKEFCEFISGCEGLEKLYCVNANRSIGARPNMRPSVSNPATHSSHIGNGIASNP PFPSIAGNGSTASPPSPPSPASNPNPYLQLRDTYFNSVLINHGATLRHLILPSRWPLS SNTLARLVHVCPNIEQLALAVEVSTMDAFSLLIPFLRKLQALRILVPPTEKPTQGQRI SQSNPNSPIPQFSSIYDLVDLDDDVHIEKLSAATADRDLAQSIKVVGMGWKAYELGEF YYIPASDHTPIASGVNYDQTTEDARVMISANANGQALPDSEFPMDEPARTNQNRPAHS IIARTPTPYGAKMPKSSLGKRARDETSSPSGSPIKRSLLSDGDNIYDTLPTGEKIIWR RRVRPVGWEVLKNWEIWTLDSQDI TSTA_063500 MESTHDGSMSQDALSLNNGSYHDSNREQRSETPPTQHRRGYQAC DPCRKRKVKCDLGSVDNPRPPPCVRCRRESKRCEFSATRRKRKTSDAEPEQETPLRRD KRMMVGEVLYGESSSSIGSNGKREPSYGPSAPAPFDNGSLHKYAWPENSPHTVSQASP VNQKDHPQPSPVSANHYHDGRSIQSSAYPAQHNRGLAGPEVRQHVMNKTAADLLFPTI SNSHDALHLLSEAAGRTEDLNRQRLENKARQSAGSYGAHMSAATSTMSRNAHHQYPKR SNQMSADGYSDTSAEYRKQNFEPYNDPGYLDAVKAWSRLRFVRAGWLSVEEAMAYIAY YYKHLAPLSPIVIPDFSPPSTHRTLLTDEPVLAVTMLTTASRYMKPKGDGAHTRGYYI HDRLWAYLRGMIERLFWGQEKFTGNSVGMGTPRSLDIPLPAQGQASLRGHLRSLGTIE ALLILTDWHPRNLHFPPGDDENTLLDADALLPSQSRNDGESDGRGTSGGGVEGRLAFQ KWLEPTWRSDRMSWMLLSTAQALAFELGVFDRKAPSALESVSEQVRKRRVRRLIIVYI TQGSGRLGIPSMLPLDRWSDDIEPTTPADATESEVTIDRMQDLWVQISKIMSLSNTDL FPSKEYTSELIKTGRYKQRIAEFLPHLQKFRAYYDSVSLSPQMQNILTIEYEYTRIYV NCLALQAVVERWTTINNEPSSKSSAASSLRVLMEIYRVNEKYIQEVVDASRKILHIVL DCLVPNEQLKHCPVRTFFRILSAMIFILKTFTLGATEDDVRVSLDLQDRTIEALRTCV VDDVHLSNTISRLLELLTANIRTRFLRFAPTDRGADGEGHERASQPSSRVASPKPTKD SGRRDSGTHWHHQIQTPAHNKMGYQFNQGGGDSQQQQPVGSHHDPLAGIPAQPINSSN IGVSFMPPPPSVYYNYYGANGPSPPKLDDSNSIAQSVHEGGLPDWFALPLDQFFNSSA TGVDQGLGGTGPMLGEFDMLEVLLNEQLDKDGNVAGPSGGNGVDNMDTTPTPRNQQQH HPFL TSTA_063510 MADSHDNGRRERPLLFSVNRKLRHVQSLSIRNLSITPPNRARGK TIDDDDIPYALETPVKLASQAQNRSIIQSRSYTDLKSASSQKQPVNGEQEQDDKRVKE SRRMRRRSTLPWSGGNLESRQTKLEDIARSRMADVWFSIHCDGIDSPVYISEVVERTT NPDFRVLDLNVCGPHVSRMDQLTVKVWVKSENMNDYTLLLELHLSLRSLQFVGKSLET FHHPLPPNCVLFHFADGVFTNLTDFPPSQTNFSSFSSEKSQAETQSTSSYDALMRLAN LDDCIQDALATREKLESQINAILKKHQKNLELLCQVSQAEERAALIKRSLANEKKQVR WTAKRKDELIDSLKTRRESMALGRENQNKIRTHLSDAQLKLSSSASLLEQNQEDTKGQ IRRICEDLLTIYPIEPISDKVLAFTIAGLYLPNSRFDDIDRESVAAALGYIGHLVYLL SFYLSVPLPYPLKPYMSSTYIQDPVSSGLSRRTFPLYPVNVQYRFEYGVFLLNKNIEV VMNRFGLRVLDIRHTLPNLKYLLYVLTARTNELPARKAGGVRGLLLGRGTPTMSRQNS QDSFASSEIIMPHRMPSEVHTQATIANNDASKGQSSYLGKQSFLTPVMPGTATEVGNV R TSTA_063520 MGSRLPQKRIAKFFDELTKRERLGKYKLEDKTLDPTKIATWELV RRPPVPTDTVIQGKVRHPLSKAYREFQRQEGARLRKALNRITHGKNIFVYNNIRTNQV VYSLTRYLEEKSVLSQMVYHGKKTVPASLRKDMWVPYYSVHFDNAKVGLRAYHLLREF SLQRQLAPPKEMITMGEKWLEQKRPRDQLEAKKFDEEHEKLVGKILPKKERARILMDQ KATSVADIAAVLAIQEEELKNGFGSEHRKELGRRALQRIRLTRKAERKTAFEAAQRVE GFESQLQSQAPLEIEDEFDEPPSNSEYAVEPEQVKILWNDIHDAHYATSWPERVHHGH LERTPDHVMPGQKRIVSEEDADDILAHDAFAEAAPLKL TSTA_063530 MFGGSTSPPKEKTDLISPIGTSPTSPNINPDEAGFGRDKRSSPS GTSGKKQHEDQNDKKRRSSSVNKAASFFSSAKNSLHLSSRDSSIISTHIAQTPFQKLG KMDPALTVPQGSLNNSAGESVPTSRSSFRVGVTEDRNRKCRRTMEDTHAYLYNFLGTP APVTGNTTVNGNEGRDSTEPASESDEDTHVVETDNGYFAIFDGHAGTFAAEWCGKKLH LILEEVMRRNPNAPVPELLDQAFTSVDQQLEKLPLKNSGCTAVAAVLRWEDRIPSSHS ATGSAPLAPAAASANATELESDTVPDPTHKPAQAKQSSSADSILVKSQESVSRQRVLY TANVGDARIVLCRNGKALRLSYDHKGSDENEGKRIANAGGLILNNRVNGVLAVTRALG DTYLKDLVTGHPYTTETVVQPDQDEFLILACDGLWDVCTDQEAVDLIRNVKDAQECSK MLVDHALSRFSTDNLTCMVVRFDANIIRDVVERRSEPIGVEGDPLSQVKGGISEADKI VEGAKRRLENADSASVDAGSDTKHGEDIHKSTSRDISTPPKLEEVTNP TSTA_063540 MNIVEWAFGKRMTPAERLRKHQRALERTQRELDRERIKLENQEK KLVQDIKKSAKNGQIGACKIQAKDLVRTRKYIQKFYSMRTQLQAISLRIQTVRSNEQM MQSMKGATVLLGSMNRQMNLPALQRIVMEFERENEIMDERQEMMDDAIDEATGLEDEE ESEDIVKEVLDEIGVDLGQAFGETPEGIAKEGPGETRVAQAIGGGPSDDDDLQARLDS LRR TSTA_063540 MNIVEWAFGKRMTPAERLRKHQRALERTQRELDRERIKLENQEK KLVQDIKKSAKNGQIGACKIQAKDLVRTRKYIQKFYSMRTQLQAISLRIQTVRSNEQM MQSMKGATVLLGSMNRQMNLPALQRIVMEFERENEIMDERQEMMDDAIDEATGLEDEE ESEDIVKEVLDEIGVDLGQAVILRLLPF TSTA_063550 MSQEYFPVAGSSSSKNASSSLEEDDERIQPTSWAAPQYMTVGNG TTSAAAEALIASLNHDSGYGGSIAGESALERGDLTDWHAGMLEDRPTPAHTPALATEG RQMLASHVHQLQYNANRMKLGRAITRTIEILKDLQEMNGRWPLHYPSYQPLDRPSLQQ TQSHADLGTLEDQESSSSRPTPIRRAATSLDASESSAAGERRSLPEPRLMSREMAEQF LVLKIELKLGAASQTELVHSLEKSTIASLLDGKINQSVKHLLSLRDRIEDTSSKVLIT GDLNAGKSTFCNALLRRKILPEDQQPCTSIFCEVLDTRENGGVEEVHAIPKDRIYNRN DESTYDVFSLSELEDIVTDNSKYTQCKVYVKDVRAIDESLLNNGVVDIALIDAPGLNS DSVKTTAIFARQEEIDVVVFVVSAANHFTLSARDFIMSAAHEKAYMFMVVNGFDNIRD KGRCQRMILDQIAKLSPHTYKDAEELVHFVSSNAIPVLPGVVAGSGSGGSGDPPDNDD PDDDDDSKKGKGKGKEKEKIQDFEKLEGALRRFVLERRARSKLAPARTYILNVLSDLN TLALVNRDVAQSELVRVSSELEEIVPAFEDGKKKKTEIAEQVENTIEKSCEDVYNYTR QNLNDTIEKVAYADLGVEYPGLLSAFQFAEDLKVAMLDQVSAAVFDCENYARAKTAQG VSYIQQLGLLHVGEDKFPTLSFQADNMFRKRRHALARQVDTQVELWDFFDIPGLWERQ EKVAGTGVAMTAVTVLGGRAIAGVGWVDGAFGAAKILGSNNIRRMIIPGIVATAILTT AYVLSIIPQTLPPRLSRKLAASLAEVDYVHSNASRISAQVRRVLGIPASTLHSQLVQG VEDLARRKEEVTKIKQESEVARKYFANLFRESQESRRTVDQIDLEGPLPGALAQ TSTA_063560 MEGETVKNKEHPLAGVVLCFTSILPEQRSKLAEIAGQMGAIHKY DLTSDVTHLLVGETNTEKYKFVARERSDVLVLMPEWIEAVRQSWMDGGDTDLHALEQK YRLPTFYGLSICVTGFEDASYRNYLQETTIANGAEFRKDLTKTVTHLIAHQPSGQKYK FATQWQIKVVTAKWFSDSLERGMILDETRYDPLLPPHEQGVGAWNRGPLQVPIKRKTT SESSNIRSRKLRRVASSKLGEQNEGIWGDIIGSGFSSSDKGNSVSIDKRSSVDTQTKE NSVAQQNKTLNNELLADRSSPVIPDERTSVEQNGFLQGCYFYIHGFTSKQVDVLRHHL VVNGANLVQHLSDFSSHNIPKNGKCLYIISSYKIPRSEVPSTDDKGFSCEQVTDMWLE RCLDANSLVSPEIHVMSTPFPHMPLKGFEGLKICSTGFAGIDLLHISKMVSVMGAQYE EYLTPNVSVLISNDSRAANTEKIRHALGWGIPVVSADWLWISVQSGKMKVFEPYMLHK STTQTKEIRHEQSKAVPERSGIKHELFSSCSEANANSHVRQTSASAFELNDSACGEIH ETLKPSVSNIKMESMSSVSRPPTEGGDNKASQTPVKTVSDDNSVPQSNRSSENLESSM NRFLKKARELTRARSILGGENGSRRRRPNLGRRNSSSSSKMEFKRTDSHVSVSSIDTL NEDGYGSAVSADTDVNNQLTARLSRNLTGQSLSSLLSSSKFTRYLDSPIPENDESLDE ENGTPAMTQLNYEDPNAMAAREEIMRLARRGNVNEAHVTEILKQKEAIQPQAVVDEFP QVIDHQGGRMTARRTRRSAGKQQEEGFL TSTA_063570 MYSVTTYRLGTTVLIKHFKLVVPSKAPTGLRFSTFSVSNVPTRA MFRKAVQDHSGKGAVSAKPLYPNNHKLNASNFSTTKQHSTVAGAKRKIEMASAGQSAL GSLHDAVYFDENDFDDDDDLDFEILKPGPLSQDSLPASTETPSLPSQTNYNANNFTSN TRMSGTGYSQNSRTQNVSQSDIKYPELPEMDDMPQEQLPPSSMPIPWSSSPPSHYQPP QPKRRKLPWGQQGQDGSRSNPITPAPIKRSSSSKAEMPWNKTASTVKDEQKELRKINK KRQTAADYHLTKPQSRVASLFLSEEQKAVLDAVVDRQKSIFFTGSAGTGKSVLMREII KKLRDKYRREPDRVAVTASTGLAACHIEGVTLHSFAGIGLGKEPAAELFKKVRKNQKA RNRWLRTKVLIIDEVSMVDGDLFDKLEEIARRIRNNGRPFGGIQLVVTGDFFQLPPVP DTSARDAKFAFDAATWNTSIQHTILLTHVFRQKDPVFAEMLNQMRLGRITPQTTEAFK KLSRPLDFHDDLDATELFPTRAEVENANGLRMSRLSGEVMTFHAQDSGTIQDVQMRDR LLANCMAPPVIHLKKGAQVMLIKNMEDNLVNGSLGKIVAFMDETTFDYYRNNEDEFTG DGKEGSDDDDAAARNRKKIRALAHKDGGISTSRKWPLVCFTQQDGTERHLLCQPETWK IELPNGEIQAQRSQVPLILAWALSIHKAQGQTLPRVKVDLGRVFEKGQAYVALSRATS QDGLQVTGFDPRKVMVHPKVIDFYSKLADINSVVNPSGNTKKEVNGGRTVNEYDDNDE LYNEYIAGLL TSTA_063580 MASDFVGYTVLVTLSSPPNSQIRGTVANIVGRRLTLHDVTLLWN GQRFSSYTIDASGIADLEVSSSQPQAPPQSANPLYSTITSTPDIPRNNGAQLNYTQPL SVPQQQPFFDPAIVSFSAGPSHTPEKQTPVAALLSRSGSLLGSASSALSVETTHPSHT IERHDSAVTAILTEPFSSITLDKAIDIVEETGKDVSFVPAEKRSTKRGKRGSRNKGQD HTGNGWRQTAFVEPARPTLHTGYPADDDAAQALGKHRKTKNRKVYAENANGWATEDAT DIQELGDFDFQANLSKFDKRRVFDEIRNDDTTADEDRLVSFNKRPKPGTNGGKNLHWS ENVLDSTPTQWNSEAGETETETSDDKFSSENYSNRDRSKPSGRGQITKKSSLILGQSS MSASLGSVGRGSIPSPRNISPMPNRQSGRVSPLNGTSAPSTGTLRLTTTNRLCPTVSP LQMLEVEQLAVTELGLTEDMIVENAGRGIAEAAVGRLGSDAAAPAVLVFTGNHRTGGR AIAAARHLKNRGHRVTLCLLGLEHETELLENCRKQLEIFKKIGGRVLRWEELSTRLLT PDFSVDLVIDALFGMHIAFEDLRSDDQATACEMIAWVNRTNLVVISVDIPSGISAATG DVGIVQGSRLSVVSNFVVCLAAPKTGVMQALQSGEGSSWQITVADIGISQVVWRKYGT RRRHGVDFGNRWVVNLKYQPAAA TSTA_063590 MGKGTDKLYITHSEWASEDAYSASAGAGVAGGRNSAVHASFKRL PFNFCSLSLQPFKHPVCTAQGTIFDLTNILPWLKKHGTNPVDGSHLKSSDLIKLKFAK NEAGEYVDPVTFKVFTDNTHIVALLPSGNVFAWDTVERLNIKAKMWRDLVTDEEFGRK DIITLQDPQNVEARNLSSFKYLKDGESVLTEEQLRERNDPINNVNLNAMGSSAKILKA KEAVARARAERGAQQGKGVAGKPGASLSEKPKSTTVSHQPTKHVPFNAARHTTGLAAA SFTSTGLTPHTEAELATLSDEQYMLKRGRVKEKGYARISTTMGDLNLELQTEYAPKAV WNFIHLSKKGYYKDVIFHRNIKGFMIQGGDPTGTGRGGESIWGKNFDDEFEGPLKHDA RGTLSMANKGKNTNSSQFFIAYRALPHLNNKHTVFGRIIDDPSPSSTTLNSMEVAPVD PNTNRPLTDIRIKDVTIFVDPFEEFMSKQATLNAGGNAQSQKKMDEKTAGNVDDDLVT WTGKRVRGIDGSNISETKDGGGVGKYLRAALVEQQTRKTGDEDEIVEYVDDPEPLPEH TRKKFKTTGGFGNFEGW TSTA_063600 MGKIEGITDDNNDNTTDFLSSSFITPQQPQRKSSKTTNSRRSTG NPLSAQRKLASIAQIQDAQPLSRKLPSTMTSVLVQNVKKEHLPYRVLAHPGVDCPLDE KFQERCYHCRAMVELKLWLQSYNMPVSSEGPSLKLLVMYFPQLTCQQTIKTRKGILLC MRYNLEDMQLPIVLMQGQQRAVISWTKSFKVSANTKIKDVTTKTSHNSGGASREACDV NFAWM TSTA_063610 MNTDDPEYLPGTSCLLNQGEIILSPPPTQSVNDPLNWSTPRKYW HALLVCFIAGLTAGTSNDAGSAQFGENEELSISYSAMNTGAGVLFLGIGYGTFFLSPA AWLWGRRCSYLFCILVGLLGAIWMARVQTTSDSIWNQLFVGISESCAEANVQLSLSDL FFEHQRGTFLGVYVLATSVGTYLGPLIAGFVADSNLGWRWIGWLAVIISGTTIVVLYF TLEETMFDRSAYQVGGMAVSPGGEAAEPDLELKGLQEKRPGLSDTVTALAEATDEKPK TYWQRIALITPAANLEGFGFKQYCVRLMHTMRVFSFPAVIYSGVQWGAQDAWLTFYLT VEDDDWSDAPWYYGDVGVGLMNLPCLMGAVLGCIYGGYFSDVFVIWMAKRNGGIREAE HRLWFLFPLAIISPLGMLLFGIGTGQGWSWPGPYIGLGLIGFGWGCTGDLSMAYLMDA YPEMVLEGMVGVAVINNSIACILTFTASMWLDDQGVQNTFIVIAALDCFFVLTTIPMM YWGKKCRQWTKARYLDFASVFSGTHGKRLRKDIRTILKCSWWRVYIQSLPT TSTA_063620 MAFFNSAPQSLSVGQTPSHGSENLRGSPSRGDASSHAIRQANEM RVRSVEHDAGMDSNLHELWQFTPTTRRDGGKRWDLARRKFIPSDQETLKDLAKTLEEE ISVKEQYKSLTSNKQKLVNELVAEHNERDRRYRWSYVYINTETSRLNSYMGLSQEITT RMEVIILRELQHQATTAGQPLRASSVGHAGLQSSRSPNGLRGGQPTFDGLGGQANHQH NIGNGPGLPRAPENRALVNAGAPTMHSQTHPQAQSPNYIYQQAPVHPPMHPQTNAPVH LQGPRPAQSAPQQHGHLQVHPQMQNSMPQTTNHAMPQGARVPAPQEQGIKGQASNNHG QNIPQMANNVPPMVGATNPRAGTFARSGDETRHPAQQASAPVYTRNGHETQAQGARFA AADRPQRERKLNATAPPPRVIQQDRRRTHLQEDSSVMTEDESLFDDDGYSSSVSSTDS IAKGSLHREKRYMRRDMGDRGYRCHYRKQGQKSHSHAGYPSGRVDIFPSASSHRQPES RRHRHTSHPSERPVTVHESKSDFRAPGLAELSMSANEASYRLMKVEENQKLMIDSLAR LALSSHKPESRDHVERGVALRGIEHDMPLGRLFVLIIPTHGTI TSTA_063630 MPRQFFVGGNFKMNGVADSIKSIVSNINKAQRDPSTQVVIAPPA IYLTLTRELADPSVGVAAQNVFDRPNGAFTGELSVEQLKDAKIDWTIVGHSERRVLLR EDDDFVARKTKAAIDGGLSVILCIGESLEEREANKTIDVVTRQLGAVAKLLNEQEWSK VVIAYEPIWAIGTGKVATTAQAQEVHAAIRKWLGETISPAVAENTRIIYGGSVSDGNC RELSKEKDVDGFLVGGASLKPAFVDIINSRL TSTA_063640 MLEFMDYVQLAFAEATRWNQDNSYSSLTAIAESLLDFHVPERLQ VHLSSLSTPHFATSYTLGTVGLIDGSVSYLFSTVPLNNTPSQSGVIPLRRLVRGYRQI EAPVPPIRNWGWDAIPSHDSSQIIDSADINQDDIVRKATLLHATLHLPPPSTLNALFQ RRISPTTQITAALVSTQGPPLIKSAPSAALLAQISHDTGTFSNEYLFSTDNALFGWRG LWNIGLDPSPGKQSTDKVSLLSAGAEAYYSPISSLVGLSTGLKFTTLPAAGRALSSSS SSSPGSNPSPISSFPYTLTLTLTPLTGSLSTTYSARASPNLAFSSRFGFNVYSWESEM VAGCEIWRKSPRTQPAEADDGLDWARRKMGIVKERAAEKISALSSSSSTPQAVEESEG DSVVKIRVDQSWNVRLLWEGRVKSLLVTAGVSLGPGTFTALSSGPASPTSSSAPVAMA GAPPTSRPSYWQGVGVSILYSS TSTA_063650 MPVSKRARIVHESKTSKKNHKEQTRRLFANIRECVEQYDHLFVF SVDNMRNTYLKDVRTEFSDGRLFFGKTKVMAVALGNTPETAFAQNLEKLTPFLTGAVG LLFTSRSPEDVITYLESFHPSDFARAGTVSTRSFTIPNGVVYSRAGEIPTSEDDPISH TIEPALRKLGVPTRLVKGQVVLELLDGEKGYAVCREGETLDSRQTTLLKMFGVATSEF RIDLKAQWDRKTGEVRILEKSEGAMDTE TSTA_063660 MTDKLPPNLLALFAPRPPLRYIPPTDRAPDDCKKSTISGVAGLV GELKKYGEEVPYNATESWLQRRARLKLEKKERMERLLTEGVEKYDPSNDAQIRGDPFR TLFVARLSYDVKESDLEREFGRFGPIERIRIVKDTEKQNSKKPHRGYAFIVYEREKDM KAAYKETDGIRIKDRRVLVDVERGRTVKGWKPRRFGGGLGGRGYTKTTPARPLGPGGF NAPSGPGGFRGGFGGRGGGFRGGFRGDRGFGNPRGGVGFQGSRNGFGGQAPPNAPSGP GGGRIGDRRGDGRLDRDPRRATGSNQEPVRPRDGYGGDRDRRDRDRDRDRDRDRYGDR DGDRRDRDRDRDWDRDRNRHGGGRDDQSRKRYHEGDGYDDPRTKRRY TSTA_063670 MVVRGIKAVAQARNGVGAFILQCKRLDFTYCDWAGSSKGMLSFL KEELPAFARANPQIEIRVSPRPTKHPVVRGHYINGREKAICVRNFKPDEIMEKVNLLK QASGEKLKRQKKPVTSLNESVRGIWSPYHGDLKMV TSTA_063680 MNNDNHQVELATRSRKRPHTEMSYSDYQSRSHWAHRDQSSSSPS SSHHHGHPLSLPIPQSRYQGHGFDFRRPIMSTSTPSRMEEVVDLTNEPDSPPVQLTRR LPSRSHSSNGSRPHRPPRFGRNIMADVVDLEEDEDEDQVESTEVINLDPPSSPEVQFV RATARPPAFTAPSHLLDVINFHAQQGFLSTQEAFRQEIALQTRRMGRYRSSRPNMDEI FHTGDTNPNIDLPIDLDYQAPGFTIHEPPRTPPPSYKPPSPPPKGFTRTVGEDDVVVC PNCDRELGLGDGPRQQIWVAKPCGHVYCGECAINRAMSKKRAITSSPALRTTKPFAKC KVAGCEKQVSQPKSMFQIYL TSTA_063680 MSYSDYQSRSHWAHRDQSSSSPSSSHHHGHPLSLPIPQSRYQGH GFDFRRPIMSTSTPSRMEEVVDLTNEPDSPPVQLTRRLPSRSHSSNGSRPHRPPRFGR NIMADVVDLEEDEDEDQVESTEVINLDPPSSPEVQFVRATARPPAFTAPSHLLDVINF HAQQGFLSTQEAFRQEIALQTRRMGRYRSSRPNMDEIFHTGDTNPNIDLPIDLDYQAP GFTIHEPPRTPPPSYKPPSPPPKGFTRTVGEDDVVVCPNCDRELGLGDGPRQQIWVAK PCGHVYCGECAINRAMSKKRAITSSPALRTTKPFAKCKVAGCEKQVSQPKSMFQIYL TSTA_063690 MLCAISGEAPQVPVVSTKSGNVFEKRLIEAYIAENGKDPVTGED LSTDDLVELKTARVVRPRPPTLTSIPSLLGVFQEEWDALALETYTLRQALVQTRQELS TALYQHDAAVRVIARLTKERDEARETLSKISVGSARAPAGGDAMQVDSAKIPDSVVAR IEETQAKLSKSRIKRPIPEGWATSEAIQSYKPAVSSEPLYPGGKSLSVDSTGDLALVG GVDGIAGVYSISKQQIVQTLKVGSPITDAVFAGSTAVVASASGSVKFFNNGTETASFD SHAGEATAVVVHPTGDIVASVGADKSYVLYDLATSSVITQIYGNASLLSAKFHPDGHL LAVGGTDGQIQVYDVKSGVVAANFPMQAPVINLEFSENGYFMAAVTENSTDISIWDLR KSKLHKVLETGTKITTLAWDYTGQFLLSGGPNGITIQQYTKSTKEWSEPLRSAVPAVG VAWGPLAQSVLTINTEGVLNVLNQS TSTA_063690 MLCAISGEAPQVPVVSTKSGNVFEKRLIEAYIAENGKDPVTGED LSTDDLVELKTARVVRPRPPTLTSIPSLLGVFQEEWDALALETYTLRQALVQTRQELS TALYQHDAAVRVIARLTKERDEARETLSKISVGSARAPAGGDAMQVDSAKIPDSVVAR IEETQAKLSKSRIKRPIPEGWATSEAIQSYKPAVSSEPLYPGGKSLSVDSTGDLALVG GVDGIAGVYSISKQQIVQTLKVGSPITDAVFAGSTAVVASASGSVKFFNNGTETASFD SHAGEATAVVVHPTGDIVASVGADKSYVLYDLATSSVITQIYGNASKYCLLSLSVCAK QLTNVFLGLLSAKFHPDGHLLAVGGTDGQIQVYDVKSGVVAANFPMQAPVINLEFSEN GYFMAAVTENSTDISIWDLRKSKLHKVLETGTKITTLAWDYTGQFLLSGGPNGITIQQ YTKSTKEWSEPLRSAVPAVGVAWGPLAQSVLTINTEGVLNVLNQS TSTA_063690 MLCAISGEAPQVPVVSTKSGMSILRTIRLLSMLTCLGNVFEKRL IEAYIAENGKDPVTGEDLSTDDLVELKTARVVRPRPPTLTSIPSLLGVFQEEWDALAL ETYTLRQALVQTRQELSTALYQHDAAVRVIARLTKERDEARETLSKISVGSARAPAGG DAMQVDSAKIPDSVVARIEETQAKLSKSRIKRPIPEGWATSEAIQSYKPAVSSEPLYP GGKSLSVDSTGDLALVGGVDGIAGVYSISKQQIVQTLKVGSPITDAVFAGSTAVVASA SGSVKFFNNGTETASFDSHAGEATAVVVHPTGDIVASVGADKSYVLYDLATSSVITQI YGNASLLSAKFHPDGHLLAVGGTDGQIQVYDVKSGVVAANFPMQAPVINLEFSENGYF MAAVTENSTDISIWDLRKSKLHKVLETGTKITTLAWDYTGQFLLSGGPNGITIQQYTK STKEWSEPLRSAVPAVGVAWGPLAQSVLTINTEGVLNVLNQS TSTA_063700 MAFNAKNLSYDRNEPAFLRKLRGQYSGDDYARQERSVQRPRKPK DIDDDDAPVYIDEETNEMISKEDYETLVNGTDGKDGGTDKDKEGLEEPTDPAKSKHSE QAASGDTRSQQNLAEIGAQKKRRKAKVISEEEVAKDGSRSKDTSETSKPSTKPSAKRK KIKLSFDEPE TSTA_063710 MPVVNVGDLVRLQRKADDIRNICILAHVDHGKTSLTDSLIATNG IISPKLAGKIRYLDSRPDEQLRGITMESSAISLYFSMLRRSAPDATPEKKEYLINLID SPGHIDFSSEVSTASRLCDGALVLVDAVEGVCSQTVTVLRQTWVEKLKPLLVINKMDR LITELQMSPAEAYSHLSKLLEQVNAVIGSFYQGERMEEDLLWRERMEERVNAAAAKDK DQAKNFASDDADGTDSHAGTDLDEFEEKDDEDLYFAPEKNNVIFCSATDNWAFTVRQF AGLYEKKLGIKRSSLEKVLWGDFYLDPKTKRVLGSKHLKGRALKPMFVQLVLDSVWAA YEATTGGAKGKGDPVLLEKIMKSLNITIPPHVLRSRESRNIMLALFSSWLPLSTAVLV SVMEYLPSPRTAQATRLPELINNSPASDFVDQKVKDAMINFKTDADAPVVAYVSKMVA IPESEMPQNTKRVGAALTADEARELARKKREEIAKLQAEANEQDDDFGRVTSALANAS LDDQEGVQTEEKVDKEHLIGFARLYSGTLNVGDSVYVLGPKFSPANPHASPEPVKVTI TNLYLLMGRSLEPLKSVPAGVVFGIEGLAGHILKTGTLCSQLEGSINLAGVSMTSPPI VRVALEPVNPADLNKMINGLKLLEQSDPCAQYEVLPSGEHVILTAGELHLERCLKDLR ERFAKCEIQAGEMIVPFRESIVSTSEMAPPKNPELGRGAVLTVSPSKQLTIKLRVLPL PGEVTEFLTKHAGTIKRLRSEKRAEVAQKGKHAEDASEEVESREVEETEGLEANVLSV ADFKQELRKLLAKSKYENINADAVEKIIAFGPRRTGPNVLIDATAANVSDKFLSEEQR SAHNGLSRQAITIRDLSDKIVYAFQLATAQGPLCHEPVQGIAVILEDIALHVEDEDAI DFGRLTGEAIRIVRDSVSQGFLDWSPRILLAMYSCEIQASTEVLGRVYGVITRRRGRI LSETMKEGTPFFTILSLLPVAESFGFSDDIRKRTSGAASPQLIFAGFEALDEDPFWVP ATEEELEDLGELADRENVAKRYMDAVRTRKGLFVAGKKLVKDAEKQKTLKR TSTA_063720 MMIPTIVQDIKYLAILAVPGQQLAMTSTYLPGTGTHVQNGIICA SIPGPVFVEQLSQTKSKVTKSILSVLRSGIGNIGPDGTVQKVSAHTTTTTTATGTKRP KYNTLPAVDSIVLARVTRVQKRQVTLSILVVLDDITAATQVSDNDNIAAILTSAANPE NQSTSDELRFQALIRKEDVRAVEKDRVVLEEMFRVGDIVRGSVISLGDQSFYYLTTAR NDLGVVMARSEAGNMMFPVSWKEMKDPVTGQAESRKVARPF TSTA_063730 MEDACFACFSTLDRWCHISACLGPFGGRSRDGIYETILQDSERE AVADLLQYLENRTETDLDFFSGEPLRALTTLVDSNNIDLQRSASLTFAEITEQDVREV NRDTLEPILKLLQSPDIEVQRAASAALGNLAVNTENKALIVNLGGLPPLIKQMQSPNV EVQCNAVGCITNLATHEENKSKIARSGALVPLTRLAKSKDMRVQRNATGALLNMTHSD DNRQQLVNAGAIPVLVQLLSSEDVDVQYYCTTALSNIAVDAANRKRLAQTESRLVQSL VQLMDSSTPKVQCQAALALRNLASDEKYQLEIVRAKGLPPLLRLLQSSYLPLILSAVA CIRNISIHPLNESPIIDAGFLKPLVDLLGSKDSEEIQCHAISTLRNLAASSDRNKELV LQAGAVQKCKDLVLNVPVIVQSEMTAAIAVLALSDELKPQLLNLGVFDVLIPLTACDS IEVQGNSAAALGNLSSKVGNYSIFIRDWTEPEGGFHGYLTRFLDSGDPTFQHIAIWTL LQLLESEDSKLIELISNSEDIMQLVKRISDRNIDSDDEEVDEGEMEVVALARRCLEIL GKGPKQTLVEG TSTA_063740 MSTTTTTVSESQTAPNRAGRLRRLSQLRAYTQSHFIPSSSSSSS SAATSPTSAGNQRRHRNSLSRVVSLSSPFHISTIADSSEPLAASASSSSEREAHQTDN STSSDSDPQEQSVSSVSSRSLPSSHEVSSGLQAMAVESNGQQDNTTTTATVDASNAND SNHTSTANKKPKMNNTIRFFAYQDTHHSSRPSLQFSPMTRTLPSEDCVIRVGRYSERD GVPLANPSEPSDAPIGFKSKVVSRKHCEFSMVNGQWHIKDVGSSSGTFLNRTRLSQPN MASRLYAVRDGDIVQLGIDFRGGEEMIFRCVRIRIECNRTWQQRPNEFNKNTESLINN LGKGKAASNFEGCRECSICLGSVLRPYQCLFMAACAHVWHYKCIRRLIHTPDYPMFQC PNCRAWTDLSAEVDDSMEFDEESAQEDTSDIKPDASTDANAHSSGEQSQAAIESNGEI GTEDRDLATIAENLHLGEERNTPMQDGENPDGANITSKNEVHASGNSQSANIPIPNGS SSHGNQSNSRSDTPGHHETFEDDPMTPRNDSGPLALDGRAGHL TSTA_063750 MDAFVIQDLVSELTDLELAILLALICQGHCVIETPVVNVDDVAG ELALICQNRFGLSYAVLDCSSRTSIDNFSNSILVSDAKRGRSSNALPSFQTGGQFDER KIVNVVIAKNFHKVSSDIQIQALELIRSKRIFTRTSIHITQKTFLFVPLIGYDDIVQR TVRLNKHLNDFFLFSHFHEIDAGLPNLEGDEGWPSDDQASVSSVVRKSQRYNDHFHTN NRQVPEQMILHLRLLSDAVSVSAEVNRYLHNIVVFLRLNRAVAGGVSAKATKYFMIVA KSLASMHGINYLTPSVVCLAAKKVYRHRIIVAKPEDDRSLQYGSHLSSVTMILKDIDP DQIIDAVISEVEVPI TSTA_063760 MGPPNPRADWERLGDRFFQKVRIYDGVFDEDLELENYVIAAAPY AGALALHRSESKIYRYRDAQTAKASIDIYSFSGKSISRFNWEYGAIRGMGWSDNEELL VVAEDGTVRRYFGLHGDFAPFSLGNGAEEYGVRACRFWSSGFVALLSNNQLIAVSNYK EPRPRLLAASPEGEVSSWSLIPPAYTLSRTVEVLLAVDKTLYVVDATEAEDRMLESGP FKHVAASPSGTAVALYTCDGKVWIVSSDFQNKYSEYDTRSKTAPVTMEWCGDDAVVLA WEDEIHLVGNNGASSKHYYDGRVHVLPEFDGVWLLTNESCEFLHKISAVTEDVFRLGS TSPASVLLDSIDLLEKKSAKVDENMQRIRPSLPEAVDTCVRAAGQEFNTVWQKRLLKA ASFGKSVLELYNSDEFVDTTERLRVLKAVRDFEIGIPISSEQYLRLTPERLLDRLINR HHYLLAIRISEYVRLPTDKIYVHWASQKVKASTADDDSVCKLIVQRLEGKSDISFEVI AQSAYDEGRAHLATQLLNYEPRAGKQVPLLLSMEEDSIALDKAMESGDPDLIYYVLLH LRKKLPLSTFFRTINDRPVAAALVESSARDGDVEILKDLYYQDDRPIDGSNILISEAF SREDVHAKIDKLGLASRVLSDAKDAATQFQQKSILEATQLLRVQEGLDKELSDGPEYV GLSLNETVYRLIKSGYGKRAQKLYSDFKMSEATFWWIRLRALVAKRDWGELEEISKMR KSPIGWEPFFAEALGAGNTKIASTFVPKCTNLTVAERIEMWLKCGMIVKAGEEALKAK DFNTLEVLRTKASDTALGEIDRMINQLRPRK TSTA_063770 MVYMIAPNQSYIIAIMGRTGKLKNKSTSVHSRAARRGTSPTEVD KSLESMPRVEAPTKSHSVLAAHGNAGVLKKKAKNKKLTRSQRLRQQKGIERGEMIFDR LETKVEKAKSSYSKIVSARKVQWEDLNGKHAKAAKILQQTQESDGEQVDEDEKLVSAA PITLDKTTVTASVGSSLPPIEHAAADDDDNIT TSTA_063780 MSYHGFTLDSSIFAGFTQYDFKSQDPQSIVALVGTSIFFLYCVF PYMGSLPFTIAHHLWNVLVYAIPSRIVAALDAENKETSVFRASSTYSTFYEKEQAMRR IVGFEKGSLDSILPRGRRLSSLGNSWLGSRDLVPPGLGNWDNSCYQNSVIQGLASLRS FANYLDRNILKLDTRGRLSTHHALKDIIERLNDPGNHGQKLWIPSELKSMSSWQQQDA QEYFSKIADQLDREVREASKMITTNAGLRISNHGEHIMGISNPEHEKLVDGGVEQPNV MHNPLEGLLAQRVGCIRCGWTEGLSLIPFNCLTVSLGKNREYDIRACLDDYMALETIE GVECAKCTLLRMRDQLNHLLKQIEEDDNIVQGAQAATVASSLKSSAQSRLQAVQEALD EEDFTEKALSKKFTKSRQAVIARAPKNLVIHVNRSVFDEETGALRKNHADVRFPRSLD LGEWCLGTRDVMKETDSPEVWNTDSQTSMLPNAGEVSPRKYELQAVLAHYGRHENGHY ICFRKFSTQDFPTVSEDMETEKQAKHHWFRLSDEDVRLVSEQTVFDQGGVFMLFYECV DEPQSSWNDVSGELSENNLVMRNEQTSKPYPGPEKQPDTSAFVKIRTSSPGSDTSDIS MDSEFSSARLTQSSSDTSNPILELDDVKQRLPCVHMIAA TSTA_063790 MPPKRRGGGAPKEKKGRQSKLAKENNITAEEENEIKEAFGLFAD KNEEFKDEKEGVMRTKDVRRALVALGLPPDSSSELSSIVAAVDPTSTGFLTYDAFVSV AAAKLHMRGDDALDAEVDAAYRLFTQGSEGPITLNHLRRITRDLKEDNVGDDLLKDMI REANGGDVLQKGVTLEQFRDVMSRAGVF TSTA_063790 MRTKDVRRALVALGLPPDSSSELSSIVAAVDPTSTGFLTYDAFV SVAAAKLHMRGDDALDAEVDAAYRLFTQGSEGPITLNHLRRITRDLKEDNVGDDLLKD MIREANGGDVLQKGVTLEQFRDVMSRAGVF TSTA_063800 MDVELYVYDLSGYSMSLTGIQIDAIYHTSLVFGGVEYFFGQGVH RTVPGTTHHGQPMEKLHMGRTELPNEVIQEYLESLAQIYTPESYDLFMHNCNNFTQDL SMFLLGKGIPEHIQSLPKTFLSTPLGQMLRPQIDRAMRGITQADPGPQIPAPSAQTPH RPPGPTVSAVHATQDLRGYVRNVSNLRELDEQLSLAKDSCACIFFTSSACAPCKIAYP AYDELAEEAGDKAILIKVDLNTAFDVSTRYNVRATPTFMTFLKGKKEHEWTGANPAEL KGNIRLLIQSAFPPHPHTALRLPSLQREITNYVLYTRIPPMEKLLQKIGSYQQDPTII GLVEFIKTRLTAPADAAVPNLAFLAQFIQSTLPSIPKDSLFALVDLIRVAFVDPRVSG FFAEEAGQRTLLALLSPSSDLASCPYNLRIVMLQLACNFFSSSLFPQQITTNHELRES CIRLLTGCLLDSHTNLRVVAASFAHNLAAYNHNERFASRPDKLTEEDQVEIAASLVEA INTEEESVEALHGFLFALGLLLYEAPVDGGLMDLCRAMGIAELITAKKQIEKMKGEPL LKEIGQELFGKGLK TSTA_063810 MTPEALLYSHNSNRHSDYQFAHSSTSSISGASGISGSTAATSMS MMSSNMTMQSTASSPSLRSRESVIVPSHGMTAPPSPSPGGNVKVVVRVRGFLPREIER DADCLISMNPYTQETTLHAPEVDTSKPRSQARGKVYEDKTFTFDRSFWSHNESDEHYA DQEEVYNCLGEEFLDHNFEGYHTCIFAYGQTGSGKSYTMMGTPDRPGLIPRTCEDLFQ RIEEAQSVDTTYHVRVSYFEVYNEHVRDLLVPRTDPPHYLKIRESPTDGPYVKDLTDV PVRSFADIMKLMRKGDMSRTVASTKMNDTSSRSHAVFTIVLKQIHHDLATDETTERTA RIRLVDLAGSERAKATEATGQRLREGSNINKSLTTLGRVIAALADPKQHRPGGRRVKE VVPYRDSILTWLLKDSLGGNSKTAMIACVAPSDYDETLSTLRYADQAKRIRTRAVVNQ DHVSAAERDAQIAEMAEQIRVLQLTVSQNAASKRESEAQNEKLEEYQQQVEKMQRLME ENKMVSECKIRQLQTENEALRNHLRLALDSLKNPIPAIPLRKRDTSLGSLKSEEHPVE DAPPERDVVTPEPPEEEEEEEEEEEEETSGIWEDEQDSSDEHDEEAQEVQADMENLLN ELSLFRRKLNDDYERFEITKSKDTRKRYPFGKLSINN TSTA_063820 MSSPEQSQAPPATATQNPPATNSSASQTPPTDAQNGANAKSKAP NQNQNQKQKQPQKPKATDATAADGSGEKLSPAEMKKKQKAEKAARRAREKLEREGGAA AGAGAAGGAQQGAQQGAVQGGPVQTPRRPQQGGRDGLASTPRGPRFAGGARGTPLPTP TETKKKEDKNVAVFGHLYGIPRRSTIAGAAKEVHPAVLALGLQIRDYVICGSSARCVA TLIAFKRVIESYTTPIGTSLARHLTTHLSHQITYLSTCRPLSISQGNAIRALKLSISS IDPSVPEATAKTELCEFINNFIREKITVADQVIATSATQKIQDGDVIVTFAGSSIVKQ TLITAHKQGKKFRVSVIDSRPLFEGKNLARALSSAGLDVQYSLIHGISHAMKDATKVF LGAHAMTGNGRLYSRVGTALVAMSAKERSGGAEIPVIVCCETVKFTDRVALDSIVVNE IADADELITKQPLSQVTGRPDPHKTVDEKPGKKGNNQNVTDGIASLTLDQNAPSPLTG WKDTPNLQLLNIMHDVTPAEYVDMVVTEMGSLPPSAVPIVHRMSTNS TSTA_063830 MRRTTTLRTAPGQTDELDTPQSFTLHYSCLSKMSKDSSSTYLHM HHPRLVDHFEDFTRPHTSLSSNATNTTQNLSTTINGTVTTATGATVTYGATSTPSSTI PSFLPIEEVYLPPHYQPINPEDEDDVVPDQHAAFGIARAMDAAGRRRVEPVWRDLGLQ ELVRGETLAIGGAQRMVLRGTAATAGGGGGGSTTAGGVSFGFSSAAAGLRVRESGSLM GGRRVRCLR TSTA_063840 MGDITQELEELFAGSAPDGLAQDVLTELQSMLRLHGITPQELFY KWESYSMKMGADDTKLDLDTVRAFKRDVQDSLERETRGKNHHVRGAEKKSGVTATPRA GKADVFGMLDDLTPGTPQSRINGSAKRKSNFETPSGPKVGRTDAGTPTGIKTPSTNIQ SVAFAERQNPGQLLETLNAHLDMPKTPMVPFPEPRIRPTANTDLKKFAYKPMSMRLNE ASEILDDRLDEFTDLFEKQYPDVNFGSAATQSTSEIVAVGRIASDSLEGKLNVASLVL ETSRRTGAGLRVPLKVDALPSVQFFPGQVVALRGINASGEYFSVKEVLSLPLLPTAAS SPDTLDAINEKLGGVDVDQPLNIFYAAGPYTADDNLDFEPLQELCKKAAEEYADALLL LGPFIDLEHPLIATGDFDLPDIPGLDPETATLTTLFRHCISKPLHQLCAAVPSITIML MPSVRDSVSKHVSWPQEQLPKKELGLPKQARVVSNPVTLSLNESVIGMCSHDVLYDLR KEEVLGGRPAEANLLTRLPKYIIEQRHFAPMFPPSSRDSLPKSGGLDSMLPIGGMMDV SYLKLGDWWNVRPDILITPSLLPPFVKVVESVLVINPGTLSKRRAAGTYANMALYPRT ISDEERQEKQLSHKVFERARVDIVRI TSTA_063850 MAERTKQEAVADAVALANIEQELNLPKSAADGLIKKPFGHPAAG VKIPSAAELTADQTKKYDEVLKTVSGFTSVPTSPAKNASESPLTDDERMFLTRECLLR FLRATKWNVADAIKRIRSTLAWRRDYISDKLTADYISIENETGKQILEGYDVDGRPCL YLLPSRQNTQKSPRQIEHLVFMLERVIDLMPAGQENLALVVNFNETKSGQNATIGQAK QTLDILQNHYPERLGRALVINVPWIIWGFFKIITPFIDPVTVQKLKFNEDLREHVPPS QLLSSCGGDVQFEYDHSVYWPTLNKLADNRRQQMRERWVRAGRHIGESEFYLRGGTEK SLFAA TSTA_063860 MADDHDHLTSIPRSPTDHKHEHEHEISGVAASDNININRETSHI DTDRGENLRRNSSGSGGHSSRSRISSGHERGFVQPASYLRRRGLSHPMAPSQPERAVD VEEQMGLRAIRNFLKVRTSYDILPLSFRLIVFDTSLLVKESLNILVQNGIVSAPLWDS STSTFAGLLTTSDYINVIQYYFQNPATLDKIDQFRLNSLREVEKALGVAPPETIAIDP ERPLYEACRRMLSSRARRIPLVSNDSQTDRSLVVSVVTQYRILKFVAVNVAETQNLRK PLKEIRLGTYHDIVTASMDTPVMEVIHKLVERSISSVPIINSEGIVYNVFEAVDVITL IKGGTYDDLSLSVGEALKKRSPDFPGIYTCSINDGLDTIFDTIRKSRVHRLIVVDDHF RLIGVLTLSDILQYILLEGEPEEW TSTA_063870 MAGFLRVLKDLPCITRTPRAGADQVTLSPLSPSWSENSVVDKTP SSLPTEGVQWEQRELEAELYESLYTAFAQHDNIPPGELSSSAAATSSYAASSAIAVES ERYAKNVTFKDYSHLVENLPGLPMDQASSSISVKEGSTVDGPSVPTSRGLFHYFHETS ARVINAVKTAIGHHRDGPVGDSADSKSRSQNSFVTHDSTTTVYHWSDRHSKFPCIRTS SSGESFSGSGTSENNTFVYGTSDYAPPAVSCLPEYGWPHHRLFSDISLHGRQRTAFLA YNELAREYGSYALVPPEYDPISKFRGAFSAPLQLSLTIMEDFQMFIRLKPSKRELILR KVRSIGSHLRPRSPTRRARNLRRMRTFANLSLKYYKMDSLKGKDLATMGRMCGYGSLT LPGDFAPAVMRLPAPIVSLVSYLVTHGPKARNIFHEPGDVVVASRIYDHFASQILTPE GEESGNMTVRRSYDFSSEVFGVHSDNEDFLRGRFHVLSVAWAFKYILACIPGGILGSP RLYPTLVDIMNLTFPDEPTFPQRGLNGALPEVSPTKARAISLAILALTNDMQLDFLCA IFGLCSFLDHETRTMLDFYKSKNIPPINRACLLDRKRILLTFTPLLCEDTKAINDDDY GCEAFWVMGMMLDYWRVVSRHLADADI TSTA_063880 MVERTATIQIKRLRANSCVSLQAEELDTHLQTLTGKVPVTLENG QSTYAYPLGSWVYHEKLKQMQLIFQMGFELSIYAPEELGGMYWYLSHLCSSHQLHIER IQAFVHSEGRRSKRFRGNEATFERTLTTLGRHSLWLIATETLSLGLQVLYVFLERHRV LPHAASQNAYSCARLRYELRMTPLLPISLSELVSYDQYEDNATLKGQNDSDLLKAEQL PMHAKHGKRSLRRALLSRPHSIRKTNSLRACIGASIAIQTVTKIFNKTNLSKSTAEEK PGTALLSIKVEIPEVGSAGRHHVDAPRCEDMCDRHCHPFALRCT TSTA_063890 MEVPNIPSGSGKRNTYSLDQDVEFQSKDDKIGTPREDTSRIYNS TLRSRAVFLLTLRRSFPKGRQFLHPMACTDQGHQPKSHYGHHITCIRSCSADELGKAI EIPKHRDNEAGVSYFGKYNENMVFVHEKKNFSPLKDTLTRLTWQLRLSENIKELRILL CLGYTIDLPLPERPSLTQEYIHHVIYAIPGKHVISLRDMLSLTNIHQFQSSLSRTMRF EIAQSLARSILYLHTAEWLHRGTRSSNVLFCSDVDFPDTHQRLSPPYLAGFDYTYLPS DDEIMNAKVQTGFKEWQLYRHPNVLREPTTWLDAALGDNDKAFSKNHDICGLGVILVE LGVCKSAARLWKKSSRDKCNGESKCQRYLITELIPEVSSIMGSKNADVA TSTA_063900 MSAYTVLADQSQLGAPAFTTADAVTLDPSLDSNHVLSGDFMNFG LTAEEEPVWNMSPISPSFANWNPKSDATFVRNGQPTPPLDDPQQQQQQQQQQQLQQQQ PVTLSRDMMAVFENRNAWDDTPTPPSSSRNSSADHSAIGRFPYSSVSKRRRLREHKPS IATTVSSGADSDDQDHAKREKFLERNRLAASKCRQKKKEHTMMLESRFKEQSDKRERL NSEISRLRSEILGLKNEVLRHAQCGDEPIKLHLAQMVKQIANKDRDDPAASSPQLSDT TPPMRGSVSFGFDEPLPLEDGASLEQQIRRDSEASIALSGEDDFGDFVNV TSTA_063910 MSPTESNRLDGMADASTVPLLRNSSDLDTRSKQPPKSSFQARAR LYWLAGVLCCGALLFGYDSGLIGGVLTFQSFHFDFRFGAYGPRSATTVSAIAVGTQQI GALIGCFAIWPINNLYGRRKAIGVCSIVFCIGVVLELLNFHLLPIFYIGRVIAGFGVG GSSSVIPIYLSEMAPKEIRGEMGSCFQFMFTIGIFISYWIDYAVGFMPPQTAQWQIPV ALQLVPGGLMGFGVLTLHESVRWLVSNGDIDGARQSLEWIRAVPDDQDHETLLADEMA DIRHGIEEEQAAKEGFSFTELLEWSNAHRILLAVGIFLAQQSTGATAMAYFGPQFFAL IVGPGDKTFLLTGIFGFIKVVSCGLFIVVLSDRFGRRRLLFTGAALMAISMFTTAALV RASHLGHPSVHPSATKILTIALIYFTIIVFNLSWGPLPWPYVSEIFPTRIRESGVACG VGSQWLFNLIWSSATPYLLAEIGGWGTFCLFGGMCLGVCLFVIFALKETVNKTLEEIN SMF TSTA_063920 MEGLPFVYIHDIPSSTEYDYVRFCGEDMIIAHQAIYQSNSSISS SISSSLILLYTPINWSTVPVMAILKKKNHSYKLSLALSQRSPPYRYTWVKILILLSGT ALCLNIYAEQIFGFIYLFHNEPPSLQSFQECSIRNMLSTGLYFLEDVSPPTVENFESR RNRLAHALVADGVDAFVLEPGYTFKYYANISQEDWEPWEPEERPFLMVVQSYHDPQTG IITANTSFLCPSFEAERARLLLMPFSEPINIIPWEEHWNPYKTLFKSEIFARNERPPR LMVDEEMRDYIQRGLAGIGFEVVGLQKQVEMVRQMKSKEEIDILRAVNTGTVEAIRQI RKCLYPGLTELDIQRVLDNTLRAVGLEPFFDIVLFDENASNPHGGTNSSKVLDAETFV LIDVGAHLYGYSSDITRAFFPPFLDKPQSKEDTPACLKKKIEVWNIVFAAQSQSFEQL HANATAASVDIAARTVIEAAGYGHAFTHRIGHGIGIKAHESPYMNKANYKSILQPGMA FTSEPGIYLVNEFGVRVEDVVLVNGEDEEPTLLTGQRAQGPWDP TSTA_063930 MLLRPTFHRASTPLSRLSRSSFAAMPLRAKVTNPAFASKMSLST KSSENPAVDSDDVLFYSTYGLRLIELNRPKKLNSLNGSMCQQIIPRLLEWEKSQLANI IMVSGRGEKALCAGGDVAALAINNKEGPEGVKRSQDYFALEYQLDHLIATYSKPFVAV MDGITMGGGVGLSVHAPFRIATERTLFAMPETTIGFFPDVGGSFFLSRLDGEVGTYLA LTSERLNGVQAFYTGIATHYVDSSVLSNLTNRLAELVFKDYANLNERNDLVNKTIAEF STGLPSVEKEPILLRYKLRQAIDRCFKYNTVEEIIQALEKEEDQKEWARKTLETLTSR SPTSLKVTLRQLRLGKNWSIKETFQREHAIASVFMNHPDFVEGVSARLISKPPRTPEW NPSRLEDVTPADVDAFFKIDGEPLPTFHDNDYKTYPHAKYALPTEADIEAFVRGNKTT GSDTVKEFVQNWGQKEGVRAKVTEVLGRRTKKTDKGLEWIETDKE TSTA_063940 MPSSEVLRTLRWLTRSANGVLKQPETLMQGCANSSSRLMATISE ASHERFTQTDSTDASRTPWQPPAPALVTIHSFPSMTPLRFEEYSPDHLLVPLRRDLLH RAVVYEGDKTRQGTASTKWRDDVHGSGRKLAPQKGTGNARVGDKKSPIRRGGGVAHGP HPRDFSTDLPRKVYDKAWRIALSYRYTRGQLLVVDNIQTPDNSSPWFWKRFFEVNLWG KNHGGCTFVKDRMDEDLFGAISEFKQHARILDRPDLDVKDILKNGKMIIEQKALNKIL RDHSRDLPRPPPKATYPEGMYFS TSTA_063950 MSHCEVASKPLLRYLRQSSANKFSISSLQSKRLFQTTAVSHDEA AVENKPQPFYKNPDPALVSSPRLERRLLKSGVTPIGSRRRRAALQASENIPFELLPYQ CFQEARKVLQADREDKLKDIAREQDRIDRLRALSDDEAGGANVKRSKLGAMEKHLENL KIWADINDPVVKRKFEDGQGDMNLPIYRHLADKKWREYRRLIIIQRITQMKVIPDVLP SCDPTVDVKLYFDGTAIAPGSFVDSRLSMTAPKLNVQSFEAGQKLVTIAVVNPDIPNV ETNGFDYQCHYLAVNVPISPTDTHVDLAKLPETAETLLSWLPPAAQKGSPYHRLSFFV MEQKGNQPLDAAAIKNGLERHDFRLRTLQSKHHLKPVGAALFRTQWDENTDAVMKELG TDIAGIELRRKRVEPLPYKRRNPASFR TSTA_063960 MSSTFRQFLRTATPRFVLSWTVDCLGIFCAAILIREHFYTVQRS EGPSMIPTFSVRGDWLLISRRHDQGKDIQVGDVVRFSHPSFLGVNGAKRVIGMPGDFV CKDPVYSTDVGGNNEMIQVPEGHVFVAGDNLPWSRDSRNYGPVPMGLINGKIIARVWP WSKMQWVENNLQPHNSDEQ TSTA_063970 MVNNDNAAGDDLTTKSRVLETAAGVIQDFRPVKSICAHLNAFHV YASDPTRAVEANHYCAHITEDIRQCLLYDSPEPNARLIGIEYMITPKIYSTLDPSERE LWHSHIYEVKSGMLIMPTPAGVPNSVWQMAETSEMKDIIPLYGKAYHLWQVDRGDKVP LGKPELMGSFGDDAMLEKVHPEGKKGLLRDRDRRFRADYEENARLREGLEEPELHPDA DAMMRKKVE TSTA_063980 MNFLRPFRRLSTQNQSIQQRQIRKMHIKTIPMWTGKSNNYAYLV TDEPTKQSVIIDPAHPEEVIPVLKSEEAAGNAKVTAIVNTHHHWDHAGGNDGIEFPHL KVIGGSQCQSVTKTPTHGETWKIGERISVKALHTPCHTQDSICYFLEDGDQRAVFTGD TMFTGGCGRFFEGDAAQMHKALNETLAALPDDTKVYSGHEYTKSNVKFLLAVSQSDAI KKLQAFAESNQQTQGVLTIGDEKAHNVFMRLNDPDVLKATGMKDPVDVMAALREMKNA M TSTA_063980 MNFLRPFRRLSTQNQSIQQRQIRKMHIKTIPMWTGKSNNYAYLV TDEPTKQSVIIDPAHPEEVIPVLKSEEAAGNAKVTAIVNTHHHWDHAGGNDGILKEFP HLKVIGGSQCQSVTKTPTHGETWKIGERISVKALHTPCHTQDSICYFLEDGDQRAVFT GDTMFTGGCGRFFEGDAAQMHKALNETLAALPDDTKVYSGHEYTKSNVKFLLAVSQSD AIKKLQAFAESNQQTQGVLTIGDEKAHNVFMRLNDPDVLKATGMKDPVDVMAALREMK NAM TSTA_063990 MASRGSEKKRPVHRRSTTGCITCRIRRVKCDEAKPACKRCTSTG RRCDGYRQRQQQPAQQPTSYMSIGISIMANDSFITDSGRAACQVRSRMSYHLFVELYT PLLSDYGTAWFWNSLVLQASVCDESIKHLVIAASSLRTSSSPSRLLSSLTAVESRQPE TSHDKITNVSFLLHHGRALQLLSCASQPSIIIILVACVLLALCAELQHRSTEAQGHIQ AGLRILAAHYPDITSATPLGEIASTFARLCIPKPVMHGFEELRRSIGYR TSTA_064010 MASTTLPTSLQKSLDETKVEYTNLGSSGLQISVPILGTMSFGSK EWSPWLLEEEEAIKLLAAAYDRGINTWDTANMYGNGLSEEIISKAIKTLEIPRHKVVI MTKCAIPVGEDVSVVGPAHGQFMKKSKQYVNQGGLSRASIFNQVEASLSRLNTDYIDV LQIHRYDPSTPPEETMKALHDLVQAGKVRYLGASSMWATQFANLQHIAERNGWTKFIS MQNYYNLCYREEEREMTRFCKETGVGIIPWSPLFGGQLARPVGVKESIRSQTPSPMGS AFTAADEAIIKRVEQVAKEKGWKMVHVALIWLRSKGAAPIVGATSVEKLDDVVEIRGK SLTEKEIRFLEEPYVPKPIAGHF TSTA_064000 MPKIIITPWRDESELADVRSKFYPSSSPSGFSPTDQRSDACRIV EAWKLRGHLPHAVEATALLTDAILHDDTTHANNNNSIFSIRATYAAAFSRFVTGLVDN KLGGQYHRGTTMFNRASMIGLPLSFVELRHEAAHRELPSLVLLRSYANRALEWLWDYY WVRIERPYPVDEREGTRVVLKHFVKQVQAEGSKKKVKALVTSVSEALVSICKSDSVEE SKAICRILLEDGFIVPKDRQLASSMESLFTTWGPVLQSITDSVKAFLTILTEELAKEL NKPNTPADSYLEGVYLWLEHILTSETYKQYKSLLCSINYIRFVCSEWPNYWTNRLAKI IEQEVETVQVDDSLPTRSLPVDMEAPDLQAAGWWF TSTA_064020 MGEVYTQQPYHLPLDWNMQLHSLTNDTSLRNYRKTLSRVSKPSS AGNSPHSHPQRRRTMTTYNNNGFTQVLPVRNLFHNNAAATQRPPRPTSWHPSVKQQPQ PYYVPNCHPSWLRGDVPVPSVTAQQQQSGMTSMYAGLSTPITCPMSGDLLSTNDYFAA NPPSEPYNFLPPGPQVYTPVEPSDMLYSEPSEWSAYSVEGTPATTINGFVSTDPAMID YGHESVASADDLTAPPTPDMAYNHQQPTLISTTQNNLDDGSDDLVAVGLYDEPSSLSF SSLLGGDNTCHPTGKGLKLEETFTPVEDDEEDGDAENDD TSTA_064030 MVKPDANTQIAIEDFTRGEIGSESDSHNHIEIVFSPETEHRRKS SLVATDDPRIRRRGSDSHDKNTACFVHSLLNGKWDIPYEYQEDDRTVTGEHIGRRPIE IEPASQDNELREYDRVAVVDYASRLPDQSTPTVVHSRHLTKAQLSDMAWNVRKLSKRL GSVKLKLKVKTVFVVTKAHDESLIKITREFTTWLLSKDRERLYTVYVQESLKDHPDFD GARIIHEIDEPTAHDRLRYWNSSIATDHPQTFDFVITLGGDGTVLYTSWLFQRIVPPV LSFSLGSLGFLTKFDYSKYQDTITKAFRDGVAISLRLRFECTVMRSNPRRKPAVDHEG HVLKKDLVEELVGEEIGDTLTHVPDKVFQILNDIVVDRGPNPTMSTIELFGDDEHFTT VLADGVCISTPTGSTAYNLAAGGALSHPENPVILVTAICAHTLSFRPIILPDTIVLRM GVPYDARTTSWASFDGRERVELHPGDYVTVSASRYPFANVMPAGRRSEDWVQSISKTL NWNSRQRQKAFTK TSTA_064030 MVKPDANTQIAIEDFTRGEIGSESDSHNHIEIVFSPETEHRRKS SLVATDDPRIRRRGSDSHDKNTACFVHSLLNGKWDIPYEYQEDDRTVTGEHIGRRPIE IEPASQDNELREYDRVAVVDYASRLPDQSTPTVVHSRHLTKAQLSDMAWNVRKLSKRL GSVKLKLKVKTVFVVTKAHDESLIKITREFTTWLLSKDRERLYTVYVQESLKDHPDFD GARIIHEIDEPTAHDRLRYWNSSIATDHPQTFDFVITLGGDGTVLYTSWLFQRIVPPV LSFSLGSLGFLTKFDYSKYQDTITKAFRDGVAISLRLRFECTVMRSNPRRKPAVDHEG HVLKKDLVEELVGEEIGDTLTHVPDKVFQILNDIVVDRGPNPTMSTIELFGDDEHFTT VLADGVCISTPTGSTAYNLAAGGALSHPENPVILVTAICAHTLSFRPIILPDTIVLRM GVPYDARTTSWASFDGRERVELHPGDYVTVSASRYPFANVMPAGRRSEDWVQSISKTL NWNSRQRQKAFTK TSTA_064030 MVKPDANTQIAIEDFTRGEIGSESDSHNHIEIVFSPETEHRRKS SLVATDDPRIRRRGSDSHDKNTACFVHSLLNGKWDIPYEYQEDDRTVTGEHIGRRPIE IEPASQDNELREYDRVAVVDYASRLPDQSTPTVVHSRHLTKAQLSDMAWNVRKLSKRL GSVKLKLKVKTVFVVTKAHDESLIKITREFTTWLLSKDRERLYTVYVQESLKDHPDFD GARIIHEIDEPTAHDRLRYWNSSIATDHPQTFDFVITLGGDGTVLYTSWLFQRIVPPV LSFSLGSLGFLTKFDYSKYQDTITKAFRDGVAISLRLRFECTVMRSNPRRKPAVDHEG HVLKKDLVEELVGEEIGDTLTHVPDKVFQILNDIVVDRGPNPTMSTIELFGDDEHFTT VLADGVCISTPTGSTAYNLAAGGALSHPENPVILVTAICAHTLSFRPIILPDTIVLRM GVPYDARTTSWASFDGRERVELHPGDYVTVSASRYPFANVMPAGRRSEDWVQSISKTL NWNSRQRQKAFTK TSTA_064030 MVKPDANTQIAIEDFTRGEIGSESDSHNHIEIVFSPETEHRRKS SLVATDDPRIRRRGSDSHDKNTACFVHSLLNGKWDIPYEYQEDDRTVTGEHIGRRPIE IEPASQDNELREYDRVAVVDYASRLPDQSTPTVVHSRHLTKAQLSDMAWNVRKLSKRL GSVKLKLKVKTVFVVTKAHDESLIKITREFTTWLLSKDRERLYTVYVQESLKDHPDFD GARIIHEIDEPTAHDRLRYWNSSIATDHPQTFDFVITLGGDGTVLYTSWLFQRIVPPV LSFSLGSLGFLTKFDYSKYQDTITKAFRDGVAISLRLRFECTVMRSNPRRKPAVDHEG HVLKKDLVEELVGEEIGDTLTHVPDKVFQILNDIVVDRGPNPSMCLPCLEL TSTA_064030 MAWNVRKLSKRLGSVKLKLKVKTVFVVTKAHDESLIKITREFTT WLLSKDRERLYTVYVQESLKDHPDFDGARIIHEIDEPTAHDRLRYWNSSIATDHPQTF DFVITLGGDGTVLYTSWLFQRIVPPVLSFSLGSLGFLTKFDYSKYQDTITKAFRDGVA ISLRLRFECTVMRSNPRRKPAVDHEGHVLKKDLVEELVGEEIGDTLTHVPDKVFQILN DIVVDRGPNPTMSTIELFGDDEHFTTVLADGVCISTPTGSTAYNLAAGGALSHPENPV ILVTAICAHTLSFRPIILPDTIVLRMGVPYDARTTSWASFDGRERVELHPGDYVTVSA SRYPFANVMPAGRRSEDWVQSISKTLNWNSRQRQKAFTK TSTA_064040 MAAPPRRQSTLSMSSTVTEKASTQYADGQQQHSIRQSQLIQTST SGGLRVPSNRKTIYDRHLNRSQKADLSRASFAFLFAEMVTYAQRRVTGIQDLEKRLNE QGYPLGLRLLDLLFYRSISSTSSSTLTSSSTSSSPPNRPLRILPLLHLIHGPLWRLLF QRPADALEHSVSPETPNEYMITDNDPLVNTYISVPKEMSMLNCAAFVAGIIEGVCDGC GFEAKVTAHNQGTEMWPGRTVFLVRFGETVMERENVLERAGVK TSTA_064050 MPPQFPSYHRGMTKNPLKPVKRYRPGKALAEEHSLKEDDEEEEI AQEEERRRQEEAKCRQQTRPAPKATSFPSSTAGKVTKEVKDVTLEDEDEEGFITEEEE EVAKPSTIQKPAVARQAPSAAVELEEEEEEEIESEEEESEEEESSEEEAPKRVLLRPT FIKKDKRKGNEENGDQASSAPGVADTAAEAEARRAQRQEKADFLVRDQLEKEAMARLA GKKAWDEDENIAAEEEALDDRDGLDPEAEYAAWKLRELKRIKRQREAIEAAEKEREEI ERRRALNPEEREREDREFIQKQKEEKEAGRGQTGFMQRYFHKGAFFRDDLEREGLDKR NIMGARFIDETNREVLPEYMQIRDMTKLGRKGRTRYKDLRSEDTGRWGEGFDNRPRRP RDDDKFLQNVTDERFLPDRDRPPSEKTVAVVDRIQEGDLASLAPAHILPGQEIINDMM MNVLGTGVGIEVGIGMIINMIEIGENSEKEVIHLMMIETNVVGPRVECRLDTHPYVHY LWPLALKSTYLMHDH TSTA_064060 MSLQTPRILPSHLQAFHPSSGGNQRINTVRILGTVTALRGETAV ITCGNHGDVTLLLKPDSHLQMGKLVEIVGKVAELSGGQGLGINVLATIDWDSPLNFDY KIYEHVVDVTHRYKEIFYDS TSTA_064070 MEVQDGITVRPMRLKVLYTFDDQNKTNCLARWPHLLDIQTAYLD EKTQIGVIELKTCIQAIVSASPELVAKLGQDYTVYAYDYSEYETPLVGQGMLSWVLAS ASPTPNAPAHQSKTIVTGRVSKNVLGLFSKGAQETLEVKLRLVPVPTLMQSEYLESMQ RYRELSNIIPQDFDAQAWSSFVQQNPHIFATSQRSQSSPGVSGPIDHSGIEKFHQLLS EGSTPREFPHPHPDSTASSPVDPPSRSETPVGMQMITQMSRQPSATGHGRRGSEVSIR PLSRASVRESDFQRPGTVTRRGSVYSGYGSGDEAMEHPPRKRAKLFRADVTGKDDLNI ERQPGSLRVAASTAASVRIHRPTPVSSTQHPAASSLEEPVRPPTPISRTSNEGPRRGR PAPSLLRESSINSTTSYRSPYPAYDDVPSTDLPDASPDDHRYQGIFESQFTMPSSPPI MDAGLPSRSSPVLPPLRNPPDSGFMSATLEDLMDEDGCIRTHNLYDHNDATGMTNCEP TKQAHQDQVTGNQNSQANHESVSRQQKDDYLASDSLYESLSSKEAPTLPPQHSSTSSR PSSRTSIRPAQKLAPAPLSQSEIEQLMSSIPASDPVLPPSSYMHHANTWSGPMSDMPS AETPLPQPVEEKGKGRSGAGARRSRQVAARLENCVRDGQAPPFCYNCGAIETPTWRRA WSKHFEGSEQDANDCLKDPMCLFWTATDRIDGEKVTKFRLYKKTLGDADKDFDQILLC NPCGLWLQKFRSMRPQNRWTKQPIKDKRKRSSRPRKGPLTNGAVASTRIRSKSQANSV PGSSPAHTDTSSPAGDNGSQAGDDGVTPAVENENENEDTNGYADEQSNKRVRANSAEP TSNTLQSRWDENAAMEALKRAIQSSPARNLDVHVSKSHDENLTPKPVRRALFPQSNHD GPLKTLADKENTPSATNRNLDGLFESPSFDLALRNTPTPKRRMPRSHERRLSLPFCSP SANRTKQTTSGQSPSTSRSRQTRPNSDKGASTDDTVENRSSFPSVDDIVLDSLLDPWH SLPQSDIYDPFSDWSPTGENGNSILQFPSNFGDDDALINAVLAESELQNTTDTRVSFA GNTTLNDTALPQSRNNEQGQAEAEVTVTSN TSTA_064070 MEVQDGITVRPMRLKVLYTFDDQNKTNCLARWPHLLDIQTAYLD EKTQIGVIELKTCIQAIVSASPELVAKLGQDYTVYAYDYSEYETPLVGQGMLSWVLAS ASPTPNAPAHQSKTIVTGRVSKNVLGLFSKGAQETLEVKLRLVPVPTLMQSEYLESMQ RYRELSNIIPQDFDAQAWSSFVQQNPHIFATSQRSQSSPGVSGPIDHSGIEKFHQLLS EGSTPREFPHPHPDSTASSPVDPPSRSETPVGMQMITQMSRQPSATGHGRRGSEVSIR PLSRASVRESDFQRPGTVTRRGSVYSGYGSGDEAMEHPPRKRAKLFRADVTGKDDLNI ERQPGSLRVAASTAASVRIHRPTPVSSTQHPAASSLEEPVRPPTPISRTSNEGPRRGR PAPSLLRESSINSTTSYRSPYPAYDDVPSTDLPDASPDDHRYQGIFESQFTMPSSPPI MDAGLPSRSSPVLPPLRNPPDSGFMSATLEDLMDEDGCIRTHNLYDHNDATGMTNCEP TKQAHQDQVTGNQNSQANHESVSRQQKDDYLASDSLYESLSSKEAPTLPPQHSSTSSR PSSRTSIRPAQKLAPAPLSQSEIEQLMSSIPASDPVLPPSSYMHHANTWSGPMSDMPS AETPLPQPVEEKGKGRSGAGARRSRQVAARLENCVRDGQAPPFCYNCGAIETPTWRRA WSKHFEGSEQDANDCLKDPMCLFWTATDRIDGEKVTKFRLYKKTLGDADKDFDQILLC NPCGLWLQKFRSMRPQNRWTKQPIKDKRKRSSRPRKGPLTNGAVASTRIRSKSQANSV PGSSPAHTDTSSPAGDNGSQAGDDGVTPAVENENENEDTNGYADEQSNKRVRANSAEP TSNTLQSRWDENAAMEALKRAIQSSPARNLDVHVSKSHDENLTPKPVRRALFPQSNHD GPLKTLGESLLNSPRRSPRVASRGSEKTVADKENTPSATNRNLDGLFESPSFDLALRN TPTPKRRMPRSHERRLSLPFCSPSANRTKQTTSGQSPSTSRSRQTRPNSDKGASTDDT VENRSSFPSVDDIVLDSLLDPWHSLPQSDIYDPFSDWSPTGENGNSILQFPSNFGDDD ALINAVLAESELQNTTDTRVSFAGNTTLNDTALPQSRNNEQGQAEAEVTVTSN TSTA_064080 MVNRPLTRDNFHVAIVCALPLETNAVLCSLDEIWHDAPQYYKKS EGDHNAYHFGRSGSHNVVVVTLPGEGKVNAAGAAQSLKMSFNSIKLALLVGICGAVPF KKDRTEIILGDVVISEVIVDLDHGRQYAGGFWRKDTLLDVYARPNEEILGLLRTWKTA VILERLRQGTKDHLTTLLNNPHLDAWYPGVSEDKLFRSEYEHKHHTNCLTCYNGAACD NARTASCTSLSCDKKALVPRQRLGESFISEFESPTPFIHFGSIGTGDSVMKSARHRDN FADTENVIAFEMEGAGIWDKFSCLIIKGVCDYADSHKNKRWQAYAAAVAASVMKVVLE YYVTPRRESGAEISNGTHSPQALFDNKVKSFERRFNKEQWDAFKVSSAGSLKRLLKKV QDEQKASRSIQNMRRMEYFLKAAESLDKLMEEVLIMPGFICYIWGPAKLLLQRAKDHP DRLEAYLTAYERIGANLPTFETSRNTFKENMGLQSLLAEVFDNVLDFHHRMTKLYCGR ALKESFKSLWKDFNHRFEHILYQLGSHKVLIEDETRIPVCSFRDMAGSSKLILDHIRR MRTDAFEFDRKERERQNKIHDEVLGWFSYSRNHSESDKDESEHGRYCDDRKQYSNTGD WILSHEKVQKWLSPDVHHSSHSMLWINGRPGTGKTYLASIMIQACIEDNTRATAYFYC SENMERRATAIAVLRGILFQLELHDPELAPYCHEKMKTSDSTILSSLQRAREILGVFC ERSPKLYIIIDAVDECESGEQAKNLLNIFKDLTQKCDNHSPGKLRVLFFSQPTSEIRN ALSSADTLTLTPELTVNDIRKYCQHRTRELEKFEFGNEDIKNVVEIICTRADGMFLFA KLVMNNLAKQPNLASFRNEIISTRLPNEIDQAYGRIMERLRRELGEGQFEYTKLLLGW LVCSKRPLKWTEVQSASSVDLDVWDGFNELNMDFELRDNVHKLCGSLVQVFKGDRVEL VHSTARRFIIEKSGIDIPAAECDLTLRCLRYLTLNVFKPDLRDEDLKLYALSGDFAFQ DYAVSTWFMHIKTMVDTNLGLMIRDRSSDFEAQLKRIYHGLGHFVQFYEESFQDKSIQ QQAYQDCEVFQQFNFHADLVRIWEHIRSEQSKDLVARNNVSIDLLKRALTRNRELLER LSTSEEVDVSKKYGDCIFRCPKVLCFFFHEGFKTAQARDNHVNRHERPFHCTVENCTT EGLGLASESALRKHLRTFHPEQCDLSESFTRLTRRSTSQARWKCHFCSKSFVRNLILQ DHLLTHEGKKPWACSECGRPFTRKYDMTRHEKVHERRR TSTA_064090 MSISRLDGLSLDIDPSWNLQAESGHAMTIDSTPTISFLGEPGDH GQLPILDAETSASAELICYGMFYNEKVKLVGQGTEIAKKVKDLRAGGSSVQVYTTGIQ LTEQQLFLKFTDGKQLGYLSEKMERGLNDMVRALVIELDAVVNLQSLSEALRRAQKDT AIRVDVNVYGSEASRDRVGQELSKKGLFLQVPNERRKGTRYDNPHILQLDGLGESETE EDESKNDAGSSIVPSEQNEDFQRTIARVWNSLTRSDELRGVRGSEGLNRALYQHQEEA LVFMLQRETGDIPDKYRLWQPDIVEGGQRYRHTITKATQNELPDESGGGILADEMGMG KSLTTLVLIEKTLDDARQWAEVQKTHPEDTMAKRRCRATLVIVPSDVLITMWTREIQE HLAGSLRIFKYHGKGRKKRLSNMGHFDIVITTYNTLAKEHGMRNSGDNESPLHDIEWY RVILDEAHMIRRQATTFHRAVIDLSARLRWCLSGTPIQNSLNDLGALLKFMQARPFHH LGNFRYYISNPFEVRSTKHRATERLALLLEGTCLRRTIERVGLPGRREETHVVEFTAD EAKQYKDTQKAIQRHILQKVGEYNEHEVSGMFQLYTQLRRLCNHGTHQHPFSWKKMLL DEEEDPICSFTRDSLVRCLICTAVLPFLSPESLPAYAESCKHVLCLECFPVTESPSNP SIRPNCPVCRFQKATPFSSRKDTCHRSRQSIDAENEYDGYFRPSGFSSKMTMLVSDLR KDMNSTKSIIFSCWTRTLDLVGEHLKSAKIKYARIDGKTPLSERQKTLDNFDSTREKP VLVMTFGTGAFGLNLKSVNRVFIVEPQWNPAVENQAIARAIRLGQKEQVLVIKYLVKG SIEEVGPFTIPLPEMLTLILCKNMCDQQTQKLKISKMEFRKDVLTPSSDVATASIGSV PGQTTPELSSKITIEYERNGS TSTA_064100 MDTHDVSHVSEHLQIPQIQKQTLQNAYSRKGQTPEPTKSRVEII SQFMFLQMTGNSRAVDPHALSDLKKVMIRYITFETHHRGSYILVRAVIPTDRMTAIMA VAEDKKGDVLMLQLYNQGKDLASDIRLVEGTVMLTKEPYLKMMADGDYSLRVGHLSDI KFIPDHDSLVPSVWRGWLKDHASADSWKTNRNGFFNKAVYHLAIDWYTLNR TSTA_064110 MSDAKIQDLLNKPRSELTEYEVGLVEEHELTAGPLSLLQTATRT HTQVLISCRNNRKLLARVKAFDRHCNMVLENVKEMWTEKPKGGKGRGVNKDRFISKMF LRGDSVILVLLS TSTA_064120 MSSKHFFSDAFSLVIEALRSLTITNPSLAFDEENKIIFRRPETY NKSNVAVISGGGSGHEPAFAGYVGKGFLTAAVAGTIFASPSAEQISRATLERLETEKG VLIIPMNYTGDVLHFGMAAEKTKAAGRPAEFYAIGDDVGVGRARGGKVGRRGLAGGIL VMKIASALAETGASLEEVYRIARLSAENTVTLGSSLEHVHVPGRDPMTEFVPENEVEV GMGIHNEPGSHRMKSADLPTLVKTMLLQLLDHNDPDRAWMTRQLGDKFVLLINNLGGV STLELAGITAEVSKQLATEYDIKPVRTLQGTYLTSLNGLGFSISLLKLVDSGLGAGKS LLELLDAPTEAVGWAAPIQPSTWEKHASDGPVEFKKVDLSQDQPSNLRVDPVVLKKAL TSGLSRIIAAEPEITRFDTIVGDGDCGAGLKRGAEAILKVIQGDNITDDVVLTVDKFV NAVEANMDGTSGAIYAIFLNSLVNGLRAQDNTGSAQQVNAKIWGHALKHAVTALSQYT PAQVGDRTLIDALVPFCDTLAETADIKTAAKAAEDGTEATKHLKASLGRAVYVGSEEE WLGKVRDPGAYGLAKFLTGLAEEL TSTA_064130 MDGIDSAGGLRGLFQDLSAITEPSMVNIERLCFELESHLQDFRT LLDKPSKNDNSRKSVLSGKVKIDNVEYAINEDFQQNTLQLADALNLDEVEAAGLFMAA QEAAQQLDRTPLVAAIIKFHERRHFLLECLRLIFQESFDVEREEIQELMQNMVGHILQ TKEGTFRNASLFARKCLATMEDIEKWLTLIADQIQKISIVGQAEDADITEAFEHQRTS LMQQHESLGATLCYLFKGTYTSSEDLRFLLDRMRKIERVDLLLVHYLPAIIAALIQYG SPSGSGTQREARSLHLAIISKKDNSTWALVPLHAAVISLWLSVYSGWYFDSGPASPLQ GVDFDKEAEERTTLFKVCLDEGALEFMLAVCASVQNDEWSHPARNELVNLLLKDSPAS VFEADSVSDFMKPLLMEHFDTFTESCIANMPNAVRMLKADEDAQRLDQITALRDGLSS SLHRGVLEARTHLETFLVIMAFAFEGRHDPAQEFWADPDGNLYGFVQWASKRQTVPRV SAFCEMLCSISEGEDNAASAHKFLSEEDKQTSVKFRRSPSMNWAQMFAELQLYATKVT EKPSTSSHVLHTRKAENIEMNEPESPVMLTCYLQLIGHLSKQNKEIRNDLLGNPSYNV VSTLLTLCSGSIPTHLRASAFSTLKALMTDRTSSHGNDMWLALDQWISGAAANASGLT KAPILSNQPAWHERHAFQKIGESFDQTNCFVDLVHTLVTPVFDRPDKQLALPFPEALG ASYRMPGIEPYIDFVLGHAFARKVPDVPDSQGRLLALNCLNFIATCLTTFNEDLVGIA HQPSANLETGINSSDLNNYIRLHPFARVFEWLFNEDVLKTLFLSAKQDPSEVLRAHSE SVLVQSLEKTLEVMNLILNHQSTYLNIVRPVLRNQGVTNKVTVANSALASFEDSILNN LYIIPALCLYCGTGHQVLTHHSMSLLQKLSSSRKLNKSAATGLMTWKSPNRIVEVLST EVDADVVSRPLVYQMQPDPRELDHGPTSPGYLIRDGLLALLDSCLATITDRPNIAHLL LGFSCVSNTLDVNSEGLFAQGMSLLHSVIEFIQTYPTDIDGNILSWAVHSKRMAFQVL KHLWSSKLSSAFVLTELRMNRFLMLSFANQPIINFNTIWDGFPSVAPEFWLSPSSSGL MEFLAYRSLLYEYATTEVRAASKLGSQSLQLDVLSTLLGMSLVENGETLSHATVFDLF DFADLKLSHGFPIPQLHYLEGVDFDICAKEQPDSSVVLYDLDAAQQLIELRKKQLTGG SQVRSQEEEQFKLEAEQLTDFLRVTNMERQIHYNRYLAIRSWAELVTTMAISCDMEEG RRRTFILQAISLITPKLEGAMVDNVAEAIQLAQLAETLISKLDSSLSPSHQGRGGDLI DEKLYQLVQISIRGICSSIGDVSLRESFYNICAHYISRITTTPGSIHQNLRRQCQLAV KASGVSLVEAVSDDAYAGQDTCKVSAILLLNLLAELDAQEHSHFLAETISQSNYLNMF LDSIKTLSSEFRDAQAHDIPLLISYYHALLSLLQKLCQTKLGATLVLNTGLFAAIRES RLFAADPDIGIDMDNPDALRNYYELLASMLRVIVSAVFSRSVHNEQIMDQTRNFLTEN RSSMVGIFKRSAKIGGEVSSNHCKVIQNLVKAYVALITAAGFVEQNPTPSGHQSSKRG AAGKRKSVHEDLQQRNISELFSSSGNQQQQSSSSAKRLRTSISPSRSSRDKLSSADKM YNFSTSSQSPKAAEKFSKKIGGPTVVSRPSNFTPHTGAKRLVVKNLRSGPRLNQDEYF DDIWTRLSATLDTIFDGGKPAASLEELYKGAENVCRQGRAESLAKKLQERCKTYIVDN LRQNLVDKTKNASNIDTLRAVVDAWAVWNTKLVTIRWMFYYLDQSFLLHSKDYPVINE MGLNQFQTHIFLNEELKPKILQGACDLIAANRASTEDKSQADSDLLRKAISLFHDLGV YTRHFERLFLSESEEFLKTWSKKESQIRYLGNYAENCHRLIEQELTQCELYALNRNTQ QSLSALFDEYLVRDKEYILLSESDLKGLMTTENKHALERIYSLLERVKLGDRLKPAFS KYIEEQGATIVFDTEREAEMVVRLLNFKQKLDDTWTESFHKDETLGHTLREAFEHFMN MTKKTEASWGTDNSKTGEMIAKYVDMLLKGGLKVIGKQAEDTELADEDTEINKQLDKV LDLFRFVHGKAVFEAFYKNDLARRLLMGRSASDDAEKSMLARLKTECGSSFTHNLEAM FRDMDLARDEMSSYNAYKSQRRDKLNLDLSVNVLSAAAWPTYPDVLVRIPPDIAKAIS DFEQYYHTKHNGRKLSWKHQLAHCQLRSRFDNGNKEIVVSSFQAIVLLLFNDVSEGET LSYGQIKEATGLSDRELKRTLQSLACAKYRVLTKKPKGKDVNETDQFAYNNAFQDPKM RIKINQIQLKETKEENKTTHERVAADRHYETQAAIVRIMKSRKTITHAELVAEVIKAT RSRGVLEPAEIKKNIEKLIEKDYMEREEGNRYSYLA TSTA_064140 MADSSLSETVARLFSDKQRERTEALTDLRRILNRKRQDLSETLN DKAYHRIFEAVFRCVAIEKSVYSRSSKSSNRSATTPRLPLCASAFRATLEVSVAVLRT KTVHAIIDHIVQTLTQPGDGLWDLLAIDYIKGLRLLLEYPAHVEHLTESDWVDAITFC LSCLKSNENEGTQLSVRSSHVSLTDAATDTEGGRRTPSRAISTRNVVSSSSQVNRSIS DEAVVCIQLLTATPTAPLQDVVRQLMSELLIYLSSSTSANGSQALKAINNLLDRVICD QCALVKELLPDIIPVIRRLWMTKLPAVKDEVLVTMMLCMDLLRSNSRALFAQDSLQPL EDLVETLELEYTKRPEKDYLQIDDLVFYQNDANLKQVYLFGPRLGNPRSEQNWTLIWT ISSLIGILDKTLNHMSNSAEDELAPNKRPRLSSRTDDVLRDCLASTGPRKGYCLQLLS FLGRRMSVEDKVSLLSRLAVSIVDDNPFTSNWTLLVISNIAISDSAKSPLLKTYWRQI WDLTFRACAFQVNTRAACALMETILRLELVDSADLIDNVRSMLSGMDLNGPSALCDTS LRFLTTMFEKRVHTAAGLSMDSVKGVCNWLRSAWTFGAGVDKLQMAQVALFALPANFL GLLLSITNRPASLSHADYQGTIATISSAWFRHREQSALKEYLFLFDDQAISHDLWCVD ETFLLLVNSVRSDPNDHVVIELLQTKVDTFSQAWKIMSEEKSQHITADLFKIMVSACI VVALFIECLPQPDTNRVADLRRSSLSLWTDICDYITLREDLLQACLSLLSPIVASAPY IPNRAIPISRALFDLTFPLVANLKTSQSTQNDMDDPDTMDFDGIASPLSDELARDVIL YNNRHNVKAFPEPNTFQRCISTRLSIFLSSNEQSGTGPSSGASLVGSLKALDDVDVLS TRNFLPRLFRDCDRFSRTDILELVEHFGEICLQRYQLERCESAQCFSISMMECFVDSW TRNENDDLCDSAADLYGWFIQVLLNKKKGSSGVLISLSRLLEKIISLNPSFSVDGTGA SPRTSLFTILRDGDTVVKFAIASCISNIFGRFLLKDHERIFDDVVESLPTDPDWNEGI ALRLYILGQLASRWPTLLRRSIYHIFETPAQVPESTRYAEKCLTEVSRSLRLQEPKDI FRLFMPQILYTWTETQSIVSMPFSIFRYSSLKEMLQDAQDELVGQIMMRANDQDAKEL ATHLEKPFSVLLQGSFYKAEAYTVARDISLPPSQDSQPKGVESRVKKTLGTDQFAQSI ETKFPEVVSALFRSLSQEDQIERAFAKWPSFDYASEILKRITEKSASTTVLPGNQQPS FRARYLLDELEYLCKRAGYDLETMWTPALVSFVCRTLLDSMHPALGSLHACSVLRKIR ILVCISGSIITQDYPLEQLLYALRPYLTDFYCSEDALGLFWYLLEEGKPYLTHQPGFL AGIAVSTLVSIRNFLQSTPESTTQESQFNAVISKSQEFRQWFGKFLEEYRASNLDQCA EDSFRRMVTSSKQISNTGNSAKGTYESELLLELLQDRTSRKSLLSRSVSDLVLSLLCV DFRISSDIFNDILGEDDDSSKHNVAVWQSIQSGVTDKGYRLWAARVLGRAFAATGQVN EELLREQNMEMIPSQSQALRHTEPLLVSKAAVLQVLCEALLNSDRANVGIVERTLQLV MSKVSRIPGLEQCESIVPPPLMKALIWEPYICPEIQARIPESKNDNLWPALDPESPIS STQWARDLTLALVTSAEDDAVIGPLRDVIYVIPDLSVRLLQFVLHDVLILELEKNQVC RETVSKIFNDVLHSTTEATISHSQIVLNAVLYLRQQQRPQESTIVERDEWLDIDYGSA ASAAVTCRMYRTALLFIEIQTSKSISTTRRSSVKYVPPTDLLHDIYRRIGDPDLFYGI QQDATLNSVLEKLDYESAGFKNLIFQSARYDSDLRLDGKGNTHGLFKALNATNLHGVA NAMLATPNNTEGTTIDSDHLLSTAISLRQWDIPALPSQGSAMPVLFKAFQNMNTVDTI KDVLRFNDTCFLNILDQTQDKNRSVTTLRDSMRVLGLLTEVDDVLRSTTPEQVENLWN RITSRTSWFQVENFQDIAHILFCRGTLFSSINRKPYLKSALKLTSRTSQLLEVKALRE SFKISKELEGSQEALTSAISLSKLVQPCTALGLSIENAAKYDMANVLWDQGEMTTSIR MLQQLNEQCDLQKQALVVSRAEVLASLGHHVAEARLEKPDAIIEEYLVPAVKELRGNA EGEEAGSVFHRFASFCDQQLLNQDSLEDFQRIEQLRDRKEQEVLALKQMMSAADGKEK NQLKVHYTKAKGWFDLDDREYQRLSNSREAFLQQCLENYLLSLKACDSYKNDALRFCA LWLDKSGDPNANESVAKYLSEVPSRKFAPLINQLSSRLLDESDSFQTLLSALVFRICV DHPFHGMYQIFAHSKTRGNRDQAALSRFRAATNVVDKLLNDKHACPTWMSLHNNNICY VRFATEKLDDKIKSGAKVPLKKSPMGLKLEQDVNNQKLPPPSMWIELRVDCNYSNVPK VVKFHPEFAVASGISAPKIVTVVASDGLRYKQLVKGGNDDLRQDAIMEQVFEQVSNVL RDHRSTRQRNLHIRTYKVLPLTANAGIIEFVQNTIPLHDYLMPAHQKYFPKDMKPSAC RKHISDVQTRTLEQRVRTYRQVIEHFHPVMRFFFMEKFNNPDDWFSKRLAYTRSTAAI SILGHVLGLGDRHGHNILLDEKTGEVVHIDLGVAFEQGRVLPVPEVVPFRLTRDLVDG MGITKTEGVFRRCCEFTLEALRRESYSIMTILDVLRYDPLYMWTVSPLRMRRMQDAQD AGGEEPPSSVLTKGVERTKSKNKDPKEPSEADRALTVVAKKLSKTLSVTATVNELIQQ ATDERNLAVLYCGTYSLNFLIALKRFSVANSCSIGWAAYA TSTA_064150 MSSSKVLKTRDVIQEWFEEGPDTKFMIFAQFRTMTAIFANMCKR EDLAYAMGYIQNFSYFTLQIHKINRGLGLLQPYPNPSRFPKKIPISKIMIAALKAGGT GLDITAANKCILVEPWWNYAVQQLAFCLLFRIGQTRAVEIVKLVASNAVDDHMMELQK LKLRNFEGAIGDTTLNLNGVEGQLMGHFGTVEQLPTGKIQITR TSTA_064160 MEPTNDATMEERPALDPTPGLGHPHDDIPPTSNETGSRPREHSE PVPQPQEDTVIEETPDADDEELVKVGLDDSGMGRMPKTGLPGTTLRLKENNKDRKGKV KGHERSCANDVEFSTLGRL TSTA_064170 MSFPHFELTHSEWADLQNILKSDDPVVIDGHNLTIAGVVAVALH GKKAVLTEDAAVLDRVNESVKFLERELAKGHVVYGVTTGFGGSADTRTDSVKSLQLAL QQHQNIGVLLPSDKGLLGDTATQANGLRGHALPVPIVKAMMLIRCNSLIRGHSGVRLA LVKNVLILLNHDMSPVVPLRGSISASGDLMPLSYIVGMLEGSPDIFIHVGDKQNPTLL PAYRALEAVGLKPISLQAKEGLGMANGTATSCAAGCLAIYEAQQLAVLTQLLTATGTE ALLGTAHNYHPFISETRPHTGQIEAAANISAYIAGSSLAPGRNPEMIGLAQDRYSLRT APQWIGPQLEDLILAHRQVQAEVNSTTDNPLIDTKNGLVHHGGNFQAVCITSAMEKTK SSMQMMGKLLFAQCTELVNSQMNRGLPPNLCADDPSLSYTCKGLDINMAAYMSELAYL AHSVSSHVQSAEMHNQAVNSLALISARYTLEAVELVSMMTATYIYILCQALDLRCLHL EFIKTAQPVINELLREHFGSGTTEENFNHFAKRTWKFLLEKWLSLSHLDLKHRSKATL KESLADLTSACISDRSGLRNVTDILDQIEKYQEAAAMALGTIYNSTRDDFFKNQTTAS YISPASAKLYNFVRKDLNVPFHRGLADHPTLRDPSSTNGDEYRGPKKHLGSLVSEIYL AIRNGRMHDLVMTLKSQD TSTA_064180 MQLLLRQPLRNGLSLIRPSCQRLFVTSLPPKQLSLSAQSPAAQT GSLCLRCQFRTQSRRFSSKQENEKPRPELQNLQSTPDAGKDFASVTVNNEGQQDIESV RLEQKQAPSTPGEDNLPSDAERRRSQLSKKFTDLMDNIQGNVFIAGQKLNDLTGYSGI EKLKRDIENQENQVLQGRSLVRHAKEAYSAAINRRSASQREVNELLQRKHAWSATDLE RFTSLYRSDHANEVAEHEAQEALTKAEHELEEATAGLNRSILSRYHEEQIWSDKIRRM STWGTWGLMGVNVLLFLIFQILVEPWRRRRLVKGFEEKVKEAIETEAEASRTIVAGLT RAVEAGAATAAETPTAPEPVAEMAASEIILQDGIPEVEPQGAVVVAPGEVAPVDVVLE EDVKDGVSAKQLSTYLSTEYWRQTFQDVLSDRHVGLTQRELTKIALQSATIGAAVGAT IIGLTVTFLRPN TSTA_064190 MCGADIFLAVLAVFFPPIAVWIKVGLCTADSIINIALCCLGYIP GLLHAWYIIMKYPDAEDYPEGYEPVDGSGRDVENGRRVTYYYVSHQAPVPPRSDRRYG ATDNSNSNTPAPQVPSQTRSGNSSVAPDASSSSAHPPTYAEAVKGDHKVQTQD TSTA_064200 MAKCISLQNSTTCSAFNVSSISTTDSTVVGYYPFLKYVSNVEEF DQQLTTYANQAFVQQQYQYRIGCNALNLTDTRDYYARYTISVICNGIVQNSKTPCGLS DAQSRPLCATACASYATSEEEIAINTQMCGTTLSNYMDQIRADFIDCSMPANSLTGSC ITGEMNEPENCGYSANLPGLCSYCASSSPNATDSCCINSNASSRCSNVHIPSTSSIPP LFTVTATATASPSSTGNSSSGSHLSGGSIAGIVVGSVAGVAILAGLIALLLFCLRRRR NAANNDIFNQPTPKRSHQPMQMAPVVAAQRSFEPVPGGRVARMSALQGEGDDSDHRSA SRGMFAAAGKYSDTSDSEGLISSPESRSRRRAPVAGRRQGSLSSTSVLGTDAGSSPQS GTAGQLSSPEGIASGQSEQLPYFRDYYSQDDIHPNDKVAVLWAYQPRAADEFDLDRGD MLKIVGIWDDGWATGVRLNERAEDYEDEHNVQRDSGVSSGSQARRSSSPRPRGEIKAF PLVCVCLPQHWRKIIDGDMVQEGSP TSTA_064210 MSSLFEAVLQSEAGSVNSGRQPSSSRPHLPSESNAPMSDMQPLP DDEVVGAANGAVNRLRNPYATAPTPVRDQTGDKVRQAFEKFLETFQEDPMNQVAPPPS SAQQYSDKYYIQQIHGMKELQLSTLYVDYNHLAASEDDALSGAIANQYYRFQPFLIRA LHNLLAKYVPGYFVSHRQPTSISSQQSASVVANGSTSDVSQEEKDMRLKTRHQQTDRV FALAFYNLPLVSRLRQLRTSHIGKLLSISGTVTRTSEIRPELSLGTFICEACRTPCTN IEQTFKYTEPSQCPNPTCGNRTGWRLDIAKSTFVDWQKIKLQESSHEIPTGSMPRTMD IIVRGELVDRAKAGEKCIFTGTLIVVPDVSQLGLPGVRPEANRDNGAFRGSDVGGGGV SGLKSLGVRDLTYRLAFLACMVAPDMTTPGQPTTQNLTGQSQNILASLNQNEPIAEDA EFAQETLLQTFTPAEVQELKSLVESEHVYQRLVNSIAPMIYGHQSIKKGLLLQLIGGV SKSTEREGMQLRGDINICIVGDPSTSKSQFLKYICSLHPRAVYTSGKASSAAGLTASV VKDPETGEFTIEAGALMLANGGGICAIDEFDKMDVADQVAIHEAMEQQTISIAKAGIH TTLNARASILAAANPIGGRYNPKATLRSNLNLSAPIMSRFDLFFVVRDEPNEQVDRNL ATHIVNVHMNRDEAVEPEISTELLQRYIRLARTFKPVFTEEAKVVLVEKYKELRANDA QGGIGRSSYRITVRQLESLIRLSEAVARANCVEEILPRFVREAYNLLRQSIVTVEKDD VEVEEDEDNETSAQRQDHDADEMMDDSRDRDGDSPMADDAEAAAPELARPRTTKITYE KYTKTLNLLVRRVMEDEAASGNGVEQEELLTWYLEQIESELESNEDLQRERSLARKVL KRMIKDNILMPISGQGLMDETQGEQQDEDATQPQLVYVLHPNCAIEDIDLD TSTA_064220 MSSQDRLGDVAVEVNVPRVKKRSTAASSSQNGQQSQAFFFVDPA SSTREKRAHVMRHHIQTKRKQNMLANHADRHSRREPRVYPWMKKSSDNGGANAGSKPL RGVVPMKSTVYKPDESSNAVVHHLNSLAALRKDPFATLAFDTSVPWAEEHLVDMWTSR LTYWSGQNIHMKNRIFQEAMRNRTTFEAVVLGYCARWESTLTPPDPDGSKDSSRVQFY ESRVRQAIASKQAYRSSPVFDEQALSLTLTGLALQEERFGDKDKAREYAEQAKALQLY RHSQSVNAANSVRPFLLYVLGTMDPCSLTVEMDEIAHLVDFLHMAHRSLAADNDENYL IEVPQRSAAFQFDSPLFQLLSAGPRPSQVPIENRSFVVNKNKPTTEWARTAALIYIVM ALSEFGQEKSKVVRFLDHLLQLVADYGLDRNPACESFMYFLMEETFDSDLRQPDRVWR ANDLLQIQKRLPFESQFRFNEMLLGYLMLMPPVTTVEAFEKDLLDTKIR TSTA_064230 MAWLSDGLPGLRSLTKRDDNTEGAPTSLSGFISTLVPSLIVAGV MILAFVVLRRWYRRYYMPRTFLPTLRDYERTPSSPLGLWNWITAMYKLPDTYVLQHHS LDAYLLLRYMKLLVVLCFVGCCITWPILFPINATGGGNKQQFDILSMSNVKNKARYFA HAFVGWIFFGFIFFLVTRESIFYINLRQAYAFSPAYANRLSSRTVMFSSVPRDYLDEK KLRRMFGTERVKNVWITTDTSKLEDKVKERDDAAMKLEAAETALVKQANAARLKALKK NAAASDEQLDSTADQTESGSVAARWVKRKDRPTHRLKFLIGKKVDTIDWARAEIERLN PEIEEEQAKHRAVDAKKVSAVFVEFYNQNDAQDAYQSVAHNQPLHMAPRYIGVDPTQV IWSNLRIMWWERVVRNFVTIGFICTLIVFWAIPVAFVGSISNIDSLIQKLPWLSFIND VPTFIRGVITGLLPSVLLSILMALLPIVIRLCAKFGGCPTAAAVELWTQNAYFGFQVV QVFLVTTLSSAASAVVERIIDRPTDAASLLAAHLPLSANFYVAYIVLQGLTFTSGALL GIAGLVIGKVLGKFLDKTPRKMYKRWMSLSDLSWGTVLPPMSLLGVIAIAYSIIAPLV MGFATVGLYLFYFAFRYQLLYVSNAQIDTQGRIYARALQHLLVGVYIGVVCLIGLFAI AAADQPIGTGPLVLMIIFLVFAVLYHVSLNSALGPLLNYLPKNLEAEEESLLTEARSK ISPTASEGIDGPVESGSGKEHNGYHDSVDTAEKGDTTPHPSELPAPHKKPNLFTKFFR PDIYSDYRTMRRLVPSHIEVPEYPPEVERDAYFHPAITASIPLLWIPHDELGVSKQEV EHTSRVIPISDEDAFIDEKGNVQWNNETRPPIYEEKIYY TSTA_064240 MTPFPPYEPDLQATMSNPNVDTLFGYPMVGSGLKGPQYQPENVE YQEEQEKWAKEMSQTPPNNSPTEGRKALKRGRQPSPETEEDPIFGSPTKRRKTDVEMT DAMEIQEEDTYSVRDAMLWGSSCVPESLLSSPQKFSQTISESPKSNFEEQHGAQPVSI PDSWNFTIWEDLDAGTDDYSMVGQMESWIHEYDDEDKENAPPPPLQLDVLMEDANVFL DGEWYYVEEPMYPRNILSELSIQQN TSTA_064250 MLSGSVATNSMDALQCFTSISDSIPSWITRVTELAEHTSRKHAE FSEEFKRLATTNIHSIRPASDVLFQPGVAPDANTSHVSGHASPSIRLRRELIIFYDSH TQTELEGMVRDIGNARNSLRKGKLSQLMGKSNGGIDMFASARSCGIQLPRDGFRRTRL STTAGTTQKESAFEYTDKQLETAQSLCETAAHQFLRTGDCAKVLKDILSKFETVLQTT TQEAARLREEKEREAEQQQAEAKEQQQANGVTSVYPSPLKSIIAEDDKPPEPGTATIE VDDTVSDSSISIDITAFRSNRFRM TSTA_064260 MFIYPRVALQKAATANIFRNGGTKPLHTSSFEVFRDIASLRDRR RQLQQSLRTVGLVPTMGALHEGHLSLIRQAAAENSDIFVSIYVNPTQFGVNEDLSSYP RTWEADLEKLEKLNAELKRGGSLGNGSEAGSITAIFAPTGKVMYPTLPPSSEINGHGS FVTITPLATKLEGASRPVFFRGVATVCTKLFNIVKPHRVYFGQKDVQQCVIIKRMVKD FHIDTDVRIGPTVRQPDGLAMSSRNVYLGSRRRAVGLVLSQALRAAEKAYNDGKHSRQ DILAAAKKIADSKLLEQKGLKPSERALFEVDYISLADPETLDEVDTIDASRGAILSGA VKMLPIEEAQAGEKLGVGDDRIAVRLIDNIILSPRE TSTA_064270 MSSKKDAIEAGQTAPDLEIVGDQVTLHPSGFTGGSDSQENNGIT ERNLVRHMARFRENPFDFLREVSLFVSGTGWRAYDSVIGQPIYYPGYSDNIKRLIVES PLLRNKVTELAEARLQVEQSEGLYGDTPDAVGKARARRKTEIVQSLRDVVESMMDNMI CKMDSNAFIRSAYYIATQLLTRAYHQGIHVSSEEVLRLRSVAQAAAEKKQSIVFLPNH KSHVDYVSLQVICYRLGIALPIVVAGDNLNIPVVGPFLQHAGAMWIRRSFGDDQLYST LVQTYIDVLLQQGHNFECFIEGGRSRTGKLLSPKFGILNFILDSLLSGRVKDTIICPV STQYDKVIETESYISELLGQPKQKENLANFLSQSSVLSLKLGRVDVRFHEPWSLKEFL VQQLQRIQVTQALGSNITLSYSERGRVLRALGYRVLSDINDVSVMMPTALVGTVLLTL RGRGVGKAELVRRVEWLSERVRAKGGRVAHFYRAPTSQVVDRALEVLGPKLVGEVTGL AEPTYYAVDRFQLSFYRNMTIHLFIPEALVSAAMYTKVKQGGGPANQDITYEALLDQV TFLSQLFRGEFIFPPEGLIANLEKSLSGLEKDDVIKITRNAAGTPTAVGLSDAERQCG RENFDFYCFLIWPFIEATWLGAVSLMGLTPPLNGPSDIWVGLNKAQDSAQLLGKTLYH QGDLSYFEAVNKESLKNAYQRFAEEGMILIARSKDQPKTPATMRLAPEWTPQRDPETG RLSDRGRLWDFTEKIAQSRREGKNRRDGATVSSRVLIMTDTVGRQLFQSAARAAETEV NVSTRKARRTAIAERANL TSTA_064280 MAEPPAFNASIPNGGNSLEVDVNAQYAGYEFNYSYIVFCGFIVW LIIPGIGLLYGGLARRKSALALLFQSLMVVAVTTFQWMFWGYSLAFSRDAGPFIGSLT NFGMKHVLAAPSPGSNVLPEIVYCLYQLLFCACTVMIVVGGAFERGNIIPSLIFSFFW ATIVYCPIACWTWNSNGWLYKLPSLDFAGGGPVHISSGWAALAYAFVLGKRKHHGEHT HGKPHNTTLVFLGTVLIWFGWFGFNGGSALNASIRAMLAAFNTNTAACTGVIGWVLVD YIRNRGKFSVVGACEGAIAGLVGITPAAGYVSVWLAAVIGFITAVICASLQNINDWMH IDDGMEVFKLHGIGGICGAFMTGIFATSSISALDGVSVYPGGIDGNGKQVYLQLAEIA AISGYSFTVSCILLYILKFIPGMNLRVEEEAEMIGLDKAQFVDEQIGDWSMFDTVPGV MGKNNESSGAMVTPMPKHESPETA TSTA_064290 MSSSEIVHLVATITVQDGKIQQAIETLKGLAAEVQKSEPDVLRY FCFRTKNEKGQDQLVMIEKYTSEEAHKAHTTSAHFQAFQKEAKDLLAGPLDIKSGHFV AGYEGRSNL TSTA_064300 MILYFFCTPFVLFVIFRTYNLIKNYNDARQYGLPIILIPVSFED TWWMILRPLFSWVEHLPLGLGNWYVYTEMGWPTVDGDRTTKRLGENFVLCSPTSNQII TCYPPGAECIYKNHSKWHFPPAQSQIFAFYGQNVSSTIGLDWQRHRKTTTAAFNEYFT QEVWDESTKQVEERDLEEERDRKLDRIRSTFDVLAMNVLAVVGFGQERLVNAATAGHK QSLMQCLGSILQHILLTAIFNSLKAPDFLLPKVLQQSKNLRPASKERHPTLLEAMVNA NEAEKQQLQKTTGRPSYLTESELHGNLFVFNLAGYETTASSMTFALSFLASNPDIQSW IMEEINRYYTPQTSRKEYAATFPKLVRCMALMYETLRLASPAPLLVRGPSSPQELPII TPSGEERIFTVNPGTLVGGHFYGGHLSPRWGPDAHKPVEGGVRFVRRYS TSTA_064310 MGKWRYGVILDAGSSGTRVHIYRWLNNFKARQSDDLQKLRSLPE IKTKEEWTKKIKPGISTFADKPERVGSDHLDELLQHALDVVPETAVKDTPVFLLATAG MRLLSDAQRAQILNEVCSYFRSNSGFLLPECDIHIQVIPGETEGLYGWIAANYLLGSF DSPEDHAHGKDHHTYGFLDMGGASAQIAFVPNATETEKHANDLKLLRLRNVDGSTQEF RIFVTSWLEYGVREARRRFVAAIQESVGSTDVNEYPDPCLPRGLRTTLDGAIVDESLE EPHLLGTGRFDECLRQTYPLLDKDAPCADEPCLLHGIHVPAIDFDVNHFVGISEYWHT THEIFEMGYKDKAYDFNTYQQRVSAFCSQDWGSINLGIAESRWGSKVDASKAQEVCFK ASWIINMLHSGIGIPRVGIENIGASAHNGTKEVLQHGKEKGYLDPFQAIHKIDSTEVS WTLGKVVLYASSQVPAVEPDALPVGFGSNEPGIPVDFQYPSVELVPGPGPVAEESESW HDALFGGESPRRIPGLLLFVLIIVIALFFLCGRTRRSRLYIKMQNMFKRGGARMRRKY PGKLSFIGRNGPSYERVLEDGAAQFELAEADTDSDDDGYAADSDGISPAHSKRNSYKG SATGSQTPGLKFEFDNMSSSSIGLGIAPANVLDRQGLVVRTESREHLVPTATQGSRSR TVSPTRGRKSPMLKPVTDE TSTA_064320 MRLTVELINNSLSYINPLKERELDLRGHKIPSIENLGIAKDQDA IDFTDNDISSLANFPHFPRLRTLLLARNRVNHIQPSLASSIPNLENLVLTANNLAELA DLDPLRNFARLTHVVLMENPVMRKEHYRYWVIWRNPHIRFLDYQKVKDAERAKATELF GTFEEPSALASKIMGIKSRTFDVSSAGAAGDAQAPSGERAIRVKLTDAERKRVEKMIR EAKSLQEIARLEKELNEGRIPGGALDGLGDEDPDRMQM TSTA_064330 MNRIINQAERKSKLALAATKYRSRRLQHHISHLSTHTGTMAATS SIPPAPWRSLFLEHISKLDDPYMSVSTVAFDPKTGIPLPRVRTCGFRGFFGELKLHHS AEKQLKDEGGLNPSIYESDMIAFTTDVRMEKVEQIKETGGAIEVLFWVKEVMGQWRLR GQAFVIGDSESEASEKAARSEVEKGLRKKSDNTGGSGEWSWEKEVTAYFANHSPLMRG SFKGPHPGTPHSQKPDDPNLSLGQKVKDLHDPVARRHFRVVVIRVEEVDRLDLNDPEK ALRWKTTLDHTGHQRWEELELWP TSTA_064340 MSNMGNQTLASLQESTLAVAKKTASKKSKAGPKEPKVRKTPACG ECKKNKVRCPHRSVIDEHGNIIEGPEEEPKPHASTPAPAPKLTNDGDIEQNPESGATS RSGTAGREIEQLQGTKMERPHRKGAGKRKFDNSTQEDTAEPAQKCPKRGAQEASAKPQ ERKKPGRKPLQKSPIMVRDTPPADVDAPPRVTQQAHPRGNLDMSIDIAWDRHMVSELD KHIKQTGQKWELLQQTIETAQEQWEDVVRSMESTKQFMNKWTAKFTYDDAYME TSTA_064350 MADDGMLLNFSIPETVIKPEVKIKGGSWRDRLSAKKLAQGKRAP RSRVLRTHGAGQSGDNPNNVHIGERREPSSHPNKRPRTDGADFRPSTSANGQPRGGPP GGGRGPKPKQIISSLFSYNPISKEAEEGDNRAEDQADDVEVKPSNAPLIDGIEDFTAL GLAPTLAAHLLAKMELKAPTAIQKASIPQLVKEDSDAFIQAQTGSGKTLAYLLPLVQR IINLKNSGAISNNNGKGSGDSIHRDAGLFAIVLAPTRELCKQISVVLENLLRCAHWIV AGTVIGGEKKKSEKARLRKGLNILVATPGRLADHLDNTKVLDVSNVRWLILDEGDRLM DLGFEEEIHGIVKKLDARQRPSSIIGLPTKRTTVLCSATLKMNVQRLGEISLKDAVHI KADPTDTDGKDGNEEEEKDTFTVPAQLQQSYIVAAAKLRLVTLTALMKRTFMRKGSVM KAIIFVSCADSVEFHFEVFTRKDDSSATTETQPAESSDESDTEESDAEEKEKSNANDK TSQHGTIGSVTAFSNPSNPVTIHKLHGSLPQHVRTSTLGAFAKSKEAAVLICTDVASR GLDLPNIDLVVEYDPAFSSDEHLHRIGRTARLGRDGRAIVFLLPGSEEGYVDVLKRSY RDGGKALSRMNANDVLKRGFGGNTDATSKDWEEKATDWQLDVERWALENPQSLELARR AFQSHIRAYATHVAAERNMFNIKGLHLGHLAKAFALRDRPSKINVPGLRQSKDETKKG FKADRRASGKSAGNNATENKKRKATGGDDDVPRETNNDDAVRRMRAKMRELTGSGASE FNLA TSTA_064360 MTSITIQQQNLPPATPRYILRGHVSAIQALDFFASNTRLISADA DGWVIIWDVVTKRARAVWKAHEGAVLEVKGYETAGQGMRIYTHSRDHKLRVWKIQFAG EEEQLSRVLPVEKSSKDGEQAPAPEPWLLHSLPVNALNFCAFTLCFIPYSNNEKLDGG NDDDPWRNATESLDIAEDEGYFAVPNALNSGAIDIFHLPSERRVSTIPADTSVQTGMV MAVKILIDNSNTQNALVYMLSGYEDGHVMVHISYPPSGSSTAWKWDKIYASQPHSQPI LSLDSVQAETEHIPSFFYTSSADALIVKHPIPSMSSPPISSANTSVKNTPLKVLNTKH SGQQGLHVRGDQKLFATAGWDARIRVYSCKTMKELAVLKWHSEGCYAVAFADILPSTS ADSPSTHKDDDSSIVRSQTSPLEIVRQQRNEKAQQTHWLAAGSKDGKISLWDIY TSTA_064370 MPRVKPGERQRAYRPKTLRRIKCDEGKPFCNNCTSVNRQCLGYS HSDSLSGSSRKNQVHSTTSVARLDRDISPALNSTDAEQRAFEYYRSRTSSHLAGIQDQ EIWERLILQRARVDPGVRHAIIALASFHEDFERGGFERVKSNEVFGLKQYNLAIKEQI NLVTNRNGRAEPEAYLPCIVFMLRSNFTSAALLTKKVIGLLHSLRESNQTSKMLLEFL ERRFRQIELQSREMFGYKDYSPASPVPHTETPASIPEVFSSVAEAQAFMDYYGQLYRM ALHAYEAATGVTQSKNTTVDEGLSEHDPRRGFAQTYISVLNRWTKAFDALVDSRAGSF TEAEMRTISILRMHQRHNQISLEVSTRHTSPDDQMFWDDYCPVYDQIINLTEEFMASQ SRENNTSFSLDQFTIGPIFELARRCRDPRIRRKALKILRACHIREGMWDSELAVLVIE RIIAIEEDGAIVQSCQDVPSWRRIFNIQHVLDMEARTIVLKYDRKASATRSVTVQMQE VISW TSTA_064380 MVELCPAITTPGTYWTFCPSLGAAIAFLVFFSLTFFVHIAQGVY YRKAYTWVISMSVLWQAITYILRIISIKNPTSLGDYAGWFVLILISPLWTNAYVYMVF GRMVWNYVPDGTLWRVPAWRFGYYFVFLDIVAFIVQVYGAASAEVNNTNTTKILRGIH IYMGGVAIQQFFIFGFCAFAYEFWRTILEQKKTTEGDNTASTDLSLQSGFTLLYALIL VLILISLRIFFRLAEYSKGLQSTIPNHEAYQYCFDSLPMLLAFIILSVVHPGAVMRGS ASDLPARKKRKHDSIRCKRDVILVSVRN TSTA_064380 MVELCPAITTPGTYWTFCPSLGAAIAFLVFFSLTFFVHIAQGVY YRKAYTWVISMSVLWQAITYILRIISIKNPTSLGDYAGWFVLILISPLWTNAYVYMVF GRMVWNYVPDGTLWRVPAWRFGYYFVFLDIVAFIVQVYGAASAEVNNTNTTKILRGIH IYMGGVAIQQFFIFGFCAFAYEFWRTILEQKKTTEGDNTASTDLSLQSGFTLLYALIL VLILISGLQSTIPNHEAYQYCFDSLPMLLAFIILSVVHPGAVMRGSASDLPARKKRKH DSIRCKRDVILVSVRN TSTA_064390 MRDPTQDQSPAPLPVDPRETVQTMDTRIQKPANTSQGPKSRRVR TGCLTCRERHLKCDETLPKCQNCSKSGRICKRGIRLNFIDTQVTAPPYTLAPPLGIRL NFQDESREIASEYVGGFERYPPLDQLDTQMDSEPSTHFEFANPVVNRPALHVSASLLS SFPDAPHPEIQDSMLTEPQYTTNDALHEQNAFLPKMSTNTPTDRSYITTPEGVLLMQV FVEEVALWMDSMDANKHFSEIVPLHALNEPLLLNGIWACGARHLYLVNSSYSEDRAVH YYNTASQILLSHLQNPHRDPVLCATAAVILNVYEVMCESAMQRMNHIAGARALIKECR WNGKSPGIGGACFWVNVCMELLSCLHFNWQMAWDPDTWHMDMETELIPSESLFGSEDI WAHRMVYICAKVANFRATIPQFQGLDPQAHQLRLQQRCHEWDTYKRWCDEWARCIPRS MMPVCYVQPWQTSKSAFPEVWLVKRPAIVGRLFYHLTCVLLSKTHPLESEFSPNLREM QQRHAYDACGIIAHVKDRGVATTSIRTLAIAAECFVTREAQQEVLQIIDKIIKETGWR ISFLKTELQAKWGWNTSAPGSNANIMTTATTTTNTPISSIDNGSLPNPTTGSNSNGST PPGRSRLLSGIINPLMATADFSMANHPYQDHYVAPQDHGLEGYQYTHY TSTA_064400 MGTSSVTDENTPLIASGSRNFSTTISHDIEATTHRHLVEADTDD DEWASTLIRDDPTCLLDLVKVPSISTGFAVDPGLERVSSAATVHVSDDDVESQDDRGS SAIFANHFINVSASQFAWIFGGIQIGYFIGFFDATFMASSHPVITSEFHASNSASWLS TAFLLTSTALLPLFGRISDTIGRKPVYIFSIVVFFITTAWCGLAQSIGSFILARAFCG LGAGGVFSMGMIICSDIVRLEYRGIYQSGINMTLGVGGALGYAGGGFLCDRLGWRGAF SIQLPFIFIYLLIAIWTTPKDLGRINPKDESLSLKQLLSHIDLTGSFLLTVGLTALIV GINLGGNVFSWSHPLVISSLVLAAIMTVIIPCYEKGVKRPVVPIRLLTKAPHAYIIFS NFFGGLAINTIMFNVPLFFQAVKSESATESGLHLLFASFGITITSVANGFLITYFNRL KPFALLGALLMFLGGFTTTALTLIDFPYAITIAFLALASVGQGFVFPTYTVSILAVNK QEDQASSVTTLSLWRNLGYVMGTAVSSLVLQNALRIRLDQVVTEPDKQRIIEIARKSV EDIVTLDPMHRGQVIQAYNTSLRITFLSATIWASIMLLLTSMVKLPRLRRSEKELEDE GTS TSTA_064410 MRPPLRIAVLECDTPVDKVKAKFGTYGDVFKLLLETSASTVKGL DARSDLVISKWDIVNGTEYPKLKDIDAILLTGSKHDSFADVPWIHKLVEFTQEVYAQD RVRMIGICFGHQIIGRALGVPVGRSDIGWEIAVCDINLTEKGKQLFGKEKLSLQQMHR DIVAVYPKEVIALGSSPRCAVQGMYIPGKLITVQGHPEFNGEIMSELLTLRNKQGIFS DEQFNEAIKRADIVHDGIAVGAAFLRFLLED TSTA_064410 MRPPLRIAVLECDTPVDKVKAKFGTYGDVFKLLLETSASTVKGL DARSDLVISKWDIVNGTEYPKLKDIDAILLTGSKHDSFADVPWIHKLVEFTQEVYAQD RVRMIGICFGHQIIGRALGVPVGRSDIGWEIAVCDINLTEKGKQLFGKEKLSLQQMHR DIVAVYPKEVIALGSSPRCAVQGMYIPGKLITVQGHPEFNGEIMSELLTLRNKQGIFS DEQFNEAIKRADIVHDGIAVGAAFLRFLLED TSTA_064420 MLRKVAEAVKSMPRQKGIAARDPIASLVNLDKEGIKTAEMFSVV IPGRPCLTDITAVDAQPGVQPTKFAFIFPAAPKFNHIVIFFLPGTVLPPDTAAAIYLQ TPTSKQTPNGSEFRFLGAIGNEKPSAIFKVGSGTQQTQTGIDDEMVDDGNDIINGQVT LGISIEPAQNVAAQMAALNASRGTQQPSTDLVRLPPQQKQITTKILAQRVIGNAFNFL ASFAESDPNRKGEDVVPLKSFRDWWTKFERRIDMDPSFLEREDPNQ TSTA_064430 MAPKTNLQLIQECDNFPYYEDDSEFYERHMSNYHTFKVNGCNAV LGYIPNSIVEKFHWIENDWKVDSTARTVTLMAAPDAEPAVRNEIMTRLIAEAVRRETF EVLKGWRNEMYPIYAPGGKFLMEIERCASPLFGIITYGVHMTGYVEDEKEGMKLWVAR RSKTKQTYPNMLDNTAAGGMSTGEHPYACAIREAAEEASIPAAVFEERARPVGVLTYF YVRDARAGGETGLLQPEIEYVYDIKLDASHTVKPCDSEVEDFRLWTVDEVRQSLKEGE WKPNCAVVILDFLIRHGILTPENEPDYLEIMARIHRRLPFPTVKFLK TSTA_064440 MSDSQLFEDTFTITSINSQKYDRVSRISCTSTDNLTTFTLDINT ELYPCAVGESLSMALASTLSLDGKDEDNAASRGWRDVGMGEHTLANEYDYVCHGKVYR FEEGSTSGNMSVFVSFGGLLLYMDGPYNKLVPLRIDYVYLLLKK TSTA_064450 MSFRKRNVGISTGANQAISPTTPPAAVPVNESLPGVRPSPDDGR PTTSTGSRSLDNLLAGHAGIPLGKILLIEENGTTDFAGALLRYYAAEGVIQEHKVHVI GMGEQWGRTLPGLIGSADVLNDKVDRRKEEKMKIAWRYERFGEFGTGVAGSRAPVNAA NTTFTSTTPGTKQDAFCHAFDLTKRLTHPSLATVNYIPLTPQRGSPLSSIMQNLQLSI SNSPPNTVHRIVIPSLLNPAVYPPETSQPEYLLRFIHSLRALLSHNAYNITAMITIPL SLYPRSSGLIRWVEILCDGVIELCPFPHSSDAIATSGAATAHEEPPQGMLRTHKLPVL HERGGGSDQNIGQDWAFTLSRRRFEIKPFSLPPAENDNEAQQAPSDSKMPKKADLEF TSTA_064460 MAAEVPLPIPISFVSGRYLIFSADAATYLRREYNICGVLMGTLP HVPQQNVFLGIPLELMPEEARLLVEKKVARIIDTRRYHNESMRSLAEADKIKYLQTLQ REGRSVSLAMSNRKEQQREESLRKAAQKRKAKASSTQKEEEEAAKNTDDMLFEPPPRP ASSLSSSSSSVQSSTISITPATTCPLLPYQPAEEYDMPLPEVPASYPVFAHLHSHGYF LSPGLRFGCQYMAYPGDPLRFHSHFLVYGFHRDQELNLMEIVSGGRLGTGVKKGFLLG HAVDPGDPSSEVRTFSIEWAGIRELEACSLLPDNALCAWTTGLQCSTSSFAIASPKHC LYTLSEAVYAQP TSTA_064470 MSKTHIAHDLLSRAYSPDTADQLFTERIKQKPLYLRPTSPTPAD NRSRRRLQRIRKKEYFYRKQRPRPLSAREKRISGFYGIPKEECKYEVFKGLHDLWVAY MQEVLGIEKQNGNRAPPVITAQGHGNKLVSADYHGAEVEVVRSRCAGRVGAKGIVVRD TKFTFVIVTEKNVVKTIPKEHTVFRFAIPAASVESKTDDEDKPQEEKPKDLVFELHGS QFENRPVDRANKKFKWRNIDYV TSTA_064480 MDHENLDSLPPFPDDVPTILLDRLSLTKLLRYDSDEYRKFCRAC ENIGFFYLDLRNEGQGDSILSDADELFKISEQLFALDFEEKSKYDHSKKRSYYGYKPL GAGQVDEKGNLDSVEFYNIAKDDLFGIMEPLPQPDIIQNNRYKLKTFVTNAHAIVRLV LDLLNEHLQLPPSTLANMHCIDQHSGDQIRMTRSPPQPMNDGVPRLAGHTDFGSITVL FNRLGGLQVLPPGPDAEWKWVKPLPGHCIINLGDSLVKFTNGLLRSNIHRVISSPGEQ ARSTRYSLVYFSRPEDNVLLKRLEGSAVIPPLPEGVVEEDVSSKDWVIRRALANRTNL HDKVNMESALGTEKISRRIKV TSTA_064490 MAADSPTTTKFTAFIRHYKEKILPLLGDADIADLLEEEEYPDKF YQYQQLNDQPTGIKAKLKPYQLDGVSFLSWLRKNNAHGIVADEMGLGKTLQVLSFFQH IIDTEKDDDRPFLVVSPLSVLGSWMSEIKKWTDMKVAQYHGNTEKRQQIGRMVRNKEF DIVLITYETVVFDIFRVSRMAHWKSVVCDEGHRLKNSTKQLSIAIKKLKTEQRLILTG TPIQNDLTETWSMLNWLYPELFVNETSECFRQAFSLTDGRVDPAFLHHVRRFLEVIMI RRVKESAQADLQLPEKKEVIMYLPLTEIQKHLYLDVLTGGVDHGDSEDDHKREMLVLA TPPSSPGTGNYNSHSTTLPVSNPTKGRSVTNILMDLRKICIHSLFVEGFEEIDDDTDI DVANLMLGSSKFILLKRLLEQEVTKDRKKILIFSGFDYALNCCEALLAAMGISCLRLD GNTCYSLRRYNIHRFRNESECKVFIMATRAGGEGITLTAAEVVVFLDMDWNPQVTAQA EARAYRLGQTKPVTIYKLCTSGTVEE TSTA_064500 MESDSVFIQKLIRDSQKAVAMTSFDPNDLLAMPWKDVLDLCKKT SDDEEDVHSSSLPPTPRSPTFDQDEKIWLSQIERVQTTLFDGKVFSRHRAQPKTEVLT DLALYERRINKNRRVYDKTIHYYVSKESTLCKYGEAVPTITDSNSLKGKESSKVEFKH LKFCVVCGRTRKLDECLYCPRSYHEKCVNRQKDSTQKKYNPFNNDICPYHWCTKCGMT ASNAGGLLLSCNSCPRSFCIDCIDLDEMESIEGELPEFLDLGYESPRHIEYITCGDCM KKARKEPRKREVTSTGGRVLRKRVRRHTD TSTA_064510 MDRDQRHKKDDLPSRLLSRVGSVFRRASRRTKSTVSAHQVAESR GPESTSTPASAAAGNTTSATATPAAPQPPQTSTATPDRGVTAPKRDPASVVVWSDIQQ ERARALFAKYGLSLEPHEWMSRNFEVQRVEKPIRMRVRRTCHRCQTTFGADRVCANCQ HVRCKTCPRYPPARTKEEKEARALAKAKGKQPEKPSDTAVIPTTTTVKSDDAKKLKSK QLTMESQASGHDLVYRPVRQRVRRRCHQCQTAFVGFSTECETCGHVRCKICPREPPNP EKYPEGYPGDEEPPIERPLRVWKKPRMRVRWFCHKCDTFYPPGDKICRTCGEEKGPNS RREPPKKEKPPIDEELMKRVRERLEDFRIAADNT TSTA_064520 MSGLGSTRHACHCGKSFIRKEHLTRHQATHNKPAYVCNVCQRQF SRKDLLRRHASLHEASHTRMVVSCEACRANKSKCSGGTRCSRCARRGIECIYRGGPPQ AKRMLRSDESEAEANASVREDTEHEDGNDELTLLTSSTPETSLSWEVLQRNVQFLKTI TIVSPQLNPSSGCLPLGQGMEGIYELLIAEESSLEVAMEKSDGMKGHVSRYTQIYLKN FHLRWPILHGPTLSNEIDSISLPLAASMCLIGAWFQDSKGSNEILYALKVHDILLERL LHSLTEPDLVSAKQAWPIEVFQAVLLTLIFSLYRTDKVALSRAMLLRSGFITILRELE AFNAEKLATHLQTYYSGSYAPYTIARREQFRRLLVSTYQFDSYFGLAHGKPPLLHCQE VGVFLPSSFALWNAYGVDIFAMRQVEEMTERSGVKVSELANHTDTVASTELLVEDVQL GLCNILHTIWVLGPADLNKVERPTHNLQTSLFIQKLDDWKHELDKIEKLIDLENVTKR DAAKHLLLAYRGEDDSAVASLERITTLMQDGIILYYYLKMFHYVSQIIGPGTRIESSE IWQTSKYGREALVCALQILKIVESRVSSNPLIRYALATGVDVMRVSLSGQRCECLARK GQHAANRTHPQWSDTGGPFRIDGTPVCVCKLTFWSDRFEKGIQGQKIMVE TSTA_064530 MSAIKNVTLVGASGHLGTFVLEKLLASNKFNVQVIKRPDSRSTV TANVKAVEANFDDLESLTAALQGQDAVVSTISDKASMSQRLLIDAAIAAGVRRFLPSN FGSNMSNPNTRKLSVFKTKVLIEDYLIEKSKTTDLTYTFVYNGGFTDFAIQHKPTIFN GGDSHFSCTSLPTVGDAVVGVLTHPADTQNRAVYVSESMISQNQLFSLAKRISPNKPW APVDADLDVVVTGALDRFAQGQHDMSTVIPILLKSIIDNEYGAKYVENDNELLGIKEK GDEYLVELLTPLLN TSTA_064540 MTESRLYTFSPETREELRKFRLGTSRAKDPQARIYIIDAKTKEI RAESNETYSKLEDIADELPDSSPRFVLLSYPHTLASGRLSVPYVLLYYLPENCNPSSR MMYAGAVELFRNTAEVQRVVEVESEGDILDIEKKLNNSN TSTA_064550 MFSRFNQLARHLSRPLPNYAHSSTASFASSAHPAPSMTSSATFF ENKSKTINTAACLIIGDEVLGGKTIDTNSAYFARWCFSLGIQLKRIEVIADDEEEIIE GVRRMSAKYDFVVTSGGIGPTHDDITYSSIAKAFNLKLKLYKPAFERMKALTKPSQLQ PGFKWDEPSPALTARLRMVEVPYDENIDEDQQNVFAVDDSWVPIATANGNVHVLPGVP RLFEKLLDGLTAVVRPRLADPEGKGSYRVLISTPLPESSVAAYLTDLALRVMPHGVKV GSYPRWGKKRNTVTLVGNNKEYIDSLVAEVEKNVEGRRVQREGEDDPDDA TSTA_064560 MASTNKFLREYKLVVVGGGGVGKSCLTIQLIQSHFVDEYDPTIE DSYRKQCVIDDEVALLDVLDTAGQEEYSAMREQYMRTGEGFLLVYSITSRQSFEEIMT YQQQILRVKDKDYFPIIVVGNKCDLEKDRAVTQQEGEALARQFGCKFIETSAKSRINV ENAFYDLVREIRRYNKEMSSYPSASGAFAGGAPHGKMDVSEPGEDAGCCAKCVIM TSTA_064570 MNSFRSTGRLFGRHCRIALGLFPAAVPQPGGLLPLRIVHSQILN NHSFKRTMASAVAKRLEGKTVVITGASSGIGYSTAKEFARTSPGNLKIVVTARRVDRL EQLAKEIKEEVGDGVKVLPVALDVSNPQQVKDFIPSLPAEFQDIDVLVNNAGLVKGVA QAPNIDPEDINVMISTNVTGLINMTQAVLSVYKKRDQGGRGDIINIGSIAGREPYSGG SIYCATKAAVRSFTTALRKELISTRIRVIEIDPGQVETEFSVVRFYGDKSKADAVYAG VEPLTPDDIAEVIVFAAGRRENVVIADTLIYPSHQGGAGAIHRKS TSTA_064570 MNSFRSTGRLFGRHCRIALGLFPAAVPQPGGLLPLRIVHSQILN NHSFKRTMASAVAKRLEGKTVVITGASSGIGYSTAKEFARTSPGNLKIVVTARRVDRL EQLAKEIKEEVGDGVKVLPVALDVSNPQQVKDFIPSLPAEFQDIDVLVNNAGLVKGVA QAPNIDPEDINVMISTNVTGLINMTQAVLSVYKKRDQGGRGDIINIGSIAGREPYSGG SIYCATKAAVRSFTTALRKELISTRIRVIEIDPGQVETEFSVVRFYGDKSKADAVYAG VEPLTPDDIAEVIVFAAGRRENVVIADTLIYPSHQGGAGAIHRKS TSTA_064580 MDLSNIFRLVNIAVGVIMVLGGISQFFPFGVGSLVVGIYVIIFG LIVGGLEFLPQIPDYVYRYASFLFSFLGRGGFYVFVGSIMLHDHVLRYIAGSIVGVIG LGYIALEFVPSIEPPTNMREADPSWGAEQV TSTA_064590 MLKPFYTGNYVNNPDTSSGGRIARTLCNKVGDFCASRIIRSGAS STLHLRRYFYVLGAKTYNVTTNQWKEVNVTGGEFNFGNRTSSQYVSVPESGLGFIYGG TDYMGGMIRFDASDLENLLWTNETLGNGSHRSNVPNLESAAMVFIPAGDKGMLVTFGG GNVSAGISPDSGWPYDSNWLTVYVYDIASHTWRAQEASGDTPFHRTSFCAVVTEAADG SAFHITTYGGWSLLDQRSYEDIDATDLSNHTNKGQQVNVNPTIGRDSLTGACQVYRGT EMIVLGGEVREGAYSLANGACSNTFEPARALD TSTA_064600 MAGARSTVPPFHLVLHNSFDIPAEYHERLQDNRLKEVTLKGDVH PNEHYLSLLNLSSGMTVSIPRLSQSIFKLNLGYFTWGFPIGFLSTLGERLPDLKCLRL YRQNVTGPNAETRRDAQQFLYLIPDLVDLYLSDVHGDPDFFVGLGKAFRRPGQRGLVI LHVEYTFEVDIHDFILKLPIPELRELIHPAIVDLNFNMNPKASKPRGIVPWPPSGTPV NIGAAENGANDPQGAILTPSGDQNGTPRFSSGYPLGYLNSLPDVTVGEDCGNTVNAG TSTA_064610 MSKVELTQRMMVGSSQTPTSSITFFPNSTGHHPHRYSWLARSLK TSCISTGSMRMKILREKAKLCWMNQCCHKSTRVGVYALSHDQNPRPELLEHFLCTLRE QSYPAVNEDQHLVVLIFGHGDQMTFGVALGENKPNLHTQDVKRAIKPGTSVTLFTTFG QADKHLNVGGITAAGEEEKSESQPMSSSFGRACGSMIASALLHTSIMTEEEDSWNTAD VHNDSTYVSFKHSILSPPQASKRDTSVINETALGCAADRAHRTLLGARKFTDKELDGL HKALRYRLDYLDLANEFPKVMGVTNESFDAYSFDRNHWKRSEEVTKRFSEAFRVLVEK CLVDHPVQGHPWINPSLGLPSYIGTKPR TSTA_064620 METVPSLTLERKLDTTPVRSGEDLDAARQLISSARGMRPILAFP QQDRVLPNGNEDWQSTNKPAMSDTMDMMPDQTTLEVDRIMSRGPQRSPKPLGRDTAFL GHSCSNCGTKSTPLWRRSPTGATICNACGLYLKARNVHRPTKRNRNRPQITTPGPNSD SHSASPDPTTAPVQSGCGSGSTGSCPGGGNCNGTGGAEGCDGCPAYNNRLFKSTARVS SQPLQAQPTGETEQPPNSSGADSNVPSAENGGDLLVACQNCGTTVTPLWRRDENGHPI CNACGLYYKLHGCYRPTTMKKSIIKRRKRVVPAMRDHSPGFGTQSEGESSASPEAHPA RLTHGLDRPMLAPPPVDFTGYNMSAAATLPHHPGPRLPELQSTFQRQSHSPGAASVTR DGDTLPPIESSNQLPPIMSQMHPTQPARLSPISSILNPGANPANTNKMPPGDGYRHSQ SPPIPSPGQSDAYKYERRVQLQREAELMREALKAKERELAELE TSTA_064630 MPPKVLGVGPSCNECRRRKIKCDRSIPCSYCVKTGIHCIYPESA LETGGHEDLQDRIQGIELRLQSLEGGISEIKQLLQMSLARQHEQRDLVKASPTNHGAT VSNDTTDIEMVPWSTEESMKPRHSQPAFLSTDPLPMLSSLHPSPTIVILIWQRFIDSI DSLLKLFHIPSLQRQIVNAIRALDRVEPYIECQMFAIYYCTVVDMPSDDCQYILGEKK GTLVSRYRAAVETALARVDLLNSPNIASLQAFVLYLSCAARDEQGPDAHTLIGVAIRN AIRLKLHHHGTTLGYLPFEAEMRRRLWWQICVIDVCMAVEHDTEPVILEKSFNTPFPS NVNDSMLDPDMKELPASLTGKSEMLFTLLRFEICYFARQIIFSEKFCRDNFYAILSAT EKCEAVDAFRSRIEAQYLVHFNTITAFADRVVIAVRSVLDTLKRVPDGSSTHGIQLDL REVFRDIRHRESK TSTA_064640 MAPPLRNVVLIGASGDIGRIILDSLVESGLFSITVLTLGLKNAT FPPTVNVRKSDLSDSELESAFRGQDVVISVVGMSALLDQKRYIDIAVRVGVKHFLPSE FGANASNDVVLELLSIFQGKREVQEYLKTKESKNFRWTALGTSILLDWSLANGICGYD IDSRTATIWDDGNKPFAMITAKQMGQAVISVLRHPDRAANQYLLVASVVTTQNEILSS LERATASKWTVIYTSTEEKLREATDRINKGDFGGFYTMGTASMYAVKEGLGSDYTKEE KFANGLLELKMERLEDIVNEAVRLKMIKQE TSTA_064650 MGESAGSIISDVSHQNIDTWLRPSTFTNELEESVRGHIHQELTS WLFYRKLAADCSRSNLALHGFAMLWERSAWECLLDMNWLEKYLVTRGGRSKPTNIEAP KIDFPDSPVEPVGPCREAFTVQKKLFEDLQRLVTLAEKQQDTALVTAIESRYLRKHAK HLKNLGDLVQQVARVSKQHGLGLYLLDSELRRHNGVIPWMSLNDPDSHFESVSVLTKK INEGLALDAHAAHHQGGAHGAKGSGH TSTA_064660 MAKEYALNFTGDVMLGRLIDQLYLTHVSNPEDQKHIRVFQARHA SRLGFGKYTVSSPWGTVLPLLADEGDLNLINLETSITTHPTPWPDKTFNYRMHPANAV PILAAAKIDFACLANNHTLDFVWTLKHSSSPEQQHRIAIAGAGESTQEAVSPAILELP RSKSQHGVKHGGRPGALSSKDLEERKGKHLIHVYAATDHPRAWSDVPTFHFVDYSEKS REHLRRITSRYSTPVSGSEEASLKILSVHWGPNYRWHPAREIRSMAHFLIFECGIDII HGHSSHHVQGIECPAPGKLIIYGCGDFVDDYALNKEFRNDLGALYRVIVKEEMGAKKV KPVRLEIFPTKITHFQAYLLRHKGDKDHDWVVDTITRLTNELMRSECDCGYAHDTKKN IFRPSLGDRGQLIIDLV TSTA_064670 MAESPANRSRIVPIMADEALSKPKSTTRKSAPIPRPAAPSRIST RSRTKSYGLDGVDGNLKILEERGLYVAKTPGDGNCLFYALSDQMYGTMERAFEIREAL AVHMSTHEEYFGAFAVPEESERRSARVAARRTESPFPATPSPTVNDKQDAFTDMVSRC ATPAVWGGGVEIQAFCQYYQRDVRVYSPDNVQNFRAWDAPNDEVREVVHLAYINGVHY SSVRNIDGPHEGLPNVKPKAVVIDIESPQAWKISHIQNGLSGQYDQDTIVSMLRRCRG DINRAFARLLDEESSSTSSFVSSATSAASSQATTASTPEQQQNANELRNETTATPMVN FKPHLMSSRSSSRHSTASKRSADDSDDEGSVLSAQRGREQKRRILPKVTVGINFGDHD QPDMVSLRWRVDSDVVAEQVTATPSSLKQSEQPKSELEQPKPTSEQDKPNAKPKKTQT KAKVKPKSRAEQGQCRKLRPRKPRSSVTSELDTALTLSDESSVKLAE TSTA_064680 MDPHSYSPHQRRWERRLSRDVNEALPIETYLYRCDPYRSSTVQH PWPYGVKVTQELAMRELILAYKNDIRDTFIRHGFPSDGSGVKLNFTVKRVFPADQRPS TVLSIGIENDPIPTRDLSIVRDAVYLLLLDKRLKTMHVDIFDCDRRFMPFKAQEDIVR LLRDKDNLSWQAICLYQVGRCLDQAVPCIVVSVPPGTIYNWASLRNEILDALGSDIEV EFLPVVPKLTDSCA TSTA_064690 MSSPEPTIETISPQDAHTATESHSDHGDIIRDIIIGFADGLTVP FALTAGLSSLGSSKLVIVGGLAELFSGSISMGLGAYLAAVTDREHYKNEIARERREVA EKPGAEKEEIFDIFAEYGITRDASQGVVDCLIANEDNWIRFMMAFELKLEEPNVSRAW ISAATMGLSYFIGGLLPMIPYFAMTNVTHALFVSIGITFVILIAFGFIKNYIMIKTTR SGLWGALQTLIVGTLAAGTSYGIVYGIDHSNIS TSTA_064700 MRLFFFLFALLSSTLTSAAITRGQFSQVTDSWGSNPTNTGFYIY VPRNLASKPGIIVAIHYCTGTAQAYYQGTPYAQLAEQYGFIVIYPSSPYSGTCWDVSS HAALTHNGGGDSNSIANMVTWTTSQYNADTSKIFVTGSSSGAMMTNVMAATYPELFKA AIVYSGVSAGCFYSAAGQVDAWNSSCAQGQVEASPQAWASIAENMYPGYSGARPKMQI YHGSIDTTLYPENYNETCKQWAGVFGYNYGAPQQVEQNNPQSQYTTTIWGPNLHGIYA TGVGHTVPVNGARDMQWFGFA TSTA_064710 MSTSGYNHLAGQDVRPLAASIAVLALDLLLIAPSVVAIGSHFRH IKPRQKLYEDEDGIASEESMAAYSAKIPKIILAISTIAGFVIATAGAVLTTLNRNEGL TIVQNWLIVAQWAVVLIQTVSISIVKEPPRPYFLGLYSGFSSLFLFMVLLFQVTLLVQ SGSSGDGSWKNPTHIFIYSSQLVASIISALASVSIPRRPEVFYDNHPVDSMYTDSALG RLSFAWIEPMLMLAKRRKHLDLKNLPKMDHRTRAQDLSQSWTNKQHPRRLWIELVLAH KRALATQWGLTLLQAVGTFAPQFVTYFLLRILENRVYGEKAPAEAWIWVIILTLTSVG VSWIESWMFWISWSELAIPVRAQLSALIFQKAMRRKDVKGASKDAIKGNVANADEIEN AASEDEDEEESDPKSKQSTVNLIGVDTKRVSEFCSFNNLFPGSIFELFVSFVFLWSII GWESLLAGFLAMACTIPINIWSSKRYSDAQDRLMKARDAKMAVVTEALQGIRQIKFSA LETNWHQKIGKFRAKELDEQWSVFLADTFLLFCWISSPILLSAASLACYAVIHGSLTP SIAFTAIAIFANLEVTLSVIPELTTDLIDAYISISRIERYLNSPEISKNNIDTSTSIS FENASIAWPSDEKKEADDQRYVLRNLNLSFPEKELSVISGKTGTGKSLLLAAILGEVD VLSGKISVPRSPNTQERRDHLATRDNWILPNSIAFVAQIPWIENASIKDNILFGLPFD EQRYKKVIEVCALRKDLDMLTDGENTEIGANGINLSGGQRWRVTFARALYSRAGILIL DDIFSAVDAHVGRFLFEKALTGELGAGRTRILVTHHVALVKSKTKYVVELGDGTVENA GLVDDLQAGTLDTIVSHQETEDEIQEDEDPTAVNSEESSDSETMEQLKKVNSKQAPKK FVEEEAREHGRVKGAVYKAYLKAGGGVPYWIVVLLAFAGMQAIVTGRSWWLKIWTGND GHEAKGQTFTHFLQSQTPSPGFYNASLSNPILHSESNSGYSLKFYLGIYIMLSVVSAL LGTFQYFYLYTGSLRASRVLFNNLCYTVLRTPLRWIDTVPLGRILNRFTSDFETVDGR LSRDFSGELHDIFQLTGVIVAGIFVSPYVILLAACLLSACVWIASIYLHGAREVKRLE SNAKSPIFEQFGSALTGVGTIRAFSKTDTYIERMFRKLDDYSTTYWHLWAFNRWMGLR MALVGSLFATIVASIILIIPTVDASLAGFALSFALNYGQTVIWIIRHYANLELQMNAV ERIAEYSDLPTESQDGADPPAAWPSEGRLEVNDLVVSYAPDLPPVLKGVTFRVERSER IGVVGRTGAGKSSLTLAVFRFLEARQGSIHIDGLDISKIKLHSLRSRLAIIPQDPVLF SGTIKSNLDAFDEHSDSELRDALVRVHLVTATELSSSTNEASGSSAPSETENQNINPF TSLNSPVSEGGLNLSQGQRQLLCLARAIVSRPKIVVLDEATSAVDMATDALIQRSIRE EFGDSTLLVIAHRLSTISDFDKILVMDDGKVAEFGTPRELMQITNGIFRGMVGESGEK EKLEKLIMG TSTA_064720 MEVFWRRLDNPVAIAHLGLRDKQWLADLKKDEYCNSDLLTRDSS GQLASVTGLLRECLDIIRDKAASEDREGFDFVKQCALVPLSMCHLEKAMQADGQKSEA DVERLRQIYEDDSAAKGPKSFDDTVLEKLLAGEEPSLEAARTEFLNFHQTKSREKSVE FWSIHWNRLLEFWREASRLESEAEVDSERAACYQKVQRDLLGFTCNNCNRHWDYGNGL HACKYCYNVGPLRYLLGRAAIRRNTQSSCMQQYTRLVRVTAVGRRSNLILPGIPLLLL LNIQTLGAKRRRARQYQLDVFGIHNPKLAKPRLRGLAPARAYQLEVRDLVIIDRTGER FP TSTA_064730 MYKIECKHLKLPVTVDSLDKFGYQGLELFVKVIFVHISHLPVAE HLHAYREDVLSVALRRMPDKLLLGAFEPKKAVFLMKAVLGRMSDIEVWTEVLNVVDET TPSSATSQLEQTRWRNTDHSMSLSECHGYFGNVLEEKECPTSKKFPNWYFKSAAKTIA RFTTKRRLVLDRNQTDAQSGKGVLTTLDAAAFICPSKQAARKLDERAEARHLFVSLFT DLGTWAAEERLCLDDHPKSWLELGAYATKVQSEQLTRRFVCAFTLSGNWMRVWLFGRL GNKIPGRGTMCWRGYAENNPQLRLVIKDSSQYEDTKDEGELLRKVTEKGVINITRQII PDKRLIDV TSTA_064740 MASNNIVVLGAGVIGLTTAMLLSRDPENKVTVAAKHMPGDYDIE YTSPWAGANYLPVGQEDNKIGQWEKLTWPHLQELAKNCPEAGIHFQDTLVYNRKKDQE STTGKWFAELIKPNPWYGKVVPNYRELPQSELSPGVDNANSFTSVCINTALYLPWLVG QCRQNGVIFKRAIVKHISEAANLHHSGSKADVVVNCTGLSSRSLGGVMDTTLLPARGQ IILVRNDPGVMASISGTDDGDDEATYIMTRAVGGGTILGGSYQKNNWDPNPDPNLAVR IMKRCIELCPSLVGEGQGIEGLSIIRHGVGLRPLRESGPRIERERSDDFWIVHNYGHA GYGYQTSYGSAEDAVGLVKQALQQAKKAKL TSTA_064750 MVQTRSGQASTAGESKTKPTLKATKTKKADTYKKTEEVIKEAVN EDKKEPSTVTLPEKRKRDEEAEQPLPNKTMKEDGEETRNVKNEVDQGKSESVTSTMAH KIHKTIDEYGTLPLEGLGVDKPLEPTPEVLLAMVIDSMLKSTRISHNLAQKASTSVFK AGYHDIKVLSKTSWDDRVQVLAEGGYNRYRETTATKLGDLAQLVNEKYDGDLNNLLKK AGDNPTQIRHLIREVKGFGDVATDLFCDDAQAVWPVLAPTIDHRSLDTAKEVGIGTDI VAIYAELDKDPVQMSKLARGLSAVRLSKHQEDIEHEK TSTA_064760 METSQTDSSSRNEDTRFHLFPRLPTEIRLEIWRASLPRRIISLD SRNPCPGPSKDFIQIWQRPPSIACVCKEARSVAFQHGRLRWAENVDGPDKRLLETIPE HILADHLLADAKQAKAVVISSSYMNFHHIEETARLLTFLNNPFLYIARNYVVHMSKGE AIDSGLFGFNAEEPIVLVDDKDKDQLRKYAAVCSLTEDNLFPLEDHLDSLEKSPESEP IHNEFAHGDISRMWLHLQRHGIPAYGKAKGNPRYEGLYNQFGFLNRDHHMVKSARETI PKYRLMFVFELCRNSRHLLIMEHQQNKKAFNSVCQDIFRRKIYTTEQLREYLIDNMLE TSTA_064770 MAAIVDTPRKLWEHPNPQSTRMGQFKSKIEKSKGIQFANYDALY QWSIQNRSEFWSNVWEELPLIHEGQHTRVVDESAPIQSNPDWFPGVRINFAENVLFSA QSYADAKAGVRSIVGKEDSKIAVHEVVESDLQSPRDYTWGELRQQVGLYTQALKAAGV KRGDRVAVVTGNNINCLVLFLATTSLGALISTTSSDTGTKGILDRLTQIKPTLLFVDD AAVYKGQIVDLRGKISEVINGLQGISEFKGVIALPRLRDQPRDITGIPRTQLLKDFLS KAPSDKLEFVRVGFRDPFLIVYSSGTTGQPKCIVHSTGGVLINLAKESILHRDMGPNS TILQYTTTGWIMYLTSVASLLSGSKIILYDGSPFAPDAGFLIRLAGEQRVTHFGISPR YLQELRKQKIQPRKIADLSSLYIVSSTGMVLADSLFEWFYDEGFPAHAHLGNISGGTD IAACFAIDNPLSPLYVGGCQAAGLGVPIAVYGQVDEGLEGVNGSALPDGESGELVATA AFPCTPVTFWGEDGPGKYFKAYFARFNNVWTHGDFITIHPLTKQVIFSGRSDGVLNPS GIRFGSAEIYNVLETQFASEVLESVCVGQRRPQDLDESVLLFVQMQPGRKFTETLVQR MRDAIRKSLSPRHVPKYIFETPAIPTTVTGKKVELPVKQIVSGKRIKPSGTLANPESL EFYYQFAEVEKLGKVRAKL TSTA_064780 MASTGLAAECYAQSGATGMSYTFTPMSGVTGIGIMNSAGKIGNF QNEEQCVVAYQEIVSDCYNIKNGGSWTYLRGSLEWGEIYSSPGPRNQVALEVPRYRGV SRQSEANSRHPSHAYNYKTRVITTKSRKDNFQSNIPKLNKKMARQLPPGYSLHIGYPP AEIYCNLRKTSGLAAVSLVQAQAVPKGSWYGCMIKYTPSESSTENAIETKPEIVAMGR IISDGGWYFVIADMAVLPSHQRKGLGDYVLKQLIQRIRAEPVVADVLENGGPKPYVNL LADEPGRKLYERNGFVYTAPHSLGMMLKLD TSTA_064790 MATAESSQNESFAKRETTITMNETPPQYPPAIRNDTLNATNISM DDIQLAHLTSTVSGAAAAAAAVTTQESAYKPAPSSDDSDPENDQTPKQRFRIAATMLA LALALFVAALDQTIVATTIPTIVSDLHSAAGYVWIGGAYLLANAAAANIWANLSDIWG RKLMLLTATALFLGSSIICAESVDMTMMIVGRSLQGIAGAGILQMVTITISDLFSVRH RSLYFGILESIWAIAGAVGPLMGGAFTETISWRWVYWINLPVSGTAFLLLFFFLDVHN PRTPFLEGIKAVDWFGSLSILALTLMLLLGLNFGGDTFSWSSPQVICLIVFGCLMSLV FIYCEKRLAKYPLMPMSVFRERSNIACFVVTFCQGMTFLGGEYYLPLYFQSAKDSSPL RSGILVLPIVLVESLTSAFTGILIHHTGRYLEVIIFGAVLAAVGTGLYIDLKTDTSLV KIVLYQIVAGLGTGCLFSPPIIALQVNVSQADTAAATATLGFIRNMATAVSIVLGGVI FQNSMNLQKPTLRAAGLSSNQTELFAGESAAASVFLLDGMISDPVQRRVVRDAWSWSL RNLWILYTCVAAIGVVASCFVKNKHLSKNEQHVETKTGIGEKATRHNQDD TSTA_064800 MYLVANSRQKMSLASFIATSTRTARIASSVSARRTFTSTSLNRF RSSLVTMGVKQLKNKSEFDQAISGTDKLVVVDAFAEWCGPCKAIAPKVHSWSEEYTDV EFVKFDVDESPDVAQELGVRAMPTFLFFKNGQKITEVVGVNPPALEAAIKNNK TSTA_064800 MYLVANSRQKMSLASFIATSTRTARIASSVSARRTFTSTSLNRF RSSLVTMGVKQLKNKSEFDQAISGTDKLVVVDAFAEWCGPCKAIAPKVHSWSEEYTDV EFVKFDVDESPDVAQELGVRAMPTFLFFKNGQKITEVVGVNPPALEAAIKNNK TSTA_064800 MGVKQLKNKSEFDQAISGTDKLVVVDAFAEWCGPCKAIAPKVHS WSEEYTDVEFVKFDVDESPDVAQELGVRAMPTFLFFKNGQKITEVVGVNPPALEAAIK NNK TSTA_064800 MGVKQLKNKSEFDQAISGTDKLVVVDAFAEWCGPCKAIAPKVHS WSEEYTDVEFVKFDVDESPDVAQELGVRAMPTFLFFKNGQKITEVVGVNPPALEAAIK NNK TSTA_064810 MAIWPFGRKGKRPRSQMKASDAADRRLSLEDSAERAKVGRKPSR KRSKREKHRSSPAPPRAGETAFAYDLDNRTSVSSIGQDNFAVARPTPMLQKRNSGNAK GNTLKKRLSKRSARELQREREIKALSSSPPSGHPRLANAWGYQSSDVRRGPSVNSRYG DHHTSNQSLPMGSQSSLSDSSDLYTYKVNAFAVFTPRPVIRYSENHIKHLPPRSTNPS SNSMRKDANLGDEDDLNSHKRVAELADDMDASALREMLERDRRRREKKRLEEQERRQR RLQRRLEREQQQRHESEHAEVGNKVEEIDIDELRGRDKTPSPATSSRQIRPESTVDEE HELREKDFLPEQEGGSWLRGPSNNSQQRDKRFSDISAHVIGNIDDRSLRAGKQGHDVN ATSEEDVSRHRSPLFQAVANSSSIHMSSIAQESISDISRTENSEKRLSDTSSRRMSSW IAFFRRNSLRKRRSRDAPPSEFSNTSRDSFMKAQSHGAGPSSMPIPIPDRNVRRAGTV YRAQSKFVEHLDNYPPSASDSRVQSPLPVPLETVPDTPVVANLNSTEPSPRQSYTEGD DDDNYENDVERSRPMSWDEKSGMQAQSLASIDSEGSWMSGSYLRRISQKNPNFTSWRN SNGMNKLDKYDEAEENEDDVANDEYLNRLAEDAPETTSNSVSGLRRASSTAIGLDIAE AESDDGSRRSSNMGDMETWHQGIERKPTVVRRESGRARAMSKEVIFGDADMLDTDSMM DEDNASPVSPVSQEAEINRATSVDYGRGHKHARQISAGSAKLLDIRSHASIDSSRQ TSTA_064820 MSPTIQTAMSTAINPATGESLPADALQRVLFVTRLVHVYSVPPL TSIRGYSAAEWTVPNPQTGQTRQIFSARLRILETAIHDTVSADILLEDPQTGSLFAAA PLTDANSVDYVTDSSRFFVLRVVGEGRKALLGIGFEERSDAFDFGVALQEAKKILGIR DTISSDQQKPTQSSLSSSSSSEMASTTTPKDYSLKPGQTISINSGRRRPAPATSTTTT ATTPPTISNSAREEEQALFSIPPPPPPGTVASATNTTTATDQIGRRKRPTSTIISAEE SKPVLGFDDSATFD TSTA_064830 MFTENIAAVLESPGRALKPLPPRPIPTPSEGEILIRNHAIAANP ADWKIRDFGGIIDVYPTVLGSDVCGTITAVGPKGTKFKVGDRIAGFALKLRLWEYRIL SRFEDGATFLMAFATAAVAYFERMGILRPVVVGREEVSQQGPGMLVWGASSSTGTATV QLATNLGFKVFATASPQNHDYVKSLGAYAVVDYRDPDVVSKLIRLAKEANTPLQHGFD FISEGKSSILSAKTLLQSGGQGNNKLVLSGPWPTSHEKPDGVDISMTIAAFAFVDHVD MGSWMFNEYLPQAVEEKRIVPAPKVVIVDGGVAAAQKALGTVKAGVSATKIVVKVD TSTA_064840 MAPESAPFDIVSTYNDLLKSDPDITMPIAAIEALVLLLTHSPSS TISETLDLLAKHTTILKNAIPNPIALSAGTDLFQRYLITTLQRPGQLGPAGDFNAIRA HLLSNGRLFIKRAKESRNNVAAFGKGFVRDGSTILTNGGSRVVSALLQKAADEKNGPS AVRFRVIYVLCGSNDADNSSGEPEGLETVRSLRARGVPVATIPESAVAYSLGKVDTVI VGAEGVVENGGIVSRMGTYQIGLLAKAMGKPFYVVAESHKFVRLYPLGQYDLPIEQHV INFKTEEDVAAEEASRLTNETKRTIAETHASSEEKAVHMAALLNGAPADKPDINAMRR DAVDYTPPHLITALITEGGVLTPSAVSEELIKIWF TSTA_064850 MAYNHRASQQFYGSQQQQSRNRKKEDDSDALMRLPDKEIAGCIN DIGVPFTAADLLKPNPQQIQMVLEWFAELLMNTTRETIDPAMHAAAQDICGDYPDIVP NETRNLMGFFINLRRLMIECGVNDFTFSDLTRPTHDRLVKIFSYLINFVRFRESQTAV IDEHFNKAERTKARIEALYAENQEIAERVNEMRANQKALENQVKEKTARNDALIGVLL ALNRNQEKIAEELERVKTDKAKKQALLEEKVEKAIRTRREIEKLRPYVQQSYTTLQAS LTELSESLAREKAQVDTMERRLRALQTSTDTFNVVANEVQACVKVLEDISVELQKEEE EDARAARNKDAISERGNNVREVAQTEKLLQRQLARWNERIDALRKNSQEKQEIAQARM EELRNTQRQLREERAEKQKAMEIKRIRIEQTEKKMADLRENIEDEIHRAHDEYLKMES HIKLYITEMEKCL TSTA_064860 MKLEGEWKQLIWRGDSSVIGRDIQDSLVDTCLMIDARVLSITSV ADFINFILDETNSLTTVVVCSTRERLLKQLTADISAQLQEHDDHQLSGNGPSPLLEAA PHPSPSKLSLLSNTIGAITISQRIKLVFCPSLAHLRAYLSTFQLHPSHKSIDNQKQAA ERGRPVIAILDFLAIHYVSTQFSAQGLSQTLSLAVETAARTDSSILLCECDDAVNPGD RDHGQRLWDLHVPLLNGGSLRSDHERAGRHIPVRQVAQRWFRFE TSTA_064870 METISRISSLLEAARDLTLEAAQSAGSSRSLRSHETSRTPSSAQ IKKLLDSRNDREILDGLRKVISLMYQSDTCLQYFSAVVKNAASSNIEVKKLVYIYLLH HAEAEPDLALLSINAIQKSLTDTNPQVRVMALRTMSGIRVPVISQIVSLAIKRGVGDM SPHVRRAAALAIPKCYRLDPNTIPQLSEYLFTLLGDNQYFVVGPAVSAFLDVCPDRLD LIHKHYKSLVKKLVDMDEWSQLATLRLLTVYARKCFPRKMQMVKKNEAKGFYDDESSD ETHEATNGDAPEEEVPVLDPDLELFLRACKLLLQSRTSAIIVSIVRCYLYVGTQEYLE SAIGPLVALVRCPQDIQYVALYNIVAVAFQAPKLFVKYTSHFLVRAVDPPHIWRLKLE VLTILFPHLGMHYRGIILSELEHFSQGTDPDLVRESVRAIGRCAQTDSKTSSYCLRLL LSRISSIDDNVVSESLTIIRHLIQQNPNAHKQTIVRLASYLETTANSGARASIIWLVG EYAAADLENSIAPDVLRILAKGFADETEEVKQQIVLLAAKVYLHHLLQNPPPEKSPQL EENNQNQSQDNDDNAWAADQTQNGYENPTSGCDSQMHNGEPSAEDRITLLWRYILLLT RYDTSYDLRDRARMYRGLLSDPKNTQMASLLLLAPKPAPHAPSPSETRRDILIGTSTL VVGPDAGPLGLTGYEPLPDWVTAGQEPDRSLREDGSAVESSTSRDLSALTAGQRLDKA LQEHETSAASGAARKARIGAVGSSSMGTKSLNDWLDEEEEEGEEETSEDESGSTEYET DSEEETESEEDDDEEEDESDSEAAQKRGLLNR TSTA_064880 MTFKERRLRAVYKVDRVPCDSGGIISSSPELPSTTLSDSASSPS TTTTLSSFPLPYRSQSATDYRSPHAEYPPATYPLTSQESRPYYSSPSHALPGRFSIPA NFHLQTSSESQQKLEPNPTTNYFSSPRNWQSRDFKWPQSRSQSSTKYVPKSRRYDQRR VTPRNGFLHPGKKYHLPGPNNQAVDRKVQDGRKKRIPALNDGSTTRSSSNDNQPSERP RLVNYALPASLEDDEKEEEPPSHQKSAMSVSRYVSPKDNEDDSVQSIRQSQYWTQLKH DPIFSDLSTGSETISISDLKQRRDQILQNHAPPPRQTVVMKEQGTQTDPEEIPFSQPR SPTEYAIRARESSKQEQQQFITPRPKSVPHSRKRSYTPKQGNQTHHRTSSLTDTDSPR THYMQQIDGRRGSKRSRSVTEDDHEETDSFAHRRKLGDGGGSNQGPFYSRKR TSTA_064890 MPGLPSSIDLDECIERLYKKQLLADSVIEAICAKAKELLMKESN VVHIAAPVTVVGDIHGQFFDMIEIFKIGGFCPNTNYLFLGDYVDRGLFSVETISLLVC LKLRYPSRVHLIRGNHESRGVTQSYGFYTECARKYGNANVWHYFTDMFDFLTLSVVIN DQIFCVHGGLSPSIHSIDQIKIIDRFREIPHEGPMADLVWSDPDIEHDEFSLSPRGAG YTFGAQVVRKFLEVNSMSHILRAHQLCQEGYQVLYDDRLSTVWSAPNYCYRCGNLASV LEVSDSGERFFNIFGAAPENDEHRNEQQTQPNKDSQNPVIEYFL TSTA_064900 MPEHEDELSTSKTEGFKVGEKKTIEEYKNLDQNDESLNRWKASL GLNTGEPIGDPNDPRTCIIQSLAIETPGKPDITLDLTGPNALESLKDKPFTIKEGSKF FTKVVFQVHRDVLSGLKYVHVVKRKGITVTKDEEMLGSYAPNTTDKPTYEKRFHEEEA PSGMLARGHYTVRSRFVDDDGHIHLEFTWSFDIAKEWAH TSTA_064900 MPEHEDELSTSKTEGFKVGEKKTIEEYKNLDQNDESLNRWKASL GLNTGEPIGDPNDPRTCIIQSLAIETPGKPDITLDLTGPNALESLKDKPFTIKEGSKF FTKVVFQVHRDVLSGLKYVHVVKRKGITVTKDEEMLGSYAPNTTDKPTYEKRFHEEEA PSGMLARGHYTVRSRFVDDDGHIHLEFTWSFDIAKEWAH TSTA_064900 MPEHEDELSTSKTEGFKVGEKKTIEEYKNLDQNDESLNRWKASL GLNTGEPIGDPNDPRTCIIQSLAIETPGKPDITLDLTGPNALESLKDKPFTIKEGSKF FTKVVFQVHRDVLSGLKYVHVVKRKGITVTKDEEMLGSYAPNTTDKPTYEKRFHEEEA PSGMLARGHYTVRSRFVDDDGHIHLEFTWSFDIAKEWAH TSTA_064910 MRSLPKQTNPLILPDASPSYQELLGLRRLGKTHLTVKPAQIGTS NATKPENLGPFEYAHLRAPLPKDLTGSEIFPAQTTKQDTYFLMRRSKDGYISATGMFK IAFPWAKAEEEKAEREYVKSKTETSVDETAGNLWISPMLALELAKEYQMLDWVRALLD STEIIQTPASSKKPITPPPKFEMPQLETSTELNPTSRTRSRRSASPAKSSPKKNASPR KSRAHRSTKESSVAATTAASASLQAALDGAADQEKEEINRTAEDTIAVAETIETKPKK TRSKKHAAVDDKVTVNVESTTETKDEIETTQTNVTVEMPVTLPDLPPAEDTEQMIAQA KKMVEEATKLQEQDTTAESSSPKASKKRKSDEVEEEDDENSNTPAQPTKKAKVLETKL RKERVRNRALVGVTATLALAAAIPYFF TSTA_064920 MSLPGLELAEASTEAQYAPPPPTQVNLRAGSEWRFEIAFGATVR VKLLTGTAELFGTELAPLQTYTFSGTKAAIYTWHGCMLEVAAGETVNLSNGFAPGGST AAGGSGQTQGYGAGGCQSEYVAEETPMIEYSNVHFGLETLRQEAQNEGGKDGPRVLIL GPENAGKTTLTKILTGYATKMDRQPIVVNLDPTEGMLSVPGTLTATAFRSMLDVEEGW GSSPMSGPSPTPVKLPLVYFYPMKSPFEADGNVFKPIVSRLALSVTARMAEDDDAREA GIIVDTDGSLGQGSPKSLELINHIVTEFSISTILVIGSERLYSTMVKNYDQKPTTSAS ATHSDERITVLKLSKSGGCVDRDESFMKAVNESQIRSYFFGTAATSAATSTSIGGVIG SISGAGGSNSNKITLSPHTQQLDFGSLALYNYTITSSLAEDDEDEYDPSNFGTGTESF SSLSNPPQETRSMYDPSSSNTEIPLKKFTQPPTLALANSLIAITHVPPNAPLSDIRDS SIMGFVYVADVDAEKKKLRVLAPVGGRMPARALVWGKNWPGEVVGLVG TSTA_064930 MPVIWRPQPRRLPRPFVEDEAESLSHELGGLSLLGEKPGVEGAC ERGTIDQLPILLDIEHIPRSATADTDTSTSQPPSLVSETSRESSGPRTPPPAEFVPQT KQAHRPQFDAVPSYNYSYEHSTPSDRPFYYATGELNTLQRGSLTDMPRMQHPSPPPRQ YSPLPSPALNSTPVSIRGPPAIQHVQSGTPRSRPTIAERLEEKLRSRREQNVSYKHDV RRSEEDILPSTTVIASAPPSPVERLTANSAPVQYISEPSSPLSIVMELQADDSQDWRE YYSSVGSATPTRQDMSYFPTTPQQKRMISPQNSSNESTTQSVVRPRRAVSFKETPQYR ILERSITPTFNRRSSDMSPTRSTTSTNSLKSVAPRPSEPSPNLALRPCPRSYPVSGYH DWYTITGMEHLNICPSCMGQIGNSKFRDYFVPSLNTTGARIRCSFSEAWTRLAWIQTF KKNYDNLDLLYEVTRPTGLTCPGRRLSTQVWYRVVDSQTGLNVPKFAACAACVRNVEI LMPPLRSTFRRQPVLQEKFCDLSVDSPRFVKYIDLLDAASTKCEYERFIDYFERKCNL RDCRRQRLIIGTWHYIPELPEFTVCEDCYDDVVRPLAAAQKSIARRLANPPRLLPGSG PNRCREASCQLYSHRMRAKFKDAVLNNDYRMLESIALKRFDAESRFHDREEELLRVPE ADRGYHWEDEWRRNLELWRLYE TSTA_064940 MDIQPRKRMKSGITRVRTGCYTCRRRKVKQSQYAGPAVSLVYTV KAMRYDTSSVTPKLSTLLWDWRVASRRETPSQQSLSPTALETWGQSTSSVSDTDNQTT VLQSEASALEKNESSVVDSHESSSQSQSNITIDSHLSPLDDAFLDTAPAWTWAATESF DTCHSMERMVRLRHTSDLSSSDDSTNPSDYGDSTQIPAISDTMFPNVSDYAYRASPLA SMSDPSSLSDYYFSQWHNSVATLLPPVFRDITAEMPDFQPLRNAVLAISAAYVAHLES LIVRTAHRTRKSFYMPQKDHQYQSLQYYNQVIQGIGKCVEMYPQMNSLHVLAALLLSY YFELDSGSFAGGIGHMTVIDKFLSSHRDEIESHTTGQKLLSTWMNLRSQFVNRYLGSY IPSMSTHSIDMFPLNGMITPKGSHHDSITIMVCNCKLLSRKIILDWCVTRGESRSVGN SSPLDKILSRMSLPKARKESVSQLAAIDDDYWKSLEKCHAKLDEWHSTLELSELPIES YASQRRNLTGQSTNESNSLDILPLKFHTFEAAMNYAYYAQARMMCSLDVINRLRNPKF VVPPLTRKDCPWAELILRITAGLQISDCIYKNTFNAGILPILILCMVSCPRPDVASWI EGWIRKVEDFGVPLESGLPFGLIKRIIRFIINQRQSRRDVLLVLPLDTEDAEKSDLYQ SDFRMHVGICGKDIYTGKLYNEIVEIPEV TSTA_064950 MDSSEAPEGQKTFDQKSPSVDIDAESVAIAGGENARATMETKQL AGLADLDGIKRSWNLQGLVVIWISALLMSFALNLNNHTANSFASYATSEFASAPLLGT ITVVQSVVSSVALQPLARLADVYGRFEMFALSVFLLTIGEIMIASSSNVSVYAGAQVF WAFGYIGISLMLQILAGDTSDLHNRALLSAIPLTPSLITCWIAGPVASGIIKITWRWG FGIFAIIIPTIAIPLLASLYINKRKGKKLRKAEGTYQRLNHLQNFLQLDPVGLGLLAA GLSLILIPISLASSNTNTWKSAHTIADLIVGAVCLVALAVWETKWAQWPILPISLLTS RTVIFGLSAMLINYAGLYLLQSYLIYYEMVAANLSVNAATNIYILIPFAGSLGQVGAA LLVKYSRRYKWIVVSGYGVIVLGMGLTYKYINGHGQMPQLVVSQLILGIGEGIVMTTQ FGVQASVSQADMAAGTALYTTAIGIGNAIGSAAAGGIWTSLLPRKLRANLPSDAASAL SEIEGSVVAAMSYEWGTPIRDAINKSYTTVFRTMILAGLVLVAVALLCSLLVIDLNIM QVDESRDYKGVVIGKTGAVDALKEKVHIGEGGDSAPSKEDS TSTA_064960 MVIWHLVRSGRVFPKIHLDHQIRIEDFAKDRRTHSTYEFTTVCI NTAIYLPWLLSKCLGNGVAFKRAVVEHVTDAACLHENPGHVGQVVVVRNDPGVMTTVS NTTSGQNAMVYMMCRPGGKSFEYTPTSVERKIDTRHTGGGSILGGCYQVNNWEESPDT DLAENIKRGCVTLYPALTQGQGVDELSIIRHGVGFRPLRPQGVRIETEYIRGIPVVHN YGHGGWGYQSSYGCTSASQNAKFLNLCKGLLLFSLFLTKKRKSFIKGN TSTA_064970 MADASTVAPYGKWDSPITAEHLSGDSIHLEGVQANPLTGKLFVL ESRPAEGGRYAVVELTDASAKDVLAPKYSAMGTIHEYGGGSIAMHPNGRLLFTNHPNN GIFLLDPASGQVETVVAPDADVRYGNFNVHPTAQEWIVAVQETHTKDSHGSTTVTNTI VAIHVPTANVSNIVQGADFYQHPQFSPDGSQICWTQWDHPDMPWTGTLLCIASWEPNK LLEGNLVSGQAGVESICQPRWSIDGTLFYVSDKSGYWQLYRFDGAVSNHIHLDGLESA EFGSREPCLANCTYIQLDEDTLVASAIKSATSNLILIDLNTNSWKDLSLPIVEIQKNA LARISSSSFAVIGSTRTTPQALYRVDLGNSASTKLLRSTIQLDIPESVISQARHIKFP RVYSKGNAGSANAWLVESKNSAFKGLEGTKPPLLVWMHGGPTYHVSPGLSLTTQYWTS RGYAYVLVNHVGSTGYGRAYRELLDGQWGAADIEDAASCVAYLSSEGIIDPTKVGIVG ESAGGYAVMQALYIYPEIWAAGMSIYGICSLPEFAETTHKFESHYIDSLVLGKGDKSK EEIEAIYKSRSAVYHAQNIKAPLLLLQGNVDTVVPAWQATRMEEKMRELGKDIQVVMF QGEGHGFHMAKTIKASTEIQTKFWMDKLL TSTA_064980 MAPTGNATAAIPASEETPSANNGTPSTKTSPLNEEFEKLVYETL SKWHIQGVSIAVVDGDETWAEGYGIAALPDVPVRPSTLFYTGSTTKSFTAAAASLLVD DDEKYPNIKWTTPLNQIIRDDFVLSDEYATSHVTLEDALSNRSGLPGHELTLGRPGSV RDVVRSLRHFKMNKDVRTTWQYCNALFITVAHVIEVVTGEWLGDFLRKHIWDPLDMKS TFFSLEDAQKYVASSENDANLAIPYGWDEESSQAKEIPYCDAVLSGAGAVISNVLDYA KYIRSMMRQSGPLSKAGYAAMLTPRSFCPQMLPQLKKQMLYTLGWMSSTYHGEFVMLH PGGLEGMTATMVYFPERDWGALVFCNADGPGRETLAWYLIDEMLTVPQSQRINLYNVT QKRLAQRREYNSTAQQRLYPSIPSPARPLSLPLTNYTGTYTHPAHPDLIISVTSDDDP QLNVLLTGSFPTRMKLKHVTAEFFLAEIFLFRFHQESDAVVKAEFQINAEGRVTRFGA AIDFADMPDTLIWFERTN TSTA_064990 MQFCRFFVWASASMSVLASTQLPKIDLAVLGPLYQPASNASYKA FTNAKAQALAALNEALANGANMYGSLDNQTTSFSVLVFDLTSDEPLFDFHFEAPGLDG SLTKGNLSDETIYRTGSVGKLLTMYTWMVDIGDSVFTDPITKYIPELAQAATKSSNSL FYTNWSEVTIGSLASQMSGIGVNCKPPVLGSIFDDVDKSFTVHVGDLATPYITGIPPP EVIPGAISLGYPPVDNDTITQCSFYSYYLPTCTRKQLLNGIIHHPPLYPSYSSPVYSN VAYQILALAYEEVTGHSINEGQVKIYKKLGMTSTSPTAPGSNANTIIPYNTTFSAFDY ELGLAGPSGGQYTSTKDLATFGRAILKSTLMPSYVTRRWLKPVTFTSQLLKSVGAPWE IQRYLLPANTIINASHIVDMYSKSGDIGAYSTFFGVVPDFGVGLSVLAAGVNPHTQIS PVRDTVVEIFYQAAEASAKEQARRAFTGTFQATNINSSITLGVDGGPGVVIQEWISNG TNFLQNAYLASYDDFRLYPTELSVEADSITYYTYHLNFLASDGEPAKGDFWSYNNDYW LFLDALIYKNLATDDFIIGFDKDGIVQSVQSAALRVSMSRSE TSTA_065000 MPYAASNIFKGGIESGKSIIMKNQEYLDFLMEYTLGDPDTPPDP KVGDTITSLWRDGSIKKLTEHRKKVYLPDSTPYLFNEAKRIACADFEPTEADWIRVGI DIPMAGFYIDKLEYRMANVCGGRCERKKWAGSIEDADLVIFCAALSEYDQVLLEDRNQ NRLKESLSLFEAVVNSDHFAKTSILPFLTKFDLLGQKLVKISS TSTA_065010 MYLNRAIASQARTIINTRARLSALRSQLSSPILPPSPPTSSFIS PHLNITRKMTSSSIPTTQNAVIIETTGGPEVLQFRTDQPVPSPKEGEILVKNHIAGLN FIDTYFRTGLYPSPKPEILGREAAGTIVALGSGVTNPYGFKVGDRVMWMHNSGYAQYT AVPAKTAIKIPDGVKDEDLVASFLSGLTVLTLVKETYAVQKGDVVLVHAAAGGAGILM VQILKSLGAVVIGTAGGAEKVALVKSLGADHVIDYRSEEGKDWVKIVKEITNGRGVDV VYDSVGKDTWEGSLEAVKRKGFVAWFGNASGPVPPLPIQRLSPKCIKIARPMLNGYLV EREEYEYWAGQLLELLQSGKLKVKINKIFPLAEVQQAHKDLEGRKTTGKTLLKP TSTA_065020 MEAVEGIDYPNTLTGDGILPQELGQALQAATLQDEWETPPTVVQ EKVEDKVEEKADPAETKEKPKPLTEKQKKRLRMKEKYRNMPKSKSHGQPKPTGFEESF TEVPITPDEHEYERDIYNSDRPVIFRIQEALNRYQKKRRLLTERMQAFSLYLRYGGVE VGAKMFGGVSEMEMKAMEKEEIIVARSQTDISIDKMRLDVDFELVTKAFLSFYLPEIY RPEGIQGIKLATDTIRNWLNYLLYHDVVPEYSDNIKSARKYCNIAEKQLWDNQLLLKG APGDFNKAYGIPRTRSMTPTVAQKIVMFALAASGTDEQSSSFSDLAMRGELSADGIQD IDGFEVVDILLPHEGIRDFYNTHAPDLNFVGKVRAKAWRDPNMAPIDLAPGETLEDIR GMEFEFFLEEHLLQHCHRGMKVATCVYKLNCGVFFFDEIFSAYCSFFTPCLNDLMMGW RTPRDRTKKIDKDEEVDGVEDVLSDNDELSELSYDE TSTA_065020 MEAVEGIDYPNTLTGDGILPQELGQALQAATLQDEWETPPTVVQ EKVEDKVEEKADPAETKEKPKPLTEKQKKRLRMKEKYRNMPKSKSHGQPKPTGFEESF TEVPITPDEHEYERDIYNSDRPVIFRIQEALNRYQKKRRLLTERMQAFSLYLRYGGVE VGAKMFGGVSEMEMKAMEKEEIIVARSQTDISIDKMRLDVDFELVTKAFLSFYLPEIY RPEGIQGIKLATDTIRNWLNYLLYHDVVPEYSDNIKSARKYCNIAEKQLWDNQLLLKG APGDFNKACSFLFGGYHYNDTGSEQSNEWVEDGIPRTRSMTPTVAQKIVMFALAASGT DEQSSSFSDLAMRGELSADGIQDIDGFEVVDILLPHEGIRDFYNTHAPDLNFVGKVRA KAWRDPNMAPIDLAPGETLEDIRGMEFEFFLEEHLLQHCHRGMKVATCVYKLNCGVFF FDEIFSAYCSFFTPCLNDLMMGWRTPRDRTKKIDKDEEVDGVEDVLSDNDELSELSYD E TSTA_065030 MLSSSYKSDSFKGQSLDNDSFTTNFDHAAAGHDGLRCHLSGSLI AKPCTHGEVAFYESSIRHPNFHAFMPQWYGTLTASDQQQPLSVVPGVQNGRTVLPSLA THSSTNGFTVTNQVSAHHHHHHVTQREKPWTPSGGRKLDTGISIVLENIAEGFKRPNV LDVKLGSRLWADDAPPAKRAKLDAVSRETTSSSLGYRIAGMKVWVGNRNENGSAIESM ETEFTDPYMTKYEGSEAPKGEVVEKNGYKRYDKWYGRAFTADNVKEGFETFLAGAKAG KVDRSKLIASRLVQELRALKFALESEESRMYSSSVLVIYEGDPDALEEALKYEETRKL EETRSSSESDAGTEPLDDIAGEFDITELGSLQTMGIPNGISNGIANGVVNGGANGGLN NATNNTEARNIPGALNIQIDPQSLANMEDDGSSDEEEVPIKVHDFRLIDFAHASWTPG QGPDENTLKGIRNLIKVMEELAGESES TSTA_065040 MYPTRSLLARSVWKGPNLVPLPLPRQIPPPPGTPPIKTQARSAT ILPNFVGLRFSVHNGKIYQDVLITEDMVGRKLGEFVPTRKRFTYKQSKNK TSTA_065050 MADSSTNTSQSAAGSQLPPSSTQPTTQSTEALPSSSETVTASTQ QGAGDATTGNRDIDLGGGDPLDNDIDNDIDMNNTDDMPTTTVNGTNDAAASAANALAS AAAAPPIKKESSLREFLGKMDEYAPIIPDAVTAHYLTVAGLPPPGNGPNQTPPHLARL LALATQKFVADIAADAYQYSRIRASNSSTANNPMGGLNAAAGLNAPNAAGGAGGAGAA GAGGDAGKKSAAANTHLGIQRPGFGGGGQGGSGQGRTVLTMEDLGMAVSEYGVSIKRG EFYR TSTA_065060 MESELSTALPEQGNVPTEKATHDLEDEPGSPHPHKKRRTDPGFG DSPDIKEETDIAHEDPIEEALIAALNPPAIETATEPETQPVESNTENSIETNTENNAE DNANNAEQNAEQDTENSADDDAETKAENNAENNTSESTDAPDPEVAAVFGSIIDRAER LEEQYALDQQPTENSEQSPNKNVTFVKASLSLKIQSLPILDNLSTQILSLLAKSSYQD ITSFVSEPESENGQAYATMRSLFDHTKRVYSTKQAFLSPTDLDLTDPTQIDIIRKANL ASFVSSIFGSQEISFAELNDHFIDVFVPEGSRLLKVQGALFLELKTQAFIASLNNEER TRTEILFELFPDDLEQRLLSKRTGTRQLAPSEADFVKRARSRRDIIFADINNEEAMKA LPDKYHWEDFLRDLSAYISKNFETINSLQSRKLVKGRQSISGESQDGPSGAPLESQFA VATQPAEATTDRNLHGDLVARAARAAQIALQGHGLRKSQQQSQHNQQQQLQQTQQPQQ PQQAQTPQHAPQSAQSTPAPQSISQTSQQNVSQGYQHSPTPPGYPQQLTFQHNTIPVQ SFQQYTPPQNGSSSMRSTDAVTNYGYMPGVPHYSQSQPTQVLYERARMAATAKSSSNS RRAGLPSQRRPWTTEEENALMAGLDRVKGPHWSQILAMFGPGGTINEALKDRNQVQLK DKARNLKLFFLKSGIEVPYYLKFVTGELKTRAPAQAAKNEAREREKKRGEEDKAHVEG IEGMMALAGAHMSPGQGPDSMSASPAVFQEPERPESHDQSMADQTEEQKLIQSLGQEV PEGLLQVAQHNEAMDTATADMHHVPQ TSTA_065070 MPYRKVGENQEPGGVVNDYWATTFTAANTTTEPYILVNQTDVQK WQFGPWYPNGPVYALQWPISWDGSIKTCDSSPLGLFGTLFFNININSPEPDLKSLVGE CPQLGGAYEINTTAKNSSCSAVVSNSSNGDSCAVPLNKTTVGSISSALQSLITASAST AAASVTVTPSRPAHNIAVSSDVPLLHVLVAIFLLGRYKGTFTRCGDLISNDPPWGGER ECV TSTA_065080 MIGWRNPKTENHILSLIGAFETATTMERLLITLPFQERTDIIDQ IRTAFPNMRITYMRHDVPAREAFVKQEMDVPTGRTEASPHPFQRSWDRPRCKQTRLAR FKHQHHQFSKRPVACCRGVVIGALLGQFRQLFAFKELQRSRTWRSVDIGNALLNLYGK TMAILGYGSIGRQVARVAQALGMKLIVHTSRKEETYHQAGMGEPDGTIPGVWFSGRTK EELHHFLSCGADVLVLSLPLTAGTRHIIGHEELKIMNAQNLAFLVNIARDSVLDHTAL LQSLKNRPRNDGLLGAALDVTEPEPLPADSEV TSTA_065090 MGTDTEVAIVTRSDFPSHRLPIKTDEGILTREEAESLLNSAAEK RLVRKLDIHVILPVGVVFFWAFVDRVNLGYARLQGIEKDLHMVGNDFNVALLVQIAPY IFFEIPSNLILKHVRPSLWLGGLSLCWGLITLGQGLVKTFNGLVVLRIFLGLFESGLV PGAVYLTSMYYTRFELQKRMVGLYIANCIAIATSGLIAYGMENLQGLGSYNSWRWIYI IEGIITIALSIILLFLIADWPSQAKWLSPEEKRLLAARQIVEDGGFARMDRLDRKALV RILSDWKIYSAIIIYFAVSASVNATAFVPSIIARLGYTNAAAQAYTIPIYLVASVLAF VAAVISDRLRNRFAPCLFAVLLCVCGYSVLLNQDKVSLHAQYGALFLFNGGCFMVLPT LWTWLSNNMAGHYKRSWSSAAQIGLGNAGAIVPTMTFVVQEAPAYTRAYSVSLALIVA AGLVMILTEFGLWWENKMRDQGKRDHRLNLPQDEVENLGDDHPSFRFTY TSTA_065100 MEIWELENHNLLPSSDLGLGYIYCDYGDQKEQTVENILGAILTQ LLDSLHEIPKDILDFYHERHTDSKKPLGSEDADHLLRIICTKFRRVYICPDALDELHL HDGPLCIQIFLTGRPHVQGAVKEFFKREQDITIEARESDIRRFIESEIGGPNDIDPKA MDELLRKDIFEIIVDSAKGTFLLPIFQIRVVLQATTLRDREDALKKLLSGLDQIFAGT LSRIKQQPFTVAERAIKIIACIHLSKPQIVPIGGCVCGVARDAWAQALRNLDDLGFNV DYVISSMRNHASNPCMFGFLIEQGVIATVLNTGLPLEGCKGKRFVQRIFTKFPSYALN SPENAAFSNLLFVPNIFNYPILDWLMLIIQRRPRIDESNVNSLSMRGKITLYPIQIAT ARYHKASEEGFFNALPKWKRGLEEYEVNVEFIWITLDSATTTGIPENLKRLRGREISM NPKYTSKKIHLSEVNPRLWKGFDIRAQARNRARSGNSEPGSVSNGSEEAKKDRNCVVM E TSTA_065110 MLMKHIFNIQIKKKIKKLAREFDAPYRRLLARVNGQSALSDRPA TNHILTNDQERAVKEWIDHLDPLDAPPTNRMIVGCANAILQSANPYKNAVYGWPKIGY IDFSSDYSPRTNELKKNRLTPSGLMQRTLGSYKLGWIVLKFKFLLSRLHLQIFGTLTR PDLALNKAKKNPAGNVVPPLVIIAGKNHLEEWYHHLPEEDYIVAFSEKGFLNADLIYE WLHNFDISTRQYARNDDIAFQAYKHYHGLAVNNQARAGGYDFDKYDFLFHLPAVRKQT FTTRDIRAGFRDVGIHPYNPEIVMNKLNASEVIDAVPILKIFDGNEQDIPSSPTTKPT SPPLDTRKIGRCVKKIEKDLNGMREQIKDISPNLERRVRRAMKGSLINARLHAQMKQQ VNQLLDVNRRSVRDANTEIEDRKAREIEERGRILERAEKRRIEAAKKMAQPLADPPDL FTFLEECNEPDAPAAETRFYYLDSIP TSTA_065120 MRASQGCRTCKRRKIGCDKQFPECWNCLRTGRQCMGYGIQLRWE DQYDGRRKDSRLKVPTDAVYLSPEFRQLYGQQFLNVTAKDLKQSFRSMAWPQLVSKRD YITATCPNPRSLSPWPDIAHEGSNLLEYCKKLSFPVCKK TSTA_065130 MLYSLSPTSDKAEIIGSLGFSLELLDVIHRINFLRDNLPRRTYT TEFDDLEAQLLRLTQHANIEKFSKDQDQIARILSTAEFYRIAALIYLLRVIPGPENAK RRSSYVIQGFEVLRSLPICTSPWPLFVLACETQSDEQRIEILHTLDQMDQNRKIGNVF VIRNIIETLWKQQDLQADVDNSKFKWWETGALNSNTPWFI TSTA_065140 MSKIVRVGAVQSEPVWLDLEGSVDKTISLIEKAAADGVNVLGFP EVWIPGYPWSMWTSAVINNSHIIHDYMNNSMRKDSPQMKRIQAAVKKAGMVVVLGYSE RDGASLYMAQSFIDPSGEIVHHRRKIKPTHIERTIWGDGQAESLTCVIDSPFGKVGGL NCWEHLQPLLRYYEYSQGVQIHVASWPAEFEMPDPKKIAWLYHETGEASYRASQFFAI EGQAFVLVASQILTEENVEKNNLTGNPVTKTPGGGFSMIFGPDGKPLCEPVDAGAEAI LTADIDLRDIDKPKAFIDVVGHYARPDLLSLLVNPTVDKHVTTMNKK TSTA_065150 MTVPEEVDVIICGGGSTGCVPAGRLANLDHNLQVLLIEAGEDNL NNPWVYRPGIYPRNMKLDSKTASFYYSRPSEWLDGRRAIVPCAHVLGGGSSINFMMYT RASASDYDDFQAKGWTTKDLLPLMKKHETYQRACNNPDIHGFEGPIKVSFGNYTYPIM QDFLRAAESQGIPVTDDLQDLTTGHGAEHWLKWINRDTGRRSDAAHAYVHSTRAKHSN LHLKCNTKVDKIIIENGRAVGVVTVPTKWVGDGEPPRKIYRARKQIIISGGTMSSPLI LQRSGIGDPEKLRRAGIKPLVDLPGVGLNFQDHYLTFSVYRAKPDTESFDDFIRGDPQ TQKKVFEEWNTKGTGPLATNGIDAGVKIRPTEEELAQIRSWPTPDFQRGWDSYFKHKP DKPVMHYSVISGWFGDHMLMPPGKFFTMFHFLEYPFSRGWIHVKSADPYEAPDFDAGF MNDKRDMAPMVWGYIKSRETARRMAAYAGEVTGMHPHFAHDSPARAYDMDLETTKAYA GPDHLSAGIQHGSWSSPLEPSKSPVENYLNSNKQGTHPEIQYSEEDIKHIEKWVQRHV ETTWHSLGTCSMAPREGNSIVKHGVVDERLNVHGVKGLKVADLSICPDNVGCNTFSTA LLIGEKVAVLTAEDLGYSGSALNMKVPTYHAPGETVNLARL TSTA_065150 MTVPEEVDVIICGGGSTGCVPAGRLANLDHNLQVLLIEAGEDNL NNPWVYRPGIYPRNMKLDSKTASFYYSRPSEWLDGRRAIVPCAHVLGGGSSINFMMYT RASASDYDDFQAKGWTTKDLLPLMKKHETYQRACNNPDIHGFEGPIKVSFGNYTYPIM QDFLRAAESQGIPVTDDLQDLTTGHGAEHWLKWINRDTGRRSDAAHAYVHSTRAKHSN LHLKCNTKVDKIIIENGRAVGVVTVPTKWVGDGEPPRKIYRARKQIIISGGTMSSPLI LQRSGIGDPEKLRRAGIKPLVDLPGVGLNFQDHYLTFSVYRAKPDTESFDDFIRGDPQ TQKKVFEEWNTKGTGPLATNGIDAGVKIRPTEEELAQIRSWPTPDFQRGWDSYFKHKP DKPVMHYSVISGWFGDHMLMPPGKFFTMFHFLEYPFSRGWIHVKSADPYEAPDFDAGF MNDKRDMAPMVWGYIKSRETARRMAAYAGEVTGMHPHFAHDSPARAYDMDLETTKAYA GPDHLSAGIQHVLSNQASRL TSTA_065160 MILSSPCWHNQVLWLKAGNIICPNCYVPEDESMLTGSKVVYFGI SCSHAYAHTSKIAAHTLPRNLKGSDFILYSRFHSFGCEVSICPVLEEVYEVYPYQGIP ETDHQEQKKTLESLDGRSKDGDGKRKGEEKRTQKLIAFVGTKLYPQTVISSCIDSDNE YDKIKRYWECDDFENIYCMTSIKHQETALTQATYGNEPSVGCKYSHAAILVKIPSWED GQKNFLAITSCSQTVSRFCSPIRDWAGVEIAPGCRFGIGG TSTA_065160 MILSSPCWHNQVLWLKAGNIICPNCYVPEDESMLTGSKVVYFGI SCSHAYAHTSKIAAHTLPRNLKGSDFILYSRFHSFGCEVSICPVLEEVYEVYPYQGIP ETDHQEQKKTLESLDGRSKDGDGKRKGEEKRTQKLIAFVGTKLYPQTVISSCIDSDNE YDKIKRYWECDDFENIYCMTSIKHQETALTQATYGNEPSVGCKYSHAAILVKIPSWED GQKK TSTA_065170 MRILTLRSLLLAPVALGYVVNEGTTYQIYPEALTHNGQAVDDAP SIHQAFDLCGINGTVIFTNNTFHINSILNTTNLLNCNVSLRGELRFSTNTPYWKSHVY SVIYQNQSTAWLFGGINTWYTENKNQANQPGRPISITFYNSTNLLADGLTIFQPQFWA TLVWQSTNVSLRNFYVNATSNDEWGTVNTDGYDSWRSSNLLVESATIINGDDCVAAKG NTTNLLVRHVTCHGSAGMTIGSIGQYPEWPDYVENVTFDGVRCINSSTGAYIKTWQGI PVDQDSNGDVGGGGLGLVKNVTFKNFEMINTSLPIQISQCMYSESSGACNTSKLQIED VSWVNITGTSRYNVVASLYCSDPVPCPGIIFDNVTFESVNHTLGLPMWNTTMQDEVYQ CANIDGQNSSGIPCNRVAPDSFGGVSHNVQ TSTA_065180 MGDLCLTQTIRERDHIYWLSGVYLAKYSSSDSGMREKKRVKVVS VHDAGFPAYAQDAGITVWAVFGFNVHGDSTPAVLAQPRTLTPLGARSLYNVGANFRAR YIMGGSVRAQNTWIQDLNQHSLDPEQVKVYSTSEQFTEASGLAFMQGLYPPTGNTNQS YTYLDDTYILSNGSIISSPLNDYQYPRIYASSLADPGSIFVAGHADCDKYQELEAEYQ ISAEFQKIESDNAQFYSDMYDVALEGVMTRASVGYARATDIHEYLEYNYLHNKSLADA LLSHDLQRARVLANQYTYATNGNLTVSKKDDGNQVRGIAGRTLSRLILQSLLTNIETQ GSSSKMTLVFGGAEPVVAFAGLSQLASTMNSQFYGMPVLGASMVLELFSMNPTSSDVY PKLSDLFVRFLFRNSSSIHEGFTEYPLFGYSPSQINLPFNEFAAVLRQFMLPSTKAWC EACNSSPMFCFGAANMGKKQSKNHLSLAGAGAIGAVVTLAVVAVAMGIARFCGVGLYR RRRSSGLGGFKGNRKMASDQDLSSATPSNGAFQKIVPGGGANVKSHERTGSWEMRNKD GAGDNVSQLDDERDEDISGIAEPVKCHQIV TSTA_065190 MSRYSITELMALQDTASIDIGQFTIYALENNLLRRQKSQKTGST TEHEPNSSGSRRADRSTTTTGSDGSFQKLSSRPHSETHDKDSDDSSGFVNFLKRHTSP KHQRVTPGGKVVQIDGKVPAPEFKPPAMKKSEDCPPKTGRNTTKPVTSLKASMEKKTG QNSGESSNSNSVRFDTSAEHEKPVRGSAAIRTATGSEEFNPQVGGFYPTFQSLQQLQV PLMASNIYQQQQPVSWSQGFGPIFQVMQTGQDALPMAGGYLNYQPFLLPDPGAWYQAV PQSFINQGTFLQGLSQQQTAPLLATLLPTVGNQGTIVPTISLPDPQMTAPATYTLLGP NTLTSQLAPAATPYSGPLPTYVADQNAHRSLQDSVKEYQNLSTQLANLDRYMAIHVFE MDAETKQSLVEQRRNLVKDLDMARRYKEHLESNFKIPSTVIESTTPAPAF TSTA_065200 MTQLFTSSHPSPVPSEKRNFHGLSLSSKKHGNNNVNNGSNNNNE SSTNHFPSKIKNFFRINSSSNVGTNNGNNSDREGKDNTGSNTSLQKGDSKSNSKQSRF LPTIGRNRSTTVASEGNPLDDSLSPTAHANPYFAHQGHPALRHRNEGSLPSTPPDVPE LQVQGIPASDQATTAGKEELARKLRRVASAPNAQGLFADDKSGERPKTAELGKEPLVA EGGSQTKVGLADSSDEALAVPGVGPDGKVPLPGQIRAASSGAFRRTYSSNSIKVRNVE VGPGSFDKIKLIGKGDVGKVYLVREKKSNRLYAMKVLSKKEMIKRNKIKRALAEQEIL ATSNHPFIVTLYHSFQSEDYLYLCMEYCSGGEFFRALQTRPGKCIPEDDARFYAAEVT AALEYLHLMGFIYRDLKPENILLHQSGHIMLSDFDLSKQSGPGGAPTMILGRNGNGPS SLPTIDTKSCIADFRTNSFVGTEEYIAPEVIQGCGHTSAVDWWTLGILIYEMLYGTTP FKGKNRTATFSNILRNEVPFPEHGGAQQISSLCKSLIRKLLIKDETKRLGARAGASDV KTHPFFRNTSWALIRHMKPPMIPHQGRGIDTINFRNVKESASVDIGGSTSKMPGVPLD SGLATPGGEISDPFEEFNSVTLHHEGDE TSTA_065210 MSHDNFLRSTTPSRDTSNPTSNESNPLLGSSSGLVSELEQEVLD EYSRLLENVNRLSDTLANLADSPASLTLDGLRLLERKTATVYTLLKASVYSIVLQQQI INDGEAAAAAGGGDDGYEYQHDDSAMQGEENTIMR TSTA_065220 MNVLQPARCLFCSFSQTARVGARVPVQREFHAAAALYARKPPGP RPTAKKPGQKKTWDDIEKKLRPSDFADYSSKELRQLSQEYTEDQVAAILAAEKAIDPK DLVEQFAIRNDPMKLGYLDDFSTIEPVVDKHIRAPESNSDWHATLKSEDDFAEDFAKF FAEMPDNATAADWVRFTENLRLTKGKEENERNPSSALVPDIFEEGETLSNPFSKDVEA TSDQKKKKTEGNRSNEEITDALKRLMQSTGYSLDKIKSLKVKTLVSHAVVNQTRLGKV RRQYCLSVAGNGDGLLGIGEAKSEEASDAVTQSKYRAIRNMQPILRYENRTIYGDVKG KVGAVELKLMNRPPGFGLRCQHLIYEMARAAGIHDLAARVERSRNPMNTVKAAYEALT AQKDPEEIARARGRKLVDVRKVYYAGRV TSTA_065230 MFRPRLSVLQRPLRFQSSHVPVSVRAYGIYWSPNNANSDPIESK IASYLRNIISPNSKQHSKKKRRTVKDAMDAWDAGERAEQNLQLDCQQLLERERRSKGV DAQTLTEETTKKSLPEPFTEIELTVSEISSGGDGLAVSEDGNHVYVVPFTVPGDKVLA KIIRHHPKEGYTITDFLEVIDPGPKRDDTQIKCQYFGKCSGCQLQMLSYKDQLEHKKR VVEKAYANFSGLIPEVIPPIGDTFPSPLQYGYRTKLTPHFGRPGRFKHNRDDTVKVDP EVPPIGFNMKNQHKNMDIEDCPLGTDIVRLGLKKERQRVAESIAGYTQGATILLREST RRIPISEFSESHAAMSDEIIYKTDHPDYVEEKRYVRDHNGYVVEWVDDYELRTKAGAF FQNNNSILSGFTEFMRQNVHPKELKEGEKPIKYLIDAYSGCGLFTVTLSQMFKSSLGI DIDVHSIENARENAKHNNLPNTGFAAADASLLFSKVPYPPDETLIVIDPPRKGCSKDF LQQLLDYGPRRVAYVSCNVHTQARDVGFLVQNDSPNGIRYEIESIRGFDFFPQTGHVE GLAILNKVVKKPGAKATTPPATTESKTEGAATAVSVEKEV TSTA_065240 MTSQTPAVVMDNGTGYSKIGFAGNDSPSFVFPTAIATKAAGGGA TAASGRPAVANKPSFLGGGGGGGGHLSGKRGTEDLDFFIGDEALAAANGPGYGIHYPI RHGQIENWDHMERFWSNSIFKYLRVEPEDHYFLLTEPPLNPPENRENTAEIMFESFNC AGLYIAVQAVLALAASWTSSKVTDRSLTGTVIDSGDGVTHVIPVAEGYVIGSSIKSIP IAGRDITYFVQSLLRDRGEPDSSLKTAEKVKEEYCYVCPDIVKEFARYDREPDRFLKH TVTSPNGRSIQIDVGYERFLAPEIFFNPEIYSSDFLTPLPTVVDGVIQSSPIDVRRGL YKNIVLSGGSTLYKDFGRRLQRDIRHLVDARIRASEARSGGAKSGGLEVQVVTHKRQR HGPWFGGSLLGQTPEFRSYCHTKAEYDEIGPSIVRRFALLGGPGGA TSTA_065250 MSPRSRDAGDICAIYVHAGAGFHSYQNERVHLRTCNDAAKVAMT MLKNGGSALDAVEIALRLMEDREITNAGYGSNLTIEGNVECDATIMDHLGRSGAVGAC SHVKNPISAARVILDSQKKPLSLQRVPPNFLVGKGATEFAYMQGLSIVENDTLVSPTA KARWLRWQQEVESARLAQEAQTATQAGGEVLHMEASSSSATFSTTVNPAVLMSPPSSL PETVSSKELDTTVVLDIVSPEPTIVPTDGTHHIRESIEEHSGDATSTDEHEPPVDNVD TITDTIGAIAVDCYGRIAAGSSSGGIGMKHSGRVGPAALVGIGTAVIPEDPQDPEQTS VAAVTSGTGEHIATTLAANTCASRIYYSDRRNEAGMTEIVTEEEAIKSMVDVDFMEHP SVKNSLCEAAIGVMAVKKTIHGVYLFFCHNTDSFALASMTSEDKAPHCVMSRRSRHGT TAQGGRFSRYRGSKSRSSADD TSTA_065250 MSPRSRDAGDICAIYVHAGAGFHSYQNERVHLRTCNDAAKVAMT MLKNGGSALDAVEIALRLMEDREITNAGYGSNLTIEGNVECDATIMDHLGRSGAVGAC SHVKNPISAARVILDSQKKPLSLQRVPPNFLVGKGATEFAYMQGLSIVENDTLVSPTA KARWLRWQQEVESARLAQEAQTATQAGGEVLHMEASSSSATFSTTVNPAVLMSPPSSL PETVSSKELDTTVVLDIVSPEPTIVPTDGTHHIRESIEEHSGDATSTDEHEPPVDNVD TITDTIGAIAVDCYGRIAAGSSSGGIGMKHSGRVGPAALVGIGTAVIPEDPQDPEQTS VAAVTSGTGEHIATTLAANTCASRIYYSDRRNEAGMTEIVTEEEAIKSMVDVDFMEHP SVKNSLCEAAIGVMAVKKTIHGVYLFFCHNTDSFALASMTSEDKAPHCVMSRRSRHGT TAQGGRFSRYRG TSTA_065250 MSPRSRDAGDICAIYVHAGAGFHSYQNERVHLRTCNDAAKVAMT MLKNGGSALDAVEIALRLMEDREITNAGYGSNLTIEGNVECDATIMDHLGRSGAVGAC SHVKNPISAARVILDSQKKPLSLQRVPPNFLVGKGATEFAYMQGLSIVENDTLVSPTA KARWLRWQQEVESARLAQEAQTATQAGGEVLHMEASSSSATFSTTVNPAVLMSPPSSL PETVSSKELDTTVVLDIVSPEPTIVPTDGTHHIRESIEEHSGDATSTDEHEPPVDNVD TITDTIGAIAVDCYGRIAAGSSSGGIGMKHSGRVGPAALVGIGTAVIPEDPQDPEQTS VAAVTSGTGEHIATTLAANTCASRIYYSDRRNEAGMTEIVTEEEAIKSMVDVDFMGEC FAL TSTA_065260 MNPASQVASTATNIPLGRPVSPGPLHSDFIRQQVAKQQKNNYHS SSLKMITQSVNRTALHPGGVQPGKGATELEEELHETAHIDYERVAIVANPSVAALYED ALVYETGTAITSSGALTAYSGAKTGRSPSDKRVVKEPTSEKDVWWGPVNKPMSPEVWR INRERAVDYLNTRNRIYVVDGFAGWDERYRINVRVVCARAYHALFMRNMLIRPTPKEL EHFHPDYVIYNAGSFPANRFTEGMTSATSVAINFAEKEMVILGTEYAGEMKKGVFTVL FYEMPVKHNVLTLHSSANQGQNGDVTVFFGLSGTGKTTLSADPKRALIGDDEHCWTDT GVFNIEGGCYAKCIGLSAEKEPDIFNAIKFGSILENVVFDPATRVVDYDNATLTENTR CAYPIEYIDNAKIPCISDNHPTNIILLTCDARGVLPPISKLTTAQTMFHFISGYTSKM AGTEDGVTEPQATFSSCFAQPFLALHPMRYAQMLADKISEHKANAWLLNTGWVGAGAT TGGKRCPLKYTRAILDAIHNGQLANAEYEVYPIFNLNVPKSCPGVPDELLNPKKSWTA STDFTEEVTKLGKLFNENFQKYADQATKEVIAAGPVVA TSTA_065270 MTSRDRENQFIFVGGPLLRNRGGPIRSRILRRARRERVDQQRSA AAAEIESLLREATTNPPPPPPPPSAVCTCRVSDLLESAEPGSGLGYRQLMPKEPADPG TVGGGHFCSTCGRYKMTQDQRASLDTASVIPGLGTGNADPVIRIDESTSEFNVQEVLH FAATEIWAHFRPLDYSAACYRAWTAPYDDKVMLYAILWSTTYHQDVLRITYGAPDFQT GSKSQFRLKGLALQTLREAISTYTGTTPIDSIIMCVLFLAVNDSVSPRLYRDPSPFTP VFTGLHALDIYGSRDYHALHWTVVHDLIERFGGILSLRTFALSWLLSVADIMRSAHTI QKPAYPPMGVNGEIMNLDPPLALFPRYRDSFATNFDKSAGKRPGSGFDELLLSLKPPV RQEIVTAFAHVGELSHILQYFSEESCSPKALDLLGDSRDFVHNRLFSLPDENDPIEQI LQLRDESADRISLSREIYLSCRHAAILYAIHVTYPIPRSAIVRGPLLQSLCPRLQLLA DQGITGPLLLWCTSVVVIAMDLKADSPNRMMIFFWKLCRDLRITDLETLLRLLRSFAW MDVAIEHHYRGMWRDLDTMRQADLSDAPIVE TSTA_065280 MASRSITESASSATKSARESTKAAIENPSATATSFLRHPYMQAA LPFINGGLAGMTATVVIQPVDMIKVRLQLAGEGQKTGPKPTALGITKNIIASGKVLDL YTGLSAGLLRQAVYTTARLGFFDTFITIAKTRAAEQGRSVSFGERALAGLTAGGLAAM IGNPADLALIQSRANYRSVIDALSRISKTEGIGALWAGATPTVVRAMALNLGQLAFFS ESKSQLKAHTNLSPQVQTFAASAIAGFFASFLSLPFDFVKTRLQKQQKNPVTGELPYK GVLDCTRKVIKDEGWLRFYRGFGTYYIRIAPHAMVTLIVADYLNLITK TSTA_065290 MGDETTEDGRRPSMYDPARDIFAKGQEQDKSAGRDTTTSDTSDS HERGTAPIISQAIPSSHHDHLPTTTDAPPSTVTEPVQAKPEVKVASKPSEAVHQDKNR SSAIEKKSSPRPAHRQSSSSTPSSKLPTSRTLMSDSTQDNQPERQDQDGTAAAHPTPS TSAEKRKPTENEPAADTSNQGGRQHHSKRRRREERPQRTPRREGPSAYSRRDRSPQGR SRESRNSRSVSPVRRAADFRRSRSPLPRERSVTPEAEARQRKRPGGGARLGTAGKDLL RRRQEERELAQQRDVEKDLRDRGVHDVVRQHYNAVPERGREWRKTDSKIKGLRSFNNW IKSTIIQKFSPDEEFLSSKTGTKEWAADAGGPPVDRKKLLVVDLGCGKGGDLGKWQQA PQAVDLYVGLDPAEISIDQARERYNNMRNQRNQRNRRGNPLFHAEFYPKDCFGEWLGD LHIIQEVGIDANVGPNANLMNARWGGGGFDVVVSMFTMHYAFESEQKARQMLQNVAGA LKKGGRFIGVGPNSDVISAKVAEYHKERKAEKEAQPKTEGAAEDGEVEEEEKLEWGNS IYRVRFPGSTPEDGVFRPPFGWKYSYFMEEAVEEIPEYVVPWEAFRYLTTEYNLELVY RKPFLEIWHEEKDDPELGPLSERMGVRARGSGELLVSEEELDAASFYHAFVFQKARRS RRAEDTEATPTPSVAAATTTSRNRPRTPEYEPLCTPLNAKAQRSLASLHAATHFRLLK EHIRQAGDKITETAGEANEHATDARYRFEKSSSRRKSAAKKKRKREKKTKNEDEIEDD EEENEERREEQRKLEILEAKVNLITAKLEEKMRRVVDAEYKVSGLQVAIQEIATDAET AGAALNTSRRRARLRQRGAVDDDEDEDMDGNEDEEEEDVPPAVVPSQMIKERLVGHES QWEQQSLTQRYTNNNAYVGFYRIVHDAKQPGNETPPVPHPSTWFNHLEPNRRPDTSRQ QSTAAIPTNDEDDNMEEDEEIEIEKERISLKCPLTLVTFEDPVKSTKCIHSFERKAIE DMIRASSMTIPAGETDFQGGGGRNARARRVRAVPCPVCSVPMSLNDLEPNFVLVRRVR RMRAAELREQEDAELGSGRRNRNGVMIDSDAEGEEEDDDDDTRSEMQQKEEEVGIKWE RPRSSSRALTAVAGNDEEDDEMEDVNNDDDDDEDAEEEEEEEEEEEDEDDDE TSTA_065300 MSYALEAKKRKFTRVLESLSKPLATSDGGAKPIKDPLMAARERL ADATTSPSIKRVRVGEGIVDNLTTKTTVSRILRTTSSSTNLRPNFVPWDRERFLERLE TFRPVTRWTSQPAPINEVEWAKRGWTCTDYMRVACVGGCGASIVVKLPEEVDDFEDLD SEKVQERKEVRQKVVEEYRKLLSDGHKETCLWRNKSCDATIHRLPITNTDTAINALRE RYLKISKMRDQLPSNETIELPEQLSLEEIISQLPPNFPESEKGESSQETNTSGKEIDY RSFTFAFFGWDVVKDGSSGLLECRACFRRLGLWLYKPKEEGKEPVYDKLPVHTEHMDY CPWINGTAQSGTGTTTDKPENLLGGWQILAQGIRTKHRRRTKAATTSSESLAVDSDNL SLDSTATDEATQRAKDREWWSKLRRVREALHVKGPRKSVSGEK TSTA_065310 MAALGAAFPLRRFGCHVASAELFTCRQCLRQQNHATRLFSSRTT PQKSLRVSSYLGSLRKNFNPFSTRSALFSTATAAQKTLEQGAAAAKSRFPAVSSKSVA YWLLGSAASVFGIVIFGGLTRLTESGLSITEWRPVTGSMPPMNNEDWEAEFAKYKNSP EYKILNPNMNLSEFKSIYYMEWIHRLWGRFVGLSFVIPAIYFVARKKVSVPMAWRLAG ISGLIGFQGFIGWWMVKSGLKDDLFAPGSHPRVSQYRLTAHLAAAFTCYVAMLWNGLA ILRSHRLLADPKSGTESLNALRNPKLAMFRRSVAGLALLTFITAMSGALVAGLDAGLI YNEFPFMGNGLTPPKSELFDERYSRHEDRSDLWWRNMLENPSLVQLDHRILATTTFSA VMALWAYSKSPAMKKLLPRSAAKGVHGVVAFTFVQVTLGISTLLYLVPTHLASTHQAG SLFLLTWVLVLGSRIWHPSRTAKLLQMAAKARAQPVAASTANAVNALKK TSTA_065320 MADSGVQEAEAGVANLILDEVTGEKVSKSELKRRQKNREKEAKK KEKEAAAPAKPKQEKQKSAEDEELNLTPNQYFEIRSSKINKLRETKNPDPYPHKFQVT IDARNFLTEYASLGKGELKQDTTVQVAGRIENKRSAGTKLFFYDLRAEGIKLQILCQA QYATGEVPFAEQHEQLRRGDIIGITGFPGRSNPKTRDEGELSIFATEVKLLAPCLHAL PRGLQDSETRFRQRYLDLIMNESSRKVFTTRAKIVRYVRNFFDSRDFTEVETPMMNAI AGGATAKPFITHHNDLNLNLFMRVAPELYLKMLIVGGLERVYELGRQFRNEGIDLTHN PEFTTCEFYWAYADVYDVMDLTEELVSGLVKHVTGGYETTFHTQSGEVYNVNWKAPWR RVEMIPALEEATGEKFPPGDQLHTDETNAFLKRVLEKTGVECTPPMTNARMLDKLVGE FIEETCINPTFITGHPQMMSPLAKYHRSNIGLCERFEAFVCKKEIVNAYTELNDPFDQ RLRFEEQARQKSQGDDEAQLIDENFCTSLEYGLPPTGGWGMGIDRLVMFLTDNYSIKE VLTFPFMKDDTSVEKKPTAAEVVGVTPQPEEGIPHK TSTA_065330 MEIFVEAIYKQVKEAIMAQAKSVLAAYQETELYRQIHNITQQLL SVLKKTVDGDTKLFYLLESTQCIVTNREALQKRRKEKRVIALYRSRGIRFPLDRVKAE KIIERVRCTPERAADHGSTSKAPTKKYNAAHAHYKAVACRFADYIQMIMNFKLFSECK QNLFKIIEAQLGLLKDDATTRCVQLMADDPEKLERCKFLLKESYFLISHVVNFCVNYY WRTLEVQI TSTA_065340 MVISNYGVRNNPNPAVEHRQARMEEEQFFSPVPWIKVLAPFGPF QLRLGTRNLQNALSQLLELKTTIAACLEGGTTGMQMLNIGLPMTSYQLSNVPSLSYGC LLTEKT TSTA_065350 MLQVPLREQPNNNNMASTKAVILVGGPSRGTRFRPLSLDVPKPL FEVAGHPIISHSLKAVAKVPGLREVILVGYYDESVFRDFIKDASKEFPHLRIQYLREY TALGTAGGLYHFRDAILKNKPERFFVLNSDVCCSFPLGEMLKLFEEKDAEAVILGTRV SNEAATNFGCIVSDAHTKRVLHYVEKPESHISNLINCGVYLFATECIFPSIRSVIKRR ATRPRLLSYPSSDNLDSSFIAEDDDAEKPEVLRLEQDILSDLADSNRFFVHETKDFWR QIKTAGSAVPANALYLQKAFQAQSEELTPPSAFIVPPVYIHPTAQVDPTAKLGPNVSV GPRAVIGAGARVKEAIILEDAEIKHDACVLYSIIGWGSRVGAWARVEGTPTPAGSHST SIIKNGVKVQSITILGKECGVGDEVRVQNCVCLPYKELKRDVTNEVIM TSTA_065360 MVNMGSRNIPSPYSPGSFHITRAFIWLSTLIVTGIMIYFIVHLH SDGFKVPYAFLIIIITCFLTLINLLLTTLLYARNSLRPMFSLTINVILFILWTLSLGF LGYSMRGTLRTTCDVNSWGTSTGVMVCRLYKTLFSFTVVAMAFTFIHAVIDGVARKDQ RNLLLLEEYDSMNGNSTYGQDYKLHTRNESAIPLATAVVAHEETHPDTNTTRADVYNA LGTVRHDDTMAIQQQQYQHPQQQQRQQFQPQDHDVEYYDGIPDVPPATGMRWASAAAT RQTPYSPLHTRFDGQQTGYEAFRPQRTTYDEGGYGYRG TSTA_065370 MPAMMGSCALPWNQGEIALQRILHVPPTENPTSTFLSQYGQSMV SRAPLIGLGALDANSRPWSTVWGGETGFSSVIDSDIVGMRALVDRVHDPVVQSLFGHS EETGSIRVDGQGKMISGVTIDLENRKRVKLYGKVIMGTLEDPEGEDEGGRGLVGKRGH AQLVVKIEESLGNCPKYLHKKHIIPALPQPKLISTSPKLPPQAIELINKADCFFISTY HQNTDMDTNYRGGPSGFVRIISNQPEGAVLVYPEYSGNRLYQSLGNLMMNHQAGLVIP DFETGDAVYMTVTTEILIDDEADEVLERSSLAVKMTVVEARYVENALGFRGIPVDKSP YTPPVRYLRSEKEQTLSTTTANEGQTSVHLISRKILSPSIARFRFKLSNTGGTIPAYK PGQYAVFSFKDELDIGYSHMRDDDPLSLNDDYIRTFTVSSFPGAKTLPEDEFEITVRK NRSVTSHLFRWNPRTQLKVPMLGFGGSFKIDTSSIGKDEFVPFIAGGIGITPLVGQLP GVDISRLRLLWSISANDIGVVKDVFRQFPELPESSTLFITQVDKVKDEDFLRSLEDIE AAGATIECRRMSATDLKDIPAGTFYLCSGHALKTLVLDWLKGKNVIFEDFTY TSTA_065380 MIIFSLEGMTLPDQKYSACSGIASIISAPVKEREPVLGQRSQQP LCRATFPAFDDGWICAITTEYTAAHAFLDEKHEGLAYLPPHNRSNYTVGKMGGTTCSY GKSSATQIADDMLYGFPNVRIGLMVGIGGGAPSPRNDIHLGDVVVSIPSDIRPLTAVL IEAVNELRAQYDNEGYRLEEAITTALDKYPRLRKKYKQPSPESDWLYKSHIFHPLGSG SNCAITCGQDLATLVVRDPCSKEEDKLVIRYGLVALADQLSRDALVRDKLAAEKGILC FETEAAGLMNHFLCLIIRGICDYSDSHENKKWQGYAAMVVAPYWKDLLCRFRAEVIWT VCLRKSIVRGHVCNYLSVERISKAFAWAPSSSDRVGWSPEKTTEKAMHRVLCSLLESR SLYITSRQSKPLRILHGSKHKPKPKPLAFNAHHPISESPRTASVQTYRSIKAMASYEV EHNIDDLYTPPIQSRPRRPDLSTFFATLSEITPNPSETRAREHAVPVPGDVSAAFRSL AEAFDYIRREHDIGSAGGAEAGGDQHDQALIDQMIETLLQGADMPPREVEGVSEEFCD TLDRIPRSSLKPSQSCPICSNPFLDDPYPLVVRLPCHPTHLFDLECVRPWLRLRGTCP LDRTDFGKKQREKDAERRKQAEKRRAALAAPEPNDDEDEEWDGMYA TSTA_065390 MAPEKLPTENEQRCSPAELLLKVILAGTLSHYETRGMIDDKQLE QMLAAEKKIVSKTRNEMDVRQSLALSPDVWQGLTDVLTKAIPHLESQSFAWKSPPTST YEQSSSNLIAYNYFSLVKDIERLRDLCTIARNLLATTNRAQNLAAEKGFDQRILALID TCVRVTARGFDGESNARNEERWQKVVNLYKSLLIICLQFLNNFIMQNEQRKLVLWLDL FGYHSNGDHKVIMPGEPLDPASPAPQGVAPIVRTGERLIGSLRCEQTAEDMLLESLST SPKEPLTVKEEAAMLLLAQIKDHMEKILGRDMKEIQEMGKDPEQVNQVRSALTEILSN KADEMKSLKGRTLDSGESDDETRRQPILCIDRSATTGYPRVCWADFPDFERFKAITAD GPLSEEETSVPRIWQSGAAALTVAKEELMARAQEASQALEEGDEHYDHDDGYAEDDGH SLDDGAEGSLDEEEEEDDDDDDYRGRPGDQQRGLLTDIPLVLGPAEIEALPMIIKAGI IDAAGTKSAEREVISNMQAIRCHILLTQDTGRNLLRELLIFIAAWDLPDDEVYFQFMV QIMEAILKNGLMSHAYADFGQQKDIISPAQAVVIKILTHIFRNKYSPASSSDGQSTET KQPPPLSRVDVLTVRYIFSIFRGTIIPETCGLIYLQGQIRANKALPEDFPLNLWDMER VYEGVYQFLEFFAVLTENNDWKNLIVKWEIVYDLVTLISELDANIPKGPLSAMVTNPA GSSNGRTAAANNQPDTPGSQQQNPPPVAVERPYDPTDVDPVDENIDETGSRAESPPIT EDPCEFEWRNLKKLIVLVLSSLVWRCPEVQNQIRKFGGVEAILSCTSFDAHNPYMKEH AVMCLKFLLENNKENQKIVEELEAREVVRDDSGVLAKTGHEAVVDGVGKLTIQKVVPS SSTSNVVEEVLDE TSTA_065400 MGLITLRTAALSVLGLSSFVFIALFGRLPIFRKTPIGFLHRLLW IHIPHGLARIDSLLLGGRLVPCCKSFGRYIAYENHPLVLIFFVGLLSISELMFVPKAW PRLGTFHRAFVLISITLPYIFLYACVTIKCFITPDNVKTELAQYPYDRVLFHPGNECS TCRLLKPARSKHCSTCKACVSRHDHHCIWLTNCVGRQNYRYFLALLLSLSVMLTYGMC LGYKLLNESLQKAFGTGGAHWSNSLSWTSWINYWALAVADDIRIGAVFLLAGMTAPLA FGFLVYHIYLVWAGTTTNETAKWDDWKEDIAYGLIYKAKRSEVYKTPKPRDESIEPKT QWSATTDQVLIITNGEPPRSNSILQAEGEQTPVDPRFKLVRNLQSLENLYDLGFWDNL RDALNLNI TSTA_065410 MATVVYTKPPQDGSVGTLFEGYSFWVSANVPQRNRFKELIQING GTVVLLEKDADIKLVDHAKRDIPLNSHSYTFIEKSIRNGRLEDPEDHRAGQRNRPVGA VGVPARSSRTPFSLQDDQILWDWVQPYEARGDQTAGNIIYQRLAEQNPRHTFQSWRDR YVKKLRGKPRPGGPAQGAGSPAPDPKATTTQVSKPGSGAAHSDTKRNGHAAQTFQGKA AGFKRDRLSYEGTSTISNLEVDHAAKKRRRATDYIPRNDPPSSNEADIDPQLETTDES QSAKYETASQFPLASDSQTSGISQLDGDTLVPLMTEGEEINTNGNAEALEAWIQKRID DGRNSDHILLALSCTSMNAELADKVLDLLNAGKGIPTDMPGVWTEEDDKALTGQDGRA MERVMQKHGSEAFDERWQFLEQSARATELVSQGGQ TSTA_065420 MPPLSDESSEDESAGESIPFKNANKVADESENEDGSDEEGGDVY VVESIVGHEFQKNVLMLNVKWKGYDDPADQTLEPEEGLLEGAEDAVKEYYAKIGGRPE PPPKPGRGKRKSMTTSAKATPESTTAKRQKVSKEETNGTDASGADIPRGIPDWVRDKD HWERDVKKVQTIENDGTHGLVAYLNWTNGKTTKVSIGLCYEKIPLMMLKFYEAHLVFK GASADE TSTA_065430 MAMPSVIKDEQGRPFIVVRDQGKKKRQHGVEAVKSHIVAAKTVA NIVKTSLGPRGLDKILISPDGDITVTNDGATILGQMEISNNVAKLLVELSKSQDDEIG DGTTGVVVLAAALLEQASELIDKGIHPIRIADGYDEACEIAIAELDKISDEIAFSTDN TDNLMKVAKTSLGSKIVSKSHEQFAKIAVDAVLSVADLERKDVDFELIKVDGKVGGSL EDSLLVKGVIVDKDFSHPQMPSEVRDAKLAILTCPFEPPKPKTKHHLDITSTQRQYCD LPWGFDDEANHLLLQNKLPAVRWVGGPEIELIAIATNGRIVPRFEDLSEEKLGTAGLV RELTFGTTREKMLVIEECANSRAVTVFVRGSNKMIIDEAKRSLHDALCVVRNLVRDNR VVYGGGAAEIACSIAVEDAAVKSPGIEQYAMRAFADALDAVPLTLAENSGLNPIETLA SIKSRQVKEKNSRLGVDCMFTGNNDMREHFVIDPLIGKRQQLLLATQLCRMVLKINNV IISGDDQNEF TSTA_065440 MPSTIKTPRTIAAEYISQRHFHRRFVLPRTETHGELAVTYADVG VQPDTTTSNHVPTFLFIPGMFGSRYLGVYIHAIAEKFGVRVLCVDRPGMGGSASVPSK SRVEIWVELVPLLLNHLGIDHVSLISHSAGTIYLLNTLVSCRDILDPDHPYIACLAPW VDPAHSHKAALQLARFIPTKAFSYWNQIPRFFQTSPVISFSGTVISSIKDKLPSNSGG DSVSYHKRQRLIEQEYGMAKDVQIELEKNITRFLFKENTVGANEETLQCLRKGAPWGV CDDYEEFVKSFVERERERRSELGESSTPEKLKIRAYFAESDVMIGKTGQKYFENCFEG YEDVLSFESSTMPDEDHDSLCSCPEVLARIFQERSSI TSTA_065450 MSSFPDRFNRVDTNMPKLSTPVLTVDAAKIHKVDTANTQSLHGM WLVFSKCADYMEEGRRLENLTWRLWTRETFCVAPASESDTSAIPLLRAESSEMPPLSA SVESAASVDEDRIESHIKPGPVEVKPAVLNDEALMLGKEKHITSLDLERMVLNIKERK ALEPLPTSPTVVDVTPRAASPPASTPQEPVEAVIEEPMRRPHTLHSAESCSTTTAPEN NDSDGVTTAGSDTSVSSSGVIPARPILTKTPSIVRGFSPSQISSSYRSSTRLAGAEKN SAAKVPLQQKLAPSKRKGGMFTLGGSSGDDESSFEERMTPNHTINPHRSSLSEELSRQ PTETTNGVSPDKKVTSFRDEAESRTIKPIEERIYEDEDAIETDDTEEEPSESAIEDED DEDWEDSVTESGQSSVDDKELFQRVDSRPNLVSRPSLLTMMMHQPTKMGMPTRSSPAL QRSVRVTPPNGPSTGASPDDGDNLTMKGRDVPRSKPIIVKSTAQGSGAHSPRTTRRNM LATELTESLRRHLLWERQQKNTTATAVFKRRHTTHDMANLKEYPGPKGQPAGDRTADG SAKDTSKNSSWNNYNDYGPWEYHVKGW TSTA_065460 MHQNSSTHPHGNGKQKPCRFFGTKKGCRSGDACPYLHIAPDQGV PETVSAPTQSQKVRKTNNSQRQAVPKPVPKAQQDSPRDFQIAQLRRRFHPKERQDDSG NTFTFGMAPTDPDFPFDMPKLYCSLHVPHDWPAETSRPRLRVTNPDMPRGFQINVERG FDGLIDSAIKDRRPITLLGLMNSLDRSLERFLSAEKAPTIKIVANTTGRKASTPAPSK EEPKSQVEEKPATPIERIVPVPKRVEYTPEQRAEAEKTRKSETKQLEARLNRIPLYKK LDDLRYIIPITPTKLERLPVSLHAVKSVKLSVPALYPLEKSSVEFQAIDTDEARAVEL GFKQWILKGPHTTLMAQVNYLANNMHLLLNAPTNETKQPEIQAPAADLDTVPAPIDED HDHDSSADSDRAHIVFIPRPPEWSMPGGQDSDDSDSPFDDSSDYDPDSAEESQDGGAP ITGATSSSSTANAPARGTAVNLPSLEMYGIELLEAKMLSITAKCDRCKEHTDIKNIKS TDDPSQPSPIRVESCRKCANSFNIAFRRQLMHPNSQRAGYLDLEGCTAFDLLPSYFQP TCSECSTPFPAPGILAVRGDSAMASCRECHRKMSFKISEVKFMIVGVSALSHLRVVAP RKKNRENLGIVAGQELPRRGRCKHYGKSYRWFRFSCCAKVFPCDKYISTFSHLIFSY TSTA_065470 MHAQKGEQAKVPLNAIVVGGGIGGIATALSLGRRGHNVVILESA PKLMEVGAGIQVSPNMGRLLDRWGVAYREKATLLQQIDIRRWQDGSLLACIPCNPAHG DQLTIHRADLHNALIEKALSLPNVQLLVNSHVVDVNFDKTEVILADGRVISGDVVLAA DGIKSNIRPKILNDNTIKVQPTGDAAYRITLSREEMLAHPVLRDMIDQSRATRWIGPG CHIVAYPLRNHELFNVVLVHPDRGTVDDMWTIKGSKKDMIDEYAGWDERVTQIIANVQ DDAVMEWKLNLYPPLRTWTKGSIALLGDACHPMLPYVAQGAAQAVEDAGALGAILSSI SSKLDIPAALQAYQSSRKERAEQVQQSGKLNRIALHLPDGPEQLQRDEMFRLAMQGNS ESPDRWIDEKTRKILWEHDAEEAALKTFQEYQNKHSRVANL TSTA_065470 MHAQKGEQAKVPLNAIVVGGGIGGIATALSLGRRGHNVVILESA PKLMEVGAGIQVSPNMGRLLDRWGVAYREKATLLQQIDIRRWQDGSLLACIPCNPAHG DQLTIHRADLHNALIEKALSLPNVQLLVNSHVVDVNFDKTEVILADGRVISGDVVLAA DGIKSNIRPKILNDNTIKVQPTGDAAYRITLSREEMLAHPVLRDMIDQSRATRWIGPG CHIVAYPLRNHELFNVVLVHPDRGTVDDMWTIKGSKKDMIDEYAGWDERVTQIIANVQ DDAVMEWKLNLYPPLRTWTKGSIALLGDACHPMLPYVAQGAAQAVEDAGALGAILSSI SSKLDIPAALQAYQSSRKERAEQVQQSGKLNRIALHLPDGPEQLQRDEMFRLAMQGNS ESPDRWIDEKTRKILWEHDAEEAALKTFQGMSTSLS TSTA_065480 MARRDNPPDLQNTPAKGISYFSPEQSPPAGTAANPQTDGTVPPK LFQPLTIRGVTFHNRIGLSPLCQYSAKDGHMNDWHIAHLGGIAQRGPGFIMVEATSVL PEGRITPEDLGLWQDSQIEPLRRTVEFVHSQNQIIGVQIAHAGRKASTVAPWLSFGDV ALEKNGGWPNNVKGPSDIPFSDSFPLPKAMTKQDIEDLKKAWVDAVKRAVKAGADFVE IHGAHGYLLCSFMSPQSNNRTDEYGGSFENRIRLVLEIAQLTRETVGPNFPVFLRISA TEWLEESKAGEPSWTLEDSVKLAKILADQGAIDLLDVSSGGNNAAQKIKGGPAFQAPF AVEIKKAVGDKLLVSSVGSITSGKLANKLLEEDGLDFTFVGRGFQKNTSLAWTWAEEL DLEVSAANQIRWAFSHRGAGSKFLQKPSTRSHH TSTA_065490 MVSWSLVAVVAAIFTVKFAPEYSLYSSYLLTSTTFILISWIAKF VYAAILYPAYLTPLRQIPTPSKRSWMYGNTESFDLRFPVDLVREWTKSIPNPGLLRYY VIGNWERVVVLSPKAIADLLVNKSYDFVRPEIARVQLSKVTGEGLLVAEGDAHKAQRK SLMPSFSYRHIKELYPVFWTKAIQMTNEIEKGIKTNKSGEDIIKTSGWASRAMLDIIG LAGFDYDFNTVANPDNELARRYESMFFTPTGWQRVIAFVCLFIIGFKWYFRIPSRQNS IMDDAMSFIRGTAHEHLTKKKKQLQTDRKDAHVDILSVAMRNGDFTDENLVNQMMTFL GAGHETTSSAFQWAVYVLSKHPEIQTRLREELQASLPRIPFDELNDIKGLKDNQGNIK DTFSELFTSIDGNNNTNIPYLWAFTNEVLRFYPSAPFTSREAIRNTTLGGQFIPKGTH ILVAPDITNKDTELWGPDAETFKPERWLNFDPASSNKPVSYNNSGGASSNYAMLTFIH GPRSCIGQGFARAELAIFVAVFVYRFRFELEDPGRKLEVRRMITQAPADGVVIRVRMA N TSTA_065500 MAVIKETIAVIDKSGKMVSNSKHLFGVFKEARNAYRERKAEIRA AKDAEKQAQIAEQEARRIMANLALDDRRSVASSRKSGRTRASQNRHSSSHSQRIDVPT QFHYEQDLHHGQMMYQEPRSLQQTGRRHTTQEITAKESATAVARSQSNKYIDMDLAYG EAHPSALQHYEMRPADEEELNGLVAKAKGLLEEADCMQHTATATMAHLQKNPDAMAAV ALTLAEISNLTSKMAPSILASLKLTAPSIFALLSSPQFLIAAGVGVGVTIVMFGGYKI IKKLTAAPTTTPPMEAPQHGFPPPYEFAPQPKEPLASADELLELQSEHLSRVEVWRRG VADYEASSVGSTVDGEFITPTAAMMSGLDLSDPSVFRRRGVDDADEGSTASSRRSKHS RHSRHSRHSSSKGSNSKSTTGESKKKKSEPKRSSKLRMMFTA TSTA_065510 MPRFSLDSDDQSDNDSPSSFSSNDHNTQVPSVKLSMQNDCAIGH RVHASRSVLAVALDEQCVFAGLQGGDILAWSLDTYELVLSVPAHGESVLSLFISPDGQ LLFSSGGDSVVNVWSTTTFERLYSIYSHHDVGDIFAVVYSQNLNTVFCGGQNTSLQWC NLASDGNVTISRHPSERRHRFFDSRGPGGSINPRSEDSDAHSLADHRGKTLTFKRDQH RLFSHHGYIYCMIIVRGLIESAPSEEVLLTGSGDGTVKLWRLTQENNGAPIPWFELEN GDDAVLSLAIEGSLLYCGLAGGALNIWNLDSQQLVKQITEHQGDLWALDIMNGIAIAG DAQGIIKKFNSSFEEIGCWTAHEGTILASTTGISNNRHIYATGGNDNTVAIWDLATCQ EDSELQSIGNDDMVNTLFKFVSYQTISSSPKFSVDCNQGATFLRRHCAFLGATTKLLQ TGADTNPVVYARFSASNPGPNVKTILFYGHYDVVGADNNQPKWRTDPFQLVSVDGYLY GRGVSDNKGPILAAVYAAADLVRRKALGCDVVFIIEGEEESGSQGFEKAVRKNKDLIG KVDWILLANSYWLDDHIPCLTYGLRGVVHANLIVTSDHPDLHSGIDGSSLLDEPLKDL SLLLSNFIGRKGHINFPNFYDPVAKLNKAEEQRFQAITEAMLPLHPQIEDREAFTKSL MYRWREPSFTVHSIEVPSNKNTGSTISRRAKATLSVRIVPNQTADEVASALTAFAQEQ FDLLDSQNELTIEITGKSDPWLGDPDNEIFGTLAEAISAAWTPDLDEKRYSYPQPSSK TTMRPFGAELNRTDSNDSVASHIDRIISSSTISSKKRSQRKQSASNATAVPTSSTLTG NQARTNSSKLNDAEHGEDSLSSSPSLLSLPTHHSNGNGIPYHGAAASSPPSASAWPTQ TETAPSPVQPLYIREGGSIPTIRFLEREFSAPAANLPCGQASDHAHLDNERLRVMNLY KSREIFGWVFEKLPQRVAK TSTA_065520 MMLTSAILLLTLGVQLSHADDSSRENFSNRCDQLAKEIHIPNVT VNFVEYVANGTNVTLADNPPSCGQSNQVVLADLCRVAMEVTTSNQSQITLEAWFPENY TGRFLSTGNGGLAGCIQYVDMAYASSMGFATVGANGGHNGTSGESFYHNPDIVEDLSW RSVHTGVVVGKELTKKFYHEGFHKSYYLGCSTGGRQGFKAVQEFVHDFDGVVAGCPAF NFVNLNSWSGHFYPITGNSSADTFLTTAQWTLVQQSVMEQCDSLDGAVDGVIEAIDQC HPVFEQLICRPGQNASECLTGKQVNTAQLVLSPIYGTKGEFLYPRMQPGVENVDMYIT YNGDPFAYSTDWYKYVVFSDPNWDPATLNAQDYEIALAQNPSNIQTFEGDLSAFRDAG AKVLTYHGTADPIITGETSKVYYRHVAETMNAAPEELDEFYRYFRIGGMSHCGGGTGA TAIGNVLSAQWSNDPDANVLMAMVRWVEEGVAPEYIRGASLGSGPGAKVEYTRRHCKY PTRNVYVGPGNWTDENAWKCIL TSTA_065530 METSDSKPEEAVEHQEIPPSPQDAVTARTKAEEAATQDGDRNAA VAVNNEDSDPPEKVSASTLMAVFFMGLSYVAAISCGLVMPAGIVSQIGTALGDMENIV WIPGGWGVASAVSFSIAGRLSDIFGRRYVLISGQALTLIGSIVGATAHKTTIVAAGST IIGFGAGVIFVSYPGISELLPNKYRGMGIAWTEFCLNVPWAGLGVLIAQEFVIHASWR WIYYVGIIYAAISMTGTAVSYFPPSRPRGDYDKSRWQEFKELDFVGLFLFCGGLTLFL VGITYLGKSSYSVAVVASTTVIGGLLLAACLAYDFTIARDPIFPLRLFVMFREFTVHL IILFVAGMIWQAITTLGPQGTLYMFTNDPIRIGVLQIPNNISGLLGGWIMPSLVHKIK HVREQILFALLMETVFTACYAAVVPNHEIAWSVMQLFGQSCFTWVTLLAYVSSGLFVP QEDFGVSAGLIGTFRSAGNSVGNAIFSTILTSQVNKQMPKRVAEAAIQNGFPAARIGE LIPAVVENAVGVPHAFASIPEATSSVISATSRAFKEAYAYSFRRVFYATIPFGVIALG FAWFVKDPSPLLNNHVAVHQEREILSGKRVLSAREQEHIEKRDIPA TSTA_065540 MEHHAKDRIDRILDRIHSLEEDLAVDDIIELRRISESSRVLDKF KVSRAEYWNWLDKIGDDTRGVEYDAQNARIVLKGGLGWMHEAAADVVRKVLYQIRDRL NATTGSRYFLTGSIDMLITPSCCSLPGDFDGSTKQADASPMEYEAKWPAVVLEIGISE TTSNLYKDTE TSTA_065550 MKYFKYLDTTYPTDNKRRYHDFDISDKQFPKDSSHDTIQLSLHN CLEPFPAERHGKYDLVHVRLMVAALKESDYKHVVANIAEQEGHLQWEDLGRSYFLTNP EKHYQELPSMNTLRLCIEGQINAGPSRDVPATVVEAAKSAGFTNISKYDFRIRDKPEL WFKTEEWIDRVLESLTRIFLKRKRDAAGKDSD TSTA_065560 MAPIAEANTQYSAKEEPIFSQEATATSRAALGDLGSGPNDTLLA TNGNGSAKEVQDTEMQREWDQVASNEKQERIRQSSSHGLGIQLEGLNGNGEDSNGPTS VGNAHRASSPQNAKQDKEKVLKLSPIQIQELISSPDSIPVEKVPDNHDRENESAATAK PLSSFDDNLAKARNRAEFLRSISGSFYQKKSTKGSHHLEDPPSFLISSDDAPMSAPIS QQPHASRIMTSPTAFPRQNSVSDQQRLNSNSALRSRHKGAPDHLDLPEHKTLTQDPNP SPMPRSIPLPPLSIPAYLELELSSSRPSPLYIHRSVASQSPYESSAVKMERLLNFILL PPALEQVLWFGALACLDSWLYSFTILPLRFAKALYILVSFWVVNISREAQFVFTFIIN GVGRVWRRRLKASKKMPPDFAHNTQSGAAGTNGSIANGNRDARLKSQQSNTRRRKTSA QPNHRRQKSMPSTLESDDKADILKGSLIICTCIVLSYFDASRMYHWIRGQNAIKLYVI YNVLEVGDRLLSAIGQDVLECLFSQEALDRGKDGRSKVVRPFWLFWLALAYTTVHATA LFYQVVTLNVAVNSYSNALITLLLSNQFVEIKSTVFKKFEKENLFQLTCADVVERFQL WLMLTIIASRNIVETGAFTSWFTVGQGVSDQIRSSVTNSTPLTTSPRSSTSILPQSFT FLPSTFFTSLTGGANTLLPQLAQVLGPFLIVLGSEMFVDWLKHAYIAKFNNTRPAIYG RFLDVLAKDYYTNAFIDQNLTKRLGLAVIPMACLFFRVSVQTYQMFLQALLPMQASST EHSASLTAMHEHYSNLPQPSSPTLPLTVQSVMSNSFDRLSWLFNTIVENAIPSPIQSV TFFTIVLVLTGYLILLIFKLTLGILLLTFSRSRYKAMKEREQELYFDAQNDSKGTSPS VFHDQQQHRKPSNTSAANMNRDYVVDGGRRVGGWGVVEVDDDKRRWIYADDPNALRKI REKERAEKDKHSAKDSGALLNLDKVQRYEMVAKRIW TSTA_065570 MDDIIRPPERRASGGRDFQRAYKACLSCRQKKAKCDIGPAPPCA RCRREQRRCVFSEKRSWARKPRSGANIFIDSLSPNQAMHTSPQHRESAVSSNTSFHPT PRESHGFQASSEPNQLTNSLMRHVVSSGNDALNLLFEAAAHTNSRSAEMTPERQPGVG SGHGISSVLSQSLPQATVTGTSPAAPPPIEISKTDPSLLSVWESFRVVKLGWFTAKEA VTFVDKFFENLSPLSPILTEFYRRHENHHILVTHEYFLCCTILMISSRYHVLPGIGGA SRSFFIHNKLWTHCQNLIMRLMLGQERSSRGSIRTLGTIEALLLISEWHPRSLHFPPE NDAWDSDIAFGVPDGMSTNQSESSSNQWLQDVIEPARRSDQMSWMLLGSAFSLAHELG IFEPEQRGPDPSDFPNPALATHNKVRKQRVQQLLYVYINQLASRIGCMSLMPQSLNRA ISKVHGQNPTENRTEWTTFMDSWMDLTKLTRSVNDMLFPSASFAKQQIHSGRYIGLLD HFRPLLTQWHARHLDAPTGLSANFVNILHIEYHYVRVYTHSFGMQAVVERVLADTATN STSASNNTTTYNNNNNPNLDPAPTQHTNTEINLDPIDHEFIQEVIDGSCQILKKVIEL AQADKLRFSPVRIFLRVTSSSIFLLKALSLGVRHTKLQESLDVLEKSIQALRSNILDD VHLASRYAILLEVHLSRLRRNLVASSSSTTMNKIINPGSRGSMIMQPSFTTTRNITRP SSTQPFLRGGEAAITDPNNNRNENTAAAPSADDNEWLFSLPFDPSMAPFGPSGGQLSG FDGGTLDFLWNLPE TSTA_065580 MVNMARALTLLSLSLLLGCAVSSTNNSLNYDVLQYIDPLIGTAN GGDVFPGATLPHGMAKAVADTNSESSQGGFTLDGSNITGFSSLHDSGTGGLPSLGNFP LFPYAKCVNDSIDGCKYPKKERPVSFDNASVIATPGYFAVTLATGIKVDMTTTQHTSL FRFQFPNGDPSDSPLILLDLTDLSDSRQDNATVDVDVSTGRMTGSGRFVPSFGSGNYV AYFCADFKSSAGIRDNGIFVNSRATADAKSLKISRSINGYPLPGGAWVRFKPETDSTV LARVGLSFISEDQACSNAEKEIPDFDFDGIHSAAVSAWKEKLSPIRVSREGINKTLLT NFYSGIYRTMTQPQDYTGENPLWESSEPYFDSFYCIWDLFRSQMPFLTLIDPETVTKI IRSLVDTQQHLGWLPDCRMSLCKGYTQGGSNADNVIADAYIKGLTGGIDWEAAYAAVQ KDAEEEPYDWSNEGRGGLQSWKSLNYIPVQDLDYIGFGTMTRSISRTLEYAYNDFAIS QIARGMNKTSDAEKYEKTSTYWQNLFKADQTSFMNGTDTGFRGFFQPKYLNKTWGFQD PLMCSNLDNSGSICSLQNSAGETFESSIWEYQFFVPHDMATLITMLGGSSTFVKRLDY MHDKQITYIGNEPAFLTVYQYHYAGRPSKSASRAHYYIPEYFGTTPGGLPGNDDSGTM GAFVAMSMMGLFPNPAQNVYFIIPPFFESVQYTSPLTNKTSTIKTVNFDAEYKNIYIQ SATLNGKPYTKSWIDHSFFTDGMELVLTLGRNESSWGTHAANLPPSLSTQGSSSIE TSTA_065590 MVSWLSIPAKSHFSLINIPFGIITTPKSGVPVPAIAIGDYALDL SAFTKNGGFSHLPSFQAQADVFYQPTLNAFAALGRPVHRQVREYLQAIFKADTPFPDV LKDNEALQKEALLPLNSVTNHVPLQIGDYTDFYAGRNHAYNVGVLFRGPDNALQPNYN HLPVGYHGRASSIVVSGTPIVRPSGQILTNPTAAPKVPVFSPCKRLDIELEMAAFVST GNKLGHPVPVEEAEDHIFGLVLMNDWSARDIQAWEYVPLGPFNSKNFATTISPWVVLI DALEPFRAQGLEPGNRDSILPYLREKRADNVFNIKLEFDIRPSGTSKEDAPIHVSETN ATNLLFSFPQMLAHHTITGCNLRTGDLLASGTISGKEPGSQGSLLEQTNGGKEPITLA DGKTKRAFLEDGDEVILRAVAGDVDGAYVGFGECVGRIESSCSARLRIALNLWGIPYN PIFVHLLKNEQCSDQYRALNPSLSVPVLVAHRASEDDLVIPQSIAALEYLEETVRSTS PQYRTLLPNDPALRAITRSLVSIIASDVQPITNLRSQRWIKELEADPVPYCRKATEAG LAAYEALASKVAGTFSVGDDITLADVCLVPATWSAARFGVDVQNYPTVARIVARIEEE EAVKKAHWRSQPDTPEEFRQT TSTA_065600 MASAATITAPKISLTSNDSAVLKALFDNGEDASRENIYTPDPQA IRIDDSLPPFPQCDIEEAALKSLEHRELDVIRRIQGITPTSDAKNSAQEASSSLSTPI HILEQAIDDLTSLITEHPDYPPLYANRAQALRVLVQSKESTSNNTDKDAAIFLPQNRD LATKLLSDLSKAISLARPRPTGSNRNTNVVSSAQFRLLSNTHMHRGYLLLKAGKIRKK EEEEGTTQGQKEIGGPEQLLSITSRDQLEEMASQDFFEAGQYGNEIAKQLSVQTNPYA KMCGAIVKEALKKEIEKFQS TSTA_065610 MEPFSIRIFQQQLHYQQLLLRQNNMRPLESTPLCGQSSRKPNLL CIQCCRRNNAPRTTVSNPLLPEGTPLSFVNKRTENTEIERDYRPRRRTTTELLSICQT IYHETWIMPFILAEHVFNVCGFEWTTNCDEYPGRHLSRERMALYPRQLKNYAITHNTT LHIHPVKGIQIYYRQFDLKRGEYRRLNRAIHIPEQLGPEYVTVSLNFRVCSSSHSLGI LSADWVNEIDFPNSVKCVRMDFGKFHDVDRVEEITSKVIENWFFRREESTERPRSEDL FCDVMASYSFFRMKHYVIPTVTWKLTESFNPFVDNYKCPDLDFTNRLPQ TSTA_065620 MPITQFSIPDPYSYLNGFNSYHESEAVKGALPTAQNSPQKPPYG LYAEKLSGTAFTAPRHENKQTWLYRILPSAAHANYQALSEDTYHTSLNFKSDGQNKLH YIPNQLRWNPFDLDEKVDWVHGLHLVAAAGNPTTKSGLGILLYAAGKDMGNEAIYSAD GDFLIVPQHGVLDIQTEFGKIIVRPNEICVIPRGVKYRVKLPSGPVRGYICELYQGHF ELPELGPIGSNGLANSRDFQAPVAAFDDDEGNPTDYTVISKFNNNLFSAKLNHTPFDV VAWHGNYYPFKYDLGRFNTIGSISYDHPDPSIFTVLTGQSDHPGTAIADFVIFPPRWL VAENTFRPPWYHRNVMSEFMGLIAGDYDAKIGGGFRPAGASLHNIMSAHGPDANAHEK ASSVDLRPQKMGEGSMAFMFESTFMVGVSEWGLKSCEKVQEDYNAESWEPLKRHFVNP EKKGIPSG TSTA_065630 MAPSALSDLQSGNLPTTQNALSSYRGYDHVHWYVGNAKQAATFY ITRMGFERVAYRGLETGSRSVCSHVVRNGSITFVLTSPLRSPYNTEKLNRLFPGEKER EHVKEIHEHLAKHGDAVKDVAFEVDSVDDVYNAAVQNGAVAVSQPRTLEDENGQVKVA TIRTYGDTTHTLIQRRGVASPYTGVFLPGYRDETGSGSSDPIAALLPKVDLKRIDHCV GNQDWDEMEKVCAYYEKVLGFHRFWSVDDKDICTDYSALKSIVMSSPNDIVKMPINEP AHGKKQSQIEEYVDFYDGAGVQHIALLTDDIISAITNLKARGVEFIKVPSTYYDNMWV RLKKAGMMPKEAWEDIKKLDILIDFDEGGYLLQLFTKHLMDRPTVFIEIIQRNNFSGF GAGNFKSLFEAIEREQALRGNLV TSTA_065640 MPCCGDREKIGQMKLEQTWDYINLNDFKSSSCFTGFSYAMVWVF GAISIAVYAVDTFTAINLLAFNKWSSQIKPKIPINISRWIFAGAIIASFVLLFYRWFR AIRVMRSGGIAESYLDPLAVRVQSVRIGKHKGYRRFLVFAELTKSKKGADYVALFSYF SFEAWLRILLADGPRQVINAITLYSVMQLDLIPTGHNAAPDGTSPVVQFFINIKALAE KNYQQAVILFGMLFTLIVWVVAALSLALSVILYLLFLWHHIPTADGSLKRYCRRKINT RLERIVRKKTATALAKGGVQLKDRTPTMPLAAGEELKPIAVAPTLPSVAEYPEDKKPI VTVAPLSRQPTQSTLPPYTSEPGQFDAPHDDESFRQPKLPDLSDGGWLTKTNTQNSAY GESTSLTGGAAPMGYSPLDNNHYAPQDVPPVPPGSFNPNQARSYTPSINRPPTAQGRS GPQGPTPMDGMGRHTPGPGYSQTPPLQVMGHQAPGSIRGGPPERSYSPATNLPNNRPY PPRMNPPFDRQQTPQNNMRGMRPESPAYNPFDREQTPQASMRSESPAFNLPPPGRSLT APVSNSSVMEPISRSYTQDDRSASPHPPNEGGYVAFNPFASSINTRSQSPINTNSQPI QRPSTTTPSHNNNFDSHPAMMRAATTTPSNGFGRNRNGPAQPQQRYPPVHDEEDEEYD PLSYYGSTH TSTA_065650 MWTANLIFSSHTSEPFNSTFEFWFELSGVPRYGPIITFYFGIPV VLGYDLKGDLIGLVGRCGVYLQSYAAILAQWYNNQHELDEMHHYPVLTVPITLPILYI ADQQLFWKDKPEHLAQFEHVIEETLLKNAMTDDFECQPTSVEAQINRVQLSLTEQSFK AGKYFRQYFELRDVNSGLYTELDQLRLSVDDNVHQARPQT TSTA_065660 MSIRGAGRRLARQCNPGYRSYSSAATPSARLNLPIDYKSTPLLH HGPSSISSSRELPQSTNTKRMNLYQAINSALRTAMSASDKVILFGEDVAFGGVFRCSM DLQMEFGSHRVFNTPLTEQGIAGFAIGAAAQGLKPVAEIQFADYVYPAFDQIVNEAAK FRYREGTTGADAGGLVFRMPCGAVGHGALYHTQSPESLFSHIPGVRVVMPRSPTQAKG LLLSSILECNDPVIFMEPKILYRAAVEHVPTESYTLPLSKADIVKPGSDLTIISYGQP LYLCSQAISAVEKARKGVNIELIDLRTIYPWDRQTVLDSVRRTGRAIVVHESMVNYGV GAEVAATIQEGAFLRLEAPVKRVAGLTTHTGLAFESFIMPDVAKIHDAILQTLDY TSTA_065660 MSIRGAGRRLARQCNPGYRSYSSAATPSARLNLPIDYKSTPLLH HGPSSISSSRELPQSTNTKRMNLYQAINSALRTAMSASDKVILFGEDVAFGGVFRCSM DLQMEFGSHRVFNTPLTEQGIAGFAIGAAAQGLKPVAEIQFADYVYPAFDQIVNEAAK FRYREGTTGADAGGLVFRMPCGAVGHGALYHTQSPESLFSHIPGVRVVMPRSPTQAKG LLLSSILECNDPVIFMEPKILYRAAVEHVPTESYTLPLSKADIVKPGSDLTIISYGQP LYLCSQAISAVEKARKGVNIELIDLRTIYPWDRQTVLDSVRRTGRAIVVHESMVNYGV GAEVAATIQEGAFLRLEAPVKRVAGLTTHTGLAFESFIMPDVAKIHDAILQTLDY TSTA_065670 MRSATSMNPYLASRPATLGLAKIFFLLLAQIPALTTAAPTALHG IWAKHDADGKDPEDPELWLYLGISIFLVLAGGAFAGLTIALMGQDEIYLQVIASSGEE SERKNAEAVLRLLKRGKHWVLVTLLLSNVITNETLPIVLDRSLGGGWPAVVGSTVLIV IFGEVVPQSICVRYGLPIGAWMAPFVLILMYLMSPVAWPVAKLLDRLLGEDHGTVYKK AGLKTLVTLHKSLGAAGEQLNSDEVTIISACLDLKEKSVGSIMTPMDDVFTMSVDTVL DEEMMDLILSQGYSRIPIHATDNEHNFVGMLLVKMLITYDPEDCKQVRDFALATLPET RPETSCLDIVNFFQEGKSHMVLVSDYPGEDHGALGVVTLEDVIEELIGEEIIDESDVF IDVHKAIRRLAPAPKARVPKGRIVEEPPSLPNTTVDDHNANLDLSKVQSAGSEPRRLS STVVQPLGPKFTLRNNFGAPEQLVVKRGTDEIREHLKHLGPSNLASRPRQTRYQTVKI KAPGTSPTRSGLTDSESGRLMGEQLRRPSEVASNGSGIGSKLLGSPSFKAKDGVHAVQ QGYGTIDGGVATVISPLDDDQKTHIKDAIPESQYERDNRTPKYSPPRTPNRSKPSSLH SDEARRSGAFKPGPARSGSITEQVVDLNGVRKVVLQTTSSSSSGDEQSTSNGKTSPTS QQHHHHHYRHQETTELSYEQAIDSDQDGGAASTTKKKRRRRKKKRQGDDPENAPLLPP TSTA_065680 MGDSLPYTCNACLVAFRTSDAQREHMRRDWHLYNVKRRVASLPP VSQEVFTEKVLTARVTTSAAAAKASFEKTCEACQKSFYSENSYQNHLQSSKHKLREKA LKKKGGLADDTSSVMSSTFSLGDPINKSVAGDNESTVSNVTEKLKNTAIKEAENEDED MEEKDEEEKTEEYSSTKCLFCTEEAGDLQANVEHMFKVHGMFIPEKDYLADLDGLIRY LHAKISENHECLLCHAIRTTAAGIRTHMRDKSHCMIAFETEEEQVEIGQFYDFRSTYS DDEEEDEDITDDAGGVPITSSDADEAGWETDDSSVDSDPQQKPFRGSQPIYQTDYELH LPSGRSVGHRSLAKYYRQNLHSYPSLEERAAARQLAIENGTADKDGEGETTTIKRNEH KAIISRANGGLGLVGASAQQRTEALEIERRERTRAQREERKITAKVNRQANSQKHFRD PLLQ TSTA_065690 MEYIRTLITAYFAFKKPFFDRSFRHTETTKLLLVQKGGPFEVKK TPQHDVKPDQVLIRQRAIALNGLDPLQRDLGVNIQTWPYVLGVEGAGVIEAIGSEVEG FQVGDEVLAWELSVEGWGGAYQEHVVVPARFVAKKPKNISIEEAASLPICYNAAICTM FALKLRIPIPNFRGASNSEGEPEPKSILVLGGSSVVGASAIQLLRLAYPSVPIFATSS PAHHAHLLSLGATKVFDYHSPTMVSDIKAASPESRGVDMIFDVVGAGASQTDICDTFD PNGIKKYGALVTAKTVTVPEGVTKFESGGWTLLGMPGQEQVIPALAQLVEEGKYKVPL SVKVVGHGLEQIPDVMDQVRSVSGEKLIVTL TSTA_065700 MERAQFVCTTCSARKKGCDKALPACGFCAKRGLSCKYDGPARKE RSFRPYNPGKYFVASGTLNAPISPISIVNSASSPDTPSVTASISQSIHESLYQQVRDV LNLANLTAEDISKRYFDSFHRLYPIISPELFYQAQSKYAHGGSISPSTDYSILVLAMF LAITLPGHHRPTNLSLSDQEQLYVKVKSLIAQIQTAISPSFALVQVMFIVTIWEYTRA RPEAAYSSINACSSLARILGVGDELLEKSAPYQSNIITNLVEIVKRNVAWAVIMLERI ILVELNPKAIRPLTGYPNIDCQLPLDLLPSDSSLSQSIDYQSSISATLSCLETGNVSW FGRQAQAIFLLDNVLEVVRTASKTGITQGILQGAVELDGMIRNFLAMLLEESRQKKTS LCSSVAFSIRALFLLHWSIFDALPTSGNESNYQARNLSWTAINSACNMTIDAITHAQQ EPDSPVPICSFYILQEAIRYLSEHQKVAGDEATVSDKDMLIHADKEYRSTYIF TSTA_065720 MRGASLDSRESPAGSQIPRRSKLPSHSPDIIVKHTISIDDTAHI LMQYKDERRRNFNSLVRRYKHQIIYGCENPNCRTPTCLNYQRRVTEGPFRPYTELSAR TLACYLASQDNPEKALCRNPPRPAADFSPTRHTPHVEYHAYSSGRKSQQAEYPENEAH MESHRHSSSTNPAPPPPADNVGHSSRDPHPSGMSRTKDPKSFTQNLFDTLSLRMVEWL PLRQTTTDAPGWSTPTSRSHKSQTHSGDFKEYESNSKSRRVHIQSTNNNAGAVEVTTP SGRPKRRSNSNLDRKSTAQTLKRVTLEEAETWRPTSRSSMEIKSRDGRTSTSRTTPSR TYSQHNIPTPPPVLKHRASKGNKPSNDTTSKAPKQTRRVSWDGSKLLRETCVLSDVND EVIEKEAPNFSSSEVPDLKPPSIQSFPCPAMSVDTVSHLNVEIVDGLFELLAQTKEDA EKWEEELDHMNTQGRSDNYIWRYATPRQREVFPFVAQSVFFGLSSSRQLIKSFRRKRA SSQHSRHSDGATVDLQTLESTLRKIQDMCPSDLVLNSLWNSLEQLFVPPRNMPIAFRA NRRASYHQSDKSGVSQSSAPDEPLSDADAAHMLTVVFFTLVTFLPKVDSQTWRGIQQI REAGTVLPDAQMRKLPAEDIDLILNVTDKLEHDLALRLVHRLVRALTARLAFHEISKA KNFSITDIKKRHERSVLDLLFDNLREHHESLKKETDGHDMAVAIDTPVAAAMIVEWIR TLFLKEWDGRPEIARSDAAGGAIQILSSMYKNRKRLGLEAEAFYTSFLSERLDTMEMP VEWLNRASNNRTMHLLSFTFLFRPAALAKYFRAINFAAMSKAYEAGMIAQRQASKTAF SRTIQVADEINLLARLHTSMNQYFVISVRREYVLEDAFNQIWRRERRELLKPLKVKLG TDLGEEGVDLGGVQQEFMTMAFAEAVNPEHGMFTVDPTTRMTWFQPCSPESLDHFELV GILMSLAVYNGLTLPVTFPLAFYRKLLDLKIKLTEDIRDGWPDLAKGLESLLTWSEGD VGDVFVRSYEFSFEAFGSVRTVNMEQIERDAEWPILERVPSRERRRSSVSNYSVEVQG HKDSGEVSEGHVDSDIGSFKTGILKGADYNTALNTFPIQEDIEEASLVTNENREQYVK DYIFWLTDKSIRPQYEAFARGFFTCIDRSSLALFTPEILQTVIEGTQEIDVDELQRNA TYDGGWDCDHPLIVAFWDIVKKYSREQKARLLEFVTASDRVPVSGISSINFVIQRNGS GNDRLPTSYTCYGRLLLPEYTDAKALQLNLDMALKNSRGFGNF TSTA_065730 MAPIVHFVRHAQGYHNLSTANHILPDPELTPLGEQQCAKLKESF PYHSEIELIAASPLRRTIHTALLSFQPVFKAHPDFKVLCIPEAQETSDVPCDTGSDPA VLQKEFVDRGLPVDISLVHEGWNSKKGKYAPTISALRNRAREVRKWLKARPEKQIILV THGGLLHYLTEDWEDGSMYQGTGWVNTEYRTYKFSETIDTEDIEGNKVEGGDDLTIVE TPESRQRRGKPAQAPSREEQKVLYRKGIDGWEKQGLQASAQEREEGKVGEGEEVNGSR I TSTA_065730 MAPIVHFGYHNLSTANHILPDPELTPLGEQQCAKLKESFPYHSE IELIAASPLRRTIHTALLSFQPVFKAHPDFKVLCIPEAQETSDVPCDTGSDPAVLQKE FVDRGLPVDISLVHEGWNSKKGKYAPTISALRNRAREVRKWLKARPEKQIILVTHGGL LHYLTEDWEDGSMYQGTGWVNTEYRTYKFSETIDTEDIEGNKVEGGDDLTIVETPESR QRRGKPAQAPSREEQKVLYRKGIDGWEKQGLQASAQEREEGKVGEGEEVNGSRI TSTA_065730 MAPIVHFVRHAQGYHNLSTANHILPDPELTPLGEQQCAKLKESF PYHSEIELIAASPLRRTIHTALLSFQPVFKAHPDFKVLCIPEAQETSDVPCDTGSDPA VLQKEFVDRGLPVDISLVHEGWNSKKGKYAPTISALRNRAREVRKWLKARPEKQIILV THGGLLHYLTEDWEDGSMYQGKTYNSIPSRGTELADMSLQAPVG TSTA_065740 MLDENLPTFYLSNDKKKKSNNVLFTQHGDEPEPAYTLRRLDPTD PASRNRYAVALYDPYIPDVLYGEVLIIPEWTQPTLSAEAIRQNGGAPPPPEPIMPSQF TVHLYNPDQEVIVRHKPKTWNSQPSWEFEMPQQSFKQPSNSTLDQIQSGPAASEVTPK LKFVWRKDGSLSKDFACYLSGKTTTPDGRKKNKEPDITVSIFKGLKEITLYEPNLYRV EMEDFKGLEVVLMLGAVVIRDVFFAPLKDAFNVVSSPTSSRTNSAAAAVPTVPNNTNT KKPAAAANVNSNPPRPTTALPLRDTRPPPNHQSLDPRAKWEIDAENARIKQQEEAARK ERRRKAEEEERRTRKLLEAEQRAQRKKQAEIDKETERLKKMYGKEDEKSRQQRPNHSQ RHSASPAIIVSSSSHTNPSHQARYSHYMPTPPQQPPRPGPYMQPSAGYHSTVNLRPPQ PHPRPQSSMSYLGVPNAHPTASTPEIPRLKERRSIFNLFKPSDGGDSNRLSKKRSSVF TSTA_065750 MARTKVSARKIAKKGATKTLPGGSKYPTRRVPIQGTTRRRLMRE IAQDISLTADLRWQSSAILALQEAAEAFLVKEFEMTNLCAVHAHRVTIQAKDMELVDR LRRIMTGSGYRFENRKA TSTA_065760 MPAGRPPSQINQYKQEISTSFQNGQSISNITKMLSDEYQITIHP ETIRRRLKQWGVSRTNPGPRELEDKIKELYFKQGLRDKEIIRALERDGIKISQSTLTT IWLRIGLQRRVVKPEDIQHTDDIVREAVREQLNTTALKSVYCNSFLKYFKKQIFSLAI YALIKGAKQF TSTA_065770 MPRGWGQDKAAARAPKRLASRYYQLKTGHAPIGAYLHRIQARDS PECKACGALRETVSHILFECRGRHKARRELYRGLAKAGVPLPTAAEDNPEARLLSEPK ATIALLQFVGSADLFGDKELRRTKCGFHHLIQISILPKIEFVLSVTLFDKLVVKDILD ESQELQDLSKDRDGAAEEAGHGSKSKFEHSEHAGDGGGGTCVAGAAAAASEENLDGWK TSTA_065780 MKLSLVPDISGAFTCPFSPNPQHQPSQEVPMGKGYEVFDAELMG VASALEWALERHLEGPIYVLLDAQAAINRLQSTAPGAGQSLALRAYGAASRLARSGRP VTIQWVPRHSGVEGNEQADQAAKRASIRPVGLGSEGLSLAFVRRACTEARRKAVEDWA RENAVQGAHRRGRAYKCRKLRSNYRRVYLG TSTA_065790 MKLYETLNDNEKEYDTLLVNYNKLAEEDNALKDDYAVLQIEFSD LQKELEELKKARDDLIADHDLVEILGAALVNRPSKSTKLPKGAKLSDGVDPIFDSWLI DMRHSLNSNEDHYDTPETRMAFVKRICEGKAARYLLPQMREDSLNPFVNVEDMFEHLK TIFHDVNRVVKAKNQLFTLQMKKDTHFQDFLAEFTELAQDSEINVAK TSTA_065800 MDEADNERLGWSGFVEACHKRANRLEDCPTNDNNTARNAGRPNR ATELKKLEKEGSAESESESENN TSTA_065810 MLGGNGFHRLVQQKKSNIFFTSLHEIDKIIDGKSKTEKELELEE IREKLPFLYLKDFANVFSKRDYHPLYKMSLEELEAVREYILDNLSKGFIKPSNAPFAS PIIMAEKPGGSLRFCVNYHKLNQLTKKDRYPLPLIDEVFERLNRACIFTKLDIRYGTY KYKVMPFGLINGPATFQRLVNDLFMDCLDQFLIAFIDDLLIYSENELEHEIHVKRVLE RLRNAGLQAALHKCEFHVTHTKFLGFILTSEGIEVDQEKVCAITQ TSTA_065820 MASVPVLCHYRLELPSRIETDASDGVIAAVFSQLQEDGQWHPVA YFSRTMTSAEFKYNIHDKEMLAIVAALKEWRAELVGLQREERFEILLDHLALQYFMTT KQLTGRQARWCELLHQYYFIIKHRPGKENTLADVLTRREGSKVNCKGQRAQLMLPKEC LGPSEICETPGGISNPVEESSNPEISEVELSPAEHQEDVVARVISANLKDVECEDLRE LARKGDDDWTLVNDVLRFRGRVYVPEDDDLRARLLDEIHRQPSTAHPGKNKMKILVRE RYYWKTWSKNVERTNTRRDLPPGLLQPLPIPARPWQYISMDFMTYPVDKGGYDTVFVI VDRFSKIPISVPCHKNIDAQGLARLWVKHTYPRTGPPDSIVSDRGPQFVSEFWGEVCR ILGIKVVLSMADHAQTDGQTKIANQYLSQRLQLFMNHFQDDWSE TSTA_065830 MSFDWSKPVPPKKLTANEKDAQAWMKQFQEQKAQADKYRREVNF DISDNVMVSTKRWDTGRPSKKLDHQAAGLFPIVGKVGHAYQLRLDDGINVHPVFALEK LRRALSSEPLPGQILDKPPPIEVNDQQEWEVDEILDSKLRWRKLHYRVKWTGHDPDPK WYLASNFKNAPRRLKAFHERYPEKPGPPVNLPKWLEAEENDVYLDDDPLDAQVAVIAE DNASSGAWGQAPIEGGDNVTAGAEAIQKMVRFADLRGLDRGHVIRVGREGARERATDI WDQG TSTA_065840 MDATISSPRSTIPPSQTRRSLLELSEKLRELADMQIKTETKDVE SVPEFRDLIHRLCHEEPAVAEAIAAAIREKIPEPSPRLSSKRKRRPDPSYRPSHPVQS VPRKKRCTDEENVVDERVTPEIRINSESPETKNTPDSMIRVDTAVLRPVPNHESKPDP HSTIAMDGEIAAKSETIHCSTRPTSPNRERTESPSVSEQDPAESVSFLDTVHQMVDIV HLLNRYQTDLPRTVHQRILQSLHTTQEPIMDSTAHQWSDGRMWMEVLERSSATNRRCS VLNMLEYIGATKWYDSQIEHAKRTVYTTKNKPVGEKGAATHVLDRITREYSLLSRKTI TNQCSRGKRLRELVEKVGLGILISPKIWEYTKRKGPQFNQLVQDFKADTQRLALFQIL TPQVEQLVHRGCTNPEALYRALSENNIISEDELQEMKAKHQSEPGSTSAVTLSKAVEL LTSQVSTQLFNKRKLDRDDTISINRSLELSIDLFARLRAGEWLDSWALMAAMRISDRP DCVRFSESIPLDIIGRHGQMRSIKRPFQGWAGKIAMFRREAENSTPLIFYCPVNHNNS HFTLLEINDSDKVIRHYDSRAPVTAINGTKKTRIAALVEDEFGDLGFRYTKAPTPQQR DGWSCGTRVVWNFRRLSNGFDIGSWDTVLSSERINMDIVSGLKASVESNAMQRYTRSR KRDLGNARTSAFLSDK TSTA_065850 MVILSFLSTFGNGQTIASGNLGPSCYISSTGEPHGCEQLTLDIQ TCQNNGIPILISLGGGSGSYSLQSEPEAELIGQNLWEAFGNTNGTGAVPRPFGTNVDL NNTYYITGAPQCVLPEPNMQEIIQGSIFDYLWVQFYNNPECSRHTLNYNFWVEFLKDT PPTGAKVFLGLPASPLAANGLHTGSQYYWEPTALAPVIRMYQNNSAWGGVMLWDAGHS STNINNHCTYAQQAQSILKSGTPCLGWATVNTKRAELLRTSGRRLFARKPIRV TSTA_065860 MDERTTDIAEESICGRDGLLYAPHPSNARVLDLGCGTGIWAVDV ANKYPEAFVIGVDLAEIQPFNRPQNCDFYAPRDFEDRWALGEDHWDVIHMQMGCGSVS SWPSLYRRVFMHLRPGGWFEQVEIDFTPRCEDGSLVHTSMHKWYQWFQEAMEMSWRPI GHSVKATVSILENQGYSGDLVRFGASVNALTTILKEDINPRDAMTISLISEYKPLFQS SDKEWALKAEIIIHQHLCYHEGKAHGGILAFLLDHMFADCCALMDRNRRAVTADLKLS YIRPVSPNLPTSFHVWVAKVEGRKIQMAGTVSLIDRELGEVVAVKAEALFIFLATS TSTA_065870 MTASLLTLDVKGAFDAMLPGRLIRRLREQGWPINLVLWIASFAT GRSVQIQLDGEIGPSTDITCGLPQGSPETQGTDLVT TSTA_065880 MARKGPGTDGPLQTALLESTSAATTRASEGQKIFSPIAVFLDKH RSQTTSLAPHLLRALTALSNDLALIAQQHFNAYISGISTISILPVLSPSPSSFPTLNP LLPSPPPSRLPSGLNQSTYATITQYALVKLTPITHPKAFIKNPMPLVKQPLPDIWLFI RLLADHAARKMEAYAIYSSLRSQLNLNSAALKEVQATKTGFALCPSSPEALLALEAQK ETISAFFVNCQIERSSQWVSYRVTNVPRKISQILDGQYSLIPVNPTLLSLEISETTGL KPISISETTISAANPDTLSLSWFLFNAHNAGNGIMHDPVHTSLNINYAAPQSILKRAM STAAQPQSLINALLDAYTAIDYIQLISQNTFYALKAILNILKLNK TSTA_065890 MAALYTIVATPSHGVIFTSPSRKIHTRKTFEDDAYAIALAGIET FNIGGKLYSDIAFGQLEQYYQNMSGQAIPFGGASGIIGLNYNSMQQPGFPSFMSAMKY QLTGTSQFKACREHSLTSRLEWKCTLDSSRKWQNGTWIFGSLESMDDRADIEWADRNV DQPAWRVNITAISAGSVDSTWSAFDNTYRYPYNATLPDFTFGIGNGTFTIPGTYMPYQ RDQAGTTCISIITGDNSTDSSHEYIFGAWWAQLGVLILDYEHSQVGFMNKSTPLPKFT TAALDIIAMN TSTA_065900 MDKIQSSSVTPPYRQKELPVEERVEDLLNRMQLDEKAGQLFLDT IQPAPNGNIGVESPLSLICSKRMSAFSIGGPIENAREIALWINRLQEYAMESRLGIPI ILAFDPRNHFSEDNIATGWRPGAFSQWPEPLGLAALRSPELIERFTAVVRQEYVAAGI RLALHPQIDLATEPRWSRIGATFGEDADLTARLVEGCIGGLQGRSTTLQEGSVSCTTK HFPGGGPQLDGEDPHFEFGREQVYSGNSWEYHLKPFRNAIRAGTSRIMPYYGLPVGTK YEQVGFAFNRGVITELLREELGFNGIVCTDWGLITDKKIFGEEMPARAWGVEHLTELE RVARLLEAGCDQLGGEARPELIVELVQSGRISEKRIDESVRRLLREKFLLGLFDNPFV DVDMAEKIVGNASFRAEGENAQRRSFTLLTNTHRVLPLSAEVQTTKKFYIEGINRLAA EERNMTVVEKPEEADVALLRLKAPFERRPGKFQALFHCGRLDFPAEEQSRQQAIYKTV PLVIVDLYLDRPAVVPEVAEQASAFMVNYGSSDRAFLDIVFAERGATPEGKLPFDMPR SMEAVTNSKEDLPFDTKDPIFKFGHGLHY TSTA_065910 MAEPQQTQKLVRDEEEPINAEKVELEETTQNLSVEYPRGLRLAL ILLSLYLSVFLVALVMLWDRTILATAIPKITDEFHSLDDIGWYGSAFLLPASSLVLLL GKLYAILNPKWVFIVLVMLFEIGSALCGAAPNSPALIIGRVVAGLGAAGIFSGAVIIL VGIVPLEKRPLYQGLFGAVFAVSSVAGPLLGGVFTDRVSWRWCFYINLPFGGVTLLVL ILFLDISRPKEQQNLDFYGFIQRIDLLGTAFFLPSIVCLLLAFEWEGTTYAWGNDRII ALFILAGFFFVAFILSHFRRTEYLTIPGRIAFQRSVAAAFIITICIGSTLMVMAYYIP IWFQVVQGASAVGSGVRLIAMVLAMVVGSVAGGGITFRSGYYTPCMIASTIISAVGCG MISTWKVNSSTGIWVGYQILFGFGLGLGMQQPNMAVQTILPKKDISTGVSYLFFGQSL GGSVFLSIAQNVYLEKLVHELRDTITNSNFNPAALTSAGATQIRNIVPPSILPQVLEI ENTALRSAFYIAVATSAFSFLPSLFMEWKSIKNGEGQGEKMKEVAGDANENKDP TSTA_065920 MGMVVKIQNITQAYTQAKTKLERLIIANLPIEMQDKYPPDSLLL VEGPLYGIPKAHHLNKLNMETSMYDPCLLISKLGDDEFRLVGMQTDDILLICTEKFSR AVAAQLQEKDRSDSDYEVLNKRLIWQAQNPERGLRYVPLNLAKARIMVFTDGSFANNR DLTSQIGFLITMVNEDFSQQGRFVATGNILHWQSAKCKRVTQSVLASEVYGLTAGFDH AFTIASTAKMITSCLDLPAMPVIICMDSFSLYECLDKLGTTKEKHLMIDIMALCQSYE KHEIHEIHWIHGDDNPADAFTKSSPNKALRDLVDSNKLTVRVEGFVERTGSD TSTA_065930 MAIKAVNDTVGPDGIVLTILVFGAYPRITTDSPPSANGPIITET LNLVPESEVKVWCEGDGWSGPYKVISVNGHDVTVDLGNGAVAFRATLVQQYLRDSKNE SDRLIRLPLSPPQEDLNCQDGRSQVNFDQTPRTRARVRLQDHPTNPNHYVETRGVHAL QTPEMPALPRRRGQPRGSKNKLKAYAEVFISKKERDDLELAIKLRREGKIATNGAPFE LSGKTEINSLIVNRTFKILHCADMDLRGIRIFNSHLVNEIKGKNKIPYEKS TSTA_065940 MDFEKGCMIELDSEEDDVKEEDDIEDDATAYFMINELQDHSFIH WISGYHDDIDQEGFHHKLEVRELDQSERKDGLLEPASQFVLEHHEGEIFQGILPDTGA AKVSTVGRRQLAALQRSYPEITVNRTRESIHSEGAVTITTLIGDVNFYIMNTLTSFLL CLDDMDKYGAYLDNIVNCMIKGDVQVPIIRKRGHPWFFLDKKQAPVTFLIEIEMRRLH CWFGHPAVDHLHKLLKRAGHDDMDYNNLVEIEKFCHHYQMNQQAPQHFKFTLNDDREF NYEIVVNVMYLDGKPVLHIVNWVTSFQAAQFLKSLSAKDTWEALRAVWINTYLGPPDI ILHNAGTNFAALEFKTEAKMMGIQCHQVPVEAHNAIRKVERYYALLCRAYNIILVELG ASVDKDVIL TSTA_065950 MTETGFNTVTGHKKIDPTLWEKDAGPGDSVEALVNYTIRAMYEA AISHYFDRELFKWLHDYFKEWTLVEFNKLDRTTRSKLKDFLQIRGVYLDHRAQLTPRS DTTPPAKRIENHNRDHLIGLPYSDKEKEETTLGRDPERRINNNPLQLETHVRDLDSRT PLTGANAVPIGTPAPSLIKISTTPPPRPSTSLTSARQLDEYMRLPPTEYEQEDIDPSL AAKFSKAWDKAESYSGERYDILDDKVLAFLRVCRLIGVQLTQYAYKAIKAYFDTDSNH QVYYQDWTSTTLKGILRNNPSKTLMEAVEILIEKLHLCQRALGNAYKGQEHLVAAVTR VCQDLPEMSDALSDPATNFETLVSRLRARAAVV TSTA_065960 MPKKRADSKHRYLDHFNKHIDQYIADYEGTEDDDEELPEELLLA ANDLILTDNYKSRPTHDASSTLFTATFFTTHKDNDTNHGPSITMELVNCSISHWIVLL FLKPDLETDLYKTNKATPKILTPKSSHVYLNEGCYSSESFKGIVIDTGAAQLSTAGYG QYLAYKRIIRNIDINTTTAGMATVQFGPGNPYQSIGSIDVPTPIGTIWFYILTTTTPF LISLLAIHFLYGITPTILTYLLYLTTTPAFSPILNYDAYTANLATLQLIDFAVRFWFT LHKDIDFNHSIIINIIYLDGDPVLHIDTLRKIWINTYLGPLDLVIIDARKTFISREFS QSTSAVGTIIKTELLDLPKDAALQMAVKAINDIAGSDGLVPTLLVFGAYPRMAMTEVQ DALNTCNGPASTAVHLLPINSDVRVWREGNTGYAGEWKGPYKLLSVEGETCIIQFSDG PKQFCITVVRLYYKALDENDQDTNSEHTNKEPEAPLGINSTPPTPQDDEPDTSTPQAR PAQRPQRNRQLPARYRD TSTA_065970 MMDTAITFETTTDSASYPQRYLKSCDACRTGKVRCLPDASSITG ACYRCEKSNRSCTFSPVKKRKSRKGTQYRIAELEREILAMRQSWNVNEKSTTSSPQSS MEQANVPTQNTFAVSVDNKTAFEHTRVSNSGHEVKAEQFSSLGPDVVDRGILCEEEAD ALVIAYRTHMSHTYSGVMISQDVSSSSMRRSTPVLWLAVVAAAAQERAPELWAKLNQE LRQILASFVFVDGSMSPELIQATFITLIFYNPPTQFSKHLFFQLADLAASMVIGLGFA SKEPPAVHGLEYSVLSDNGATCLFEKSRCLLAAYIYSTGLSIRSRRTSVLPYSKWIDE VIRFLEKSEKLSDKRLSKWAQLAHIAEDTADCLGFKDASVSIVIPATRLSPILGNFEK KMKEWYVNLDVGVRNDALKLDYHSTLMTFHELVLAGGKHDAADFKKSHFTFPEITLDD GVRDDLYTAPSFAIISILECISSAHDSLKIVTDMSIDQLRRAPNVQLFRAFYALIILL RVWLYVFKHNLEDQIQPQNLQLDFFIDTLSMRLMTASDLGKYKIPAMWSSMLEKRAKR CYCQLRDCLTVHLSGRMSNNSDMNSRAAQIAGKKANEASYSSTGQPWTLDAQWSELSS TKNYPSPCEEGYETQRSQASSAPSDPTSAFMLSNAMSVPELNYSFPLEPLGFADFELE DIDNLIHQLMP TSTA_065980 MATPEPTSPPRATSAAALEPSDSRQNSARLNRDERIRVLTLRDA GFTYLQISQQLQISYRQVQYTCQSQQATPKKARGNPPKLSEAEVHHIIEWITSSKRTR QLNLSIGKHALARALKKRGYTRCKALNKPPLSDKHKQVRLAWALEHLNWTTEQWNRIL WSDETWVTSGFHTRIWVTRKAGEELEGTCIRSSPARKRGWMFWATFHGNNKGPCLFWE KEWGTINSERYCQRVIPIIDGYIRLLRDDIWLQFMQDGAPGHASKETLEELHSRGIYP IYWPAFSPDLNPIEAVWNWMKDWIQEQYPDDEQLSYDRLREIVRAAWDALPEQFLKEL IDSMHARCQAVIDARGGHTKY TSTA_065990 MGNDTNKKEHLLVIFYQDLLEGIRDVVEQKFPNANLTIYRLGRA AVPKDILAKVTVVCTFGYFPDPSDVPNLKLVHFFNAGIDHALGQPLIAQTTIPITNSA GVAGPPMAEWTVMNWLTAAKGYDHMREAQRQHRWDRSTQYINVHDQVGKHVGILGYGS IGRQIGRLASALGMTVHAYTATSRVTPLSRKDTSFIIPGTGDPEGSVPSSWHHGTDKA SLHDFLALSLDHLVILLPRTPQTWHLLGVEEFKILSDNCSAKNSGRRPFVTSISRGSV IDQEALVAALKSGQLSGAALDVTDPEPLPPDNELWDMPNVHISPHMSAVGVEYIPRSL DVLKMNIERLEKGEALINEFTRGKWH TSTA_066000 MTRGAVICVNHGSGPMPVLGDEYHTDVTKSLQETIPRILRLGTP EAPRAILVITAHWSENYPTVSSADKHELYYDYRGFPPQSYSLKYDAPGSRRVAEEVGK ALENEGLRPVLDDKRGWDHGVFIPFMLINPLANVPLVQISVLLSENAQDHLNMGRAFE KLRDSNIAILGSGFSSVHNLPVMLKISRGFLPMSSFQANYEAWNKSLTGAVLEENADE RCRKLEKWRGFPHSDEMHPPARAEHFLPLLVCAGAAGDEKGEVLHDKFGEFDIYSYYW S TSTA_066010 MSAAQSAPHVLIIGGGLGGLALAQILRKQGISFEVFERDLNKEA RPTGWAIGLHSIIDELESAVPNDLPPLEPFVNHLQPLTLPAQLVFYTSPNLAERFGVE NSPSTRIVRANRRRLRDWLRINIPIYYNKKLVRVDETEAGVTAHFEDGISATGDILIG ADGIRSVVRQCLLHGEDIIKVAPVGNIIGELVLSDKDMIEQLQLGHSAWICNINVDDL IGVFGGVNEVHTSGKSGDYYWSVAWQDDTVPIHKSDYWTQTATKEELHSFAVQKVRRL PDKLRKIIEQTPVDGMKLKPILYYDVELNKGRIQVGRVMLLGDAAHSMYPFRGEGAIH ALVDAMSIGRTISQNRGSIVNTPDTQRILQDCQDEILERGAKAVRASRAVYFPEKEKV TFTGPRMAWGHPSRPIPVKTISV TSTA_066020 MDTRKNIVILKTTDDWRKWIEQLSTEAMKENVWEYINPDPNRMV LEPAPAKPMEPVAPEIDFSKTSEAQLLLQKYQIESNTYERQLSRYEKHQKRMKHMRSY ILDTVYIGHKPMIREISEVSEIIRKLKKELAPKPNRERALLIEQHRELIMTKNSLKPK ELIQKWRDLIIDMKFAKFTEIPDDRLTRDFIKQLRMFYPSSMRHGPPIISQFEQWEEV YTKSNPPSRRDIAMATFGDKSDQTEKEKQDTTPKQKTRTCICGQEHLFEDCPYVNSKK RTVNWKPDEAIQKKFEQLERRHGHPRAKMLQRIKKKLEKEGSSGTKVSFLTDAKNDNG NKESANLLYDSDEYIGILLNAKQPSLNPPISTALSASSRNLDIKEMTLLDSGATVHIT NKRDKLINMQSNVRTIMAGKTEIQMYGPGQYILHPTDPISDKVICKGIRILEMWYVEG FPTTIISMSQLRSHGIKYDGKTDRLWSERTNEDLCHVKCTGKLYLLEWNSNKNSKTSL SKELALSSFDKRILKDPAQVWHKRFAHVSNKSIENIEKATEGANITAPFQKRNEEGFE EKCEVCAITKIRKKISRVPMTPPTRPFQKLFVDIIVMNLAMNKDSYALHAVDPYTKFH ILTTTRTKSVNFNLENMIEEIEHTFKTRIEEIQLDGESSLNGISFRDYSQKRKIRLIV TVPDTPEQNGPSERAGGIISMKSRSLIQEANLPQGLWPEAMKAAVWILNRTPIKALGY KTAYEMAHGTKPYVGNLFLFGSKAYVRVDTKKSEKMALRAQIGFLVGYEAHNIWKVWT TGPNGSKVIRARDVIFDETKKYDPEHPFVKEIVREGVQRYVDNVDIPNLEDIEQNDII DSVDEDMNLQSMVSPVVSNIENTGGTLPHDSMDISRPGQALDIQQDVPQNMEIDEPTQ PDQDTMDIDHENPENEAQEATQIDNREKSVVKKLKIDSAGGVEHEDNIKEEVDEDKNI PSDKQLPQSSSPVTMERLSANHDAEKANNVNNDLPTPPQGASQHSSEKNESTGTQEPL STSRAQEINADLSESNIVTGPRIRVPSKRALSPESSSLSRKKHKKLSRAFLARQKLLQ DSTTDKILLAALEKLEKPLTEQLPPEPKNWTGVLRHKFKNQFIQAAKTEFEALNKKGT FEFVPRPQNKHILPLTWVFKYKFDKYGKLSKFKARICVRGDLQQPNELEKRAATLAAR NFRLMMALAAIFDLEIVQYDAVNAFINSLLDKEVYTLCPDGFKQSSKVIKLRRALYGL RRSPRLWQKELTTTLLSLGFVPIPDEECLFIKNGVLILFFVDDILVFYDKDKKQAIFE ETEKGLTSKYELRKMDKFEWFLNIRILRDRAQRKIWLCQDSYIAKIASQFNINTTNNV DTPISGNIEASKGEATNQEIHAYQELVGSALYASIMTRIDVAKAVNELAKHTKNPSIA HFQQIRRVIQYLYNTRFLAIEFSPPQNPEKDAFICASDASFGDNPDRTSSEGYLVQIY GGPVDWRATKQRLVTTSTTEAELRAATEAAKRLQVWKRVFRSIGFKPDRELSIQCDNK QTVALLTSEEPQFRTNLKHVDIYHHWLRQEISKKRLRIEWVDTKRMAADGLTKILRGQ PFLDWRKHQGLVNIGHLTQE TSTA_066030 MPEPSDSDLVSQPVTDPEKDGDVAEETNIADIDPNLVWWDGEND PNNPYNWPTWRKALNCGLVSALSFITPLTSSIFAPGVPQLMRDFHNDNTELASFVVSV FVLGFALGPLLVAPLSEIYGRLIVYHVCNVGFIAFLIACAVAPSLNSLIVFRFFSGAL GSAPLTNSGGTVADTIHQEKRGRVMSITLIGTLLGPAVGPVIGGFLANAKGWRWVFWL CTIVAGALGLAMFFCCSETYAPILLERKAQRLRKTTNNPLLRSKLDRNLSPRDYFIRS IVRPIKLLFLSPICTIFAVYLAIVYGYLYLLFTSFSEVFTTVYGFNTGTSGLSYLGIG VGNLCGLVYYSATSDRTMQRMAKMSKDTQDLKPEYRLPLLRVGAVLLPTGLFIYGWTV EYKVQWIVPILGTAIFGIGAILCMIPTITYLVDAFTIYAASAMAANTVIRSIAGSVLP LAGSKMYETLGYGWGNSLLGFIAACMIPVPWVIIRYGEFLRRKYSVENL TSTA_066040 MDTTTFLITDVQIFTGKFAIPCGYVYVVEGKIADYGCVPAPEKL LESQSAIKKLSMPDHKLLPGLIDAHMHADGGNELSLEQSLRFGVTTVLDLHNEPENMA KLKRLAAQNKNFSDFKCASLAAMISGGWPEAVMPRISQSPEAIAKVASWPKISSPSEA SKFVDDRISEGADYVKLMHESGGSMGFEVKLPSIELQKALVSAAHDHTMEILNAGVDG MAHTFCDKAITPELVQAYKTNSAWCSPTLVTIGSLTKQDRSIAERFAHDHRVQNLLDP SSKRNMCMCMGFGHETASVEYAYESVRQLKQAGIDILWLIILIQAHPLGLPGVSRNTM RCSCW TSTA_066050 MERKPNIAIIGAGPSGLTLARILHQHGIPTTIFERDESQNARKT EGGSLDLSLDGGQKAIRMAGIWEEYNKLARYEAEALKLIDRHGKVYLDSTGFDTGRPE IDRTELRRILLESLPKEYIRWNHHLKRVDPDGTLHLAHTIESGFDLIVGAEGTWSKVR NVLTPIQPFYSGVTVYETLYENVDEAHPEFAALVGEGSLLVIGNETGIHQMTQRQGDR SIRTYAYIRQPETWGSDVDWHDPKAAREALLPWFNDWDPQFTQFVTATENPVLARKLY ILPVGLRWPHKDNFTIIGDAAHVMTPFEGEGVNAAMRDSLELAQAIIANPTDIPQAVK NYEEIMWKIGEQKQKQTWDNMMRTLDYNGIEYLHDRLQHLMGMIKEGKMAKNGTPPNP KYSHILNTEAQILEVF TSTA_066060 MPPIRKKDSKDLIEQEGRILLAISDFQNGKIPKIAQAARIYNIP RTTLRNRLHGIEQRMLVQAAISEYGILPEDIYNFDETGFAMGLCATAKVITGSDRYAR PKLLQPGNREWVTAIEATNSTGWALPSFAATGLVPFNPDRVIQQLNIQLKTPTPPSKL IKQFTIILLTNTSKYTSIYTPVNYNNEAYK TSTA_066070 MPPIRKKDPLKSTQDEGKVELAISDLKNGRIRSIREAARIYMVA RTTLQDRMKGVPYRQITRANNHKLSQSEEDLLVKWVLDLTKRGLQPRHFLVRNMANYL LSQHGDQRVGDKWVYNLVQRRPEIESKFSRKYNYERAKCEDPKIIQGHFDRVRDIISE YGILPEDIYNFDETGFAMGLCATAKVITGSDRYAQPKLLQPGNREWVTAIEATNSTGW ALPSYVIFKAKKNVRLGWFDELPDDWRINIRDNGWTTDQIGLEWLKTHFIPLTSGRTL GTYICMPPHSSHLLQPLDVGCFAVLKRYYGQLVEQRMRLGFNHIDKIDFLTAFPQART VAYKAQTIRNSFAATGLVPFNPDRVLQHLNIQLKTPTPPPSRSSNTASSCLQTPQNIR QFVRQSTTINKRINKRTGSLNQNEEINQAVIRLSKAYEILANDALLVRKENRDLRAAH EKEKQKRKRSNKQIFY TSTA_066080 MAFKLYGSPLSGCTQRVLLVLAEKGVEDFELLPVNLMKGEHKMP NYTEKHPFGVIPLLEEGEFRLFESRAISRYLAIKYKDKGTSLVPSAGDWAGWALFEQW AAVESSNFHYYCEQILTQKMWNPYKGLPTVDAILDDATKRFEEKLDTFDKVLGTQEYL GGKEFSLIDIFYMPAVALLFRAGAGSLIELRPNWKAWWQRVSTRPSWEKVSASAAAAA AAMAGNK TSTA_066090 MAHSQFATNLWICLDNLEVATRLLSPSTGSSQEAFESFRTLAAG WPLRERLPHTKSGSVQIRWVPGHTKIPENEAADSAAKEGAASTPPSPCKSSYASLKRH AKTQSLSAAQTRWQTIAPQTYQDLEITTSPKRPGELQLNRLNLGHIIAARTGHGDFAD YHERFNHDDAHLLCRCGARKAPLHFFFCYIAKRRAPRPPGPPSEVISFLLGTAKEAQK LATWLAETRFFEDICPRQPLLST TSTA_066100 MIQHSSQRLILCIGASKDNSTTHLNRDFYIRIPSDLQRFFPRCE FLKVEQPLYRIPKAGNHWFRMYYEHYMKQLAMETSTYNPCLLHCRDLKQGFSIIGMQT DDTLIVADEAFA TSTA_066110 MDTSTAGSITIRFGAGNALQSLGSIDIETPVGNVRFYIIEAMTP FLFSIKDLDRLKVYYDNTKDLLICSEPYLTVPIVRRFGHPFLIWDYSLAIQLHKTLQR VGYDTHPKVIEEINKFCHHCQTHSRLLGRFHFTLQDNIEFNHSIIVDIMYINGKPIDT YLRPLDFIVTDAGKNFISKEFTQLASSVSIMMLISAVECYYAILHRSYEIISEEVPEL APELALQMAVKAVNDTAGPDGYMPTLLVFRVYPRMTEYSPPAPMVAQRAAAVKKAMTE VRRLHTVRQINNALNT TSTA_066120 MEEEQQAARRPYEAAIDKFIMESEGQPPTPGKRFELYLAEIDEP SQYDLPDRTKSEPTMATGYFTVATTDHAKFSPSLASELTNHSAAHYLSCLLGLLIDTG AVAFSTAGYA TSTA_066130 MDVKKNAIILKSSDDWRKWIEQLRSEASKENVWEYVDPSPNRMI YDPAPTKPVEPTYPVYEANQTVEQKNLMLTEFQIAWSIYERKLDRYEKHQKRMKEVRS YVLDTVDIGHRPLIRNISDVTEMVLKLKEKFAPKPNREKALLIRRHRELMNPKRGMKP KEFSKKWQELKVDMDLADFSEIPDERLARDFIEASEDILPKFHETWTNKLMEFDLGIE SSILLKEPQFDEVINAFDLWNEMYSKTTSPSRKDIAMATFGDKSDQPEKNQRSETTSK PESRKCLCEQDHRFEDCPYVNTEKRTANWKPDEDIQRKFDRLEKSRYNRLGKALRSVK EELQKKNKDKKKTGSSTDTKKNSENQESANLVYDSDEFVGLLNDTKPPVLQSVVSTVL SASGRNADLWDKTLYDTGATAHITNNRERLINMQSNVRMIQTGKIEIKMHGPGQYIVH PTDPIHDIVKRKGIRILEMWYIEGFPTTILSASKLREHGIRFDGKTERLWDEKTNSDL CRVKCDGKLFLIEWESNKNTRTSLSNELVFSSFEKNVLKDPAQVWHKRFAHIKTSSIA KIQEATEGAVVTKEISARNDEGFQQKCEVCELTKARKKISRVPMTPPTRPFQVLFVDI IVMNMAINKDSYALHAVDPYTKFHALATTRTKSVNFDLETLIEQIEHTFKTKVDEIHM DGESSLNGISFKEYCHKQKIKLTVTVPYTPEQNGPSERAGGIITMKSRSLLEEANLPP GLWPEAMKAAVWIMNRTPIESLGYMTPYEKAFGKKPYVGNLFLFGSKAYVKIDTKKSD KMALRAQIGFLVGYEAHNIWRIWVQGPSGSKVIRVRDVVFDETKKYDPDHPFAKEIVK EGITKYVGNIDIPNIDEADPNIVFDSVDDDMRLQQFSVSLGKTMTGGSTSPHEHANIE QPTQPLNMPQNMEIDSTSTESVEQTQIPQEMEIDTPTEPGNMEIDGNRTNQAQVDNRE NSMMEIGSAGGVEDKNEDKNKDEVDVMEKEAEDEDIPKLDGKTNNPTNQPRQLPNPER DSASQNASNQLDDNNDNMRTNQLITPPTTPPNQTTGQEAPRAQEISADLSESNIVAGS RIRKASNRALSPTSISAGPSKTSKRDRSPEPSPISRKRQRKLSRAFLARQKLLQDSTT DKIFLAAMEKLEEPFSVQLPPEPKNWKGVLRHKFKHQFTQAAKEEFEALKRKGTFEFV PKPQDKQILPLTWVFKYKFDKYGKLTTFKARICVRGDLQQPNDLEKRAATLAARNFRM MMAIAAIFDLEIVQYDAMNAFVNSILDEEVYTYFPDGFKQDGQVIKLRRALYGLRRSP RLWQKELTATLLNLGFTQIPDEECLFIKNGVVLLFYVDDILLFYDKATKQATFKEIEK GLMRKYELRKMKKFEWFLNIRITRDRAQRKIWLCQDSQITKMASKFGINATNNVKTPI SGNIEASTEQATNEEIHTYQELVGSALYVAVMTRVDVAKAVNELAKHTKNPSKAHFQQ IRRVIQYLYNTCSLAIEYSPLENVNMDAFICASDASFGDNPDRTSSEGYLVQLYGGPI DWRATKQRLVTTSTTEAELRAATEAAKRLQVWKRVFRSIGFKPDRELSIQCDNKQTVS LLTSEEPQFHMNLKHIDIYHHWLRQEVSKKRLRIEWVDTKRMVADGLTKVLHGQQFLD WRKHQGLVDIADLVQE TSTA_066140 MAITRQQELRKGMKPHRVSKRTIATKKSPNISNKYKLSSRIIDL IKQNATDEDIKSHIAIEEIARDCNYKTVWDWAEFLLWLYKNGGMSLDTKTSKSHQTVE DLMRKWEIPTPIKTVMRDIKFGKNLGGLP TSTA_066150 MAGNTTTPTSSTVGGNSDVTRLQLRIQELEAQLAAKQPKTPKPQ IFDGKRSELKNFLTQMDMHVAINAVSLGTEESKVVFVVTCLTGEAFQWIEPVLREYYN SEKKDWSDHTKEILGSFKTFKEKFQLAFGNIDKARNAERQLRQLRQTGSARQLAIKFK QIAMILDYSDDVLIAMFKNMLKEDVQVELIKMDRPDDINEFIEQAVKIDDKLYEIKQK RQEIQGWRRHGMALPANQRRHRPMPMELDATAEAKTYEKKKVRFDKTTVKCYNCNKIG HYAKECRSPRKERQIKATQENGFNEED TSTA_066160 MIDSGATGNYMDLRTQEKLQILGQKKPTLIPLTGLNGEKLSEEG ITDETGWLAMSIDGHLEMINFDIAKLGRDDVILGIPWLRKHNPEIQWDKGHLHLTRCR CGTTQTIKASETTESQEIDIQETMDTPQQDETKGRVIAEVTEEITLHEEQEMFRKLLE KAAKERQLASSMNVALPIEYEQFRDLFDRTYQALPDHNEWDHTIPLKEGKEPVPQKIY PVSGNEEEALKKYIEENLEKGYIQPSTSPAGYPVLFVKKKGTIDLRMCVDFRQLNNIT VKDSYPLPLITEIQDKVRNKKWFTKLDITDAYNCLRIKEGEEWKTAFKTKFGHFEYLV MPFRLTNAPATFQRYINNVISPYLHDFTITYLDDILIFSNSMEEHVKHVRLVLERLKT AKLQVKLKKCEFHVQETDFLGHRITQEGIQTEKEKVQAIRDWPQPRNLKELQSFIGLI NYYRRYIENYAKIMTPMFKLLKKEIPYEWNEEQQKAFEEAKKRLTTALILAQHDPELP TTLEIDASDFAIGATMTQPGTDGRPRLVAYYSRKLIDAELNYEIHDKELLAIVSALRH WRVYLEGATFPIRIITDHKNLTYFTTTKFTIEHCKGKENERADALSRRPDHEEGIKKP EPALLRLNKEGHLEYNPQVATLAATAETITDSELQDKIVEETAKDDLIQSLIENEDDK VIATETGLEIKAPDYSWQWIMMDHIVKLPESRGCDAILVIVDRMTKYAHFIPTTEGTN AEELAEELIDGAELLSMAQLVYNSAKHSTTGVSPFFANYGREPRLMGQPKFKESVNAT AEEKVQ TSTA_066170 MMSEDKVKCAACTRRGRPCERRFHSEKEWNDLKNAEDKVKSELE EAKIKLEKAFMAQQELFIKVRRLRKQKDFLKERGMSMQSHNQKMLEILDSKNPPSEAE VAAADAEIMREQLESRALAATVEEFDAWLASSEQFPSGSLDAVGNTSLELPQLQQGSQ TSTA_066170 MMSEDKVKCAACTRRGRPCERRFHSEKEWNDLKNAEDKVKSELE EAKIKLEKAFMAQQELFIKVRRLRKQKDFLKERGMSMQSHNQKMLEILDSKNPPSEAE VAAADAEIMREQLESRALAATVEEFDAWLASSEQFPSGSLDAVGNTSLELPQLQQGSQ TSTA_066170 MMSEDKVKCAACTRRGRPCERRFHSEKEWNDLKNAEDKVKSELE EAKIKLEKAFMAQQELFIKVRRLRKQKDFLKERGMSMQSHNQKMLEILDSKNPPSEAE VAAADAEIMREQLESRALAATVEEFDAWLASSEQFPSGSLDAVGNTSLELPQLQQGSQ TSTA_066180 MAGQHVILLMDNFSAHEAAVKEVTADLKHTALWKQQWVRYIIDE FDCGIDPLSTMTILRAVRWAVNIWEDQVTSTTITNCFKKALHDETEEEFESALLIYDL ENSLQDLKLTNWVQDVMDINQFLNSPDEQVNDTVMDIDNIVLSQFELPQTDELEEDVV EEPIPLITSQEAL TSTA_066190 MGRTKEQAAANFMAFQRTIPSSDIVIFSDGSRLADGRAGGSSQE IFEFFCTLAVAWPLRKRLPHTKSGSIQIRWVPGHAKIPENEAADLATKEGATLIPPAP HKSSYASLKRYAKTQSLSAAQSQWEKVAPQSYQDLEITTSPKRPGELQLNRLDLGHVI AAHTGYGDFTDYHKYFNYDDAYLLCQCRA TSTA_066200 MVPSVGLSSGGSLPSTPYPSQEDLEVYLEHTDPNILNPIRRFPD KVVEKENLVIHSTQDTTIAHRRIAAACILSSGDIILLLGTVDDVDQLTCKKDWIRAFG NEAHIQKCTWGVVVHGVNTNINPKQPQFITTLTSENAPVFAQLPASMNVTHTGWLLSE YKIKEQKLTNAHLVVIFNDERIANFVIQCGLIIKGRQHNVLIYDKVANLQQCFKCQMY KHIARHCQRQICCAYCAGSHDTGDCPTPKEKEYAKCANCTAENVHIKDPAKRLNMKHF AYARECPIRATCLAEAHQRRIYDPQYHTPPGAISPNDPTPAEAANTERSPRAPARIAT TRQSANSRKRSEPEPISPTSGDPTNRSSKKPRRAQWDKDLVIDVDPNPEPKTGPETQI KYTYNTRARQNTKPPPGTPVLQSDIAPLEISHVQAVRTVRQSKSVRTIPDDDSSEDEL TQPSIHEAPQEPIEPAQEADTLMTTNLEDSTWANNQ TSTA_066210 MHLFGESEVQNYDILAIQEPYINKYTNPLTTYSLALKGSFHILL QPTPKEEYKKRPQVCFYVNRGLDPATWEVQYHNRDLSTLTLHTAAHGTIHIHNVYNPG VNSNEESVINTLQTTMAPRTQHIVLGDFNRYHPLWAGPRYRHVDEEATKLINLIDEHR LEQLLPPGTITYERVNAKSTINLVWASHNLANWVVSCDTKPEWWYGADHVPISTQFDL TAVRVPPMVRKQWNATDWDLFLKLMDIYNWHPRELNDNEAINEAIRYLVEAINQAAEQ VTPTKQISIYSRAGYTPEMAKLKHHAWEDYAEARKEMKRRTNELARDLHRQRIEQATE SIDRFWRIARWVRNRGKPRATFTPILHYNNTSYTAPKEKAALFREVLHPEPPEADLSD IGPQYRYPKPYTMPPITLDEVRTAVTNVKPDKAPGPDGIPNLVLQRLLPTIKAYLVNL FNACLRQQYCPDHFRKSTTVILCKPGKPDYSDPKAYRPIALLSTTGKALESVLARRLS YLVEQYNLLPKQHIGGRRGRSCELAIHLLLEETHSAWREGSRVASGLALDVAGAFDNV NHIRLIHDLRKRQIKSFLSNRRTSITLLEGNMGEFLVNTGIPQGSPLSPILFLFFNAD LIEQILAECPDVIVLRYIDDIFIMTYRTSAAANCCTLTKVHQVAERWERTHASKFAPA KYQLTHFWRKHQMVPKPSGRLDVPLTIKEVEIKPTDSIKYLGVYLDTHLTGEVHVQEM RKKAAKLVAGLSLIAGSTWGTPLVHLRKIYMAVLQPQIIGFTGVQRAVEQAIRSIQDQ ALYQISGAFKRTSRQALEVCLHVPPAELTLVKLAEEACLRIMTSPLRSTLYQIHGHAH RNDPYTLLLHRLETAINRKLGHDTSQRIEIIYPFVVPPWWKPPEARIDDTHEEAIKAI EVISGTDTTI TSTA_066220 MGAALTMGLGQRELEGIDTALEILLRSQPCDDNPYEATIYTDNQ AAIRATCQPGRSSGQYILRRIVRHLGLLRDNRSRWRVRLQWVPGHEGVPGNEKADQLA KLAAVKATRRTQENACIARISAPNQTTPHAV TSTA_066230 MAIQTGVHHRTLPRPESCDPDDAAYVDDDELSSSSDDGSDYSDY TDDADDSEDGYSTPMTEPVSDVESHLLASQRPQKSPASTSNRSQIPAISPRLPKNRDE PDDDTDEDIANVPLDYGRSGKTKINGARIEERWHKYCGVKAVEDSALPKWSDPEVALR QVTTNDAHRFLNYCMKLKRGKNDRLLKGIKKASALRADWKSLQGYYRRITRTSFTDVQ NEEINAGIRSLIDKWNLDMEEREKTGVYVSDLTAFNETVLRTTEKRWHLGFERIQICL FTILGIFTLNRISALLSLQFKHLQFSIQRDPLGGPPIPMVELRAAHTKQFLGTEQHNN FPFPEVVDDPTLIFSPHVFLFGILFHLDAFEADGLRSMEDVRGLLVAEGCEQMELYLK PDIEEYFLFAMTKVVGGIPTVQWETPINPSTMSSRLQTLGEIHGWLHTFFAHRMRYGG GKKLNESGCVSEAQQNLIMKHASIRTFLNHYLPRNIDTDMQNIMNGRKPNTVLMHAIR RISRWIDKRRPRTISEQDRAELYQHPEYLAAVRERDEQAILCQQNPSEQNQSWLNQLD ADVDRIFRRLCRVRRKEVRAAFSRKQAKIDIERQRSGSAFHNEETKHNVQTVAQIPPE LSRLLEKLFTWPTSHSLDDEWARRNAATKAVTEYCSVWEGGPIRGRPKRASPSDDELD QIKPSKRVAKAAPTSSVPTSDSRDPLEEARQHIIRVGQQDRKKPNAEKPIICFQCFGN QLLPEHKRIQKWSRPDATVRHFRDKHLPDRRCNFCNDGEIFLHQMHLQNHAAAVHRLV TGSRGC TSTA_066240 MELPQPQAEEAVSSNDRVGKKQRDREEEAGYIMDDLKSGKSVLL LQETPKAKTSHCQSWRCMPRKRTGKPVIKSHYRFMLKDISVPSKRKAEYYHVTCLERL LPDLSALVRDGHLKMDGWISAPVDSNVCLESSAEMVADWFKYGGRTFDLGCYANFRKD HREWDNDCSTRWINHHLGHGEQPDNACNYCQSLPDPEEPKKEDYFPDDPSVISLSQML ASMSGQANIDKCGRRRRRA TSTA_066250 METQQPPTPAQIDNWHDLGFIYGVEEGIATGAPTDILLESPASP PSTIPFDEDSPPRQLPSERVPSGEEVGEAAGSPSPVIEISDDEENHHTRPRRRRRGRP DYAYRDYQDTMEHAISAPPLSKRKREDSDVVDFGSKIKRFVKDITEPYAALNEENKRL KQERDEWRKKEKQFQNQIQFLERQNEEQRRRNILKCALCHRTFNDSWKVLGCGHTLCE DCVKDIKSKGFVFEYPCPYPACKKPIQSCQDFYPNVVEA TSTA_066260 MEAHQLSTQRLNQQAAKEMRYINPDTPLPSIEPESYLEPDLIFD EDHPDIKLRSAPIFIDDGVIVGFWYTDRGGNGKRPVYCFINEGFKFAYRKGDSFILTT DDDFDLLDDLGDWLDEYAEDKDAMSPEAVKVWADMMWESRVYAGTQEMTLEY TSTA_066270 MDIISIYAITAGGVFVALFLISILPYLCQLTKSLEVYISRYLIF PLFLRRHRFVGPWTRGAVLIHLLYTGVNLFCLCFGVSSPTEFADRSGTLAVVNMVASY TAGHVSFYSDILSISRHTCLQIHRATAWMVSGLLVLHVVLISTIQRGLSLDRTSNLFA LIGAGCVVGLAVFALPYIHRHIFEVFLRTHQVLAYFLLYATWRHVGSDNRLSRICIIT TFVLLSMALLLQLGFLIYRNKIFSLHGWPRARVSCNRPKIEGKDDSDVIIQVRVALTR PARIEAGQYINLWMPSVTWWSWAQVHPFMVTSWSHSAQEALDILIQPRHGFSRELLKH ARAAPQGSASLRALIIGPHGMSENVDRYESVVLVASGFGIAAAIPYLKKLVYSYNTSA SRTRRVHLVWEVETLDIAIAVQATLNSLLEDDVLKKRYILTISIYVKSGQIIGDVMKF GNHDRAVVYNGNANYDQILQAEMSGELIERLPNANEEKGESVVMGKRRPREPIYVC TSTA_066280 MENNDILERFRTFDLPKLWTVTYPWRQASYAGNGDLVASDTTLK PQTEAELKQAVEHHLDWYNSGPSCFLSMFSDWRHCRNWASQRGDAGVYIIEVDITQLN HSYVFQAKHVTSELGILYSRSLEHEYFILHRIPRNAMKSRISLGEAEERGMMPIFDMG NDVPSTLACIGLMIFMDIMTLMRSAKCTMQMMTSWTYSKGTTEIDLLHFWPDPVSRSW GIPDIYAF TSTA_066280 MENNDILERFRTFDLPKLWTVTYPWRQASYAGNGDLVASDTTLK PQTEAELKQAVEHHLDWYNSGPSCFLSMFSDWRHCRNWASQRGDAGVYIIEVDITQLN HSYVFQAKHVTSELGILYSRSLEHEYFILHRIPRNAMKSRISLGEAEERDADIRYGKR RPFNPCLHWVDDLHGYYDTDEECEMHNANDDIMDLLEGDD TSTA_066290 MASMELALAALRSADPGEKPNISLVARTYGVSQSGLYKRFHGVT GSKEEQYDKQRILTTTQSRALIKWINQLTERGLPPTNSMLANFAREISGKEPGKNWAS RWLKAHSDKVISRYSTGLDSDRKKADSAYKYALYFELIGRKIRQYNLGPEQIYNMDEK GFMLGVSTKRKRIFTRRKYEQGGYKQHLQDGNRKWITTIGSFRTTGLYPFDPEIPAIS SESGASIIPPEDWRRLEKLVKTVVNNIYDEKAIQLRETVSHLSTQLILLQNENQGLKR ALINSKKPKKKKQPLLLGLPSEQDGGALFMSPTKVQQARDIISQKNDEAAQKQAHKDD KKLQQQLKKQAREAEKVERAQIRQEKREQREQEAAEKQRLKDEQELAKLADLQLQNDV IATPKASKRPTKQISRQAKPRAQPEAHVEDNEVVVTTNRRGRAIRPPALLSSLSFLCP SFPETLCAFPTTELEKMVFQYLLDCISSTLAAKPPLAQPSSSPSPASIASDILTIILA ADSPYTLHKHLNERLSTESWSEDIATALLLGLENAIKTGAQMAKASLDALAQAKDTAI GFAEDHPVYATLIALGILAILMPWALEILGFGDLGPIEGSFAALWQSKYAGYVPKKSL FSYFQRLGMKWHWIV TSTA_066300 MKVMTGFMTTLVGVNTTLYRFYRKRSRCQCSGGFYGNALQAASA GGHLDVVQALLKAGADVNARGGKYSTALCAATEKGHQEIVQMLLSNGAQDYFTAIPNV VSTAHKSTHLLSLDSENTSV TSTA_066310 MDYTGENDNWELFHNHFHLRVDTARAYTEEVRKLCSNALEASNI HFPPITSRIKSWQSAKGSVSRRNRERVIRRHIRDLVESQGRRWEDYTRETGFSLYGEE TEPFKSPEEMFSALHDFGGDIERVAAVINRQFTVIRQIEKGHGPPSNVQSLEDRLDLL QNSERRTQAAINTSVLQRSMRTFTGYKATHFVVKLRDEHIQECDKHAWKDIVVEIQVG TLVMHVWSEIEHDMIYKPLDSQDGAVSEDEKRILDLINGIVLTGEAALRQLEASTAQR LNKRAEDENAMASSHYELATWIEKYFKEQKLSFEGPGSEWKNLERLFAVLKLTGSQKH SKVTELIEDATQRTRNRHYLPTEMLWALCKESTTSEWPAINNNTSEDTIAKKARLWAL RLVHSLNLAIYFGVAEEFLCVEALPSAPSITSLLDILHPEQPQYTSNEAAQKIKKLCK AIVSSEHRRPEPLNNLVKVAMNLPVKHLVGGFTEGTACIFPIPAIITRLFPLEATTEE NASSETDELFQILDLIDLSMSSHGDPNNFMVIWDLVTPESMNVTERKPIKSRFFVPVM SPEDKFFGHWELVDQDLNIGIMNPSDIGKSARLLEQFEIPEHVLRLAYRLHPEQAWHR LGEAWGIIKPLLRRRRSAGSGVEHRLPEAPGARTWASARIERPQQGNNTFSSKMGKTI TLQPQNVKGDDVPTLLTGWDGY TSTA_066320 MDTSYSDYVTALARQNPSLKRLSDFLRHQLRTSCNSLVTYVEIG TTGDVGIAQETSTTKLIDLVNSGLNKSIIVTVENIHPDDVESLGSCLDIDPFFFCGHI APSYADIERNPLPSLLALPPSRLVSGSFINIHYQKLLDLGDEVALSRVPYDLALMANV TRSVRRLPALSGRSIGILRACTSLIKKDLPGGVWISLILIDPTSEILVHPIRGIHDIF FGPIIPQSPRRIATETFCKPSYAEHQSASSGNRNIEPLKPSEEPLSFFHASNLRWRGG NPSILALAYNPIQAVVQEWILYALLMGRYVKYYEYSSETVQMRRESFEEYDIIELHRW RRRSLQSLHKLGIVRRFVEHWASKEKNLSLDMGVTNKATTQEESVIWNLLIGDIKYVE EQIMQHARSLEALNPIITALIQLADTKRAISQAEDTRRLTYIAIIFLPMSFLTGLFSM SEPYGPGSDRFWIYWVVALPLTAFIIVVLVLDLRFRFLSIFWAIMQKRNSLPRGKRE TSTA_066330 MEPFLFTDTELQVGTERSRKYLGTAKIDLDHISFHPDSSPTIDP KNIDRLREIFRSEGCRRYEIQNHITGVVSRESLQAALRAAHKAQDELITTTPQSIPHL QFSAGQVLCLHGQHRVRAGAEVLLGEDRWWTVDLYLNDISTELRTALIEEYANERRPN DGEIYRKIRQYQQEHNAHFQRRWWVRLSSSKARRLQQLHKNIDIQCAFDALLPISGVW DGMSIGKLSKVMALDSDKEVLNYLSHIKKFWVELVSVDAAHPNLAAMRKIDSHTVKKL ESMAPGRSRVDARTVRGWVISGEVLEEFSETERSSMWERMQQFDGLIPSLHTFFRDMD YLEACADAVKRLFPLSKTHPTLWSAMSHSYARPAASGDDCLIQTIESQLSRRPSDNVN HLELAYRQVWLYAMRHYPSMSKDPESDDLLTRPASEKADETVVYEMAVLAQKLGFMSA GIKEIIDQSPDRQIAVDCLLKARKPESYQYSAADLERSVRRIVECFAAATPRELPLHS RPVMTLAVNRRARGGLPSRQAQKNDRRSLFLDHLHREVSATEKVSTWFVRRSVYFAFF GRCFSPPPDAPSERTASVANSASPRSPLFVPDDASEGGSDTRMDGTLLVTETEEVSPE AVPGITDPRRLSRDASAVAEQERLRQEAARVATEAAEQERLQREAMVAEQVRLQREAA EQERREQEERVRIEQERLQKEAEARAAAEMAEQERLRREAERVAAEAEQERLQREAQE RAAAEAAEQERREQEAIAAEQVRLQGEAEERAANEAAQQERLRQIQHEAEEQTAEQER IRREAEAAEQSRLEREAEERAAEEEKGRLAVAAEQERLRQDAAERAAAEEERIAQERA VALAHLEQNDDHISTPTDAVPLERLSSTTQTDITPDLPSLITQLRETSDPLDEDDNAR VGPHGIATHNSLENARQAALDSYAVDVPTDDGSPPRAITAGLEPITEEAEVSNPSPSV IIDDDRQRQLREQIAERIRANRENVANAKRKSLENEDHFTQTRSAEDEDLYEPDEEVV TAPPERLTEATVSPEGASEVMETDRAPTAIPAASASMAASFNPSFPRDTLLEFETIMA NAPAWPGTQETSPGNIGPDSSATTEQAAPPASGLARLWRSRNPRRNETSDPRRQLPPL PDDLGADSMIFWVWRANKWREMERVTLEESDPLRAVRVALRYERDEPVEFVDRNMHAI AAAKCVEVALEEGTRSIFLLRKDGPLERPITRAMAMAADDIAKETALTRGRKRGR TSTA_066340 MSSKSDKHKTAFDLVSKVVQNPAIQTPLQNVPENEAIEPSNKQE HSSSRENLDQAPSHGQRSLLKLKNESPWNSYRKEFGCELAGDAVAVAHSKNPSKVLLL RSYPDAISSKMLQWFSQHQHRHIMSAKEAYFFKSSLHIICEDLPLTLENLTICRAYPT EAQLAVIMRQILEGLSYLGSQGLEHQALKSLNILMNLDGIVKIGSLEDVHARDQNRDQ RATLDAIKTIAMELMEKHTKKNGTTGVNDLNRWPVDSNAVKFLAAIDSVSTVNELRKH QLIRQCTATEGELVGLARFALVSTRTFYSYP TSTA_066350 MGRIPEFLDRAITANPELGLVVCQFIEAVSQFDKTGQKLARLVR EIDGAELSCFRGIKIRRPKLQQRGDLSRPHHDPHIAEPDHIPDPPCINPVLLEKQGEP LSEGLRSHGSDDRLVRLTSVDLGRNLNNDSLPSTDTMRNLEDNGNPDTSSSNVRGIAA ISSLTSIETADYSTPEIKKVATRANMQPSVYDVSGEMDNIILQTGRASPTGCDENMQA SVEDVNDEGEEIVPQKKRVRPTGDGENMQASVEDVSCEGNDIFPQKKRVRPTGDGGRL RQPGPRKKPPLKKSSEAKHARSTAQKISNQQADASRSVTRYSAYDQMRCLFGSGKAEK EELSESSFIRVLALYAKSSRPDLATFLENLSNLWRTNRFWSPDALHLSMPEELPLGSS CLRLFRYDWDLGKETGINVIRRRFVQLKLHLSFVRLCNEMTNPKSPCFKTRLNSRRIA SHAIDYLMKLDGSNGYSDDPLAPKDRGRFAKTNAKGRRWYLISHYIGWGSLIIFNNID TTLAKVDLIELEAFITYVLNTQPRVVALCHKYEQPVKDLLNGRKPALTLTEQVTSNIG GLPHAIDHNEGAKTHWQRINTKIDTDVSEVLSHD TSTA_066360 MGKSAALASYLTELDAGRISRRLRSRSQSTSTDRGRARSVSPSA SASSRDAKSYAYKAANYVTTLETKGCFMRHSPAGPTPDDIALCQRLLHQPVEIPRGLF DDEFIEDFHNALRNRSEARLLVDLHPLLMPSAENRFIQGKDELKAVIDGYNDPWLKTE PIYGPKPQPDHARGLRWSTFSDNQRRKLGIKPDEKSLYAVREDMYFPYLTSKIKCGNQ ALEFADRQNMHSMCIALRAVVSLAEAAGRLGEVNRRLLGFSVSHELEGVRIYGYYPEI GEDGVKYYRWLVKQFNIWADGDKWACYRFVENLDRDFLPIHTDRLMRLLEEIPDPQEL PFELDVDDDISSQSRIWSQERPSYSRAPSVQNRALQPELRSMIQTLQQQLEEQNLDHS LTNLFQD TSTA_066370 MAPIKPKNGDTQSSRQRAIDEDAIALELAQEELKLVYQSVEELL NVMRNGNCQQLEAVFAAARKGVPQDEILATVRQYNQDKERADSNCFWLCQYAPSRTRS KPDTLQANAHTTHKLFLASEAGERSQKQLMSGLEHIWLGAQLAWSTLHAGAKPESKAR NSLCPAWSTSGLEHIWLGAHLAWSTSGLEHSWLGANCTLERSRRAKPGTAYVRLGAHL AWSMFGLEHVWLGACLAWSTFGLEHVWLEAINSL TSTA_066380 MRPLTRHYHQEESLPATFCDHRHPMHTERSIRSRKRSLSEQIPA DAPPEQLLKKQKVKHPSGSLLPAAFWDNLSKVWLTHNALRELDRRNTQISAIQATRSS RPVTSKDRKDIRRFARQGGPDLSELRGYPPPTMKSHRPYRIQKRSVSASRGSSTSRST KPSTTKSSGPYDRDFHQHLIDHGIFPHRYRFPDGHMPSKPGNWDNILARLAQPRPSLS PSRFADEDFEEFLQMDTDASKEKQVTENVIPFIEGKNPDSKCIGGGIPFRNLDHLTDG TLVPGNPDRYHGARPEQLDRRIRIELSDQIVPSTQHDLPIAPNFFLAAKGPDGSASVA KRQACYDGALGARGMHSLQEYGKDEPVFDNNAYTISSIYHDGTLKMFTSHPSRSTTAN RTEYYMTQIKGWSMTSDPDAFREGATWYRNGRDWAKEQRDDAINRASEKAAQSTIEPT AVNTSFSTVCEISSTESECITEQSYFSFNGTNTTETHSLQSTRSLSPKPA TSTA_066390 MDTSNATTSFPIQPTPSQISIVDVFFPGFGTIFSSMQYLLAGNL DIYVRLLGICGMITVLGRYGFRYLMEFVETYFTSTVTVPHHDEAYDMLLTWISTQPFA DEVKSSLASVGPRQRRVFAVDDSSGYKKKALTYAPWKGSFYFWYKNHLLQLSCTVKEG VFNPQEELRLSCIGRSPKIAKELLEEGRTEYLKRIQKKTSVFEHENGEWKKVVSRDIR PIATVIMNEDDKEALVKDIEDFLSEETRSWYASRGIQYKRGFLWYGPPGTGKSSFSFS IAGRFELDIYVLSIPKVDDSGLASLFAKLPPHCIVLLEDVDAVGTARTERPETPRSPG GSSTVSSGGGRSPGKLSMSGLLNALDGVASAEGRVLIMTTNHIENLDRALVRPGRVDQ KVLFPLADKDLIFRLFCTIFKQLDGDQTIGKKDDDEHDTIERLAEEFASKMPSDEFSP AEILELLVKNKHSPANAVVSVAEWVVKARKERSKLQRENSWVQNI TSTA_066400 MASTALTVDVARKSLLEKGYFDLNDSTVGDRVLEMEKQGFPYLT EYGMDFCKQFAFDERVRSLLENCLERCSLGHWLRYEEFPGHVECFRRGGPKAGLRVLV VHLLAKGSRVEYYTFSHLHDLVTTKGRRSLYEIPKSELERVGSKPEVKDFPDGGLVIL DARLGFQIDQGYAITFLFATDEVVANWAKMILPYSEGLRHKVRELEMLSTKIRLNFRF EGSGGTGG TSTA_066400 MASTALTVDVARKSLLEKGYFDLNDSTVGDRVLEMEKQGFPYLT EYGMDFCKQFAFDERVRSLLENCLERCSLGHWLRYEEFPGHVECFRRGGPKAGLRVLV VHLLAKGSRVEYYTFSHLHDLVTTKGRRSLYEIPKSELERVGSKPEVKDFPDGGFNPR CQAWLPDRPRICHYVFICHG TSTA_066410 MSSIQPQCACSAFFATEGHLREHLEEYRSREQHLQAELERCRTH LRISGDDFQNRNDNYRGESDANQNSYIKEANLTRTINHVGAPKGHFCPSCKRGKPFPK MQGLRRHFQQHVTCEEVCVCCFKVFRTVSEFIRHADKHDGAGERKQAFIKKTCDELRD QSDKQLALATSQSRSVVVAGEKRTWDVAALSSEFLEAQPQLDRTGVKTLNHHHITEGD SLNHLDPTCIGLSAPPISMQSPMVIPRLTMTTKPVNNNSSPHMSTEGVYFNTGEGQIQ GLDLLQDFDAPILQIMNSVPALTAGWTVEDAIVDITANTDAPVYSTQ TSTA_066410 MQGLRRHFQQHVTCEEVCVCCFKVFRTVSEFIRHADKHDGAGER KQAFIKKTCDELRDQSDKQLALATSQSRSVVVAGEKRTWDVAALSSEFLEAQPQLDRT GVKTLNHHHITEGDSLNHLDPTCIGLSAPPISMQSPMVIPRLTMTTKPVNNNSSPHMS TEGVYFNTGEGQIQGLDLLQDFDAPILQIMNSVPALTAGWTVEDAIVDITANTDAPVY STQ TSTA_066410 MQGLRRHFQQHVTCEEVCVCCFKVFRTVSEFIRHADKHDGAGER KQAFIKKTCDELRDQSDKQLALATSQSRSVVVAGEKRTWDVAALSSEFLEAQPQLDRT GVKTLNHHHITEGDSLNHLDPTCIGLSAPPISMQSPMVIPRLTMTTKPVNNNSSPHMS TEGVYFNTGEGQIQGLDLLQDFDAPILQIMNSVPALTAGWTVEDAIVDITANTDAPVY STQ TSTA_066420 MTRQVLTRVNQWLTNNLRGVRGQVRSAYKIEKVLQEEEFPPRRV YLATANNHKFILKYIHEINYDDLQDINNKLRDGGDHVRLAQDTIPEQSMFVFEYFTDH LLHLAQKDLPTETRKKILKDALQGIAELHDHDIVHTDIKADNIFIDWKSGQNGITINR VQLGDLEDAAYIPPGSHMIGKQAGNWMWRSPEAHASGPVNKPSDIFSFALVCIYAMHR RVIFAVGDDELEDGVDRLAIVIERQISYFADLDGLDGLFKYLGDNPWVRIFEVTRDGF NKENPRRPFTLWKDADEDFKSLMSAMTNFDPEKRITAREALKHKWFEGI TSTA_066430 MYDPRAYNSLPSLRQAAERLDGHATKHLFGEIRQVFLDNNAHEK YGISVLHKHFPIGEEDRLVDCRHTPTAWKVLPFLDSARSQFAVCIGGITLFRLTEEEM FVARVEKFGECRISSLLYFEVQVPFRFLSSSFRFFSSSFCFLKTLAYFM TSTA_066440 MASSYHDSKAQAQVTPPDSPPQGIPSDENRIQLYANAIRGLFAD ETQRPPDNGLEPITKNELIGLLEAALASRESLNPSGIGHASLEQDSDNTTHDKYGNSQ SWDAITDIDDCPQDTCRFSGTKLDARSATMPSDSPHVGPSNFITKEELKWLLAEVLGI QSAQPTSDGKDSSSNEKPEEQDRTRARICASKAEYKTVKEVWDSAKYAYKITISTPIP EVDELDEYMFVIRERSHKHTEELIVYVDIKSPGLSCILRTILKDIRTVNLDADRPAIE RDLLYHYLDELRDHLKSSRSQEATLDDAMLHLSKLIQYLEETYAPVAEQLKLFQESQR ITWDLVWALLKPGTLVFATCPSTGLPRCIRYDYIEKKTIRGREVLEVNGRYLDYDGEV FGESTETLQIGSFRGTKQIQTLPIYPLNYHTDPNIWSRLVCNGRHFVSLIGSHHREYC GNMFILDENTLLKLPVHGRIMVDAAVFRKMVPNHPRLDIKKPDTVDFFFGHMKEGGPE SRIQGKNIDLRELKEEDLAICSPTVLGFSLKEKIWGEYYQARNREFGVESVKEITFSN APFDMLTVPEAKKKVIKSLAESRVSTRYEGTFDDIITGKGRGVIILLHGPPGVGKTLT AEAISERLQRPLYSISSGDLSIRAEELEVQLTRTFQVASDWKAVLLLDEADVYLQKRD GFHLERNRLVATFLRTLEYYDGIFFLTTNMLGDFDSAILDRIQLKLQYDDLDRSARKS VFQHFLREHSADIEEEALIQFSEVKLNGRQIKNVVKIAHNVAMSENTGVHSSHLRLAL SQSGYSIPTQGVLASDDSLYQ TSTA_066450 MFCLPPLSIFSFWSRWLAFMNDKPSLEDDLVSVPDPMDWLKHLS DCVQEEGIAEIGVLEKVEIETLPAKYEGDHTASFWLHPRRLQDVPRIDGFYKATVRYD SKEYKVERYGQIPWSAKGSLPVSIPYAEAKTLIPDLPELPPNIFAAIFNSLIRR TSTA_066460 MEICEQSEIFVEEGDDYVFDHTKFILQEKEKGSYFYSETKDRLY PSVPIDINKLRPIPMRDFWPPFDRSLTRAPDPLPGNHYIKRPSLLYYRDGQAQDLSNQ ILDEAKVCEILRNNPHPNIAEYLGCIEKNGRIKGLCFVKYSMDLIQRVETGRPLDSDY YLQSIESGIRHLHALGLIHNDINPRNIMVGEDDRPIIIDFDSCKQEGEELGKSGTPGW AIENAQHARQENDFFSFCMIKKYLLDNCT TSTA_066470 MFSITFSAGTQRLLQRFSQLAQNGQSDTYGNSQPWDAATEIDDC PQELYRFSRTGLDARSVEATTPPNSPHVAPSNFITKEELKWLFAEVLGIQSAQPTSDG KDSSSNEKPEEQGNDGARIRASKVEYKTVNEVWDSAKYEYKIINSTPVPDVDELDEYI FVIRKRSHKQTKELIVYVDIKSPGLRKTETSHQGLG TSTA_066480 MAVEATAIVSAATENTGQQPQCRSGSKGLEDNLRKQPPANANGM LTYRGHCLGIRSDNQQHVSHNGEASPGISAGFLEHERSCSAYQETTERINGLESNTMH AALSSDSRSGTAIPADTAHLDDMDLLHASSAAPNLTMSPNITLITESVKNQGVLGEKR TPDPDSSPSDMILSESRETSLVVRNSEDNEDIPSSLGGEHSTTNTALGCSQDPSLSSR GEQNGDCLGENNTVTVVIPAARPPKPHAKRSAKRPYRRRPKRQTSRTAPFDEEDDSDD PDDDDYVEQTPQVDDRYRPTKKPRQLPVTNSDRSDVGAAVGFQLGGLSLPDLRTVQRG VLTCEFFPSQIMYSFSWAEDRGCSDDCPPNDDNTLSKGDGRSEMNGIQGWDLDTSSKD VEDEATENIDDNQPNSRLNSRKPGCGGKRRRKKAWTVEEDARLKLLKEKDNLSWSQIL KHFPNRTEGALQFRYSKYIKNSTSRPSVTPSHDVTDRNITLPSSPHSSCQQHEDRPSQ SATELTLRSRYGPARCRRTVERYSP TSTA_066490 MSNRLLIFGLSGIYTLYACIGAGYEALAASVVLGIGEFRKNYGE PFEGGYVMPASWQLAFTAAAQIGIVFGGLLTGPLIHRFGRQLGIGLAEIIVIAGVLLQ WFSNGNRALFFVGKLIVGCPIGIFSVLVPGYCSEVTPIALRGAVTATVNWSIGFGQLL AYIVMTQTINIQGPNAYKILFAVQWMFAGIGLLLLPFFLESPYHLVAKNKIEEARSVI KKLYSKDMNAEHYLEEIRMTLAQRQVENSQQLGYKHCFTKENRMRTLVACSTFFIQAN SGIIWVGGYVTYFLELAGMPSGLSANVSTGMLGLAVVGNMFGWFLIEKIGRRGTSLWG TGLLSVVLFMIGIMSVINKKGSLWAQVAFMALWNFLYQATIGAVAWPIIAEVPSSTVR SSTMSLAVMTNEASNTVWSFALPYVVNPDEANLGGKIAFVFGSILVLCTVFVFFTYPE TQGRRFVDIDELYKRGISPRRFRSFDLNLQSTTRDVEARTKD TSTA_066500 MLRQSDIAEKPRQNWVSAWYAAPQEMPSASLEGRTLRQIAFLHA EGEQIRVHLSNRYGHGSVTLSSMSVGIVIQGPIVRESRPLRFAGKATLTLSPGQEVEF TNLAVTFVTQGDCTTGHLTAQQTSYVSGRSDVSCCPSEFSWLAYPVQTSSYWLLSGVD VLPREPIKAIVAFGSSTTDGACSTLNANRLWTDYLALRLSVAGETCFMSVINASISGN TLTAIERPQDEYFAIPQFLFGEPGQVRLAWDACTHTGATDLIVNIGSNDLRLGVTADV LIEAYKQLVQLSRKSLRRIFGTTILPGGYTPEQAEQRRLVNQWLLDEGLQWLDAVFDL ATPLRSLENEDILHAEFDSGDGVHPNDEGYRLMAEAIDISKLSGSEKTQD TSTA_066510 MATNEAAYLLEPHQIPLQIRDAPCPSSVEPNTIVVKNHTAYNPF PLKYPFILGQDVAGEVVDVGQGVEGFVKGQRVIGLSEVHTILSANMAAPIPSSVSYEE AVVLPLAVSTAAAGLFQKGFLELPLPTTSPQPLGNTLIVWSGSSSVGLAAIQLARAAG ADVVATSSSRTHYLVKSIGASAVFDYTKPTVVDDIVAHLSGKVVLDAYDAISSQQTPR ALAEILTKVNSEKHFMAFTGQVEKELPTGVTGKAVFNNIIYDNVLHIFRIKYP TSTA_066520 MAHDSPAITQTGHFHRPEAAFRSFISRATDSDHPPAKDRYVLYI SLGCPWAHRTNIVRRIKGLESVIEMVVLDPERGPEGWYFSGRFGTAEKDPLYGFKTLK ELYVKASPSYNGRFSVPVLWDKQRETVVNNESSEIIRMFYTEFDDLLPPQLRESGHPA TNGCGFYPEELRSKIDAMNEWIYQYINNGVYRTGFAATQQAYEDGVYPLFKALDRVEK HLAEPQNQPYLFGEHITETDIRLYTTIARFDVAYYLIFKCNLKMIRHDYPNIDKWYRN LYWDESEKTRGAFKLTTDFQMYKLSYLNAVGRNGVIPAGPEPDILPPASD TSTA_066530 MANLVRASLLFDLRLSESGIWTEIRALTPCQMGTQIIIKGPDLT YPRLSYIETECAQYQTRLTVHGVFVIYLIIFFVDFRHQAIMSKILVVFGATGNQGGSV INAVLADPALKDQYRIRAITRNASKPAAVALREKGVEVVEADVANGESIRKAMENAHT VFAMTETIHDEELVTRDLAHGKGLVDAAVAANVSFYIYSTLPSIKHYSGGKYLHGDHF DVKSKVEDYIKKQNIKSAFVSPGSFMSIFLESMGPAPLGNGTYAIMNVVIPQTELPLL DTLGDIGKFVALMLADPKKYEGKVIPAATKLYSMQQIAQIMGEVSGKEIRYIQQPPEV MRKFIPANAADRVIDMMLYFQDFGYYGPNTAKVLEESQELAPKNLTTFEEFLLKNKWT LS TSTA_066540 MALNEKGSSPAGSMKGLDDSGSTRHGDDIELGFEPLQEQSSETI RHQSPNPLKDDNNHIVSWDGPDDPENPMNWPVWKKWIYTVALGSVTFTITFASSVFST ATVVTSMEFGVSEEVMVLATSLFVLGFAFGPLIWGPMSEYYGRKIPLFSCFFIFSIFQ IAVATAENLQTIMICRFFGGFFGSAPLGIVGGTFADFWAPVDRGIAMCVFASATFIGP VAGPIVGSFITESYLGWRWTEYITAIMGFFFGTVAFLTVPETYAPVLLSRRAAKLRYE TGNWALHAKSDESPMNFNTIASVYLTRPIMMLVMEPILILMTIYMAFVYGFIYLLFEA YPISFQTQRTWSPGLASLPFLAITVGVVIGACGVVYHSKSRVARQVKEKGRVIPEERL IPMMVGAVLLPGGMFWFAWTSDPSISWVPSVLSGIMTGSGIILIFLQGLNYIIDNYLM NANSAIAANGLLRSGFGAGFPLFASPMFLNLGVNWATSLLGFLGVAMIPVPFLFYIFG ARIRAMSRYTPKLPHGGPPNPFAGANGPLPHSSPR TSTA_066550 MPIVRARRLISSVYTEVLSTGVPQSTTNTKEASDLLFLQIFLPI GKSALIVNIYNAPVGSIRAGKAAKALTTLPEVYFPQAMILAGDLNLLHNRWQPSLHRS PTPSAEPFINWLDLQGLVLISNIDCPIHERGNVLDLSFASSPLALAGAKTSIASHLDA ILDHQLLITTVPWDQRYKETAQKLRFDTLDHTFFLSLLASNLTGTKSSAATEEDLDAL TEKLTSAIQGAYRGSAKRTMIQDCKKALYNYRLGLCSKTDFR TSTA_066560 MVYGKKPYIGNLYLLGSKAYIRIDTKKSEKMEPRAQIGYLVGYE SHNIWLIWTEGPRGTKVIRARDVIFNKMKNDQNQDDNMEINDVHGQQDNQLVQFNNIK NKVTLYGDEPKFDESRRVTGEDSGEEEAQQDEGAERENMALTAGTTTSPSGIPSKRAR SPEIATSKAERKRHQAFFARIKLLQESSAYKAFLAAAEKLDGYKLLHKDIPPEPRNWI GRLQSSKHKFVSKETYKRVWIWKHEQQRLPQEFLE TSTA_066570 MELQLYEQHYQWYKNEKARDLLTPKRGMKPKDWILKWENLLLDM QLTNFNKILEKRMSRDFIRSSAFITTTLIELDTGLEVLHRKIGLDLVPGIRDIIKIFK QWVKAQRNVMDPTRRDASFATLGGKLDQPEKEEEQKGVQQSNQTSQQSRSCWKSQSKN RERTCLCGAKHNFEDCLYVNEGKRSKDWKEDEDITRKFKDVERSNTSLAKALKAVKEK LKPTNSANKKEYDDGEKDNEPERSNFVYDEDEVQISIGPRFKRSSIAIQVQIMAIATD SDKDLKDAVILDNGTTTNIFNDLRWLHNIGNEEQIYLVGNGSVKMYRLGEMIIYPINP ISRQAKKGILMKKTWYVLGMHTNIISQGMAEEYRLFFNGLT TSTA_066580 MAHVKVTSKDFLDSLNSSTLRLLCLSVVTNFRLAADDYGSFSHL RYRRRMGSGISSTSAPQLSRSDDHSDRQNTGGTMDKDSSKPTLSPAEFHIYNKFAVQM NYFHDQFRRTWDELQKMSKKDQPSQSEARAMIRMGLQFCSELHMHHRFEERHIYPVLS RRMLDFQPHHSLSKQHEKIDAGADQMQRYLEQCASGKQAFSTTEIRKIMGNFGSTLWS HLDDEVKALGAENMSKYWSLDEFRSLNL TSTA_066580 MAHVKVTSKDFLDSLNSSTLRLLCLSVVTNFRLAADDYGSFSHL RYRRRMGSGISSTSAPQLSRSDDHSDRQNTGGTMDKDSSKPTLSPAEFHIYNKFAVQM NYFHDQFRRTWDELQKMSKKDQPSQSEARAMIRMGLQFCSELHMHHRFEERHIYPVLS RRMLDFQPHHSLSKQHEKIDAGADQMQRYLEQCASGKQAFSTTEIRKIMGNFGSTLWS HLDDEVKALGAENMSKYWSLDEFRSLNL TSTA_066590 MFMMILASTLVAASAVFVTAAASQKAPGSYVQRCPNITGTINVS QYQQYPENFDFDQYRCRLYLGSLFNSTVTTYDPYTNEVIHIAKFENITHNHLYHVGGV RVDQNSGQLGITINPANIFETNGADWSGLNWFIKYDPILRKELFRVNLTEVGGGKING VQDSEVDPRGNYYVIGSFPSSIIRVDTCGNAKLWYLIEPYNATVYGAAGAAAIGDILI VNDDTYNRLLRFNMTEEQGKPTFVDVSHNMTLYHSDAIYLPPKYEGKVLLVAEDTEGL QVFFSKDAQWWSADYLGIIPNSQLVYGNSFTSAATQIGDSVYALESFFFDAAIVPWNA GNRSIFPEPDVTDALAALVAPYL TSTA_066600 MAPKTVVIIGGSFGGLKVARGLLKDVPVAAPRILAKPKAFSKNQ YLIPIKDGFTSYGENFKLIVGTATEIDETNRAITILRSDTDDRFTLEYDYLVIASGSS TASATDGALAPFKRFGSSDLSEQISNIQKSIASAKSIIIGGAGPIGIELVGELTEARR TEAIITLVSATKQLLPMLKKSSGDTAEKILKRRGVRIIKGSKVTNAVNQGFSWEVTLS DGQILNADLYISAVGVTPNNSFIPKEFLDKNGWVEVDEQFHVTGSKNKNIYAIGDITA LPTRTVMKIDERFPILVDNLKADILKSASKRPTYVTSAEIEKSKAMMFVPIGAGAGTG QMMGMTPWSWVVKMIKGKDFFVPKAASLAGLA TSTA_066610 MFARNANNAATEQFLSVPSDWEKWEKVFRAKMEQKDLLEYLKGK KDLMQKPEMPDNAPTFPTLENLRARNGGQENEPSSQTEDGSTSQQIPTIATAAERAAL KAWKEDYKEELAHFNLELGLHRTKMTLYETERKHLDEVAAWMQSTVSNDLKETCLGEG IDVRSGYENLKKFFGRTVMTIAHELGDQYKKHMKPFQQWPKDTNTWVSGWLTLMQKGN QHNLSFATDPINWTKDFFEAFYKIDASWVTTIRTMYSGKIEDKTVTYLDFSRQLQEHS RLKNQTTSRGGFQRGAFNTNHDHDTRSDEEDREDNNKDNDKESGQRKRKGNKNYQPAR ASSGPACIGCGQRHSAYRCFYLFPDLAPSRWKPNPEIKAITEKNLQDKKIREEVEDML KRLTSTRLNEIQKMNNKKGKNAEDFYIAIQASFTATGYILYWSAILDSGSTIHVFHDI YRFENYRVALPGDFLWAGKSKVKIEGYGEVVIQIRGQNGKSVPIRLYNVAYCKDFATN LVSMQALWKMGYYWDQRPSHHCLRRNDGRFVGYIQQRHGQFTGRNPRKADIQRWHLRL GHPGPQALEHLANASRGVRITGLSSKTNLKKGEGIKTVECDACGTSKAKRQISRVPRQ HPDKPGERIALDFHDFEPSTLSQYSSVMLVTDRYSGYIWDYNLTDRTAETILAALKDL LGRLDAQYGIILHVVECDNEIYMKRFLVRKYLEEDLFIRIEPSPPHTQALNGAGERSG GVIKDKGRGMAASRRLTQDLWPEINRCAVYLYNRTPRYESNWKTPYEVFHTYLALQNG KVFEDMKPNQAHLRVYGCKVYSLTTKYMKKEKRLQRYHPKAWIGFLVGYDSTNIFHIW NPKLGVVVSARDVIFNEDEVFDGNVDKLRNDLATTTIDEIAELLNSVQILNDDFQSTT VGGPSWTRDDEPILNAKEEGLNPRIDVKSNSRIVEAEENAHLRVEGKPLDAHLRVEGK PFDAHLRVEGKPDIDLRVEGLTGAPTAAGTDARVLVEDEAIHPDGINDIEKDIPYPTP PDSPPAALMSATIQNPVKEELSESSYLDTAWRKVTTWEAAFYTGTCHKIYGSRDGKPF NRSSLRRILRSTTGLQVFYMDKKPAARERIKDILDSGKELKNLHRNMLPAPPKRHEDL KTHELGELFLEAEKDHLRSHKPTNSWSKVPSYYAKGRQVLDCMWVYVYKFDKHGRLAK CKARIVVRGDQQVGLHQSDTYAATLAARSFRTFMVIAARFDLEMLQYDVVNAFVHAPI QETVFMRIPPGYRHLYPGQVLKLNKALYGLRTSPLQWQKTLTESLWKLGFKSVPHEPC CMLKNGILIFFYVDDIVLAYKKGKEYEAKGLMEDLKKEYTITGGEDLQWFLGICILRD REKRLIWLSQASYIDKIIKLADSQPIHETPMKKEELLPYDGRASPASIHSYQRKVGSL MYAAVSTRIDIAFAVSRLSRFLINPSPSHHLAADRVLLYLQDHRHFALQLGGGDDFTV ASDASFADNSLDRKSSQAYVMTLYGGVTGWQANKQNTVTTSTIEAELLALSQAAKEGI YVMRLLKELDIKLESPILHLECDNQQTISLIEKDIVTLKTRLRHVDIHHHWLRQEHQE GRVQVDYVPTRKMIANGLTKALGKGEFDEFLKQVGMTDVSSYIEEQKEDVQEMDIDTI FDSLQI TSTA_066620 METTTVVAPRTCSRCKVRKKKCDKMLPSCGRCNRLSKECSYDEL WKDPEDGRAGDQNIELRERLAALETMVSDLSQEVKYLRSKPNSSTDDSSPQSQRQQVV SNPDHVIQKIMDHTTTAMEYGNVNVLGVFETYFDTIHNRLPILSKQKLLMDLQAMETP NDELELIKGVISLLVIYPDNLASQSTSFPLWQLYEASKSLFCLITSVQSPQLRSVQAG ILICYYEITQGWLKEAQNSLRICTRSAQLVQQLEELSPSPYDAHSQHQFDFEIEPIFW SLSLLQSYLYNMSCDVLAQNPTMSRLQSEEFYLAKKENNVSFPIQYSSGGLLQPYHVL EKTAASLLDDVSSIVYPQDEFRSSQFRQALELDYKITGLIIEIKGQPEHPLVDQTVGG EYVICLWALYKLHCSLYQKAVELNDHNFSELSKLSLRQLFSVSETLFQALISGIIKRE VDIRILPPALCCVLHYNLIAMELLSDRAHESLDGPVRYLLHLLCQKWSLAEMSRFQPQ ARLTPRNGQRQ TSTA_066630 MTPKLYKEEEELIVKALSACQHEKKPNFSKLSREYGVSRKKLSR APPTNQQIEESANYLLGKDFSGPGEAPRAGKNWVHDFIKRLPKQYVRIVQKPQEKERT VAEHYGEVERWFIDLELAIQQYKIRPQNLWNFDETGFIVGQGKDEAVVTAYPKTSKRV SSLSSQESITVIEGINAEGKIIPPLLIPKGKVHLEEWYRHIKNDDWLVAPALNGFITD EIAFEWLQHFNHFSRPGAFPDWQLLLMDNHTTHLTIQFVQYCEIWHFRPFRFPPHSTH FLQPLDGVPFQQYKHVHGRVMNKIARLGGFDFDKTTF TSTA_066640 MAYKAQTVRNSFTATGLVPFNPDRVYQQLTVRLKTPTPPPSRSS DTQSSCLQTPQNPRQFKRQMTTTKKRISRHTRSSSEAIGEVFTRASKAYEMSINKLTI AQKELHDLRAAHEKEKQKRQKSKKQISHNQGITREEAQALLQGQIEASQAVTTAPAEP ELPVSHPPKTSRP TSTA_066650 MYSPEMGNEFMQWVMCHQCRKVLDYPALAHSGTSSMNKHYNGIN CRRVASAAGKKLNIKLALEHVAQNATIRRFDERVWQQKLAQLLTVLHLPFLFLEHIKF RDLISYAWLAPLIPEIPSAKVMRWQLRDLVRENQKSILQKLPSGVKLSLALDC TSTA_066660 MAITGYFLDENWEYHKILLGFEHLHGSHSGANLSVVLLKLLQEH QITDRVLVVTTDNTSNNVSLMASVHEAIESLQSSNDVVIIWVPCIAHVIQLSLKDLLG KIKAAPKIDTAKQTWSDDRVDSLRARQQKREIVDTLNKVRSLAIYINASPQRRESFYN LQTKEPKLVPIQDVATRWNSTFLMLIRAKKLQQTFDMFCSQYD TSTA_066670 MDEFDLACVQDESQQSQIRQPVQSDKLTRYLDSTSGAGVERLFN SARDICHYCRGSLQPQTISDLMMYMCTSQFEIHEEKQIMLSEYLLTQEIQAAKEERTQ QQITVDPISDNEEDEGLSSTEPQETL TSTA_066680 MWRLFCADKGKGPVASAYDLKSLKDFVQHLAYGIEGKYDDEAAG QGSVRVVWKRFTAGFKREHDAIPGHITLSVTNFLRETVFPRRGNKSTKRKRNHARKNH FIHLGRQLWENDFDVYTKPITRVSIWAQMLLYVFSSARLCEYLEGVSRANSGRGLYCK DIKFGVIRNELGEPELAAQVVKDAKGMTNTPEKRPEHEIYEGLSSRPRFLLLNPMLPI VALLFASDRFRDYATVDAVLAIPAPPPDEVYVLEWADPESPLFEGLDGHIQKAAVLAK ILREWAIRAGYPTNPTIHDFRAEGLYLTDKIYSVTQRMVYAGHRGEKTHRQHYAPNNG VDGQAAYLGDDVRTHVADLFRGLSLHRNPDLWQTLPAEKRYQLENREDYSEVQNALSS LSGSSLAVQKKRQDLYQQKRQLIREELRICQKTQPRRPLHEEADGTYAMGSHRSRFSR ACRMMPTRQRLAETIFQEATLRSDLGRQVLNDMITLCRQKHEIEIRPGLELDKCHCAE SKKISQA TSTA_066690 MLLYLSPKMQRLTVPVLAKSPAALLPRQDLDSRDARVDSLTTPV DFLATPVDSLTTPVMLASHSTRLVCDSPLLAGTRGGSLENPILSFQPIRGFSKWSTLD ELVDGSFHA TSTA_066700 MAPECAQLTNGSCVRYRCQEYGAFCEYRHPRPRVAAPKVSPPQD RRRDLVPIQPRPPLQRMTSSLDPFKCDEVEGRYFRLFQEELAFDLSGYFETPFWTRII PQQCHHEPAIKHAIFALSALYKSAMSSKTNTANLDDEHFKFALVQQSQAINSLRRDLS SGRPQMRLALVASLLFSCFESFHGDWETASQQIYSGLNILKHLSEDERRHATNGLADM DFEVGLTLRRLKLQILSFLAMNPMCEHPFNDLNVEEVMPDIPDQFTTFNEAFAAATNL AVSVLRHSRRSARCGNELGPRELIAQQYLQGLVDQWNKAYEPIFLKICQSIVNQAYLG ALQLRICVWKCEIMIAASLSNTEVVYDSFITQFQRITHFARHVLQTDQAIRQSDGPRL QYGMGLIMALFYTATRCRDFSVRREAIAILRKWPCTNGIWHSLQAAKVAEWIADIEEE CCVGMRFIPGDCRVKMQSLKVSFQKGVITVECMQYSVNGFNMASRSINVHPTGYHPAS LTQTAFDIIIIGGGPVTSFAENRLAKAGLSVAVIEHELYGGECHFFGCIPSKALLRPV EAFEAAKAIDGAREAIGTNKLDVAAVFERRDRFVDLWDDKTWISISNGASGATLVRGF GRIAGMKKVSVQPHGETQKYHLDANIAIIIATGSTHNVPAILGIESLDEGTELWSNRD AVAANVAPEHLIILGAGPVGSEMATFYSAIGSKVTLISSTAEILPMVEGAAAKIVRKS LEANGVSIKLSSRVSKIEKHAANSLTVVLSSGEMISGSVLLNATGRSPRTFDLGLDSI GLTGEGQPLKTDARLAVPTAQGTEPWLFAIGDVNDLAPTTHMGVYQARIACNVILSSI RNKQPSVKIDTPIGVTVTEAKGGQSTFAQVIFTEPNIGTVGHTFASAQSAGLKVRAVD SDFSIAGAWLYGDGQPGWARWVIEEGTDKLVGATFCCVEGSEFVNASQVAILQGLTLK EMVHVVPPFPTRGEIWTHLLNAAGF TSTA_066710 MGDAKAHLKVSFSGINNSRVTLPLSVEQLGLPEQNRTGALFRQQ VLLDTVVNLDLPTQVILDVEAQILELINIEIAQMI TSTA_066720 MDSDIYPHRGFMLDTGRKFFPVQAIINLLILLHQYNFNVFHWHI YDAESFPLYWPADGVLTEVSLKYSHTRQYYTPEDIRYIVTYAEGLGIEVYPETDMPGH SDIWGYWKPDLIVGNPDLKHPNAQLDIRNPMIYDYIVDLVSTVDKYFLSKALHHFGGD EVALIWHTEDDSNLLTTFFKWLKTVCCPSKTLIMWDDPATDEGDNGNGLNDISTDWVV QTWHNGVTQRVLDKGHRVIVSESNSFYIGNADYDKVSSFVFPVHPNVLGFEIVWFTSE NDDPDDLDQNWIIEPLRAASRIRRKPS TSTA_066730 MASVGDTPQVLHMPEDTSDETDTSKEIKKYPLASTSSRDPEDQS KSPIVPQQHDHLSEKRTLRRELWIKRKKSQKATLKIKTNQTDERIRPGKYRRFWAFKM KDFRLRLAFRQKLLFCLLIGVVYVLLSYFLIVQPVFSCVMKVHLGMFSGHGNFCSIVR HIVWIYMGVGGGFMLLTAFCAVKTRYASRSFEEHDTLIIMHVPCYNENEYVLRKTIDS CVASTYAKKRKLLFIVADGKVAAPGHKPTYQILLYDIFNHKADLESGIDAEARHYSSF DENGTSDNYAFCCTGYFREVPYVVVVKVGRADEQRNPKPGNRNKRDSQLLVYNFLYYV NYHQEGGKESAPPLFETIDFQMCMRLNMDARHAMYMLVADSDTEIEPTGISYLVHQLE KDQKLIGVCGYTGVSNPVDSFVACSQVFEYWLTHAILKALESVCSNVLVLSGCFTIYR LKWPENNKPAILYSAILEDYSGNYEKTLHEHNLLSIGEDRYLSTLSIRYFGSQCRQRY FSAAVCTTTAPASLSVLIDQRRRWTNSLIHCRFSHLSILPFEASFWTQLMLVLMIGSE LFMVLILPLALPAGFVLAAINLFLSPLAWPILLAFCLIPIALCILCNDWRYIPFYIPF FP TSTA_066740 MLCSPSSPSMSSSSQSIRLSHSPPFFGFSDVAPTTSSTTDFRAN SQATFLFVDSYQDQAKNAAIKTQKQAFLAKNYHRKKKQASIQRLKSSNAVPKDHLHIG YKLANDRPTSDTNLSTPSTGKNGKSDLRRKPDSPPGRQVAMGSEIWSLNAYLGQGCVD PFSSSAVKMTDLMNQYFHHFRIHTVVACYPLEWKRMSIWWWQKANTTPALLQTLLFST AGHLAASQINSGMAPHLIQKSIHDCLHLKGVTLKTLNDIMQDPVKAVAGSTTLVVASL VAIEACSASFEALEAHTKGLRRLIHLRGGLDTLDHMTISKIYQLNSISHRRSDVKSAA LKNTQPMFPISARWRSEILQDSKVFLSKEDLETPENLLSLGVNFFHSPWYGELDSNMK TFIRALRRLILYYEVAQVRPSIVIPTDNDLFLVFEHELLSAKYCAEADDVHESLRLTL MIYVNLRIFHFQKFPIMEHMAQTLKQSLELQYSYLESTAPELLFWILFIGSMASHGYK SHYWFVNGLTEMTRRLGLGEWDKARSALRGFFYTDQLTEREAEENLWNEVLSADYAYI APRRSIDNK TSTA_066750 METPTSKEVKLDSKTAASGDAEIGHEPLADLEVDLATVLEDDNV EYSYESHRSPFAEVRAVVPEVDDPSMPVNTLRMWLLGIIFTIIGSGINQFFSLRYPSV HIVALVAELLAFPCGVFLAKVLPDWTLNFGPLGKWSINPDDKFNVKEHTVIVIMSNVS FGYFTADATNIIQAASKNFYNFGLKTGFQVLIVLCAQLLGFGVAGLSAPWIIEPASII WPGTLSNCALLESLHSRANVAVGKWKVSRLRFFLYVMVGAFVWYWFPGLIFTALSYFT WVCWIAPNNKIVNHLFGMQTGLGLSPITFDWSQIAYNTNPLLSPSWAAMNVFAGFAIC FWIIAPILYYTNTWFTAYLPLMTADVYDRYGNEYNTTRVVGADYTLDVGAYREYSPPY LPVTYAFVYGLSFASITAVLTHTYLWHWDELVAAFRGTTRLDIHARLMKAYRKVPWYW YASIIVVIMAMAIAMVEVYHTQLPVYGVFLAFIIPALYMIPCGLIQGITNVDANQLNV LSEFIGGYMFSGKPLANMCFKILSTDVVGQGVYFAMDMKLGHYMKVPPRTLFFAQGFA TILGALTQTGVTLWMLGNIDNICSLDQADDFSCPNGRTVYSSSVIWGLVGPSRLYSAG KIYSSLNHFFWIGAITPVITWALWKYTKKNYWKKVNWPLFWVGTYNVPPATGINYSSW AIVNFIFNHWIKNKFFTWWTKYNYVLAAALDTGIAISGIIIFFCVSYPGAVFPDWWGN NVYVNTADGQGVAWLDIPEQGYFGPANGTWS TSTA_066760 MNIVRRIVKRLPSAPALASLENVSREKGQRLSPLAFLRRRIRLR GNSTISIPLGVVLIFPCVIIILIVLIFARHPSSSGGILVPAGTPPSIRRISEEHDKVF ATGCEHVDQKKIDAAPRANAAFVVLARNKELEGVIQSIRSIERHFNRWWHYPYVFLND GDFDQEFKDTVQNYTSAPVEFGKIDDTMWGFPDWVDHEVAKEGIRKQGDAAIMYGGME SYHHMCRFYSGFFYKHPLLLKYEWYWRLEPEIKYFCDITYDPFLKMAENNKTYGFTIA VKELRETVPNIFRYASAYKRTKGLESKGLWEMFVEKPAEEETKDEASKKEPIPEELED VKPPEIDREAMEGEKYNMCHFWSNFEIARLDFFRSKEYEDFFEMMDRSGGFWMERWGD APIHSLAAGALLAPRDIHYFRDFGYRHTTIQHCPANAPARQLTRIPFLDPMIEDEKKR VEEDEYWAAFDTVKENGVGCRCRCDTDIVDVEGKQGSCLAEWVEVAGGWASP TSTA_066770 MAQSLSSQTAVNSGTRPINVPPPSKIGPMSVAGPSQSSASFRTG HLNLDTFSPVNEYGSFEFDRVLKRGKVFGKIKSRHAFKASWKPCYLVLRPNLLSVYKD EDEARLLLSITLSDVVAVAPIHSARSTREHVWGIFSPSQNYRFQSISEQDAKAWVERI RSEVHIDEEEEALVAQSKTKKMSVPTTAGYTSDGEDHAAIIASEYSDVGENEPTILSS SPELMRTTSLGHRGKSLPFAQDYSGNEMTEYSDLSDGPGSSSRAKKISKGREPSDQPT KRPSLGREPTVSGLLGDPDRVICHGWLQCLHSKRGVRQWKKLWVVLRPVSLALYKDER EYSAIRIIPMSQVINAAETDPISRSKNFCMQIITEDRPIYRFCAPDEESLARWLGALK SIIVGRKKAMESRKASTSSQTAATATSVPVVAPPTIIATPEPFQPLR TSTA_066770 MAQSLSSQTAVNSGTRPINVPPPSKIGPMSVAGPSQSSASFRTG HLNLDTFSPVNEYGSFEFDRVLKRGKVFGKIKSRHAFKASWKPCYLVLRPNLLSVYKD EDEARLLLSITLSDVVAVAPIHSARSTREHVWGIFSPSQNYRFQSISEQDAKAWVERI RSEVHIDEEEEALVAQSKTKKMSVPTTAGYTSDGEDHAAIIASEYSDVGENEPTILSS SPELMRTTSLGHRGKSLPFAQDYSGNEMTEYSDLSDGPGSSSRAKKISKGREPSDQPT KRPSLGREPTVSGLLGDPDRVICHGWLQCLHSKRGVRQWKKLWVVLRPVSLALYKDER VHLPSPFICLISTN TSTA_066780 MSPSGTATIRRRAFERHSSGIIGKSVLKMDSADGRDYVAEQQTK PVHKYGMARHTMGIILLMCVVFLWTASNFLASNILADDSYSHPFFITYVNTSFFVVFL MYVIARRIFRMWRRGKLSQVKSLKSFFTYLDIHGMKEPPSYARETVTSLDEDPEDEEY GTYEADTQRQRLLNSYAQDPDLGPSSPTSSTDATATKSSAGKLGLGQTARLAAQFCML WFLANYFAIACLQFTTVGSTTILTSTSGVWTLILGAMIGVEKFTLRKALGVFASLVGV ILISRVDLSSSTPATPDDTTLPADGGNDKDPFSSKTPAEIALGDAMAALSAIVYGVYT IVMKKQVGDESRVNMQLFFGLVGFFNVFLLWPGFVILHFLDIERFSLPTENRIWVIIL VNSISSLISDICWAYAMLLTTPLVVTVGLSLTIPLSLVGQIILQGQYAGVLYWIGATI VFASFMIVNQESKEDEEQQQEDLVDSILLSREGEREMENEN TSTA_066790 MEETSIGVENPSNPNVGDRTARTSEQNGVYVEMAMGEKLLAGIT VLCRGECAFPPLSFGDVVR TSTA_066800 MASRKKVLLKVIILGDSGVGKTSLMNQYVNKKFSASYKATIGAD FLTKEVLVDDRLVTMQLWDTAGQERFQSLGVAFYRGADCCVLVYDVNNSKSFEALDSW RDEFLIQASPRDPESFPFVVIGNKIDVEENKRMISSKRAMTFCQSKGNLPYFETSAKE ALNVEQAFEVIARSALAQEESEEYGGGDFGDPINIRLDSDRDGCAC TSTA_066810 MSNWREDYYAALVARDKQEQANSSLYDAYTRLADRTAANAALVS RRQSQPPEVAGNPSSPKRASTPQQQQKQRSQINGSGAGAASQSALLAARADLAAAQRS RSELQEQLNRTTAELDKLKSKTQQDSRRITSLEGERTHLILRLKDRDEELRGKAKLLD SVQDELASLNLQLNMAEDRSSRLQRENQELIDRWMARMGKEADAMNEASKF TSTA_066820 MGFFDHLQKGNGFSLQAKKPQIRKVVTQKKPAPKSRSSPAGTTD SQVAPSIRSTPIVKSRSSNAQSRNNESSGKQCLRTPISRRNLKRPSPIQRLESDDDDD DNDTDTSFEVRKRAKLSDSVEPDLSRRIRSVEAFSKDGPKTLRMVHAANITAAKAAGK YKPAFEKGVDEKLSEITLQYPSTFEGERYQLAVSKENDDFKPLDDIFQVVEMVSEYYI PENMLDEFNNESTGIKRRIRRAFAHASEAEFREVISDYNRAVQKYVSDGSIAKHLDET HVLQLPLVERILTQIYSRTVSPRVDSLRQYENGTDNVTIFKETHLKSGQVFVDLGSGV GNVVLQAALEIGCESWGCEMMPNACTLADLQQAEFKARCSLWGITPGKTRLIQGDFLT EQSIIEVLKRADVVLINNQAFTPQLNNELINHFLDMKEGCKIVSLKSFVPAGHKITSR NLNSPINLLDVRQLNYWSDSVSWTDVGGTYFIAKKDSSRLKAFADSQGF TSTA_066830 MAIQKKHGKGRLDKWYKLAKEKGYRARAAFKLIQLNKKYGFLEK SKVLLDLCAAPGSWCQVAAECMPAGSLIVGVDLAPIKPIPRVITFQSDITTDKCRSTL RSHVKHLKADTVLHDGAPNVGTAWVQDAFTQAELVLQSMKLATEFLREGGTFVTKVFR SKDYNPLLWVFKQLFTSVEATKPPSSRNVSAEIFVVCRGFKAPKRLDPKFLDPRHVFA ELADPTPNNEAKVFNPEKKKRKREGYEEGDYTQHKEISVTEFINTTDPIAILGTYHTL SFQQSPSGDLALATLERLEETTNEIRKCCEDLRVLGKKEFRNLLKWRLKVREKFGLTV KKKKTQDEGEEVAEVAPMDDELAIQEELQRLRDNENAQRKKERRKENERKRKDIVRMQ MHMITPTDIGMEQAGIGGDNTMFAMKAVNRENAADKIASGKMVELESEDESEASSGEE ETDDEEDQLERELDSLYETYQEQKHDRDSKLRAKKARKDYEAEEWEGFSGSEKGEDSD EDVEDDDSSLLSTKLPQPGNLSNQAALFFDQDIFEGLEEINELDDEDSAIDMEDRPAR KSAKESVAKSKDVKPSTTEEQQEDGWDSSDEEAEKPDDPRTKDGRLDIDIITAEAMAL AQQIATGEKTTQDVADEGFNKYAFRDKDGLPEWFLDDESKHSRPQRPVTKAAAAAIKE KLRAINARPIKKVREAKARKKFKVAQRLEKLRKKSALLAEDESVSEKDKAQAIAKLMS KAAKKKPKQKVKLVVAKGANRGISGRPRGVKGKYKIVDSRMKKDIRAQKRVAKAQKKR TSTA_066840 MGSRKQLKSTPPTPDLSNTTSNSDPNRSAQHNDSTSPKRAGKPA WTTKTWPHGRKAAAVTEVARESISAAGSIASELVTSTTSLPKIRSPSRPGSIQLIKKT GGSSRSLPADATTTLINIDSNGSASDSPVNKGIFQPLVKESEDIGKSTPDQESIQKKD STTEPVTENTQATPSPEKEPESNERPTSTWFGWLGLGRTLADKPSPEEVNMGNSPDTV QPTPTAAEEFRRDAQSSKADQAANEADKARQSEDSQNVDQQKHNDTSVPVPQKRSWLQ MWGTTNVSQTKEDHREAASVKEPRIEQGEPMEQDADSTDNNQNSQTDKTQDKDSQKRT SGSGRSSGWAFWSRDISNTDQKQGSERQPETGELAVSGQPKVQSNSEDVVARVDNGPN TTSTSKSQQKSQKKSSKSVDLKNAKSVEIVQETTNSTTTTATAQASAAQLPAKAQTSE VTASTKLESVLTNQLLPSFKDTFALQESPSWLQTLGRMIYHPKDSGNRHVYALRDPPR PKKALAIGVHGYFPAPYLRTVLGQPTGTSLKFSTMAAKAIHQWAEHHGYQCDIEKIAL EGEGRIAERVDLLWKLLLRWIEEIREAEFIMFACHSQGVPVTIMLVAKLIAFGCLDTT RVGICAMAGVNLGPFPDYKSRFIGGSAGELFDFAHPTTKVSRDYEAALKTALAFGVRI SYIGSIDDQLVSLESSLFVPVSHPYIYRAVFVDGRVHAPSFLSHLVGFTLKLRNLGIH DHGLIRELSAPLVGSLVGGEGHSRLHDEENIYYTAVQFALETSTINSPPPLHIQRHNL TATPNPYILPFALRGLLEEDYVRHELHEESLELLKQFDEWKPATKVLRDVKFRLEGIR SKL TSTA_066840 MGSRKQLKSTPPTPDLSNTTSNSDPNRSAQHNDSTSPKRAGKPA WTTKTWPHGRKAAAVTEVARESISAAGSIASELVTSTTSLPKIRSPSRPGSIQLIKKT GGSSRSLPADATTTLINIDSNGSASDSPVNKGIFQPLVKESEDIGKSTPDQESIQKKD STTEPVTENTQATPSPEKEPESNERPTSTWFGWLGLGRTLADKPSPEEVNMGNSPDTV QPTPTAAEEFRRDAQSSKADQAANEADKARQSEDSQNVDQQKHNDTSVPVPQKRSWLQ MWGTTNVSQTKEDHREAASVKEPRIEQGEPMEQDADSTDNNQNSQTDKTQDKDSQKRT SGSGRSSGWAFWSRDISNTDQKQGSERQPETGELAVSGQPKVQSNSEDVVARVDNGPN TTSTSKSQQKSQKKSSKSVDLKNAKSVEIVQETTNSTTTTATAQASAAQLPAKAQTSE VTASTKLESVLTNQLLPSFKDTFALQESPSWLQTLGRMIYHPKDSGNRHVYALRDPPR PKKALAIGVHGYFPAPYLRTVLGQPTGTSLKFSTMAAKAIHQWAEHHGYQCDIEKIAL EGEGRIAERVDLLWKLLLRWIEEIREAEFIMFACHSQGVPVTIMLVAKLIAFGCLDTT RVGICAMAGVNLGPFPDYKSRFIGGSAGELFDFAHPTTKVSRDYEAALKTALAFGVRI SYIGSIDDQLVSLESSLFVPVSHPYIYRAVFVDGRVHAPSFLSHLVGFTLKLRNLGIH DHGLIRELSAPLVGSLVGGEGHSRLHDEENIY TSTA_066840 MGSRKQLKSTPPTPDLSNTTSNSDPNRSAQHNDSTSPKRAGKPA WTTKTWPHGRKAAAVTEVARESISAAGSIASELVTSTTSLPKIRSPSRPGSIQLIKKT GGSSRSLPADATTTLINIDSNGSASDSPVNKGIFQPLVKESEDIGKSTPDQESIQKKD STTEPVTENTQATPSPEKEPESNERPTSTWFGWLGLGRTLADKPSPEEVNMGNSPDTV QPTPTAAEEFRRDAQSSKADQAANEADKARQSEDSQNVDQQKHNDTSVPVPQKRSWLQ MWGTTNVSQTKEDHREAASVKEPRIEQGEPMEQDADSTDNNQNSQTDKTQDKDSQKRT SGSGRSSGWAFWSRDISNTDQKQGSERQPETGELAVSGQPKVQSNSEDVVARVDNGPN TTSTSKSQQKSQKKSSKSVDLKNAKSVEIVQETTNSTTTTATAQASAAQLPAKAQTSE VTASTKLESVLTNQLLPSFKDTFALQESPSWLQTLGRMIYHPKDSGNRHVYALRDPPR PKKALAIGVHGYFPAPYLRTVLGQPTGTSLKFSTMAAKAIHQWAEHHGYQCDIEKIAL EGEGRIAERVDLLWKLLLRWIEEIREAEFIMFACHSQGVPVTIMLVAKLIAFGCLDTT RVGICAMAGVNLGPFPDYKSRFIGGSAGELFDFAHPTTKVSRDYEAALKTALAFGVRI SYIGSIDDQLVSLEVCWST TSTA_066850 MVLGHNQYQRDLQEQHFLVEEQPQLPAETSHRSGNAAVVRRKFA KPPVKVACLACRASRTRCDGQEPCSSCANKGRACSYLPSKRGGPRKKKSSVTPTDPEE LVQANSWDPPIVQNAHYEEADGAFSQIEPLSLPGAGLRHLDFNPEVQGMFVGMFGHPG EANHPAVPVSQVPLASTGKHPTVRAYGSEQEILNAYYEFIHPYFPILPPGIAQPGPDL PLEDAGSHPNSPSDEVSLAYQPASPLSCAISAILALVPLPNVPDSASIMLRRSYSQTY ARLATMRIEADGELIDSVTDPSQALNYAQPTINRQPFHPRAPVELESILALLVLSIYE YAQRGNMMKMRYRAGQAWVLAMNMSLHTLGPEQDEFTEARRRAWWMTYFCVLQGSIAS ATPSPVMMNDTRFTTPYPQFASDPEGWSILLQAQQVLITANQFVVDLNRCLKSRSNMQ WIYDQMKQLDAWTSNLMTQANAPPSAPRPGDMSTALEFDVAESIRAISRIKLASAQIR MHQFRAFSDLPVFIKKHFDLTPPGSDMNESFHISNNGNSNPLECQCHQYHPMGSVLPT EYSGSASDSSATAASGPVHPHYCWLSPGFPFSAQQSSDICLRAALMISHMLGSLPYPL SMRTSNRRDSTRHQQAFADPALLDPRTQCPRTMPYYAACAMQGSYALLMLVYKTRVAK DTASNALYDEGHHSPAEQMLDGLSHGLERIVGVIFNFSRAFEALSGMRDHIERAIHTA FSQP TSTA_066860 MSGGIQTVVRDGRRMSRQPNGNGMLNSTALQGASMAFNARSPNR GAPSSVQSSRDAMRAPTKPGPPRSTQHGDEPDPVSEMPESGLVMDRIKAFSGNTASQS SLRSRAGSDVSGRDTPQMQAAQLAALRSSARTPQTVPSSTSVSPIRRAVTGNTSSRKK AISPAPKPEFLSAQNFRSVHAPTPIRKSPTVARSLANSLDGERASLTGRPGTDLQSRK SQSPVKSTEARHPKVEEAIHSSAERASASPPKTIKSKPERPAAKPKLVQPVSDDRKLQ SEPYKKPPSRVGDDDTRAKKSQTSENRNEGTRTRSQSEAVDTISSYTHSVTDDARSSS SHYTTPSRHHTGNANALQGMTKEALADAIVASSLASSRVSSPYTAPPPLPPPRRSRSR SILHPGDILRKDSRTTPSPPKGLRQTLRDPPKSDAEDNKSRGRRHLIRHHPHKHHEGD RKRWRQQVSEAARKRYEGVWAANKGLWVPPEPVIYRIFPDLPRTLVSSDLVVNLVVRD IWSRSRVPLHTLELIWNLVDRTEIGMLSREEFVVGLWLIDEILKGHKLPVKVPDSVWE SVRHLPGIKIPQEFG TSTA_066870 MFVATPRRNLFDALNAAVVLQEDGGPDRVHRITVKTFRACSQCA SARVRCSGGEPCNRCRSRTISCQYPTERRGKNKNSVDSLQRSANKTVKASPRGKRSIS QQSAGGISKRQMSASLQSPRAQSMDQQVQFRFINYNTASQVPATSQETQYISQNFAND RGNETILDFGAQAENSEEHSQNSPQIIYPTSSPEASPPNSTTVCPFNVTNHQLSEADD LNQLRSPVTTLPLDLSQPSALDLPIAPELFESVEDLGLNWLPIDILKDMNAPLLMDSN TTYNGMNEWWLEGLNAKNDWIQPTIPLGQIPPLNGADQPTSFPYADIQSPHSFGNSSQ DRSPSSSTNDEALNSGQYYVDGEGARLTKQKRRRTIHTDPVEDPISAAIYQRRPQLAF PVIQDVLVQKLQQNVSSTNHIPTNTYERILNMFDKLCRSETLFAAFEPTVFPGADLLA FFVSSYLDEFQPVYPIFHMPTFDLEKNHWILILTMSAIGSCLINSSQTADYAYPLQEF ARRAILVEKETYTGAKPIWLIQAMILNCIGLYHGTDDQAKGLSFNCLNDLVRLAHQQR LLSSEQTNMGSETSWKDWIDVETRRRTGYFIWLVDSTLAYTATNFRPFFSLKDAQAPL PAHESLWDAASEENWKLLDRTDNVSLHSATEVLFIEKRSIPNICEFDHTLLLHALYQR LWEVSDYFQSPLTCWNPNAARQPREAAIPSSSVWLPGVSMYSKWRNSACDCLDTLHWH ANGTIAKAAGFEHPTVLHLHAARVVLLVPFREIRSLATSLANATITWDSRSLERNSDW QHAWRWVTHDQYKARLSIIHAGSVFWHVRRYSTNAFHEPVSVFLATLALWAYGMCSYN SCSRPPPSTTAAGQETQEQQDQADFDANPTFIHLDRPCDDEIVQLFVRKGQTMRGNVT GVGDICSPQGPSRVLKEGIRILSSLAATWGITHEYIHILSRLRDHTSLPMTAVTRG TSTA_066880 MSQLDGDTQIPDAGPFHEDEQDLDEKYPNRPHNHSPTLLFHDLL TDLFNPLNDNKKKPAAGPARRKVGPHGPSSLNPQERRRDIIQRFISRWRRDVGDDIYP AFRLIVPDKDRDRAMYGLKEKVIGKLLVKIMKIDKNSEDGFNLLNWKLPGQSASSRMA GDFAGRCYEVLSRRPMRTDVGDMRIEEVNERLDQLSSASKEDQQLPILAEFYRRMNPE ELMWLIRIILRQMKVGATERTFFDVWHPDAERLFSISSSLRRVCWELHDPNIRLEGDE RGVSLMQCFQPQLAQFQMHSFDKMVQRMRGTEEDPTFWIEEKLDGERMQLHMETDDSV EGGKRFCFWSRKAKDYTYLYGNGFYDRNGSLTRHLKDAFVDGVDNIILDGEMITWDPE QDAPVPFGTLKSAALAEQRDPNAKGPRPLLRVFDILYLNDRELTLYTLRDRRQAIEKA IRPVHRRFEIHPYTEATTAAEIEPILRKVVEEASEGLVLKNPRSPYRLNERHDDWMKV KPEYMTEFGEALDLVIIGGYYGSGKRGGALSSYLCGLRVDDPNGSNSMKCYSFCKVGG GFTAADYANIRHHTDGKWKPWNPKKPPTEFIELAGGEASQKEKPDEWIRPDESVVICV KAASVSVSEEFRIGLTLRFPRFKKLRMDKDWKSALSVQEFLDLKSNVEKEQKEKQFTV DNSRRKRIKTSTKKPLTIAGYDEKDKRVEYAGPTGNIFDGLDFFIMTDTTAPKKQTKV QLEQLVKSNGGKIYQTNTATPDTLCIADRRTVKVASLQKSAKDIIIRPIWLFDCIKQN EVDSRHLSSFLLPLEPRHMFFTTEERQEEIADNVDVYNDSYARDVTPEELRTILDAAK PSQQELVDHDAEIKEISDLVFERGHEEGITPPGWLFRGLAIYFHASSESSSENTDQIR HFLARKLTEFGGADIVDDLGINDKSKKHIHKSTTYLPTHVVVMDESKGEVSNIRKTLA QQHSSDRGLKVPYIVTVEWIEQSWKEKTLLDEDRMFAPISWF TSTA_066880 MSQLDGDTQIPDAGPFHEDEQDLDEKYPNRPHNHSPTLLFHDLL TDLFNPLNDNKKKPAAGPARRKVGPHGPSSLNPQERRRDIIQRFISRWRRDVGDDIYP AFRLIVPDKDRDRAMYGLKEKVIGKLLVKIMKIDKNSEDGFNLLNWKLPGQSASSRMA GDFAGRCYEVLSRRPMRTDVGDMRIEEVNERLDQLSSASKEDQQLPILAEFYRRMNPE ELMWLIRIILRQMKVGATERTFFDVWHPDAERLFSISSSLRRVCWELHDPNIRLEGDE RGVSLMQCFQPQLAQFQMHSFDKMVQRMRGTEEDPTFWIEEKLDGERMQLHMETDDSV EGGKRFCFWSRKAKDYTYLYGNGFYDRNGSLTRHLKDAFVDGVDNIILDGEMITWDPE QDAPVPFGTLKSAALAEQRDPNAKGPRPLLRVFDILYLNDRELTLYTLRDRRQAIEKA IRPVHRRFEIHPYTEATTAAEIEPILRKVVEEASEGLVLKNPRSPYRLNERHDDWMKV KPEYMTEFGEALDLVIIGGYYGSGKRGGALSSYLCGLRVDDPNGSNSMKCYSFCKVGG GFTAADYANIRHHTDGKWKPWNPKKPPTEFIELAGGEASQKEKPDEWIRPDESVVICV KAASVSVSEEFRIGLTLRFPRFKKLRMDKDWKSALSVQEFLDLKSNVEKEQKEKQFTV DNSRRKRIKTSTKKPLTIAGYDEKDKRVEYAGPTGNIFDGLDFCTSPFVTLLFL TSTA_066890 MFLAQTLDQSASSGDTCNMMVPRAFLFSSARAPNDSLQNEKKSV TAKTVTNSRHTQSRPLPPPVATVSVSHTDSTTVPHTSPVVIPPKTSKERNNTGIYGDN RNVRSIRRDSASSRRSASSQTQDRNLPPSLSMLLETTAIPRRSKSIRGARKLPRGNHV EDFSRLLMDGVKTRDDFASLEGASSSPLDILLSPPDENYGGIPVSVSNSSTDVESPHF ERSISTDSVPSLDQDLNSFITSGPTTPSLSPSLQRRTFERRKQLSPPQECATDHPLLE IDIDTFDPSNLAQESDSAVGSPENRSRSFPRLGFTFKSNLTASLRAIKSAAQTVSNFA TPSVRPDDFLTRSLFSITPELTDDRRPLPLSGPPSPALRRYLNPITLSPAEMHVYNDH PHDTLQGIAKCPVSIQMQTYNHSDSKSTNHQQACAELPSSRQREPRENSDFLRMVVLE MNMRRSGKLRDDAPTRARIWLPPRKAVRLIAGDYEEGPAVPQRWIGISIERIEP TSTA_066900 MDERAGFENDSTTSTDEAPEYTPSGNVSGSRTKVKAKRNDDGHA AKRRCVSSACIACRRRKSKCDGNLPSCAACSSVYHTPCIYDPNSDHRRKGVYKKDIDN LRTRNTTLQTLIHAILNYDEDSAFELVRQIRLCDSLEDVADAIMNREQGISTPPVSAQ REQPVVEDHTATDQFESELAGKMSELVLDGSVKFIGGTSNLLFLPPDLQLDEESSSRN DLVNTKQGSRFSVAQWTRVTDDEALVKHLMNMYFTWHYAYFTTLSKNLFYRDFRRGQP SAYCSSFLVNTMLALGCHFSSWPGAYEHPEDSATAGDHFFREAKRLLLEHDEHEKAKL CTVQALALMSVREAGCGREGKGWVYSGMSFRMAYDLGLNFGSTNLGSSKLMEEDIDAR RITFWGCYLFDKCWSNYLGRQPQLSLADATVPKFEVYPAEEAAPWVPYTDNGIGRERP QPARTRAVALQISKLCEISNDLLAFFYHPMPTEKQPSRQAELSKLSDLHTRLEAWKKG LPAEMEPKDGQLPPVLLMHMFYQLLFIHLYRPFLKYTKSTSPLPQHVSPRRLCSQAAA AISKLLRIYKKSYGLRQICNIAVYIVHSACTIHLLNLPDRNARRDLIHGVRNLEEIGE GWLCARRTLRILDLSAVKWHIEIPNEVTAVFDRTRVKWGSWGTWDQVTSPSVSETSPM SATATMSVPVTHKKHDNLYTSSTTLPQQQPALSHSNCGTTYATMPTIQHPMAANIPPT RYPDQLKTLPFENYPPVSNQTSPPPSNMTHLHPSHSMAYTQPNGIYQTHQPQDIMTMN NQDISCNNSNSSNSPVAVSGTPPMPVFNGITDNLIEESQDWWMRDQSALALGLENWGE GWAGNQFMNLNIPAGSPSTPHVSRSVHQNQHHLSLHPDGRTTIVDMQPHVTGSETLGN LHNMPRDGMKHAYGYTNMPPPNYQ TSTA_066910 MAREEYSVEIRAQIVALVMIAKMKPQDVAILLNIPQVTVYNIIK RAKEYGYDPTVNPRIEHEHVASKERSGRPKVVTEAIESSIIASITKDRAGREKSAEYL AYEAGISESSVLRLLKLNPFSKCKPTARLAFALEHQHWTLEEIKNIIWTDETSVVLGH RRGSNRVWRRTFECYDFTVIRRRYKGSTEFMFWGCFLYDAKGPCHIYQAENAAAAKIA EKELEIINRQREKQAQDEWELNNAFARLQLRPRPGRKPTFKFTAKNGKLVRKGKGGID WYRYQKVW TSTA_066930 MSNEKTVSEYTMAAAKAMLDEIHPKLPQASNDHNSYCLGSINGH NIAIACLPSGVHGTTSAATVASSMLHTFPSIKFGLMVGIGGGVPGRHSDKNDPIRLGD VVVSKPTNSSGGVLQYDLGKSLGNGLFQRTGSLNKPPQVLLTAVSELQADHMMGFTQI PNFLSQITQKYPSMKTFSYPGKEFDELFEREYEHVSTGVDNDNDGPCKMCDKRRLVPQ PDRKTTDPRVHYGIIASGNQLMRDARMRDLLAQEDPGILCFEMEAAGLMDQFPCLVIR GISDYTDSHKSGLWQPYAASVAAAYAKELLSVISARQIWKTTTINEAIGIQQPPPQAA NPLLQLAGLSLLGPSTPLHEAIARGQHDVVKSLLEKGVPNINAQDSRGYTPLHIAVEQ DDLVSAKALIEKGASTFRPNIMVQPVLKMAVHLGNEEMVKLFLDQGGNVDERDALGYT PLVAAASSGNDKLLTLLIQQGADLNARGSRGGTALHQASHVGHAGAVRILLKAGANPD VRDISGRTPLQIATRLRRENVKKVFAEANIV TSTA_066920 MSDLDKAIAQLRACRPIPEAQVRELCYKARELLIEEGNVVSVDA PVTICGDIHGQFHDLMELFRVGGDVPDTNYLFMGDFVDRGFYSLESFLLLLCLKVRYP DRITLIRGNHESRQITTVYGFYDECIRKYGSANVWRYCCEVFDYLALGALVLGASSEL EPTTRVENSQIDGELETEVLNANGEVTSMSYRPRYRSSSDASTDTRDISPPRDISAAP GNTPSRAGPAGTGASGSSSGSYGTTAGAVLCVHGGLSPLVDSVDKIRLLDRKQEVPHE GAMCDLLWSDPDEIEGWGLSPRGAGFLFGGDIVKHFNHKNDLSLIARAHQLVMEGYKE MFDGGIVTVWSAPNYCYRCGNVAAILELGEDASNGGTIARTNGDIGRSNGVLHSDMGK HILGPGRRYRVFEAAAQDTRGMPAKKPVADYFL TSTA_066920 MSDLDKAIAQLRACRPIPEAQVRELCYKARELLIEEGNVVSVDA PVTICGDIHGQFHDLMELFRVGGDVPDTNYLFMGDFVDRGFYSLESFLLLLCLKVRYP DRITLIRGNHESRQITTVYGFYDECIRKYGSANVWRYCCEVFDYLALGALVLGASSEL EPTTRVENSQIDGELETEVLNANGEVTSMSYRPRYRSSSDASTDTRDISPPRDISAAP GNTPSRAGPAGTGASGSSSGSYGTTAGAVLCVHGGLSPLVDSVDKIRLLDRKQEVPHE GAMCDLLWSDPDEIEGWGLSPRGAGFLFGGDIVKHFNHKNDLSLIARAHQLVMEGYKE MFDGGIVTVWSAPNYCYRCGNVAAILELGEDASNGGTIARTNGDIGRSNGVLHSDMGK HILGPGRRYRVFEAAAQDTRGMPAKKPVADYFLASYPLLSPQIFYPMLTLYENQ TSTA_066940 MTISNTIVDILTNPKHSLWIAPLLVVADAVLSALIIKKVPYTEI DWTTYMQQIALYQAGERDYTAIKGDTGPLVYPAAHVYIYSFLYELTNKGQDIVLGQVI FAGIYITTLVVVLSCYIRAGAPPYLLPLLVLSKRLHSVYMLRLFNDGIATFAMWLGIF LFQRRQLTAATTVWSLGVGVKMSLLLLAPGVAIVVALSGGIWTAVPLALNAILTQVLL GIPFLRENAIGYASRAFELTRQFLYEWTVNWRFVGEEVFESPIFSINLLVLHVVLILA FLATRWIRPSGEELDFFAQKFIEGRSTRHPLSSKYITTTLLTSMVIGMLCARSLHYQF YAYLGWTTPFLLWQAKLHPVLIYAVWAMQEWGWNVFPSTNASSAAVVACLAVQVVMLL LNDDSSQGLSEDIKKSVGEQKTK TSTA_066950 MGPPKRKVLATAEEGLYPPETLLEGHQIARIIKATGNNLYSVEW PSKQTALVELSARFRSKIWMKRGSYVVVDTNALDGRENKIAGEIVNVVRDEKAWRKAA FWPKEFVKQSYLPSDSEDEEESRVGKMPSSDEEDDE TSTA_066960 MASHFAAFLEVESSSPVTSPATVLRHDERLTTASSTNHEWIELQ SSGSIVQRPGSFASPPPDLENLHPETGSALGEADVPLSRPDSTEIVPSLYYPAKNKWR AVSASCILLAQGLSDSAPGALIPYMEQNFHVGYAIVSLIFVTNAVGYILAAPLTYWLE NRLGRSRTAMISQLSVLTGYLIIVCKAPFPAVVFSFFLIGLGSAIILALNNVFLANLT NSTELLGINHGFYGVGGTIAPLIATALASKGVHWTFFYIINLTFAAFNLIYSGWAFQG YEKDMPLQALNGQNQDAEQRAKKNLIGRALKTKATLLCALFVFAYQGAEVSISGWIVS FLIHYRNGDPAHVGYVSSGFWAGITVGRFVLSYPARRLGERLAVFSLVVGSVLFQILS WFVPNIVGEAVTVAVIGLLLGPIYPCATVVLNRLLPRDIQTTSLSFVSAMGSSGGAVA PFVTGILAQSVGTVVLHPVCLGLYGLMILAWFLLPQRSRRED TSTA_066970 MADDVIASVYHKIEREKALIAAATNMRQSTDNPSVQQRVDGQIR DGRRNIAYLEEKLRELQFRKDSGAASGPPQLPPLGAAGEQHRNGGGYDAPTPPPKDAR SGYIGDTGEYGDAGSGGYSQGGPGLMPSRAPFADPRPDPTIPKARPNYSKLDLIKYDT PYLGPKIQLMLSQLEFKLSVEKQYKAGIEKMVRLYQDEGDRKSRADAEGRRIESNQKI QLLKQALKRYEDLHVDIESSTDGADDDSINAPNMRKPLTGHLTLRIHAVQDVDHAATS RFSRGPETFIVIKVEDAIKARTRASRNDRWTEETFDIDIDKANELELTVYDKSGDRPT PIGILWMRISDIAEEMRRKKIETDLASNGWVSADKMSQGSQGLQNPNAPLSPLSGQRP GGQPQQPGNAPGGTGGGSPVLIDSWFALEPVGRIHMQLSFAKQLKDRRPFDIGLNRQG AVRQRKEEVHEKQGHKFVTQQFYNIMRCALCGEFLKYAAGMQCQDCKYTCHRKCYPKV VTKCISKANYETDPDEEKINHRIPHRFENFANISANWCCHCGYLLPFGRKNAKKCTEC GLTCHTACAHLVPDFCGMSMETANEIIKAQIQMKNLNMNKTSPVGLSNRTLRENAPAP LAPMKPSDYGRPPSAQAVTAATASYTPQSPTASSRQDLPPRTSSIEAAQMAAEAAISG VRPPSQQNQDPRLAQPQQHAIPPYNPGAYTNVMKQGLPASPMPGQRMPPYQAAPPPQQ MPPPAQPQPASQAAVVAQQPPPVKEPPPSGRGPRIGLDHFNFLAVLGKGNFGKVMLAE TKTTKKLYAIKVLKKEFIIENDEVESTKSEKRVFLIANKERHPFLLNLHACFQTETRV YFVMEYISGGDLMLHIQRGQFGLKRAQFYAAEVCLALKYFHENGVIYRDLKLDNILLT LDGHIKIADYGLCKEEMWYGSTTSTFCGTPEFMAPEILLDKKYGRAVDWWAFGVLIYQ MLLQQSPFRGEDEDEIYDAILADEPLYPIHMPRDSVSILQKLLTREPELRLGSGPTDA QEIMSHAFFRNINWDDVYHKRITPPFLPTINSATDTSNFDQEFTSVTPVLTPVQSVLS QAMQEEFRGFSYTADFV TSTA_066980 MDAPDDRDIRFQRASGDLLKEFEDKLTPLLWKQNTIRGQSQKTV HRWSQVPKENRLIEVLERFQEWPQLLDSKLQPFLSQLVDAFLVYLTKNRQFYGSTKGR APSQTQIVPLPRSICRIIYTFCKVRGVKVISRFLNNEPKYLDLMLRVFIEWDSIKSDE ESKLAATGINVQPLRWEERYVMLLWLSHLLLAPFDLTSLSSDNIPVPYDDVDILESIP LTTPRIAKAIISIAVRYVVTAGKEREAATLLLARLVLRPDMQRLGLLNIFTDWAFSVI QPPVESETLPPVYTCIGVLSFLARLGVSGQVEDLAPLVTKLFDKSLRIAQGNSGICTN IRSSASARKMLIKILRTSATLALTLAEKGDPHVPEDKVSFILEESIDFFLVTLADKDM PVRFAASKALAVVALKLDADMSADIVDAVTGSLNEDILYQKNDGGLVTAHEAQNMNMK DLKRNLSAVDPHRWQGLILTLAHLLFRRAPPPRQLPEILQGLVSGLDFEQRSATGSSV GTGVRDASCFGIWAVSRKYTTKELLSLNTGTVKAPTEQEERAILQMLAVELVCAACID PSGNIRRGASAALQELIGRHPDTILEGIPLVQVVDYHAVARRSKAMIEVAQGAALIDS AYWSPLVNSLLFWRGIGSSDADSRRTAATALGELCKQDSFKSIQTVLQRLNSRLLTIA PTDVETRHGCLLAYGAVVDAFTSEYESNLKHENAETVKEMILQLWEVFNSSHGPTKND LTQQNARPELTAEAVSCLIASLARATGTFSLDKSVPESLLLKVLNTMLLCVSRGEDVA INASSGAASQLFRILPLEKQEETVRGWFANIHASWKSASGRGQISALGAVFHRLPSSS SIREYIIKELIHCTKDEEFIEKRVSAVQCLASGVLPDIQISDSLAEHIVEFLTDYTTD RRGDIGSFIRIEAIQAVQVILDQTKAQDTADGTSAYIDRFIGCLCRLAAEKLDKVRFQ AWVCLQQYWGDATHLPAMERKFEHFSQVSSFNYFHQLLKLLQVENLRRPLVQGLITSA SAGTEGLIQSSRFALIDFIENQPNREKKYEWVNKLIENLVSILETNLVDDRYTIPALE VSAFFLDTYISEPLVQANKPCLKKLFLLTQKAHFKSSNIPRLEAAIKVYASLSRATDL RVDVLKKLTAMLLHPYPKIRIAVSDSLFMTIQSDLVKNEDWTVPPKELKVAVERLRGE LLKGH TSTA_067000 MAAAAASGSGIPPNPTYVHNLEERVKIDELKEALTAIFSEYGTI LEIVAKKNLKAKGQAFIVFDNVESAQRAIEEVNGFDLLGKPMHLDFAKTRSDATVLRE AGSEELEAHKRKRLAEKERKHAQEALEAQKKLKRPAGVVPVPAEAGRPAKAARGTGLK PTSAATTAVIPDEYLPPNKILFLRELPEDADSDMLAGIFGRFEGFREVRLVPGRKGIA FVEYENEAGAISAKEATSGMPMGPNAKPIRVTYQRQ TSTA_067010 MAKKETDDEEFHAKKAENDAITAKVKHEEAERQAELDRSAPLSE TPNVSLPPEIPTYPDDDLVIRTSSIPETNEDCKFLDAPSSLDEEIEDSGDDGVEPDFK VEDEDDLNPFGGLDEEISKLIKPGSPSSEVSFRLSSSTRPSPVPLNTGELEPEFKWAS HMQLITFRATAGKIAEEYLKGKSVKLHHQSVRSREIRNWHAYNQGVKDSKNIDVRRKR IEESAV TSTA_067020 MVKRTSDENGGEGDIHTNGGPTAPLKIPLPLYKASVKEPAETGT IRVSSSIADISDAIVQRTKKCLQGAKPPQYARSERKSSTSLGVAVDGTYAGLSVVSIQ RVDGDPFNSVQQQSYVNTISAAMERFFDCKSYSTGTYSSVDWTFCGIAENTVATAMSF ETG TSTA_067030 MSGAVGREAVFPTRQSLGLMKSKLKGAETGHSLLKRKSEALTKR FREITRRIDEAKRKMGRVMQIAAFSLAEVTYAVGGDIGFQIQESAKSARFRVRTKQEN VSGVLLPQFESVIAENANDFGLTGLGKGGQQVQRSRETYARAVETLVELASLQTAFVI LDEVIKVVNRRVNAIEHVIIPRTENTIKYINSELDELDREEFYRLKKVSNKKQRDTAA QDAEILAKRQQEAQQAKAEESKGDVAVTATEEQAPSDVLGQEDEDVIF TSTA_067040 MPGALLPPRSQRYHAQMERTSAAEPDLYLISNEDTIYEQDLLRD PGSIKPWLSYIEYKRQNGTLYEQSFIMERACKELPRSYKLWKMYLEFRINHLRGRNPA IHRAEYQKVNALFERALVLLNKMPRIWEMFLSFLLKQPLVTYTRRSFDRALRALPITQ HNRIWKLFKSFASSVSGLTAVQIWRRYMQVHPENAEDYIDLLVDQGHFTSAIKKYMEI LNDSGFQSKQGKSHFQLWTEMVELLVNKAKYIDTQGSGGIDVNAIIRSGTLRFPDQRG RLWVGLATYWITKGNFEKARDIFEEGITSVMTVRDFTMIFDSYVEFEESITGTLMDQA AARLKKGKVDEDADFDLDLRLLRFEQLMDRRPFLVNDVLLRQNPNNVVEWEKRVGLWR DNATEVVNTYTAAIAAINPKKAHGKFHELWVNYAKFYEKGGDIGTARIIFDKAVKVPF KTVAELAETWCEWAEMELRNENFDRAVSIMAKATQAPKRSTVDYFDETLSPQQRVHKS WKLWSFYVDLVESVGTLDETRKIYDRIFELRIATPQTVVNYANLLEENKYFEESYKVY ERGLDLFSYPVAFELWNLYLTKAVDRKMSIERLRDLFEQALDGCPPKFAKVLYLMYGN LEEERGLARHAMRIYERATRAVSDEDRFEMFNFYITKSASNFGLTSTRPIYERAIAAL PDSEAKDMCLKFAEMERRLGEIDRARAIYGHASQFCDPRTNAGFWQKWEAFEVQHGNE DTFKEMLRIKRSVQAQYNTDVNFIASQAIARSQQQRAQNGETDGKGEREEEDQERADA MAALERQARAPVGFVAASTGPEGGNRPSAAATTAPPANVNPDAIDLNDDEDMED TSTA_067050 MSEYTLPISVDPVSLVTTECITVTSAMRKHARWAHSSVAAILGG SGSTKGSDRDMTASPLPSSRNSIASKSDKSQAADGDYVLANRWGLRGKKGKSIQDNPL ISAFTRLRSDLKSCRDIRTFDAPALLHPFLQVIRSSSTSAPITSLALVAVTKFLAYDI LNRHSPRISVAMQLLSAAITHCRFEASDTVADEVVLLRILKLMEGMLSRPEGELLGDE SVCEMMETGLSMCCQNRLSELLRRSAEISMINMCQVIFVRLSAIDIEEVGDDAQNQQR QTEDDTANLKMDPSVDGDTVIPQHPSTMGSDTILPEKEEKERASNDDPNLTANGDTSA ASATENEESDAAEVRPYGILSIRELFRVLIDLLDPHNPQHTDTMRVMALRIIDVALEV AGPSVSRHPTLAALAEDDLCRHLFQLVRSENMAILNASLRVAGTLLSTCRSVLKLQQE LYLSYLVACLHPRVDIPREPGINPSLYEGVPQAPKLVKPSPSQTSSGRSTPVPVKDRQ KLGLEGGSRKPEAREAMVESIGVLARMPGFMVELFVNYDSEVDRADLCEDLVGLLSRN AFPDSATWSTTNVPPLCLDSLLGYIQFIADRLDDEPQYEGYPDPEKLKSQRQRKKVIV RGATKFNEDPKSGIAYLASQGIIENPEDPELVARFLKGTTRISKKILGEFIAKKQNEK LLAAFINLFDFAGKTVVEALRELLGSFRLPGESPLIERIVTFFSEIYMAKAQPEGIAD KDALFVLIYGIIMLNTNLYNPNVKNADRMTCVDFARNLRGVNGGKDFDQDELQNIYDS IKQNEIILPDEHENKHAFDFAWREMLMKTPAAGELIMCDTNIYDTEMFAATWRPIVAT LSYVFMSASDDAVFSRVVQGFDQCAQIAAKYGLTEALDRIIYCLASISTLATETPPST TLNTEVQVGKKTVMVSELAVKFGRDFRAQLATVVLFRVLTGNEATVSESWKYVIRILH NLFINALIPPFADNLISSFDITPIPLQPPSQVVERDSRGETGLLSAFTSYLSSYAADD PPEPSDEELDNTLCTIDCIDACRINDMLNNLKSLPQSSLSNLVDALLLELPEENASAV IVVKTERPSSAGPRPASVKSDLTSPGYNPGMLYTLELATALALRDAETIESVGENLAG SLQGIVRDARNVHPLIVSRVLYYLLNLLRLSYDQPFMRVPVILHAISSFDQDILEMSA TPVLNSLSRCIAESELLRREIIISPDFWSILQRLRPQNTSAPIVYEILKGIIESTPPA ISSDNYEAAVALANDFASAGSIGAAEERRRDANARRSRGAKPEKPTENDTVLRGIHAI DIIYQMTSRTPSLIQQSHLERSEAWAAYWSPIFRSLTMQCTNPCRDIRHQAVSTLQRS LVSLEFASENDDKWTSIFEEVLFPLILRLLKPEVYHSDPIGMSETRVQAATLVCKIFL RYLDQLSNSGGMLDLWLKILDILDRMMNSGQGESLEEAIPESIKNILLVMADSGHLSP PPSTDENKQKIWTETKRRLDRFLPNLFNELFPVSESRKDVSTAPSKENEENGQTSTPS NGSESNEKQEQTSPSPSPADVD TSTA_067060 MATISPSLADLPLEQLSLYHVVDPYLSSVLIFYGSVATTNSSTS STRNQAHIFSAAGLRSYPRIIASPVAPLYSAVHQLPREKQGDEIYRGVAVSLLRYFND IPQPAQQCLKQIAKTSKSTGRLPRLFEEVHAADLTNRMVRINNTAEIVRDLRFAYDER KAPWIDIDIMLPSGSIVPPTIHEQDQNETGADEVLDDNASNGQYGKFTSLIEAFGDPV FLPTSRLRRAPSQPTNLSKSTLFTRGQKEALRLAMCEVVDTEERYVSKVYDLVHNVVQ EFQQKARSKSTSSSSPDETALAELFPPCLEEILQINMGFLSVIREILESTEQDALTSL AEDTDLRTSASGRLISEQDRDIMGSMSFANALIEWFPRFSEPYAAYMRAHNGFTQTLN SFLKDDQSSFSRRVYETGEQKLRSLLMEPVQRLPRYSLLIDTMTSNIPATHPSVRLFL KARDIIKEICSLDTPANTDHSQNIKRVMSLVEYWPPSIIPQGRLINAIDTTEVLPPYN IQERQETQNTTTLVLVYKNYSILLSRYPGSNMTARALLSDLEKQPTTNSEKPLSQPGP QFRFLRAFDLNSLLCAQSSGGQILYLMPTSTLPFSSSQSPQMTPHALQLSGMYEGRAS RLIEEILKAKIEGRFSEREREGTKWTLRSPNVPAGTLGILAPVFEEDTDGSMQRSGCS RIRIVFDTPKAICTKTLDNASVDVIVSVSSADSDMYRMEVSSINGTPNTVVDTVSAGA FIATLTSRLCALLPAVYNIQNRTLTESSVYSNLDILRQIGNHIISQTKVGRGFRPPSP TKLISNLWGSSSQAKDTPSLSKAFTQTLVLSDIPKMSPKTVGRPVTSPGLLDEAPPKI SVVGPPSFAQDDQLAKLEQTLNAYVLALRLRSGNIVGRSLRTRANADKAMVNELYNVL LEDPAKLQAAAEVPVDVLFVAFETFIHHAWKDLIGAMIAPEVLSAVQNKYDSSFPRDF EDYFRTILGDFSPQNRRALTATIRLLAELLDASGNDGDRGALTVAFAEVLTENEDPMQ YISLLDRLVEDFENLFEESLGHSTSHERTPSRPRSYTGSVGSNASSFRKRLGFGHREN SSRSEGESKVSSLIRTWSKTKNSGESDGRLQLFRSKSTDTDSRLAELLRPATRERPTV YGAFLSDDNIRRPGSAHGDDSVLGAIHETPITPQRDPARRKKRRSSLSDLPPLSTPPP PGALSPIELPKPVTPVAKPRPQSEVMSRIAQLQDPESPKQNSLTRIPQVSRPPIRATS PKRPESPVRSLLSPVVLRSPMQKENIPQRPKLTERPVNKKSDGPASPTYTRKKRSDTL TSIPQPSRYSLQFKDRPVTSHGLDLPGRRERALSSPQKPQRVRMQSPQKLRDRLQSTV QAQNNAESVLRSELQLVGQELASLTQTSSPTETGQSSVVEALLSRIRNLEQQVSTFNA DVNTRTANLEKDVETGLLVSEKRAKKLDELYRELSAENEALYERFNTELGKVVKEVRA GNGEDVLKTQLKTALEELSRVKKENLRLKREVGGLKAVRADIDLPSSAKEVVEIPDA TSTA_067070 MALPFKHGMSAPITAQQFFKSFREAWTNILPRYQRPPLNHIPRR IGIAVSGGPDSMALAYLCKQLQVTRPELELEMKAFVVDHKFREESTEEAHKVSSWLSN MDILSEVLTLDWEAGETPKKKMAFETLARTKRYQALGKACFKNQLNTLLIGHHLDDNV ETGLLRMTPTAKLEGLAGIAPVARIPECHGLWGVSESGSFETIRGRQRSFEFDLDEGK LTHFSADDWSFTMATGGILLCRPLLPFYKASILETCREANVPYVTDPTNSDPTLTMRN TIRSLLESDKFPRALRPASMSAFLANNRVSLLDIMSETDKLLRDCQLLKFYPNSSTME VIFPTLPVDSPDAQNIRILAAALRRITNLITPRPELKNQALRLYERFAQDIFTGQQRD DFTVAGVHFKLQESPNNKEGGVLFDNIWHISRTPFMTGQEPVLEIDNLSDEWSAPVLW DNRFWLQFRLHRESSDKPESGSAEAASSSDAAADKNISESYNPRITIRPVKKEDMIHI SQYLKRIKKNFQFAKWAPRNSRFTLPVITTTTPLPTNPNKTIAMINRKRRKTGILKIQ GDVPQERYIGLPTLYFMDMQSQEGDVGVKVQCRWWYKQVDAEALKLMSWLAEDHQEVA GYHAHY TSTA_067080 MARKFTHQRVSYVLPLPNASGGHRLGVNGLAVDSDNRILYSAGR DGVICSWDFSPSDTTPSSRTTPTTRRDQVQAHSHWINDIVLARNNSALVSGSSDTTVR VWRPLSQTKELPIAIGRHADYVKCLALPHQNSNWVASGGLDQKVFLWDLEGKGEILKI DTCGDEATEKGSVYALGTGGSVLASGGPESVVRVWDPRSGKLITKFVGHTDNIRDILI NKDGDTIMTASSDQTIKVWSLTAGRCSHTLTMHNDSVWSLYSDHPQLSVFYSSDRSGL VAKTDTRDVSDIDQGTSIAALQEHEGVVKVVAACDSIWTATPKSSINRWKDVDTTAET EFPNITARRPSVGLPAESPSAAPAQGTVGNTDEPTKKKIPHNSILLLSNMSIFPKVDP ERATIYSTVSGRKPSEMIVNEELGEVLPVYSLPEETIEGQLGVIKHFMLNDRKRTLTQ DTAGEVLLWDLLKCVPIQSFGKRHIDDVASEVNTVESIAHWCTLDIRTGRLSVILEPN RCFDAEVYADEIELANGEGYASDQRINLGKWVLRWLFGDLIEEEIRRDAIHRERLSAK AAENQALQRTNAPTSIELPRTPGSQGVIDGSPMTPRVTNGLHGPTTPGLNIGVATPGA PSYSSPLPPTEEEGLSTRLSHELGRPPSSNKSNDYFSSSHYIEAEKPPQTAAEEQTPT ALPQSPSEPEKEEKKKGSLFGKKFRMEFPKKLGRTSSEVKTPAPEEKQEESDKSSEKE EKAFESNLYGVIDRIRHEYEAFLLRNPGSALNSGITPSSTSETPALKIPADTDILIQE ETGDTAVAADLYRGSVGSIREEIDKLEKTVPHWLGELLLKNQAPPKEVVKVAFILKPY NDLLPPVNKLDGGFDMSSSRLNANRMLRAKKVLAYIAERIDPANPANPDPNPMQPEEY LELYCQNILVPVNMTLATIKTHIWRTGGDMILFYKANGKKAIRAPVAVQETGEAAGSS HPVEDNNLHDNMSSSHASNSISDGL TSTA_067090 MAHQLHAPLSQIKPSFAPTPVDNNDMNNRTEPPTVQKTIDLLSM QAHIEGGYYVETDRDPLNVPNPFINNKDPGTSYTVPETDVTSDIRLSPGQDHSTRSAS TTIYYYITPGSPLGCFHRNRGRTVHTLHRGRGCYVLIHADEVLRGDRTAENNKARIET FVVGHDIERGEKLQWIVEGGKFKASFLLPDTDGGDKQSEGLLISETVVPGFEFADHDF MRAAMLVELLKPEEVEELKWLLREKSISV TSTA_067100 MSMNFVTFNQDYSYLAVGTSKGFRIFTTDPFTKSYETKDGNIAI LEMLFSTSLVALILSPRRLQITNTKRQSTICELTFPTTVLAVKLNRKRLVIVLEDYIY LYDIQTMKLLSTIETSPNPNAICALSPSSDNCYLAYPLPQKAPPSSFAPPAHAPPSTT NHISPTTGDVLIFDAVKLEAINVIEAHRSPLSCITLNSEGTLLATASDKGTIIRVFSV PDGHKLYQFRRGSIPSRIYSMSFNTMSTLLCVSSATDTIHVFKLNSHGNNPDGSPKSS TFDRPISPSPGDYRDEHDESGDASDFSSRKHNGTLIGMLRRTSQNVGNTFAATVGGYL PKGVSEMWEPTRDFAWMKLPKTGQGSGGQANTGPVRSVVAMSSNTPQVMVVTSEGNFY VFNIDLSKGGEGTLTKQYSVLDTNDKLGSSGLDY TSTA_067110 MDAPSTPSNESHDGTIKDPPKEMGRKSMVLTKVEKEADLVMGTN NSSIVSKRSVELRYYPQYEFFRPFVKKPQRRAPLINRGYWLRMHAIAETVRQFLQRAD TRPKFVLNLGCGYDPLSFQLLKTEQSTCSNVTFVDIDYEKLMGIKTSIIQQTEELKSI LGDIKAYPDPNPVLLRASHYVAVGCDLKNLKKLEEALKEVLGPSPVSVLCIAEVSLTY MDVKSADALISWFPTLGKDIQFCVLEQFFPDGPDHPFASTMMKHFNKLQAPLHSIHKY PALSLQEQRFYSRGWRSASARTLWDIWNDDAFLSKSQRMGLDSIEPFDEWEEFALFAS HYFLLTASTFEGGPFSTNELRSCSDLPRAPLKLVSHRAASFKGHRRFAAVVPDSDRSI GVHGGLGSQSRLLSTDLYCRGEDVTKPAGNLPTYKISARMCHTITALNNGNCLFVGGR ASPSAGLSDCWIRKDNVWKPTDSLPSPRFRHCAVRVELNDNEGVLIYGGKTSKGDTLD DWLLWNEQEGWTAVEVAGQRAIASRFGAQMIAMDALSGYLFGGMSQDGIVFNDFWKWS LETDGDGIKTIQLTDLSEKLRNATNLADYVAGRFGATTSVVSNKLLVIGGIGVQGVLP ETVEFLCFEVSELGQTEWSSSIVQTIDAKSESPALRPLLAGHISGTVGSSVIVVSGGA VCFSFGTFWNSYIWEICDVSAGTSEDWKFLQVAETRLPRAAADASRSKSCNKSTKPGA IKSIGRVTIQTAADFESILNQAQPVVITGLDIGACTEKWSKDYLVQTLGSDRKVVVHE AQSDHMNFQRKNFSYKTKDFGTFMDEIQQGSRQYLRSISSDQPAKKAALFEQDFPEIK DDFCLPSQLSFARDNTHSSPLRVSGPVTMWLHYDVMANVYCQIRGQKKLVLYPPSDVE HLQLPPGASSSTLDIFASDNNNVKNGRIVSVPRTSPHEATLSPGEILFIPPLWLHAAA PIEGVSIAINVFFRNLEKGYAAGRDVYANRDLEAYEKGRNEVEKIVRSFDGVPPDIAA FYLVRLAEELRECVSISRETS TSTA_067120 MATVLVQQQSIRHSATPPPVSPALSLNVRPRTPTTIPNKHIPAC PPGSAPQAVSPIEQTGVSLTSLLYPPDRFRKLSKSPPIYSITASALAAALDHLATQPL PDPKQVFPWLHGLHPFNGVQSAFFVNRKRSLRRIPRCFRSLTLVSLDGDLTKSRLRGA VSLDEILDTSGTEFIDADPIYGFSVRNFHIQTAKLAPLSDIVIYAERNADPSHLFDAA QKVAIAQQNWRMKHDPAQETPLFNTFILSDPFEEIEQRYHDLVAIDSQGHITGKVMDF FQWERNEMCSMSKASEISKNVWLGPSPDILMSSDDQDQAHVPHFDLTVEAIDLAPIPG PRYLEKVRKNLEEEEWAEGMPMQFPSSGSIVPQLEANRDQEDFVNTVRWLYDLANPED NGSDIEELDDIPMEDSTSYKILIHCADGYTESSLLAVAYFMFAEGLPLDRAWIKLHRE KGRNFFAYPSDVVFLRSIQQQLLEQSPAASSLNLDVYCEPAWLRKMDGSLPSRILPYM YLGNLAHANNPELLRELGIKRVLSIGEPVSWSEKEIESWGEDNLMFIGQVQDNGIDPL TQEFENCLSFIEQGKADRSATLVHCRVGVSRSATICIAEVMASLGLSFPRAYCFVRAR RLNVIIQPHLRFVYELLKWDEQQQQKRREPLRRELEWASVAREIALMNKPYSRQQ TSTA_067120 MATVLVQQQSIRHSATPPPVSPALSLNVRPRTPTTIPNKHIPAC PPGSAPQAVSPIEQTGVSLTSLLYPPDRFRKLSKSPPIYSITASALAAALDHLATQPL PDPKQVFPWLHGLHPFNGVQSAFFVNRKRSLRRIPRCFRSLTLVSLDGDLTKSRLRGA VSLDEILDTSGTEFIDADPIYGFSVRNFHIQTAKLAPLSDIVIYAERNADPSHLFDAA QKVAIAQQNWRMKHDPAQETPLFNTFILSDPFEEIEQRYHDLVAIDSQGHITGKVMDF FQWERNEMCSMSKASEISKNVWLGPSPDILMSSDDQDQAHVPHFDLTVEAIDLAPIPG PRYLEKVRKNLEEEEWAEGMPMQFPSSGSIVPQLEANRDQEDFVNTVRWLYDLANPED NGSDIEELDDIPMEDSTSYKILIHCADGYTESSLLAVAYFMFAEGLPLDRAWIKLHRE KGRNFFAYPSDVVFLRSIQQQLLEQSPAASSLNLDVYCEPAWLRKMDGSLPSRILPYM YLGNLAHANNPELLRELGIKRVLSIGEPVSWSEKEIESWGEDNLMFIGQVQDNGIDPL TQEFENCLSFIEQGKADRSATLVHCRVGVSRSATICIAEVMASLGLSFPRA TSTA_067130 MDDTMDIETTEPRGTKRTADDAGLPSNEQRRIRALDPDVVNKIA AGEIIVAPMHALKELIENSVDAGSTSIEILVKDGGLKLLQITDNGHGINVDDLPILCE RFTTSKLREFEDLTAIGTYGFRGEALASISHISHLTVTTKTASSSCAWRAHYSDGKLV PAKPSQSAKPKPTAGRGGTQITVEDLFYNVPTRRRAFRSSSEEYAKILDVVGRYAVHC SNVAFSCKKHGDSGSSIATTAKSSTIDRIRQIHGSSVANELIDFNIEDTKRLGFKASG LVTNANYHVKRTTILLFINHRSVESSALKKAIEQTYSTFLPKGGHPFIYLDLEIEPNR VDVNVHPTKREVNFLNEDEIIESICSEITTRLAQVDSSRTFMTQTLLPGVPKESDIPP SDSATRRTSSQKPYENNLVRTDSRVRKITSMLPSLAAVSSTQLDSTNQTEDIERTNDG LHYETTDRDPLRIGLTSVKRLRATVRSEMHNGLTELFSTHTYVGLVDERRRIVAVQSG VKLYLVDYGMICNEFFYQIGLTDFGNFGVIKLDPPPKLIDLMQLGAEIERSEHYTTNH PSTVEGGTTQSSSQNDEMEEIFQKAPEIVSQTLIDRRDMLDEYFSMKISDEGELLTIP LLLRGYVPSLAKLPRFLLRLGPYVNWTSEGECFRTFLRELAAFYTPEQLPPPPSQPRK PEIASSQEGEDETATPTSQEQSQGRETREEDSITTRRSQLIRMLEHVLFPSIRARMVA TNALLGGVVEVADLKGLYRVFERC TSTA_067140 MAKEGQRSAAADKGKGKIDDVRELNGQKKDAKDDKISKTGKKED KDDELQEEELSEEDQNLKNELEMLVERLKEPDTSLYRPALDAIKNFIKTSTSSMTAVP KPLKFLRPHYDELTEVYERWQAGSDKDSLADTLSVLGMTYGVEDKLETLKYRLLSKSP AEDLGSWGHEYVRHLALEIGQEYQNRLSEDKEFSDLTELALSLVPYFLSHNAEADAVD LLSELEMIEEISKFVDENTYQRVCLYMVSMVNLLTYPEDHQFLHTAHEIYVRYNKLTQ AIVIAIRLNDVDLIKSDFAATDDKALKKQMAFLVARQQIWLDLPGDDEEDQELAECLN NTQIPTHFKALAKELNILDPKMPEDIYKTHLESSRGAGLTNVDSARHNLASAFVNAFA NAGYGSDKMMLVEGDKGPWVWKTKDDGMLSTTASLGMLLHRDVEGGLDKIDKFTYAQE DQIKAGALLAIGILNSGVRLDSDPAMALLSDPDNIENKSIPMRVASIMGLGLSYAGSN KEELLDVLLPIVEDTSLDMQLSAMAAVALGLIFVGSSNHQVSEAIAYTLMDEERQKQL KDKWTRFMALGLALLYFGRQEEVDVILDILKAVDHPMAKPTAVLASVCAWAGTGTVLK IQELLHTCNEVIEDKEENKGDELVQSYAVLGLSLIAMGEDVGQDMILRQFGHLMHYGA SNIRKAVPLAMGLISPSNPQMKVYDTLSRYSHDNDNDVAINAIFAMGLTGAGTNNARL AQLLRQLASYYHRDQNSLFMVRIAQGLLHMGKGTLSVNPFHTDRQVLSRVAAAGLITV LIAMIDAKQFILAEHHYLLYFLITAMHPRFLITLDEDLQPLTVNVRVGQAVDVVGQAG RPKTITGWQTQSTPVLLSYGERAELEDEQYIPLSSTLEGLVILRKNPEWESST TSTA_067150 MKLDAKAMRYFTAEDFRVLAAVEAGSRNHEVVPTPLIVQLSGLR GGSGVHRSISNLAKTNLIAKVKNAKYDGYRLTYGGLDYLALNTHQKQKSIYSVGNQVG VGKESDIIVVAASDGSQRILKIHRLGRISFRTVKTNRDYLRNRSTGSWMYMSRLAAIK EFTFMKALRENGFPVPEPIAQNRHTIVMSLIDAFPLRQIAKVGNPAVLYAELMAMIMK LARYGLIHGDFNEFNILIKEETITNEKGKAAENAESSDEDIRLVPILIDFPQMVSVDH VNAEMYFDRDVNCIKRYFKRRYGFTSDDPGPFFVDAKKQLRKNPGKRLDVEVEASGFS RKMARELEKYMKDVGVDGDAGSAERSDLDDDNENDDYDEDEDDEEEEDEGEGDEAQGE EQSFGDSKDQHADSIDRDIESSSQRINGLKVSDSG TSTA_067160 MTRVRRLAKKPIFLPEFVVTLVRTPFLPPRYATFYVPLEFNKLD MREYMKQVYNVDIISIRSFVEQQKVTREFRDGRPGYGPIRRPKSKKKMTIEMTEPFVW PEVPKDLSPWQPDQFYRHREYSQEFQESGQPSASAKPLKGESDAFAEQAKELLEGKTA WKPTWQALGLRYDRPALAKLSEKTTLEAKVSQLKTTKEGQEAPVPDVKVEESSQSVES TPKDKTS TSTA_067170 MGSTNGKTTKVPLVPLAKDTVLLPGVTLRISLNNRPDVANLLTS LVNRNRRDNSAITVACVPLASPRLSKDGLQLIENGSEQENQEEESVDAGQARKEDLFK YGVLAKVVGVQRRVYSEPYLLVEGIRRLSVVKVLKERPFFEAEVLLHAEIAPNPEDTE MIESFQHLKQLARELLTLLRLASLFPSNPTSISPTVARRFELFISRREYAQAGSLADF MADIVESSLEEKLRVLAAFDVKDRLQRVIELLNRQVQGIKKNVKITSITTTSIPSNSP IDISQLDPRLARRAMAGLNGFSPNGGNGGNEDAKEPNEVDELEQRLNEAQLSLEARKV ADRELKRLRKMNPVNAEYGVCRNYLENIAEIPWLKTTEDQLGGDTLQRARKQLDDDHY GLEKIKKRLLEYLAVLKLKQSVNSDIERQIAQVSEQLSASEKDNEKENDVEASTSLSQ PEREGLETKLHLLKSKRTVDKSPILLLVGPPGTGKTSLAKSVATSLGRKFHRISLGGV RDEAEIRGHRRTYVAAMPGLIVNGLKKVGVANPVFLLDEIDKVGGSNFHGDPSAAMLE VLDPEQNHSFSDHYINIPIDLSKVLFIATANSLDTIPAPLLDRMETITLSGYTTVEKR HIARRHLLLKQIRINGLSDNEVSLSDEVLEKIITGYTRESGVRNLEREIGSVCRYKAV QYADAKETNSMGSYNPNVTMEDLEDILGIERFDEEIAETTSRPGIVTGLVAYSTGGQG SILFIEVADMPGNGRVQLTGKLGDVLKESVEVALTWVKAHSFDLGLSHDPNEDIMKNR SLHVHCPSGAIPKDGPSAGLAHTIALISLFSGKSVSPQIAMTGEMSLRGRVMPVGGIK EKLIGAMRAGVKMVLLPYANRKDVKDVPQEVQDGLEIVYVKHIWEALRVVWPEAHFPG QQLNMFESHL TSTA_067180 MSTAPLASERKTFNIGTRKSKLALAQTYMVVDALKKEYPQYEFN IKSKDTAGDRDKITAFKDMQTKNLWTEELEELLIGGQLDFIVHSLKDVPTLLPPTCAL GGVLPREDPRDVLIVKPGLPYTSLAEIPAGSVVGTSSIRRTAQLAMKFPHLKVQSLRG NIDTRLAKLDGEDSPYTCIILAAAGLLRTGMGHRITQYLDSRSGSLLYAVGQGAIGIE VRSDDGLVLEMLNKVGDQKTFKEVLAERSLLRTIEGGCSAPLGVESEWINEVEGTTKL HVRSIIVSVDGKRHSTAELEKVIESAAAAETFGLELAQELIANGAGPILEEIKQNKVT S TSTA_067190 MTDQPQSLKELFQAAKEQKLSLETSAEPNSDAYRQQVSDTINKL QECQRLISQLSLFSSNEGLEDVSTANLQFLTVDHLLAEVVQRASSTDREAVLRRALGE YEKFLTRLDDYGLLSDRDKKLFEQYTENPARFSLALKNDAANRREVKVGRFREEKELK QKLEYFRQNENRLQSDDEATRKLYLAEINLYTHQTFQSLDMIAQELEMLSQMRHAPPV SAPQDDPRSRNTPDKNNYSERLDGPLSQLLQGGRGGPLLSKSGKPLQPFTLTNKRTEL QQGVFRPGHNLPTMTIDEYLEEERRRGGILEGGTSNEVPEPDEDDLDKADEETMKARA WDEFKEDNPRGSGNTLNRG TSTA_067200 MEAPILEEEHFSASESENEEAAPPTAQSTRQEQKAFFKDLLSQA AKDLDSQELNRSSTAENAGSTRYLLTTQDFAATIEDPRDYQIELYERAKHENTIAVLA TGSGKTLIAILLLKHIIQNELIDRGRGQRHRISFFLVDSVTLVFQQTAVLKNNLDQPV ASIYGDMGLDLWNKDVWTGYFTTNMVIVCTADILTQCLLHSYITMEDINLLIFDEAHH AKKEHAYARIMKDGYLKANPASRPRIFGMTASPLDAKRDPVKAAHELESLLHSKIATA SNLNILRQVVGRPEEEQWKYAALKKPFKTALYQTLENQYGDMECLKSIFAFSLQASSY LGPWCADRVWADALSEKLLPRFHGKITSACKRLGVETSAQKAESEISRLKQMSEMIAN YERPSGLDILEQLSDKVQVLYRHLARRFEEAPNTKCIVFTKQRNTAKLLEAVFRELSV PNMRPGVLVGIRPGDISGMNSTYHQQFKATIEFRKGELNCIFATSVAEEGLDIPDCNL VVRFDLYDTLIQYIQSRGRARSLNSIYAHMVEIDNMEHETRLDEVHQAEEVMRRFCEA LPEDRLLGDKSDSLNAILERDSRKRQYKIESTGATLTYHSAMTVLARYASSLINEAHS SLHPCYVTTQTKGLYECEVILPEASPIRGLIGKPEPRKSLAKQSAAFETCILLRRHGL LNDHFVSTYQRRLPAMRNAKLSITSKKTSQYSMIVKPAFWKKGCDHVPCELYLTVIMF SPAEPLQKERRNLVLLTRERLPQFPEFPIYLDDDVETTIRTISMYKALSLSASELELL TTFTLRIFQDLYNKVYEMNQEAMPYWLVPTASGIGEYGEDANPSEVIDWDTLQFVMDN ERLDRNSASPEDFVGRFVYDTWNGKYRYFLTGVNTSFHPSDPPPSFVPHRRYMENIME YGLSLYKNSRVRFLAECDWNQPVYDAELIPLRRNLLDKMSDAEKDVEKRVVVCLETQV ISAIPAMVATSCLAFPAVITRFDSYLVARECTDTLGLKVQLEYALEAVTKDSDNTGEH RLEQIHFQRGMGKNYERLEFLGDCFLKMATSISLFVESPNDQEYDFHVNRMTLICNRN LFNTAIQRKIYEHIRSKGFSRRNWYPDGMKLLRGKANEDQGLTHALGEKTIADVCEAL IGASLLSGGPVNRFDMAVKAVTALVNSDHHNVDNWAEYSSRYSLPPYQIKEADGFEKD LARQVEMKLGYCFQYPKLLRSAFTHPSYPSAWSTVPCYQRLEFLGDSLLDMVCVEDLY ERFPDRDPQWLTEHKMAMVSNKFLGSLAVRLSLHTHLQYFSNPLQAQIARAVQDSQLA YDSHQTVDFWLHTDDPPKCLSDMVEAYLGAIFVDSGFDFGVIESFYAKHIKPYFEDMA LYDTFANKHPTTFLHRKLTDDFGCIQYTLRAAEIPSVDGAPPVVLAAVLVHDVVLAQG TASSGRYAKIKASEVAMKNLEGLSVSRFREKYHCKCSRNVSSHGAAAPPRPEDMGCAI TSTA_067210 MESISNGLLAEQDRQLELHAKIQLHSQKVAHRIQKNRPAATTRQ YDSRQKEFIDFCTKEGFPDGQVVTEKKLVYFLDHYVINRPIRPSRYLRNRTDSQGAAV VQTLGLPSVKAYTSAIVDLWRFQQSLGTNPYPNPRGHLLLLILYKTTSGHSSTQRATV RELWEEWHVGIHGNPSIQSLEDSYGCRWRSDNKERVFFSRRKVIIDWIQARVSKGILL ADAIDEIELMRRNSQRTLYQLQALLKKGV TSTA_067220 MEVAAPGVPTNGLAAATTPVAVADPNVVVRYLTDVLQVTLGASK RDLEAPGSLLSKAKYSETVQKCTRFASESQVALYVQQDIFSAEEPNGTENDDAAETRY TYTLAAEVSFLSTTVASVAFIKRPGPIDPSQPLATQIQVTNFPGLSTLSNAQAQQGAS ISAFEILHSLVHHTLGPYFEAYTRSQEAANGIKQRTETEAKTGVPGAKKRIAELDLAF LHLQQNVEIPTLNLPLHEIVQAALDEAEAHGVKPSVDLIPPAALENSTVLNSIQNNVN GWIKSIQTITKMSRDADSGSANQEINFWLSMETALEGIEKQLRGDGVQLTMEILRHAK RYQATLSFVADTGLREAMDTVQKYNQLMRDFPLDELLSATTLQKVQESLGLIFNHLNR KLKISPYPIKRALALVEAISGDLDSQIHALLHGRTIMHLDYREFRSLMKTCSAIWRTW DENVKEFTNVGREASRRRNEKFIPIKIVPRHMKTQERLKYINTFRVNHEQLQRTIVNV LGPKSAVNANGTDGAIIVEEIGDVDAVEEVAQAYAALKDVDVLDVSEAGTQQWIQAEI TYNERTSRVENSIIARLRDRLATAKNANEMFRVFSKFNALLVRPKIRGAIGEYQTTLI DNVKRDINALHERFKQQYGHSEAHAMAQLRDLAPVSGAIIWARQIERQLDGYMRKVED VLGEDWHLHSEGQKLQAESNLFRKKLDTKPVFDSWLQDVQKRHITISGRLFNIIRNRA AGNSLELTVNFDAQIIALFKEVRMLMYLHFQVPHAVSSISKEAKRVYPYAISLMETVR TLLQTTRTIASMTEVAILLSGYQNDAQAMITKGIPLRWESFVHSYELHVKQSTLSNGT LDPTMTGRGESKHVQFVREFAVSASVLQSKTDTLASINESIQKTILELKTCPYDAAVF RQRLDSIQISVDKLNLENYVNLGHWVSNLNLKIESILRERLHRAIRTWIVSFKESKDE QYTKRLSLQPAHEKSANDTPEAEIYHIEFPELVHEVSMRNQVLHLDPPLQYARASWLS HFGQWLGVICNLEKIKSSRYQISIDVEKTQLSETTFVNLPQHCIEELAEVYGAVESRL SEISDYVDKWLQFQSLWDLQAEQVYDILGDDLSQWLQLLQEIRKSRATFDTSEVSRSF GNIIIDYEQVQTRVNAKYDQWQHEILQKFGSKLGGNMREVHSEIATARRDLEGQTLEA SSTAHAVSFITIVQQCKRKARVWEPQVDLFRQGQTTLARQRYQFPTDWLHVENVDGEW AALNELLNRKSKIVHDQTEALRAKITAEDKVIGDKIKEIIVQWNDEKPVSGTIPPEEA SRTLSSFQSRLEGLQSEFEMVSKAKEALDLPASAESSLPAILEEVQDFMSVWAALSTI WKSLNDLRDMLWTSVQTRKLRGSIDNLIKMTKEMPSRMRQYAAFEHIQNVLRQHLKIN PLLSDLKSEAIKERHWQKIFKALKPGQRFSQVTLTLGDVWDLKPAASETVIRDIITQA QGEMALEEFLKMVRETWQNYSLDLVNYQNKCRLIRGFDDLFAKCSENLNSLQAMRHSP YFKEFEEEASTWEDKLNRVHVLFDVWIDVQRQWVYLEGVFTGNADIKHLLPLESARFQ NINSEFFAVMKKVYKSPFVLDVLAINGVQKSLERLAELLNKIQKALGEYLERERVSFP RFYFVGDEDLLEIIGNSNDTLRVAKHFKKMFAGLSGLLMDDDNNIVGFTSKEGEEVRL KREVNLIKTPRINDWLSALDNNMKLTLAELLAEAVEQFETIYHTSEVDQTAFNDYLAN YPAQIVVLASQVVWTNAVQRSLENGGGDLSSLFDAEVRILELLAATVLGELDGITRKK CEHMITEFVHQRDVIAKLMKFNASTPTHYLWLLQMRYVYKNEGDFLQRLYVHMANAKL DYGFEYLGVPERLVRTPLTDRCFLTLTQALCQRLGGSPYGPAGTGKTESVKALGLQLG RFTLVFCCDDTFDFQAMGRIFLGICQVGAWGCFDEFNRLEERILSAVSQQIQNIQIGL RKGTDDDKAQIELIGRRLRVNQNTGIFITMNPGYAGRSNLPDNLKKLFRSVAMSKPDK ELIAEVMLFSQGFKQAKPLSKQTVPFFDHCASRLSKQAHYDFGLRALKSVLVSSGGLK RARLANSEGDLGPDDVIEPQIIVQSLRETIAPKLIREDVEIMLDIQMEDFPGVEYVPA NYEQLTQAIRDIAKENHFVATDTWITKTLQLYQIQTIHHGVMMVGRSGSGKSASWKVL LQALQRVEGVEGVCHVIDSKVMSKEALYGNLDSTTREWTDGLFTGILRKVVDNLRGED SKRHWIVFDGDVDPEWVENLNSVLDDNKLLTLPNGERLNLPPNVRIMFEVETLKYATL ATVSRCGMVWFSDDTVTPEMMITNYIESLKTKIFEDLDDDSVPAGQASAKTQAVQDMV SDFLKQFMQSDDLIYKALEEARQYNHIMEYTTIRALNTLFSLLNKACRNVLEYNIQHI DFPLDPEQVESYISKKLLLALVWSLTGDCPLNDRKRFGEYLAASTTIDAPLLGDSASL IDFDVSLPKVEWITWQSQVPSVEINTHSITQTDVIIPTLDTVRHEDVLYSWLAEHKPL LLCGPPGSGKTMTLFSALRKLPNMEVVGLNFSSATTPDLLIKTFEQYCEYKKTLNGVV MSPNQIGRWLVIFCDEINLPAPDRYGTQRAISFLRQLVEQNGFWRTSDKTWVTLDRIQ FVGACNPPTDAGRTPMGERFLRHAPLMMVDYPGELSLTQIYGTFNSAILKIIPTLRGY SEALTKAMVQFYLESQARFTPKIQPHYVYSPRELTRWVRGVYEAIKPLENLSVEGLVR IWAHEALRLFQDRLVAEDERKWTDETVRRIALEHFPTIDEEQALKGPILFSNWLSKNY VPVEQEQLREFVKARLKTFCEEEVDVPLVLFNDVLEHALRIDRVFRQPQGHLILIGVS GSGKTTLSRFVAWMNGLKIFQIKVHGKYSSEDFDEDLRTVLRRAGCKGEKICFIMDES NVLDSGFLERMNTLLANAEVPGLFEGDEFASLMTACKEGAQRQGLLLDSQEELYKWFT QQIVKNLHVVFTMNPPEDGLSSKAATSPALFNRCVLNWMGDWSDQALFQVGSELTQSV DLDKPNFTAPDSIPVAYRDLNLPASHRETVINSMVYIHYSLQKFNQRLQKQQGRTTYL TPRHYLDFVAQYVKLFNEKREDLEEQQRHLNVGLEKLRDTVDKVRDLRVSLAEKKTQL EAKDAEANEKLQRMVADQREAEQRKAASLEIQVALERQEKEVAERKDVVLNDLARAEP AVLEAQKSVSSIKRQHLTEVRSMGNPPASVRLALEAVCTLLGHKVDSWKTIQGIIRKD DFIASIVNYDNERQMTRNHRVKMKNEFLSKDDFTYERVNHASKACGPLVQWVEAQVNY SEILDRVGPLREEVDQLEEQALQTKAEAQAIENTIKSLESSIATYKTEYAALISETQA IKTEMGRVQFKVDRSVRLLDSLASERERWEEGSKSFETQISTLVGDVLIAAAFLAYAG LYDQQFRKAMVEDWVNQLAQSGITFKPHNPVTEYLSNADERLAWQSNSLPVDDLCTEN AIILKRFNRYPLIIDPSGRITEFLQKESKERKLTVTSFLDDSFVKQLESALRFGNPIL IQDAEHLDPILNHVLNKEYQKTGGRVLIQLGKQEIDFSPSFKLFLSTRDPSATFPPDI CSRTTFVNFTVTQSSLQTQSLNDVLKVERPDVDERRTNLIKLQGEFKIHLRQLEKRLL QALNESRGNILDDDNVIETLETLKKEAAEITKKMAETEGVMTEVDNITLQYNIIARSC SAVFAVLEQLHHINHFYQFSLQYFVDIFNSVLHGNKKLMNEKDHAARVQIILRDLFVT AYQRTSLGMLQKDRITLAILLAQATPFTMDRSIIDTILDESVDGVDLSTAADLREQTM SKISNMSLFKSYVPNIPEEAWTAFFTEELAENFVPTVWDENTESLDQQLRSLLLVKLC RMDRFVPVAERFVVAVFGRELYENSGDLKEVVEQVTATTPVALSSSPGFDASYKVDGL VERMHAACTNIAMGSNEGLESADKAISNAASAGTWVLVKNVHLAPSWLQSLEKRLDSL KPHKDFRLFLSMETSPKIPVNLLRASRVLMFEQPAGVRANMKDSLSSLSLRATKAPVE KARVYLLLSFLHAVVQERLRYAPSLGWKGFWEFNDSDYECSAFIIDSWIDSVAQGRSN VAPQKLPWDMIRTLITETYGGKIDDSGDFMQLEKLVNSFLTPAAFEEDHKLVSGVEND ECLALPGTTSMRDFTEWVNRLPEREPPTYLGLPANAEKLLLVGHGKAMISDLSRVTTL LDEGEQLMVEA TSTA_067230 MAFIGSMKSSLHSPVTVFRGLDSFLSSLRANLQISYEDLNASHL EAFHYPPENYDLRISPYSNESTLSSGFGDDLEDPPSPTDTSTTSTTHSFPAPTTWTYH ASTEDDRRAALKLIAESIREQRSLAIRSILLHPAVLTVTFLLFTVLIRCVLHAPQKRA PVACCIGAGILIIALLAAERATRKYVTLASTVGDSTWLREGLYQQQQQPPQQQQQRNN HNNGTAGGSKHHHSHSHSHHNSMPLEDEILVTKDHDEVVGALVLRTARTNALSSGAPS ANGMRALRHRHSNSSTSGRLTGVIRAWTVKSTHRQRGLGLSLLESAVSICRVRRLDGP IFADEQLHSAHIPGLRTLCWPFNAGFEKVEEQAKDCLKGVIEGKERWRK TSTA_067240 MPQQHRGLGLHGSRRRRSNRDVLQGITRHAIRRLTRRGGIKRMS ASIYPEIRFVLKERLKTIIRNLVLVLESSATPNHERKTITTTDVVFVLNRMGTPVYGF HPN TSTA_067240 MPQQHRGLGLHGSRRRRSNRDVLQGITRHAIRRLTRRGGIKRMS ASIYPEIRFVLKERLKTIIRNLVLVLESSATPNHERKTITTTDVCRLLGNDYTTPL TSTA_067250 MNWLKQTLANVAGTQEPIYGPDAIQPVTKQAEKTPYTELKKEDL KWRAPQYTSVETQTFYVMADDGTLAWVQVIYNNIAGLHTTCQFNTKIYSLDGSSPHLW HSDTLYNHLFDPDMYSFGADNLALTLNEEGDAYTIKSAVNEGSLVNLAFTRKAPGVVI GENGTSYFGTDPANPWGSMSHAFWPRCSVEGTITTKEKTYDLKGLGFYSFALQGMKPH HAASRWNFVNFQGPSYSAWMMEFTTPPSYGSTVVNVGGVVKDDKILYAGHTNSAKHLE TNTDTENDWPEPKSINFTWDGKGKEDNEIHAELEGSLGKRLDRIDVMYELPGFVKSFV GSVAGTRPYIYQYSPQEKLTLKVKEGENEFSEQGTMFSEATFIS TSTA_067260 MAKKVLAKDQFVKLIVGAGQASPSPPVGPALGSKGVKSMDFCKE FNARTANIEPGTPIPAYITIRPDRSFAFELRTPTTSWLLLQASGVAPRKGRLRGAQNP GTEVIGSVTLKHVYEIAKIKQSELRLSGLSLEGLCKSVIAQAKSIGLKVVN TSTA_067270 METVPETEDMIHSFCIGQHESNRQVPLSTRSIQFAHASNYDMLT TPITNSHFHSRVLSLLSSHLSSLEPPSYDSIGTRATSLNTKAVTVPPLSPADTPLTPN EAISQVVGITSSWIDLCSPDPLIAEISRQVLMLEVAYAAFCGISYLLVPGPKLQHGNL HCEGLIYYARAIQEALTIAPYIQFHIWLKMIEISGLETTEMGDLSPFARTEFLDPSED RSAKIDLFGAWDAWEIIRTTCKHHSRLLVALALPKLLPILQVQTRWYSEPVHLLTINA TTFIKNQKGYPVLSKAHQALIAKFMRLRTPPWILLCDVGPIPGLEADDVSQTGEAPVD KTDYPTIAQTMAQARKHHDPTPHLSYMRNLQQRQPPRTPIERFGTGYQDYLQAPLQPL TVNLESITYEVFEKDPIKYAWYERAVAKALSDWMEQKKPTSGPDGKVVVAVVGAGRGP LVTRALRASAETGVKIEMWVVEKNPNAFVLLQHHNENIWGGAVNLVKSDMRSWKGPHR EIENSADPQNQGSVDHTPIDILISELLGSFGDNELSPECLDGVTHLLSPGHGISIPAS YSAHLTPIAAPKLYADICGQRISNPAAPETPYVVMLHAIDYLSTTSSPGGGQAASHTT QLSSSVTSTHSAVGARSSTLTPPPVFEAPTPIVLPAWSFSHHNPNIPPLSTTSSMITN EHNVRQTRLAFPCQNRGVCHGLAGYFETVLYSDIELSTNPVTMDAKSPGMISWFPIYF PLKTPLYVPDNGEVVVTMYRQTDNRKVWYEWMVEVFRLERTSPTPAATSPQRSTTPVM MSGGNRAVPVASSGTTNKTQNGYQRIRVGMSEMHSSIKDGCLM TSTA_067280 MGDRRPDSTDSSRAKRQKKDTAEMDPRNNPYLAHMYPEKNGDGS SKNGPFAKFKRHQTTAALAQEAEDAEINPFTGRPFSSTYFSILKTRRDLPVHAQRDEF LQLYQKSQILVFVGETGSGKTTQIPQFVLFDELPQLQGKMVACTQPRRVAAMSVAQRV AAEMDVKLGEEVGYSIRFEDMTSSKTILKYMTDGMLLREAMNDHDLQRYSCLIIDEAH DRSLSTDLLMGLLKEVVQRRPDLKVIIMSATLDAQKFQRYFNDAPLLAVPGRTHPVEI FYTPEPEQDYVEAAIRTVLQIHATEPEGDILVFLTGEEEIEDAARKISLEADEMTREA DAGPMKVYSLYGSLPPHVQQRIFEPAPGPRRPGGRPGRKVIVSTNIAETSLTIDGIVY VVDPGFSKQKVYNPRIRVESLLVSPISKASAQQRAGRAGRTRPGKCFRLYTEAAFKKE LIDQTYPEILRSNLSSTVLELKKLGIDDLVHFDLMDPPAPETLMRALEELNYLACLDD DGNLTQLGRLASDFPLDPALAVMLITSPEFYCSNEILSITALLSVPQVFVRPHSQRKR ADEMKNLFAHPDGDHLTLLNVYHAYKGADAQQDPKQWCHDHFLSLRSLQSADNVRLQL QRIMEREEIDLVSTPFEDKKYYENIRRALVAGFFMQVAKKDSTGKSMYTTVKDNQHVL IHPSTVLGHDAEWVLYNEFVLTTKNYIRTVTAVKAEWLLEIAPTYYDISGFPKGDIRS ALLRASERLARKEKMRGGR TSTA_067290 MQGFLSRVARLPSSGCRCKTCLRTYVNGVATRPATASSRRGLRT ANAVTALYGSIFASATIADALSKRKRRQEWEDKIAAVQEEIDELMDEERRLLEALSAR KTRQTSAGALQSWSYRAGAQIETRNAQTLANRAFSTKAPIEKSAADDYAVESDWTISD IAGHSSRKADIDETAFVEETLLSVSTRESASDEETGNFEYETFDEDLSNMNNMIPRPE PDFKWERSSIVRIKAIQKLAIQQLVYRMILRPSVAHDYSGLPVEYRLDEPSTGSPNAL LNRLRGVRRRLYSLKYIRDAKFDDLMQNFTIEELETMRDDRDRYDILLKRDLEQYANG KLSLQQLLMQVSDNLLTCQEPDRPVAFSLLINAFTRWHQNDLADLVLKCLIPNLFKLS TPLIVAIISHFRKTKNLKDFDLFLQMLRGEGGYSVNLRTTWVKRNVNGITITVPPTGG FSPVLITSVITATLRFDQPEKAEAYMQVARAHGFIDNLDTLSAFLRFYAIRNDFETGL STLVRALNYMISSSDFEEDRIARLILCMADFSARCGRYNLSTTLIQAAVQSGFDYSLV YISERSELNYLLGTFKKWRKAQAKVEMDFSQQQQLPLHEKCTRFADLTAAKIIHLQHM TTHYDNDTSPTLSLDLPDEPQITQLSSKYAKLQSDTNKSPATQKSTTSYPVEPSVKIK NLRSQEGELIPSKALEDVFWFCG TSTA_067300 MRGIIVALLALATVAYGHGHHDDNGEVETDWATRHMREEHHIDS FDAGSFFALHDYDNSGDWRNDEIMKTYGLLDSSNTHLGAEQKDAVVNEVLRLFNPSGT GTVSRDEWMSQVQAGVRLPDFGYGPGHHGDIEYEYEIHHFEKFHGEDAKEEDLTHPED IEHFRLHDEMELAEERLQQLESMQIVEGNIPRKFLRNP TSTA_067310 MNEKDKYKKEKKPSTMCSNPLQRGIQQFLRDYNSNGPTASTVIS GLPKRFTIYQPMLLLPLNVFTASQAWAECYASLSETNRQKLYASIAKSFDHKGQTITH IAMNAPIQLTTSQGSENLMRSPNGLVPLYGNFGELRDYEYKPSQQDFEKAFWYWAPLY TMFSKGNITEKARILGLEGRFTGLDESSLKGQRIEDVGVIDLYAGIGYFVFSYLKRGV KRVWGWELNAWSVEGLRRGCLENGWNCKVVPLSQGEDESLPSGLDDGNLENLLDELTD DVRIVIFQGDNQSAPAIMERIQPELKKRGHWAPVRHVNLGLLPTSRPTWDPSLRLLDR KHGGWTHVHENVDVTEIEKKRDEIVAEYVNLAGRLNGIDRQSLSICCDHVEEVKTYAP GVMHCVFDIQVDFEQ TSTA_067320 MADNKNIPEPLVSSPWRRSLYAGAVAGFTVDLSLYPLDTIKTRL QKARQSVSSAATDTPHKINATATKPPALRQIVRGIYAGLPSVLFGSAPSAAFFFITYD GIKRYLLPRDTQTASKTQTFIAHSTASTFGEITACIIRVPTEVIKQRAQAGLFGGSSL RALTDILSLRNDGSGYFHMIRELYRGTGITIAREIPFTILQFTMWEAMKNRYARWSNS RNGSDNSHASERTASGHIAAAPSAVFGSIAGGIAAGLTTPLDVIKTRVMLARREEGTG GTAHRVRVPDVVRRILKEEGPGALWRGIGPRTTAIALGGAIFLGSYQWTYNTLEGGYR KRDVEI TSTA_067330 MASTEPAVSVPRRENMTATSETTASESQPGQQQQQTSSTHGLRE QSNLEAGQPHAVRFASVNQEIEPDHSLQSLQSPTTDEEQQNGSSAANRDANSTKDDIR SLTLNLQDTRLQESRLRHFAFEPVSLPASRVNSRDTSSFATSQDNTVSGNNSPRITPA ASLMQSPPLTPAATQSRESKSSDNSGSAALQTTSHTKKHETSAITPPLSEPISNSNSG NPPASSTAPTSAPASRPNSSEVPAHSGGTGPASTRHHEKGRAQFFLASSVDGSPQDES PPMTPRHEYGWSTPPGGTSGVMTPIGEPNDPYARNKRPLPNRNLSQLDQRFIFSGIDS KRRSHHSTSSFNSNNGGQNGSNNDLKSAGEKRTSFFSSKKDHGHRHQDSLEGKSHGSM VELKRFFRMGHKNKRGESPSSASKKSNKSISSEKPSTHQMAPASVPFADDHGLQSKYG KLGRVLGSGAGGSVRLLKRSSDGVTFAVKQFRDRHTWETEKEYSKKVTAEFCIGSTLH HGNIIETLDIIQEGGHWYEVMEYAPYDLFAIVMTGKMSKEEIACSFKQIVSGVSYLHA MGLAHRDLKLDNVVVNEHGIMKLIDFGSAVVFRYPFENDIVLASGIVGSDPYLAPEVY DEKKYDPRPTDIWSLAIIFCCMTLRRFPWKQPRVTDNSYKLFVSPPTPGTPVPDSHPR RTERPKSTADLPSMAADANAGTSQSDHHRHHHRHGSQHTQFAKSEPVTRDDPPATSSA KTSPTHTQQSQPRASQDASSTQPPSNSQATSDVIEKPKSRETTSKEAPPLPASSSSNS NTGQRQEVIKGPWRLLRILPRESRYIIGRMLKVNPRERATLEEVLTDDWIQLIQNCRQ DDQGHVIKAKNHAHILEPPSSSVAVASKGR TSTA_067340 MAAPQSSSSSVKSQYHHFIPQFILRNYAHVRSPKRRGRGKWHSS GEALHVIDLSSDNPELVESPVRRTFGLTDMYRDFARVSDQQYLEKELSKLEGNAARII VGIRKAFESGHQDVWIKRHERDSLRKFLFIMKYRGKGFHKRFVGDGMKGYVEDDKELF LKYMREKGFKKPVDVWYHSIKTILELKMDLQGNWVKKLLDGIYRDDAMWFIMHTEFMY LSLCTPSEADTEFLLTENCYNVFEGPQTILRDPKTGQSRFVSWTNFHEFSPISPKLLM VLRSFILPNPEEDKNEGIRKWRENIYKMALGPHANPRAANSILADLPIHKPRNSYSSV SSQGIELLEGEDGSRRSNHRFCFPFFKISTEQTNKINTIFLDNAYRYQTVAFTSRPAL KATLEYYLTLPPSQGFKKVGGETNDVQLSYLLKLEKILRKLGSNKKLVYQLRSAELTD DVIFEFMGDMLTKNLPEQPTEFMQLYMKLGGNAETLAKDLDQTRRMINLRIQIDVCTQ GLREIKRQDIREKLRDHFCQLVPPRRLWLYLKQIRLMSLKGPASLQTELDQEYGDGPE DIIVKASHIIRTEDLGRLMHFSVVQHVHLLKYPDLDMSANITMDEASAKKLWEITSFA FGSAGSICDCGIDKLQERARLTTVMFRLAQTYKEWTSPFWTDDQKIEMLTRYAAYDDV EELLGYQLPSEALQELKDVLFNIVYPTWGKGLKRDGSASQLQK TSTA_067340 MAAPQSSSSSVKSQYHHFIPQFILRNYAHVRSPKRRGRGKWHSS GEALHVIDLSSDNPELVESPVRRTFGLTDMYRDFARVSDQQYLEKELSKLEGNAARII VGIRKAFESGHQDVWIKRHERDSLRKFLFIMKYRGKGFHKRFVGDGMKGYVEDDKELF LKYMREKGFKKPVDVWYHSIKTILELKMDLQGNWVKKLLDGIYRDDAMWFIMHTEFMY LSLCTPSEADTEFLLTENCYNVFEGPQTILRDPKTGQSRFVSWTNFHEFSPISPKLLM VLRSFILPNPEEDKNEGIRKWRENIYKMALGPHANPRAANSILADLPIHKPRNSYSSV SSQGIELLEGEDGSRRSNHRFCFPFFKISTEQTNKINTIFLDNAYRYQTVAFTSRPAL KATLEYYLTLPPSQGFKKVGGETNDVQLSYLLKLEKILRKLGSNKKLVYQLRSAELTD DVIFEFMGDMLTKNLPEQPTEFMQLYMKLGGNAETLAKDLDQTRRMINLRIQIDVCTQ GLREIKRQDIREKLRDHFCQLVPPRRLWLYLKQIRLMSLKGPASLQTELDQEYGDGPE DIIVKASHIIRTEDLGRLMHFSVVQHVHLLKYPDLDMSANITMDEASAKKLWEITSFA FGSAGSICDCGKIEPASST TSTA_067340 MAAPQSSSSSVKSQYHHFIPQFILRNYAHVRSPKRRGRGKWHSS GEALHVIDLSSDNPELVESPVRRTFGLTDMYRDFARVSDQQYLEKELSKLEGNAARII VGIRKAFESGHQDVWIKRHERDSLRKFLFIMKYRGKGFHKRFVGDGMKGYVEDDKELF LKYMREKGFKKPVDVWYHSIKTILELKMDLQGNWVKKLLDGIYRDDAMWFIMHTEFMY LSLCTPSEADTEFLLTENCYNVFEGPQTILRDPKTGQSRFVSWTNFHEFSPISPKLLM VLRSFILPNPEEDKNEGIRKWRENIYKMALGPHANPRAANSILADLPIHKPRNSYSSV SSQGIELLEGEDGSRRSNHRFCFPFFKISTEQTNKINTIFLDNAYRYQTVAFTSRPAL KATLEYYLTLPPSQGFKKVGGETNDVQLSYLLKLEKILRKLGSNKKLVYQLRSAELTD DVIFEFMGDMLTKNLPEQPTEFMQLYMKLGGNAETLAKDLDQTRRMINLRIQIDVCTQ GLREIKRQDIREKLRDHFCQLVPPRRLWLYLKQIRLMSLKGPASLQTELDQEYGDGPE DIIVKVILFAQKI TSTA_067340 MAAPQSSSSSVKSQYHHFIPQFILRNYAHVRSPKRRGRGKWHSS GEALHVIDLSSDNPELVESPVRRTFGLTDMYRDFARVSDQQYLEKELSKLEGNAARII VGIRKAFESGHQDVWIKRHERDSLRKFLFIMKYRGKGFHKRFVGDGMKGYVEDDKELF LKYMREKGFKKPVDVWYHSIKTILELKMDLQGNWVKKLLDGIYRDDAMWFIMHTEFMY LSLCTPSEADTEFLLTENCYNVFEGPQTILRDPKTGQSRFVSWTNFHEFSPISPKLLM VLRSFILPNPEEDKNEGIRKWRENIYKMALGPHANPRAANSILADLPIHKPRNSYSSV SSQGIELLEGEDGSRRSNHRFCFPFFKISTEQTNKINTIFLDNAYRYQTVAFTSRPAL KATLEYYLTLPPSQGFKKVGGETNDVQLSYLLKLEKILRKLGSNKKLVYQLRSAELTD DVIFEFMGDMLTKNLPEQPTEFMQLYMKLGGNAETLAKDLDQTRRMINLRIQIDVCTQ GLREIKRQDIREKLRDHFCQLVPPRRLWLYLKQIRLMSLKGPASLQTELDQEYGDGPE DIIVKGRLLSFPLIKVAYPF TSTA_067350 MSTTTADVHRNRNEHSTSRPETTFDEISDPARITRETSYPTGAK LWLLMLNLAAVLILISIDMNIVATAVPSITDHFHTVADVGWYSSAFRLCQCAFQFMFG RAYKLFSIKCVFLLANVISMVGSVLCGAAATSSTLIIGRAVTGLGSSGLLSGCFVILV QSTPLRARPMFTGIMGAVEGVATLSAPLLSGVIVQSLGWRWCFYINVPIGVVTLLLTM YYFFDAPKSNDITRMTLKQKIAQLDLVSNLLFIPALTGLFLAFSWAGTKYPWSSAPVI GPLVGFAILITGFIYNQIRRGDAAALPIRILRRRSVIAGAGNVLEYYLPIYYQVVRGY SPAKSGYMMLPIIIAATIGALVHGFGTSVLGYYAPFMLFASITMPIAAGLITTFKIST SFAQLIIYTAFSGLAYGIGFSGPQNAVQTVLDAEDVPLGTSIMLFSQSFGPSVAVAVA QVLFVNQLSTNLDGLVPGVSGASIENMGLTQIVSSLQPERSAEVLVRIDKSLVQTWYL VIGLACATMIGSLLIEWRSVKLRRD TSTA_067360 MKFGRNLPRNVVPEWSSNYINYKALKKLIKSASVSQEAKDDVDL VGFFYSLDRNLEDVDYFYNKKLGDFTRRLKILEDRYGNSVAAGQALGAEDIGDLVTAL LELRGQLRKLQWYGEVNRRGFIKITKKLDKKIPGAEAQKRYLAAKVDPAPFATNAQLT QATSKINEWLSVFGDRKVLSDDSDSVKSLTLSKVPSRPNLNLPASLLSAVDAALREDD TTALLEQLQSLKVSATEQGELVYPMVKKNLLQRAITCRARSSIDVLLGEVDSLEEEDD INKRNCIHRLVISIGRAQTTSDADPSAAVSLEYPEETTYITPATPPSLQPPRNVVKEA HQKQLLTRDDEAVSLLQYLLDHLRPHQRSALLAQDLSGRTPLHYGAQYGFRVVCEIII EHLKKWDLFDVSEGIDGPNWQDSEGWAPLHLSVVGGHPLTTKALLEAEEKSLTAPHGG VRNSIRKNISKSSAVLAMAVKANFVDIVQLLVEAGVDINYQDEQGETALHVAARFNHS QCARLLLEGTADQKANTELPESTYSWTPLFIACVDGSLAVVELLIKAGADLERPDSSG WTAKEHAALRGHLEIAKRLAEVTKPPTLEEADVSITVPAPTSSPPLGSLTDRKSNINS PSPNYSSRAAESLKTFGHRYLTDESMILVSLGTMDMRKTSPPVSLDRIPITSAHSTQL DTALSVVISASGAHGEPEIIDLPVQDSIVTEPITFYSKDFTSVRLLFDLVPTYAGSTN QIVGRGVALLSSIRPSVGSNRISLQGDITVPIIAANTLEVIGTLTFNFLVITPFKHPK MSLNHDQTYWKTVTAPMVIGHRGLGKNFASRRSLQLGENTVQSFIAAANLGASYVEFD VQLTKDHVPVIYHDFLVSETGIDAPVHTLTLEQFLHLNDARSPRVEAVSADASQRPRA MSVGEALIDPSALSEKMKHTRDFKAKGFKGNTRGNHIQAPFATLEELFKKLPKSVGFN IEMKYPSLYESEAEEMDTYAVEVNSFVDTVLEKVYDLGEGRNMIFSSFNPDICLLLAF KQPSIPVLFLTDAGSSEVADIRCSSLQEAIRFASRWNLLGIVSTAEPFVLCPRLVRVV KESGLVCVSYGIQNNDPANAKLQVTHGIDAVIVDSVLAIRKGLTTAPPSNSVSPLSMA QASSSQTLQPPADISTLDKPSS TSTA_067370 MVDSKIEDRAVKLSREAVELIDAGHKEAASRNLREALSLAPENH EVKAAFLKIRGEEESGHPLLVLCRQYVTGKNEDAGKDAARYLHADGANPPGDISLECL NLILAKDAGSLSATQDEIISGLVRQTVSVRRLFSSRLQVSVTTFFDEIYDRGDNAVVC LDTVVLDPTLWPSEDIRLHCESELFQLFIAKLMESGHDQDARSLKGITRLLAVDAEKL QHLIDDEGFDVILSSLDYRLPLEVRGQATVATAKYLEASKEVGQKRFSDLITAKVAKA RNDDLIVAFSAAAAVFPVVPALTSSLFLTEGFLPSIVPLLHREAKSPKVELALLELFN AACMDRLCREAISKNCGEWLSHILSNGSDASSELAAVVLAKVRTSEKGSPESNDKTQG DNNHSIQDLVNRFKGQMSDHKVTNVHNPIEGLAFASVKPDVKEQLAYDPVFLKGLFDV LHANLEDTTLLYGGLMIIANITRYLPSLSEEQKKISELKAYAEASKTSSTPNELEDDL HVTKRCTALIDANIMPLLADCGKSNLKSIHDLTSKILVSLSKNSKTRGKLAQQGAVKL LLYILGPKTGSPVPTFNETTHNASLALARILISVNPSHVFPYSGFPQVTSAIRPLVAL LKPPEASFSVDQPRNLLPVFESLLALTNLASSPDSTASETIVRQAWDTVEDLLLSNNT FIQRAACELICNLMTCEPGIVKFADGSKRAGQRLHVLLALTDVDDVATRRAAGGALAM LTEYDAAISAVLERPRGVNLLLGLCQENNDDLVHRGIVSVRNLTCIPSGDIGKRALDA VKQGNGVDILKSCLQRSSNPAILQAGVEALKPLVEQSQA TSTA_067380 MNAVDASEHYESVATEPAPSLLAIVLDVNPHAWALLEDQLPFSK ALANLLVFINAHLAFNYTNEVAVVASHSQRAAWLYPTHSQQQKTSTNRQDADGDIEMN GQEAHNIHSSRTSTQNNMYRPFRVVEEQVLENVRELLASTDASDVSATSTMLAGALTL ALSHINRRTMTWTETHGNSSVDTANAATGSSSAAAVSGGNVSLGLQSRILIVSVSSST DSAHQYIPIMNSIFACQRLHIPIDVCKLSGDAVFLQQASDATRGVYMSLTEPRGLLQY LMMAFLPDQRSRKHLVLPSRVDVDFRAACFCHRRVVNIGFVCSICLSIFCEPPENGDC LTCGTHLEIGDYGARPAVLGKKKKKKKARLNGSSATATPTPTATPG TSTA_067390 MLKPILDITNVNVALSVFGIFILSYGYISDWLQQVWYLGEALPA FLLGIIIGPVAANLVNVGDWNHDGVYHDIHELAYNLTRIVIAIQLVKVGYELPKQYQK QRAVEMTICLLPVMTIMWLCTTGCIMLVVPKISWVSAFIIGSCITCTDPLLSQAIAKG PFAENYVRRHLREFISSEAGGNDGLGFPFLLFAVALLRYDGTRANAISLSEMDHAEGI PDQLGAEEQGKYGGGLDTALKHWAIEGVLFMVMLGFSYGAIVGTLCRAILNWTVKRKW VDNESYLLVPLAMGIFIVGTCGCFGADETLACFAAGNALNWDGHFLTEIHLRHDSFNN ILERFLNFAAFIFIGLIMPWDSLTNVSKIAEHGLTAGRLFALGFLVLAFRRIPAILIS YRFMSKVCRDWKEALFMGYFGPIGIGAISSVEYARQLFPDPGESDDEINNLTSAMIPV VYWLVFFSIIVHGLSVPILHLIYKLRNVPKVQDDHPIEIVLLSNNEPLPTNSTVNPQR HSAILNNRFSRQDEDESEEETPATAECTGSSGGATTIEGIRRALSMHNPLQHYHNHSM NDSHHHHNIFQRARSSYDHHIHHNHNHNNRHDRISLHGSDVIEILQQQQHDNKQSPGI KPQSLSDSSRASLDMRPSSSRREVPRDMI TSTA_067400 MAPRRRTARKELDPCMRARICELHTSARWGYKRIHKAHPEIPIS TIRNTIKKEHQRVNQRSLPRSGQPSKLSSEQKENLVQLTKENPHIKFYELQESVDMRC SKTTIRRAFRNLHMRKWLQRDRPEILPQNAEKRLQWAQLNEAKEVN TSTA_067410 MMLGSAGFVDSVTATTIELTIXXNKRKSPGSEKSDLSEATQLAL KALQKYAVQNEILEHQQQGLVDALIGEKKRQKRGRPLGLINKDNPGEAQFFSPGRIEA ARQQIQNIELQKEQGKIEAANRRTQKAFARQQKAQEIQERRETRIREREEKRRQKELE KEQLRVAREAQKEVKRAKERLAKQVNTKKRRYSKVIESNEEVSSKRPKTGISRSGRAI NLPIRFRD TSTA_067420 MVADALVYHPSVAHYLRFVATTLGRDKVLRTIQYFARFYSWYLF RTNNPTSAIAPWDALKKQFGLTRKILRAGKFVEHLKAASVAFDNKSASTDPVLKNLTV GRQLGYAGYLTLDSITLVDALGFKKFDSAKKLQEYSYRAWLSGLVCSVVAGVYTLYRL QEREKTIDRKEGEGVVEAKKIERERVAARIQLVSDLCDLSVPLSALGYVKLDDGLVGI AGTISSLIGVWSAWKKTA TSTA_067430 MSDSVEKAVMAQQPVDEKNPVNPHDAEVPLDALPKSRWERSWPV IACGAGLFSDGYLNGIIGSVNTMLGKIYPDTYNNSPASRNVSSIAFVGTVVGQLAFGI TSDYWSRKWSLFIATIILIVFSALSAGAYGAGGSQEGLFAALTAYRFFLGIGIGGEYP AGSVAASESTGELKSGHRNRWFVFFTDFMIDSGYVVAAIVATVVVVITTESHLRVAWR ICLGLGIVPPLSLLWLRYKLNEPEEFNRERMHKYPYGLIIKFYWKRLLVVSLIWFTYD FSSFSFSIYSTKWLDIIIGDSAPLWQSFAWSILTNTFYLPGSIAGAYLADWVGPKQTL AWGVFAQGVVGFIMTAAYKQLGTPEYVAAFVVVFGIFSALGELGPGDNIGLIASKSSA TAIRGQYYGVAAAMGKIGAFVGTYVLPIAQNHAPNKVRAGQDPFIISSSLCIFSAVIC WLFVPHIGQDTISEEDQRFREYLEAHGYDTSTMGSKPSQ TSTA_067440 MTDLTQGNGTIISQATVDDVFHIKFMVDAAFSKYIDRIGKPPAP MTADYSHIVSTQNAFVLLDCNVVDRSKRIIGFIALSQQSECDLKANADSVNIDILVVD ASAQGRGYGRALLNHAENEARRNGIWAVRMGFVEVGRRTEKGFDRVFFRKDLPIENNC AAT TSTA_067450 MADPQFAKYPDLSLAQDIFNISNPSCASAVRQTSFKRLQDAITE HKMAPLYRHLAHPTEGILNTSGEGVPQQPSTNGAAAVSRPVITSNLLPGRKLSQKISF SWDEKLYDSLKEENKKELESFQKEEEEAAEAAGDTEVLAAQGKRAEFWARVGDKDKAI QTYEEVLEKTSILGTKIDLVLAMIRVGLFFGDRVFVKNTIERANALVESGGDWDRRNR LKAYKGLHLLTIRSYSLAAPLLLDSLSTFTSYELCSYSSLVIYAVLAGSLSLKRVDFK AKVVDAPEIKAILGEGEDMVSALTGAISSGPGAGDEEMKDVSSATPGTASTAINLTTL GTGSGAQAEAERPIDFSGLASLVSSLYSGNYRSFFIALASVEDTFLSQDRYLYEHRAW FVREMRLRGYQQLLQSYRVVGLTSMANDFGVTVDFLDRDLAKFIAAERISCTMDRVNG VIETNRPDDKNKQYADLVKQGDALITKLQKYGQAVRLRGSERS TSTA_067460 MSYKSLSVLRRIASARPHVTAQLQTVSATASCLAQRQSPYLRVI GRSMATQRLNIENIRPEVRDAQYAVRGELATRAEEYRERLEKGDKSLPFDKVIFANIG NPQQLDQKPITFFRQVLSLLEYPALLEKEDVLKSAFGYKQDVLDRARTLLKGVQSIGA YSHSQGAPLIRDSVAKFIEERDGFPTDPKNLYLTGGASSGVSTLLDVICADATSGVLV PIPQYPLYTATLSLLNAHCVPYYLEESKAWGTDVHAIRHSVLEAKRHHIDVRAIVVIN PGNPTGASLSPDDIKDVINLALEENLVIIADEVYQTNIFMGEFTSFKKRLSQLQQEFP GKYDGVELASLHSVSKGMVGECGHRGGYFELVNFDPEVEAQIYKLQSIQLCPSVIGQC LVELMVNPPKEGEPSYELYSQEYNGIKDGLKQRAFALYEAFHKRMAGVECQKPEGAMY LFPTITLPPKAIWAADRADKRPDEFYCLALLDATGICVVPGSGFGQKEGTLHFRTTFL APGTDWVDRIIKFHEEFMEKYK TSTA_067460 MATQRLNIENIRPEVRDAQYAVRGELATRAEEYRERLEKGDKSL PFDKVIFANIGNPQQLDQKPITFFRQVLSLLEYPALLEKEDVLKSAFGYKQDVLDRAR TLLKGVQSIGAYSHSQGAPLIRDSVAKFIEERDGFPTDPKNLYLTGGASSGVSTLLDV ICADATSGVLVPIPQYPLYTATLSLLNAHCVPYYLEESKAWGTDVHAIRHSVLEAKRH HIDVRAIVVINPGNPTGASLSPDDIKDVINLALEENLVIIADEVYQTNIFMGEFTSFK KRLSQLQQEFPGKYDGVELASLHSVSKGMVGECGHRGGYFELVNFDPEVEAQIYKLQS IQLCPSVIGQCLVELMVNPPKEGEPSYELYSQEYNGIKDGLKQRAFALYEAFHKRMAG VECQKPEGAMYLFPTITLPPKAIWAADRADKRPDEFYCLALLDATGICVVPGSGFGQK EGTLHFRTTFLAPGTDWVDRIIKFHEEFMEKYK TSTA_067470 MLIDGEKWACEACVRGHRVSSCHHSDRTLIHVNKKGRPVSQCPH CRGLRKSRTTHAKCDCGDKKKDHHGTDGILAGGENGEVKCRCMHGQRCSCALKKDHLD PVPETGLPPLPHSAAVDLRKPRLTSTKSESTLTIFRDGHHKPAHKHNDMAHKCGMPYT IPRSHTIHGTSELAQRSADHLPLALNNGAVDTSYFSYGAEFESLPSRRRVKSEHGSPE VVAIPVPDQSNSQVPPLDLTSLSSIDAGIRAESLNQFMMPEPFPEQFQDGLFASPDAE WPTSAGAFSAPPVDWSSFPLPSAGVSTSVSQAPSYASFDLNNVSNPSYPGLTGSSSGD ASEVDDFGPKPSLGHDIYDLHSLSDGSDVDQYRISSASSFAGLPQARLLSSHNLESID IDEYLRSANASTAALEQQLQASMAMESKAIPASPQGYAISHSQPSYPTTESTQGVSID GGQSTMADMLWASSLFDTSLPTSMASATSAAAEDPFTQPPWAE TSTA_067480 MNSREPSDLPPTPSYPANSAQVNQNAIYYAGRQLTADEILTAEL SRDAAGNNLGDGSSNDQQHNNAFVFPPNQQAGVDPNHDLSYGDQSARRKRSKVSRACD ECRRKKVRCDATSESGVETCSNCRRLGVACQFSRVPMKRGPSKGYIKELAERLNTLES QIQPPMAQQDIQYQGMSEISPPRGYHDFSPPIDGNLLGRKRTFSISEGIHGLPLTQPT FAPRSQATVGETSVNLPESYPLFGMHQPQPKVSAPYWSDGLDRDLALPVESVVGVLSQ PANDETKPYTVDEGALKTYYEKIHPILPILPSSKERLHAILHKCGRMLQEVFSHAFYA VTHTNIERVRGTFTDVDSLETALGLISTCLRDPYNLRILPVNIAWIQTLAFLVIDCDK RGPDNLHGRDGCPKQTLVDHLMSLAYRVAKPFDQNRDLFQDFQDVDSDANIARRGWFS ASILCRWHLVGMGERDFVDDDIQDINLPTDYSFMGPATVQLASYSSELSSALRIIEID HDSLSLNSRFKRASRSLLFHMLKAVLGHEQRLANTDATILPVGYLEALGPQVYWTVNL YITRFLYIFAPYEVIHSAEALVKEMHKDTLTTRIPSPIDIYSLSLAVITLLEASKLPL CAEFCWKILEDIEQILDRRAQVTATAGEFEDLFSTPKWDQQLRAWIAAEKPAQQQQNN NSNNVNTEELQPADTTDQQQNQSSMNNITSNTNTNNNADNKNNNASSSQPPLVGPNEQ RSLQHLADLAVGAEGSGAGANDDGNAAPATTTSTAPGATATVPANDVMDVSNEGEGGA GAGNNTTQQQPHHHQQQQQQQQGSAAGIGVVSGAVIVDFASALRRGFMNILSLLPASR H TSTA_067490 MSVLQRNPHQPMLLAQYPLVKYSYAETSSDQHGPINWTHVQSND LNAIFEKEPVQQRLKLRVVRAREQLEDIDLNDFAREATQQAIARQTRITKPTVTLLVK DPCLAFRFPSGRDQMHRFQLKFASSRDYREGLDIFREINCPFSETSSDHNMRSASSRP ESSSSRITNSTSPAFNGGIEWGNIPGNPGVQPWNSGFLRSHTTLGTPTDRMVSHGTAP PNKSFRLTSPTSFIPESHYRNAIKSPALDESLKDSLGSHTFSQFADSRTQEQERPITA PTLDTQALEQVLPPKRDLPFSKPGPRLSSISSRHAPDPRNHPDGLSTVSVMTNASSRP ATALPRVTVPPTSSPARQLRLELEDSRRGNTNRDHDHPTRGQILSSSPLLETSAFGTS STEIGNTASHYKATTQSPNPSNLSPVVQNKSPISLRMQPQEQQQYQQSINNHNNADTT TTTTPVSTHPFVVTSADLTAYLTTPESERSQLVNNWICQQLEDDGFRTLCQDVERVWQ RIAFGNRMA TSTA_067500 MEEHGASRAPQSLENLVISPPTTINETSSSTQTLAVPVSQTPSA VTTTGLATGSNITYPPEDYVQRVLDQEDTLRELVEEHRPGRSLDAFLGFYYEAMASAR SNTREGLRTAPTPHERFVPDTAPVRQTRSATQQEPTSSNTTSSQSVEGPNAIGGESGE IENFEGQDSTQAPMSTRDVPTPGNRTLHFRPVPKPGKQSNPPDFYDQYFPLENMEYRP GFDVWRHVLFGIRSGMPDEVDFGLFHLVQGSYQKGDSLRFEGFPYLLEHLMEKCMEIT WLCTGVMWDFERDPDEFENRKDCPYVLNTLRGTQDILQRIRMLPVTLSDDSLEDQDFS HRLRNIKEAMLILRNMVITPENAFYMVDKAPGLVRDFLCVMLNVPNQPRFNELKVHAL DIAEEVTMYMYTASYDPVCISLYRYVISHDRAHIMRAVWAINHFSHRLPKIENGAFVE KAISDNGAMVGVGRKYLQNLLGLTLCDPDPEMLTVTLDFFYQFTLTPTNCEYLCKLVY MPVMFIPRMINLLSYGGIEEVKETEVQAELIDPPPGPIPKVPPELSSRLNALTEPERS AQWLRCCFVEDAGCEITQIALWQAYQIRFGARSGALAAADFIKNVSATFTNAQAQVVP TVGPDGLATTKFIIKGIRPLETALTFEGWPYLYCKWAVGEEPGHFCDRAFAEPRDLRR HVFVDHMDLEALEQPNQYSYEKAKQLPKACRWDGCTKYKTPTTEVEMVVEHVGGHLPQ ERDPDAKPSVPKRRVLQQRMIRVEKFCDTPVNESGEPTGPAYKAALILRNLLWHLPED IGLKKPGGGWYSKRLFFQAHYPKIASKMMENRTLRNELSDVVMLMNQYQPKKRVK TSTA_067510 MQIKLGTALMLATSASALQVTSPSRGDKWDLTHSNEVTWDSVES DPTSFDIQLVNEHVNPPVVKTIATNVQTSAGSYSFSNVAVDIGDDYQINLVSTEAHNT GILAQSAQFDVSNAASNNSSSSTSTASSTSTTSSSSSSSSTTASTATTTSSSSASSSE IRTSSIPVSVLGCIMGSVLALLM TSTA_067510 MQIKLGTALMLATSASALQVTSPSRGDKWDLTHSNEVTWDSVES DPTSFDIQLVNEHVNPPVVKTIATNVQTSAGSYSFSNVAVDIGDDYQINLVSTEAHNT GILAQSAQFDVSNAASNNSSSSTSTASSTSTTSSSSSSSSTTASTATTTSSSSASSSE IRTSSSKTASLTSTSTSPSVSATHTGAAATVPVSVLGCIMGSVLALLM TSTA_067520 MVDGTTDLLHELQNEYCPPLDTALFSAIISDFDLTDATNLGQLR DTLDALKVSAEEQQDLPFDPSGTGGLASTEAVQNDGTTSRHDTNGSREDTDITSLQSD LSSVWIGSDDSRTIRTGGYIVSPEGSLSLTGLTAEEKLIYLSEMFPSVAQYTIKHTLA KCDYDVDRSMDVLLNLSFFDSQPTVDDEIIISIPKGIEGFASPSTNGKRKGKNKRSKA RNSPVFSNNENAVPSGAGSPTNKWDAAKDDLDFIHSRTSSVLKKEAVMSAYHKNHASC AKAIEHLATAHAPIETGKDTVVVSQVAELAQDFPGIPATTLEGLLAITGNSVSAANEL ARAMILRPSPQLSDIIRINAPAPVLDEDETPKRSSITIPRIASSYSTAQNIAEAHFAA GSTAFSQASSAYRRSKSDRLMGGAAAYYASVGRGHIERAKREASAAADAYVNLQSTSD VLDLHGVSVNDAVRIANTRVCQWWDGLGDAKYTVGGLAGKSYKIITGAGRHSRDGTSR LGPAVGKMLAREGWKVEVSEGVLTVTGKRRAK TSTA_067530 MSASIFSFIGSLFSSSASAENKMTYPNQRTDEEWRAVLSPEQFR IIRQKGTEPPGSGTYDKHYPSTGVYNCAACDAPLYKADTKFKSGCGWPAYFDSIPGAV TRHVDRTFGMERTEIVCSNCGGHLGHVFKGEGYPTPTDERHCVNSVSLKFSEDESGAK GDKQESKV TSTA_067540 MSRRPDPARAAQNQQTIKNLLKLESNKTCADCKRNKHPRWASWN LGIFICIRCSGIHRGMGTHISRVKSVDLDAWTDEQLQSVLKWGNSRANKYWEAKLAPG HVPSESKIENFIRTKYESKRWVMDGPMPDPSTLGNDDDVPLAVVQERAKLERSASQRA SVSSQPPARRQPQPSIDFFGDDDAISPPARPSTTGPMAVARPPPPPNSAQPAAAKQTK PADSLLGLDFFGSSQSTPASRPGSTAPTSGLQAGVSRPDLKQSILSLYATAPKPQPAP AQHERTPSIGAATSGQSNVNALTDAFSGLTFPSTTSPQPQHKPQSSSNDLFAGLTGFG GPKSPPTAPRVSSPGSTGGAGLFDSMASNAQTSKPISTRTTSVSSNGLDFGFSRNFTS PVSQPAKPTPPAPSASNDLFDLAAPSQSDISPPAFSQPTSSVFNLSSSTTTTTTTTHP APKAAPTTSTSNVTSMLSSSSIDPWGSNAWSTPDPAPAPSAAKPATTAQPSITDSMRM PDTLTPRDIGAGWGAPAATTITSSTTTTTTAATVAPEDDFGGWTSAAPVSTTTAGTGG GSKPATGGFAGNDDLFSNVWE TSTA_067550 MAVTGCFLDREWEYQEILLGFEPLSGTHSGVNLGDMILKILHPH QQITNRVIAVTTSNASNNNTMISSIQIQSNLLSSIITRTSFGFHDIFMLRISEGWMIV DALNKVRNPAVYINASPRRREAFCNLQPEGLKLVPIQDARTR TSTA_067560 MLKRKRQSSWEATGAIQPRQPPAPVHQSTDDESEPEQLKEIAPE TITIAVFCALPYEVIAVIHCLDEEFACRPTTLGSQKYIYTFGRIGSHKIVIARPHQTG TVDAAHCATAVNQQFPNVKFALMVGIGAGIPNLPKVDIRLGDIVVSIPQNNHPGVIQY DFGKYEENGFVLKGSLDKPPPILISADGSLQHDEGLGRCPLKKILRHITRKPGFGRPD IEDILFDKSFSHVNEGDDCHMCETMGGNKLAREVRNRPMVHRGLILSGSGVIKNPSDR ERLCRGYKDALCYEIGAAGIMDEIPCLVIRGICDYADTHKQVGWHYYAAAVAAAYCKA ILCKVDSQSINLDTVGNLDQTIDMSKLPIAEGAYFDSYDDQHEPECLEGTRTELLQDI GDWVNNPEAKFIFWLSGMAGTGKSTVSRTVAKTLAGKGSLGASFFFKRGEGHRGNTKR FFPTVIRQLINNIPQLILGVRESLKNDPDIASKSLPEQFNKLLLRPLLAVTQSNLQPA PIIIVADALDECDQDKDIRIILHLLPEVLKSKFIHLRFFLTSRNEYHIQQSFKDIVSM HQNLVLHQIPESMLERDMTLYFEYEFSKMRRQHSFPPDWPGSQTIKTLVLRAMPLFIS AAKLCRFIGNTKWVTEKRLKAILADQTMYASKFKSTYVPVLNQLLVDQDEWESQQSID EFKNIVGVIIVVADPLSIHALSQLLCIEADDIQNRLDLFHSVLNVPKDLDTPVKLLHA SFRDFLLDSKIKDSNPFWIDEKKVHDRLTMQCLIVMSHNLRRNICFLPEDNTERENID ARSIARCIKAEVQYSCRYWTHHLMQGSDPSSLLEDAFSILRDHILHWMEAMSILGLMS EAVIMVNTLNSLTAENQNPEISAFLYDARLFILKNRHLAEIAPLQLYSSALIFTPKTS VIRRGFEEQELPSWIYTYPRFTLEGHKESVTSMAFSSDEKLLASGSRDMTIQVWDTTT GAWQRTLRGHTDAICSVAFSPLLLASSSNDKTIKLWEIADGLIRLWDTITKDLKHEFR GHKGSIKPATISPCGRLLASICNDGTVNVWDICTGYLQRTVQGCLAIIFSLDNGLLAL PMTLALEQAIYNQSVISVAFLKDERTLVSASIDGIVTIWDTNTRILQQNAENHGGLIP SMEFSFDGRLLASASWDKTVKLWDTETGKAITTFSGHGDIVLVGGVFFR TSTA_067570 MFVLPPPPPRYSIPVAYAAGAANGMAVPIIETNNVVKHPEGGCP LQVGEGTYELVDDLHLATPPPHPSEAPVVNPNPLATGPTPPTAGVKLSLVITSNHKSP PNLGSWSYISAPAFGEGNPALMIAPVREGLKRRKPKNNIVKSSSSFVSRVITHETHAK RLADRNPDGLYAFANINRAFQWLDLSAPNKEEPIAKILFTKAHMLCHDANELTKSPSH IDIVMGSSAGDIIWYEPISAKYARINKNGAVNNSAVTHIKWMPGSENLFLAAHANGQL VVYDKEKDDALFTPETEDGASSSSRAMQILKSVNSKNQKTNPVAVWKLSHQRINSFAF SPDKQHLAVVLEDGTLRVLDYLKEEVTDIFASYYGGMICVCWSPDGKYMVSGGQDDLV TIWSLAERKIIARCQGHNSWVSAVAFDPWRCDERNYRLGSVGDDCRLLLWDFNVGMLH RPKALQANARHRNSITTVHNQLSRHRTDSLSNRKRSDSDRTEKPIDDEEDNIRHPVES RTMTALLPPIMSKEVGSDPISWLGFQEDFIFTSSLEGHIRTWTRPRESVTNESRADIS STRSATGSGVAGEHQ TSTA_067580 MATVRICVCGDEGTGKSSLITSLVKGVFVTNKIQPVLPQITIPP TIGTPENVTTTTVVDTSALPQERTNLAREIRKSNVILLVYSDHYSYERVALFWLPHFR SLGVNVPVVLCANKADLATDTTDAQVIEEEMLPVMAEFKEIDSCIRSSARQHRNVNEA FFLCQKAVTHPIAPLFDSKESVLKPAAVAALQRIFYLCDKDRDGYLSDKEIEDFQLKC FGKPLSEEDLVHIKETIQKAYPDAVAPAGITSRGFLHLNKLFAEKGRHETVWIILRTF QYTDNLSLQETYLHPKFEVPPFSSAELSPEGYRFFVDLFLLSDKDNDGGLNNSELASL FAPTPGLPSSWADDSFPSSTVRNEAGHVTLQGWLAQWSMTTFTSPKTTLEYLAYLGFE SSDRSNPSTTAALKVTKPRKRRRRPGRVGRNVVLCHVLGAAGSGKSSLLDAFLSRGFS NTYHPTIQPRTAVNTVELPGGKQCYLILDELGELEPALLENQSKLLDQCDVIAYTYDS SDPDSFAYITKLRAKYPHLEELPSIFLALKADLDRTTQRAEFQPHEYTARLGLPAPPL HVSVTWSSIQEVFVHIAEAAMDPSTTFPRTEEDLESKWMSWGIALGAVVCAGAAAVAI WHRVNSSSS TSTA_067590 MPLGIHNPLPSSMRSECKKAGKILASFVDPRQAFGPDKIIPPEI LAGAKGLAILSVLKAGFLGSGRFGSGVVVARLADGSWSAPSAIATAGAGFGGQIGFEL TDFVFILNDAAAVRTFSQAGSITLGGNVSIAAGPIGRNAEAAGAASTRGVAAVFSYSK TKGLFAGVSLEGSVLVERKDANAKLYSSGVSARQLLSGAVPPPPAAEPLMRVLNSRVF SGGRAFGADAMYNDIPIYDDSHDDVVWEGRRGTAYGEGQRRERAGSRATDTYDDYEYR DRPRRATTWQDDYYDRPPSGNVNRSNTTRARGYSGAGIEYDDDEFFSNRNRPSRPTAP KPVFTQKTGAAAGLREDQAVALYTFDADQEGDLGFKKGEIITIIKRTDKAEDWWTGRI GDRTGIFPSNYVDPAVK TSTA_067600 MQTTTSSYEADGGYAAKRGHVPTLSISDDSHHVTETIGHLYGDS YDRDTRRLSFLPTPQGETLSVSTPNPENTSLDAGRRIGEGSAADNRLKPDSTRPTITK QSSFERDPSSPVHLSPTDAANSSFPLTDIDYESNPDAIKQEYHTLAAIRRMSMDVTGD PDLPSYSNFQVPSIAPSASADENDASRLFWVPARLHPELAPKEFRSFLESRKEQIQRR SGDYSSSLGVEREESKGGLRRKRSKLSRQIDDSQEYVDGADRLERKRAEAHDAKGDTA SPNLQELEEIVNDKKQVNTETLALLGGIEKLDLSTSEDKPILPAAPPGHSLKRSTRTQ YRKGSLKKGERLPYSKRLGRTPEMLANSVSSTLEDPPAIKPLMRSSTDPGRSLSDRNM VQDRPKPAAPAPASPASTSTALAPGSTVNATFEPMLEPNAASSSQRQWQSRVGTNGRS TLNIPPSAQTIPQIIETPPSSSEPERQLQSGTIPERTSSHESSSSQANSIYNKRHTPQ RQAQSPQTLSDIASHPSPIPGNSSRTDSLSVIPTFVEEKRSDSKKHKDKKESEGSRKS SWPWSRGSDDKDKKKESEGKKSKKQHIGTEKAYDKQDNTRLDVLQNSIDSVPRGRESL ILERPVDQRLEEERRKESQRKSSDSKRDKESGLLSSIFGGGKKRSGSDHKKSLSRTLS PEPTYVKLIPDQDYPWTRFPIMEERAIYRMAHIKLANPRRPLHSQVLLSNFMYSYLSK VQKMHPQLSIPTSPSQRVQQQQQQMDQPDEYTQYQRYQEQYSEQTYEEYNYSSDEHGD QYVSERQDYENGNVYGTNHHQQHYDGSYGSLGDAAQLENDEEMW TSTA_067610 MSDAYERESQNNALLNSLAQKTSALKSVTIDIYDNARNHETLDN TNEVFSNMSTSIRGSASRLTRAARAGDKVAVMKVAGICVGTGVGLWVVLGWIF TSTA_067620 MEALSFLSGGGIASSAKYFDIRLDDPYVVFRGSEHEAASAHLRG TLVLCLSEPLTIKHLKLTLIGMSRICWHLPSSATTGGRKPYKEKVFYEKEWKFRDAGK GRTEILPADNYEFPFDVILEGSLPESVEGLHDTWVTYRFKAEIGRKYARDIVIRKPVR IVRTLDPSALELAHAMSVENIWPNKIEYSISTPTKAVIFGTSVRIDFRLIPLLKGLKI GTITSQLIETHELTMNPDDPTTVQNTFKSTRTIKTDDYELNEEEQLEILDETAEGFQF HRMLELPHSLSRCLQDTDVKGIKIRHKLKFRVQLHNPDGHTSELRATLPVTVLISPDM RIDENNTLIEDGSTVRQHAAEELANQAPPLYGQHQFDRLYSDIDISGYRTPGPMSGSA TPFGALSRNISSEDLPSLEAITNGDISASALHSRLSHLHATRGTYSSSPGGDNHDSHP ADYFSHMNGRQSGGNSHSHSPENNSRRASDEQDREQPDSTVPSGMVTPHQPQYMEVET LSRVPSYTTAIRTAARTQYDSELPAYDAAISSSAPPARPLPPPQQAHLRNSSTSSPTR MLTLNDALHRTFYNNQHTSPGHDDAERRLRLTQARVDI TSTA_067630 MSALPHRTATTHSIATNSTSDDEGVVGEDTSETSRLLLERLQAW KHMCGYLEEYIDATAKIHKSQAKDYEKVLKTINNPLREGHHFDQGLQGVAGLFEVMRN NTRGTSNMYIELEKNLKGQVLPILERLHKEIKSKSKELKSGTTKVSKAVDKARSVTQK HIELLGQYAAAYSSAGHTKIEPQHDPYVLRRGINHRLNKQVIEENNSRNDTIAVQNSF AAFEAHVIETVQLALNTFFQFVGGQLDQQRALYGDIVQNAQNIAADYEWNLFVKRNDG ILIDPEAPPRSFSNISFPNQDHASTRPLIEGTLERKSRALIKGYSTGYYVVTPARYLH EFKDNDDFTKDPTPELSLYLPDCIIGAIDGAKFHVKGKDVSNGKVGNAFSTTSEFQFK AHTPNDAEKWWRVIKDATSSPAAVEAVPPPINTNVGHSASTTATPVSATTTPAGSRNV SATHPVDKEVAVGSGTADAAPGVKRSPSHYHTSPGGTGV TSTA_067630 MSALPHRTATTHSIATNSTSDDEGVVGEDTSETSRLLLERLQAW KHMCGYLEEYIDATAKIHKSQAKDYEKVLKTINNPLREGHHFDQGLQGVAGLFEVMRN NTRGTSNMYIELEKNLKGQVLPILERLHKEIKSKSKELKSGTTKVSKAVDKARSVTQK HIELLGQYAAAYSSAGHTKIEPQHDPYVLRRGINHRLNKQVIEENNSRNDTIAVQNSF AAFEAHVIETVQLALNTFFQFVGGQLDQQRALYGDIVQNAQNIAADYEWNLFVKRNDG ILIDPEAPPRSFSNISFPNQDHASTRPLIEGTLERKSRALIKGYSTGYYVVTPARYLH EFKDNDDFTKDPTPELSLYLPDCIIGAIDGAKFHVKGKDVSNGKVGNAFSTTSEFQFK AHTPNDAEKWWRVIKDATSSPAAVEAVPPPINTNVGHSASTTATPVSATTTPAGSRNV SATHPVDKEVAVGSGTADAAPGVKRSPSHYHTSPGGTGV TSTA_067640 MVIINLDKSNSTREKVKEPYIRLPAPHSHIIITSPRVVHTPQKG QQENEDIIPPESDIEAALTALNDDRVHKYLESPPYPYKREHALAFHRRMYDDTQRILQ HPVPPPEDGEGEWLYDGCPFRDIRDTSLSPAAGAGTEEEVAAQAPKVGDIFISRYPFY ELPVDSEQRKKAQEYNDSLPVGHVNLVWGLGFWLSPSHHRKGIMSAVLGTLITEWAIP RMNAQTIKSSAFLGNQSSVGVVQKCGFVLECTLEKASADMPEYKYGGGGRQDIVVLKW VRD TSTA_067650 MESSLQASLSKHKSTDSNFVPYWARCQVQYARNLLEYSPEPIEY DYHDDDGWENCFFFPEDCTRAPILYSYETDSVESTDSSDKASSSTLSDADTDSDDNMT PPALPDIRMLDNEALSDLLEDNTSPPEITSILIFATNGAIFAYASDLSTRQLRNLSAT YGAAYTSFARTASTGNLTGVKHASHPSSYVTTPSISLGDVGSIVFENENQAAVVTRIA DKVLLAVVGPEKLQNKTQTTVNIEASGDSNDAAVEADEANPVSLQSTSNATKSPSASS SLKPGSSQRNGDGLLAAQYEIDRDNDLARLAALNLNTSPEILLALEAKSAALGRFLRQ KLQDLESPEDF TSTA_067650 MTPPALPDIRMLDNEALSDLLEDNTSPPEITSILIFATNGAIFA YASDLSTRQLRNLSATYGAAYTSFARTASTGNLTGVKHASHPSSYVTTPSISLGDVGS IVFENENQAAVVTRIADKVLLAVVGPEKLQNKTQTTVNIEASGDSNDAAVEADEANPV SLQSTSNATKSPSASSSLKPGSSQRNGDGLLAAQYEIDRDNDLARLAALNLNTSPEIL LALEAKSAALGRFLRQKLQDLESPEDF TSTA_067650 MTPPALPDIRMLDNEALSDLLEDNTSPPEITSILIFATNGAIFA YASDLSTRQLRNLSATYGAAYTSFARTASTGNLTGVKHASHPSSYVTTPSISLGDVGS IVFENENQAAVVTRIADKVLLAVVGPEKLQNKTQTTVNIEASGDSNDAAVEADEANPV SLQSTSNATKSPSASSSLKPGSSQRNGDGLLAAQYEIDRDNDLARLAALNLNTSPEIL LALEAKSAALGRFLRQKLQDLESPEDF TSTA_067660 MGAFPNLYGLGADGIKNFEVLLADGRLINANANENGDLYRALKG GGSNFRIVTRFGIETHPLINVQYTINLYNPEDYVEIIKATIAVQETIEDDPKIGLFTN FNHGFVAVSLLYGDTPAQSPLAFEPFYNLTSLITTVVPATNAISTVTTKVSRELYEEA YKSWIETSKSLPVGCVLHFTIQPMGKAGVQAGKDRGENILGLESVPQCWWVFTCEWPK DGSDDAAAQKAVDSSQKVLRSYGTENVKRMQDVAVKYDPEGIFQKLQHNGFLLRNTSE YLS TSTA_067670 MPHETINTVFGQLHAVVQGNLDSDKTLLLLHGNSSTWKAFTPIL DDEVLKSTYKLIAFDLPGHGDSGDAPNPQLSYNIPAYAKAALEVLRHFQVKSYVAYGS SMGGQIAWDMIKIAEDFDVRGVMTSGSSPFGSVEEIQEGFKFNSDDNVATTGSYTDEQ VEWAVKNAYGGVPQPFIARPLMFENIVKGESTDQRKIVKETKVPIAIVNGETDPFINL DVFDRLEFGNLWKGKQITILGSGHCPYWDNPDEFLPILVEFAANCYAA TSTA_067680 MAFRRIILSKSLAILNPITVFSEPGKRQFVGFEFNEPKLDLWKE MLVHYEHRLQEWKIDLRRNLKKYEETTTNNQEDFPILTELNVLIRAAERLLGFEGMRI CPVQLPTSLEVFALKPWPLDKVFKLMKEDFSDGDINELFKKSKLLLTTKFGKEQGKPL QYHAECIAETEGLSQADIKKIVKTLKWMQRLIERQICDRNQKALRHRKESTVGVSNYL KDQGTAHTAAHLQKTVLYLDDLNIVRSIAKPFSEQESRPDILWNNAGIGAVPVGLKIK QRIEAHMGGQCYRAASLHSTSSSHTASGGGCLSQDFRSHCLVLLLDDGREFS TSTA_067690 MLTQRISSLFIGLPRLRPLAPSLTQGNSRAFSTMASTTNLSTYK LNHTMIRVKDPKRSLEYYKFLGMNQIRKIDFPEAKFSLYFLAYDGPKSLSGQNPFSDR NAVLELTHNWGTENDPEYSVVNGNTDPYRGYGHIAISVDNIEAACKRIEDAGYPFQKK LTDGRMKNIAFAKDPDGYWVEIIRRHDEDVGTRTDVSNYRFNHTMLRVKSAEASLKFY QEVMGMELVRTLEMPDAKFNLYFLGYPTSNPPKAENARNPVAEWEGLLELTWNYGTEK EEGPVYHNGNDQPQGFGHICVSVDNLDAACARFESLNVNWKKRLTDGRMKNVAFVLDP DNYWVEIIQNEKYGDAYKI TSTA_067700 MSTSQVESRQPSGKGKKAPRKHVTTACVPCRESKIRCDGTQPNC LNCQKKEKACRYQHGDDKRKISLRAATELFSARIDQLTQFIYNNGLQPPSMRPDAEQE MNRVLDTLQVPRSLVNANTAQNNANLPRTTLQNRDFSGEYNRQYAPPNNRYQPSAQFT NITNHEYLPPIFDFSLPTAEILDDIYANNLQSSSLNLHSGQPDPYTGIERTSLRAQQH DDDSASDSGDEAEREVIEQLSSRIGTLKLAGDGHLRFYGPTSNLTLVDVASTSKQIPG PDVRSVRHDGQELLNHLRIGQQVEPSLEDHLIELYFTWQNPSLYIVDREMFTLARAKW RDELDDTPFYSEVLTNAMCALGAAFEARYHPTFITFPKSLSEFFADRAKALLEIELDC PCVATVQALAILSSHEAASNRDARGWLYSGMSMRLAYDLGLHLDMASYVERGSMTPME AKVRRITFWGSYIADHFWGFYLGRPFRMNAGDVSVSKPASGPDTKEETWYAYGMGESL PSTLEHGLRNPGELITQQFVNLWEMIAPVGHILYGCSDIESHDLQRMNYKVTEDLFAW KRSLAPSLQIDLEHDTGPVLPHLLMLHMQYYQIVIFFHRPWVSKSYIQPQNPKQGPGH QHARRTCAESATAIARLLRLYEKYYTFRRINNQVVAIIFTAALMLIFVTISMSTQDTG RLRADEKNRQVDMATHLNVCFRALDELGQSFENAKRTRDFLVSLQRRWQNHMRKTGGN SKRSIEPPGTRSSRNGEDGSPSVSAAPSSDQSLSRLASQKKPRLAEQSMLEDSRLGVN FVNSHEFLPPDVEFGSISNGGLNWTPSSRDLKMLSEEIGDAPLFSTTSSPSSTSSQRQ VPGGMATFTSGTPGGSNAISSLGEMAHAWWTWPADGVNGLNSNHRSDRNVR TSTA_067710 MVGVPRSKGCSVCRNRRIKCDEARPECGQCQKYGCPCPGYNRGL KFQDEGPNLQRRHRRLSDRKSSNNDVEKKPSSDSNSSNSSSKADPRAMMPEVVAEDAL LLMQTHVSSIDESLSPSLMQKLFMTQQPRLFMDFTCAAFPTLYFHNRFRSQIAFPEYI MENFHSRAYQDSAVCCLSAVYLASLTKDSRLLRSSRYMYGEALRRINRIIDTDEALSD NVLSTVMMLMIYELYARTTPDAWVKHARGVKEMMVKRGVKKHMSGFGRSCYYAFRGFL VAHALHEGIPCFLDDEEWQNFAAQVQQEDAKKPGEWSVFVHISEMIFMELVKCPRYVY DVRQLSPTTPRNVIMNLVARIRATCANLRSLSDELQASIMYHFQKKQGIEVNVNGFVG PAPSLFPDTNPTLLLQGATHCINTLEKVLGTIKIDNLAIQLAVGATPEVQAHTSPQSQ SQSPPRLPSSPPSATYSHEVSGTDYLSPTPSADSTCETISSNNSSPRGPADDIPSTAN PIKTHTFTLPFRLVSEISRGPVHHDKSSGGHRSVVWLDHIACSMGMIRTDVEAEAVPE EEEYNNNTRLETIPESVEIVEDE TSTA_067720 MTVPVIRVAPSAANRAVSLLRTIQFTHPPNCPCHTNPGHHHHNQ RQQISLANNVRRHLATPTDPSQQKEYAFEMAASSIRFGPGATKEVGMDFKNLGAKRVC IVTDTNVAQLDAMKQATEGLAREGVEFTVFDKVRTEPKDSSIKEAIAFAKPYNPDAFL AVGGGSVIDTAKLMNLYTVFHDADFLDFVNAPLGKGLPVTKPLKPLVAVPTTAGTGSE TTGTAIFDLVSKKAKTGVAHRALKPTLGICDPLNTRTMPSAVHASSGLDVLCHSLESY TAIPYYERKPRPTNPINRPAYQGANPISDIFSLNALRSTVKYLPRAVRDPEDFEAQEQ MLLAATLAGVGFGNAGVHLCHGMSYPISGQNPGYKHAGYNVDHPIIPHGVSVAVTAPA VFRFTAASNPDRHLEAAEAFGVDISNVKRESAGEVLGEAIAKFLVSLGDQPRGLKELG FSSADIDGLVEGTIPQKRVLMLAPSLSEELNAEKEELRTLFENSLEY TSTA_067730 MSELVSPLLGDHNTDLENQPQTGNKDKHHHRSKTFPLPTSVEFS RDVSGSRFIGNLASWSKKGVEGLGISRNSSYNRQYHEHQQSNEEQQYYDDDEYPYDVH PNTSYYAHLRAKTRAFLSSKWGHYIVLCMVAVDVACIFADFLIELWVCELSERHQTPI DRRWSLAQEALGLVGLIFSCLFMLELIASVLSFGLGYFRSKFHTFDALVIVLAFILDI ALRGVVEELGSLIVVLRLWRVFKIIEEMSEVSAEMMERYEVELDNLRVENSKLRRKLK GYGYNDEGDDIEGNIGGDEEVNE TSTA_067740 MKFISATLVGALLPLAHAVGNAIVFNNCTNTIYVWSVGSSIGNY QALANGESYTEQYRHDDQTGGITLKVTAVADGIYNSAPELDYGYTLDNQTIWYDISDV NGDPFANSTVALVPANGACQSFHWADGISPPGIHTASCSAEVDWRNGCYK TSTA_067750 MPLKASQVLESSSIHSHVQLLRSNMRLKRGRGPVTPVSLAKFAV MELGLARHAQRQHDACDVHMINQLRKEALSHQANGGHCGNTPAVSNEIAKLQHEQRDF KQSIQQVSAPEPDSLGSQITPSLQLKNALSRAIKEYQSQSYGVWPIIRSDVLLQQLSM PLFEEPSLLTGHTYQKNASASESSISIENIWIYAGAWLRSFFMSIMQRKRSHQPGYWV LTNTASLYKNPLRGWWWTIAKSSFHYCGSQKGVIGMVALARLFVTFDQCVNDYNSQNT LVECERLLRESEVYSGVNATRLADLSITREWMRTMIWQNALSAGYLSFDSAATSLRFD FPILTGRDLLAALRGFTSEDLLPLGRDQLLKCYEVANTLADTLLCNPALVEQSTLQFG PYDFLHGLYQKILPFLHSDDTLNSILREKTAETLLRAPSRFRPLSLPPSEDVMSTQVD PNTIKEPGYDGQEVIKMMNG TSTA_067750 MPLKASQVLESSSIHSHVQLLRSNMRLKRGRGPVTPVSLAKFAV MELGLARHAQRQHDACDVHMINQLRKEALSHQANGGHCGNTPAVSNEIAKLQHEQRDF KQSIQQVSAPEPDSLGSQITPSLQLKNALSRAIKEYQSQSYGVWPIIRSDVLLQQLSM PLFEEPSLLTGHTYQKNASASESSISIENIWIYAGAWLRSFFMSIMQRLLGLDKHGQS LQESAAGMVVDNSEIVFPLLWVTERGYSMHLGLTPSFSYNSPLQLISPTNENAHVKGM VALARLFVTFDQCVNDYNSQNTLVECERLLRESEVYSGVNATRLADLSITREWMRTMI WQNALSAGYLSFDSAATSLRFDFPILTGRDLLAALRGFTSEDLLPLGRDQLLKCYEVA NTLADTLLCNPALVEQSTLQFGPYDFLHGLYQKILPFLHSDDTLNSILREKTAETLLR APSRFRPLSLPPSEDVMSTQVDPNTIKEPGYDGQEVIKMMNG TSTA_067760 MTESIPTTQTVAMVRELGDAVEFVTDYPVPTPGNNEVLAKVLYT GVCQSDLHTKSGTAASSQGTPITNIKLPHIGGHEGVGRIVKIGPNCGEGVKLGGLVGI RFLSRVCRRCEFCLAGAEQYCAKSTNHLHHEDGSFQEYIALDADNLTILPDDVDPKLI GPVLCAGVTAYKAVLNANIKVGDWVVVVGAGGGLGHLAVQYARASGASVIAVDTGNDK REYLQSVGAKEFVDFTLVPDTIEEVRRITNGGAHAVIIAAGNAKAFAHAAEMLRIGGT LSCIGIPPGRPFIETPVASIVIKGLKITGNLIGSLKECMEAVELTRKGVVIPKVQVRP FWQLPQVYEQLEKGDVPGRIVLQVAETA TSTA_067770 MASSSGQPLGEPSTDFNALTTLECSYTFPTFNASVAFDLGIALR NRILSLPSTQRKPAVISISTSNGNHILFQSVTESGTTPDNEEWVRRKRNTVLRFGSST WAFRQKFLASSTPGQNVEALFAQGRGLKSSVPGGVPDDYAIHGGGFPIRVANVDQVVG VVVVSGLKQEHDHQVIVEVIKQFLGKN TSTA_067770 MASSSGQPLGEPSTDFNALTTLECSYTFPTFNASVAFDLGIALR NRILSLPSTQRKPAVISISTSNGNHILFQSVTESGTTPDNEEWVRRKRNTVLRFGSST WAFRQKFLASSTPGQNVEALFAQGRGLKSSVPGGVPDDYAIHGGGFPIRVANVDQVVG VVVVSGLKQEHDHQVIVEVIKQFLGKN TSTA_067780 MARGTRLDGQSKPFAWTTILYLLLVFIAPLALLGTAHASEEQNV TENYGTVIGIDLGTTYSCVGVMQNGKVEILVNDQGNRITPSYVAFTDEERLIGDAAKN QYASNPERTIFDIKRLIGRKYDDKDVQKDIKNFPFKVANQGGKPVVKVDVNKTSKTFT PEEVSAMVLSKMREIAEGYLGKSVTHAVVTVPAYFNDAQRQATKDAGTIAGLNVLRVV NEPTAAAIAYGLDKTGDERQIIVYDLGGGTFDVSLLSIDNGVFEVLATAGDTHLGGED FDHRVMDHFVKLYNKKNDVDITKDLKTMGKLKREVEKAKRTLSSQMSTRIEIEAFHEG KDFSETLTRAKFEELNMDLFKRTLKPVEQVLKDAKVKKADISDIVLVGGSTRIPKVQA LLEEFFNGKKASKGINPDEAVAFGAAVQGGVLSGEEGTGDVVLMDVNPLTLGIETTGG VMTKLIPRNTVIPTRKSQIFSTAADNQPTVLIQVFEGERSMTKDNNLLGKFELTGIPP APRGVPQIEVSFDLDANGILKVSAADKGTGKAESITITNDKGRLTQEEIDRMVAEAEQ FAEEDKAARGKIEARNALENYAFSLKNQVNDEEGLGGKLDEEDKDTILEAVKEATDWL DENGASATMEDFEEQREKLSSVAYPITSKLYGAGAGGASYEDDEPVGHDEL TSTA_067790 MSRHRIKSLEYDEDDYEDEEYDEVDPAEQEQLEAYTNEVLSELR TGQPPITATREEVQEALWHYYNDVVKSVNYLRGKKVKEIKKKEAAPTTTKSKATGPSP DDIVFNAQSASKGLKAKQTVAKASGHDNKMQDDLSSGMKTLTVEPVKVKSKNLDVLAE YQKSKQKKAANFVVIGHVDAGKSTLMGRLLADLKAIDQRTMEKYQREADKIGKGSFAF AWVLDQGSEERARGVTIDIATNKFETDSTRFTIVDAPGHRDFIPNMIAGASQADFAVL VIDAGTGNFESGLKGQTKEHALLVRSMGVQKVVVAVNKMDIVSWSKDRFEEIEQQISS FLTTAGFQAKNLSFIPCSGYHGDNITTRSKDANAAWYTGLLLIEALETSEPFSHALDK PLRMTIGDVFRGGVQNPLSISGRIDAGSLQVGDSILVMPSGESALIRGLERDGEPADW AVAGQNVTLHLANIDAAHLRSGDIVCSTNSPIQNIQSFTTKVLAFDHLMPMQVDIHRG RLHVSGRISRLTGTLDKSSGAVIKKRPKIIPPGSVARIVVEMDQPVPLEAPSRVVLRA GGSTIAAGLIE TSTA_067790 MSRHRIKSLEYDEDDYEDEEYDEVDPAEQEQLEAYTNEVLSELR TGQPPITATREEVQEALWHYYNDVVKSVNYLRGKKVKEIKKKEAAPTTTKSKATVSSY PADNTQSPFHHPCAVPFSAADFFRDTPWLNVPQHRRADILVEPLYPLLGLLGGASQEG KMSKLAALAAARKKRTSEKPVTAEGQASTPSDEAKAAPRSLSERLALGSSKSVVKAGG LAAISRGRRTALKNPPTISEPEDKSATKSSIDKERADQTAEKQQLEEASDLRASPSTF AATIVGNGTHSTTPEPGHLLGSSFDIMRIYQQDVTEAFDFAGPSPDDIVFNAQSASKG LKAKQTVAKASGHDNKMQDDLSSGMKTLTVEPVKVKSKNLDVLAEYQKSKQKKAANFV VIGHVDAGKSTLMGRLLADLKAIDQRTMEKYQREADKIGKGSFAFAWVLDQGSEERAR GVTIDIATNKFETDSTRFTIVDAPGHRDFIPNMIAGASQADFAVLVIDAGTGNFESGL KGQTKEHALLVRSMGVQKVVVAVNKMDIVSWSKDRFEEIEQQISSFLTTAGFQAKNLS FIPCSGYHGDNITTRSKDANAAWYTGLLLIEALETSEPFSHALDKPLRMTIGDVFRGG VQNPLSISGRIDAGSLQVGDSILVMPSGESALIRGLERDGEPADWAVAGQNVTLHLAN IDAAHLRSGDIVCSTNSPIQNIQSFTTKVLAFDHLMPMQVDIHRGRLHVSGRISRLTG TLDKSSGAVIKKRPKIIPPGSVARIVVEMDQPVPLEAPSRVVLRAGGSTIAAGLIE TSTA_067800 MEADWDEVSRIAVPPPSPHVLPTIATAIAFDDLQELLWVGNEYG RITSFYGPELQRYTSVRAHPVSEGAVRQFLFHEKGVISLSSRSVHMVTRRGLTQWHVT HPDMTDLRCMSLTAQTNRIIVAGCQKTMFTVDIDKGVIVDTIPTDVNYTIMKRSRYLC CATDTGSVNALSLADFKVVKTWKAHGAAINDMDARNDFLVTCGFSVRHLGAPIVDPLA NVYDLKTLAPLPPIPFHAGAAYVRMHPRLHTTSFVASQSGQLQVVDLMNPNTINLRQA NVNFMLGLEISQSGEALAINDAECSIHLWGSPSKIHFNEMSKETEFADVPPRPPIVDW SPETPLNIVGMPYYNERLFSAWPSHLVFDIGSPPAPVDPTIIPYLRPAEIGHYAANPK KGRRYQIENTRQVVSAEPALVAPKFLSEKARESPRSKNDGIVGDVSNALASAKIGADV EEDPLLKYSNVEIKYSKFGVDDFDFRFYNKTSFSGLETHIANSFTNSLLQLYKFIPLV RNLALHHAASSCLFEDCLLCEMGYLFDMLDKANGQNCQATNLLKAFSNSREASKLGLL EENLTNKALSGAIQSVNRFILNQFSNEYRAVAPESEELDRNLTTVASESVRCMFCRNE IVRPGNVFANELIYPVTDAKQVRRTPPVKFSSILKSSIERETQNRGWCNHCRRYQQVA IRKTVHRMPPVLMLNTGHTTPYFRQLWASPGWLPEELGLIVDNGQLHCFEGDTLRSRV QNRTPGLVVYELVGLVAEINITEHQKPHLVSFINVSISARQPEEKSRWHLFNDFLVTE VSRDEALKFTDPWKVPSVVAYQVKSAKHKLDDSWKDKLDTTLLFHEWSMNGGPPVESC QILKPEERPQPGTPVALDTEFVDLEKAEIDVKADGSQEMIRPSKSGLARVSVLRGSGL HEGVPFIDDYITIKETIVDYVTQYSGIKPGDLDPRTSQHNLVPLKVAYKKLWLLLNLG CVFVGHGLASDFRKINIQVPKAQTVDTQYLFFHPAKNRRLSLRYLAWAVFKEYIQEEN SDVTEGHDSIEDARMALRLWKKFQEYEDAGIVNQILEEIFAQGFKLGFKPPPRNGNSA APSAAAALTRPGTAISNSNATNGSGRNTPDVASNAASATAGTSTPSTPRQVFRRANAL TPSNGTFGAPGQPDFFGGSPLR TSTA_067810 MFRAQQNAFDDAVAKATDENLTSENWEFILDVCDKVNNEESGAK DAVAALIKRLAHRNANVQLYTLELANALAQNCGLKIHRELASRSFTEALLRLANDRNT HQQVKSKILERMEEWTEMFSSNPDFGIMDQAYMKLKTSNPNLQPPSKPTKRQITNIDR QKEEEELQMALALSIKDKGSADVTVASSSAAPAAAAASNQPESSSTVSQGIPSGTTAA TVSRVRALFDFQPSEPGELQFRKGDIIAVLESVYKDWWKGSLRGQTGIFPLNYVEKLP DPTPDDLQREAQMEAEVFGQIKNVEKLLTLLSTSSSELNVRDNEEITTLYHSTLAIRP KLIELIGKYSQKKDEFTQLNEKFIKARRDYEALLEASMSHPAQPQYGRPPQQPYGYPP TGAAPQGYLPVHPGAAPVDHQQYYNTRPQDSHTPQPTGPGFYAADPSRPYPQTPQSPD PRNRTPTGGQPFQQPAQQPPSEPYQSLHHRPQSTYEHPQELGTSVYDSPVEQRYPYHP GSAPPQPPSQSNDYQTSPDDQRPPMTNQQYPSQPPYPTSSTTQQPPPIPTGSPQPQQA TPYPSLNAGQPYNSYQQQGQGQGQGYPPGADPAMNPASFYR TSTA_067820 MDQDRSTGIASNFKFRRPSSKLHKEPPQFNRPLKSQQSNPSLKR HPSAPVYPRNYTGSREHLRTRSNAYGSSSSSSLEQQQQQNNNNSAGHSPVGEYLYSSH RSSQGRQPHSLWYSLTNDNNSDDLTGPPFDVRGMFNVLEEGGDYSANQQERLQQQEQS DFSSAPPRRPPTLQSYHTSPDPRGKQVLRQSASFTALNNPRMDTALPQIQDAPSNSKR NSDEGIGSKPRRKNTFSSFVNSVLGSPRNIKISAPENPVHVTHVGYDNQTGQFTGLPK DWQRMLQANGISKKEQEQHPQTMVDIMRFYERTAAGAGDEEVWHKFDHAIPRHGDYPD GMSPSATSPRFPQNHEGSFENPRAAPAPPKPSQSSPVPVLSPAIVPNRAAPKPPTVAT GLVPSRPPPQPPVVNKSPATSRPPNEPLTTTTTPSIPEDEPVYTNATSPVVPVAIHSP AQYQQQQERAMAVAQQAIIDKQLDRSRSQRQQQHPPSPKVEQQITPVATPPTPQHQFS NAQAPVPHPALQQQQQQQQQAAGSVPRPRPRARQSNAFDVRAKLQAICTPGDPTKKYY NLNKIGQGASGGVFTAYEMNTNKCVAIKQMNLDLQPKKDLIINEILVMKDSSHKNIVN FMDSYLHGLDLWVVMEYMEGGSLTDVVTFNIMSEGQIAAVCRETLSGLQHLHSKGVIH RDIKSDNILLSMDGEIKLTDFGFCAQINDSQNKRNTMVGTPYWMAPEVVTRKEYGSKV DIWSLGIMAIEMIEGEPPYLTESPLRALYLIATNGTPKIKDEQNLSPVFRDFLHLALR VDPEKRASAHDLLKHPFMSVCEPLNSLAPLVKSARISRAQEKAQKGGA TSTA_067830 MFEPQQRSAMTNDDDTKFALSTGDIATSIRNLAKSSTIVADVAN IWLDILGIFFPDDQGFRRTRILSTEKRVLIQVQRDRYPDGDVFVDDDDTSRDLQFRSA EDSSQVDVSFKFGVISFGAQVVFLKDVSSYSDDQGAWSLHGEKTGSSNLVKATGRDMA EEWLRKMKDICDG TSTA_067840 MYAAVFRAIEVMELLISHKGINHLGTKKQKIKDENGEYSHDIEI DGPGSESEEEEDKKYVDIQTSYDDRFIDREPASGSTPLHLAIWEGKANAVKLLLQHRA DMHIKDDDGESPMDLALSLGNDEILKLLKVFKSKEIDEEAVE TSTA_067850 MCIMDALDECEEGKREFLIKKTTNFHLDSKNAADQQPKLKFFLT SRPYYDIQFQFHLLIQEVLTIHLSGDEESANISNSTNGQRTKGRLKKPVSELPRSVMK AYDLILKKSRDKKLARDLLRIIFAARTPLTLKEMSVALALAGDLSYSSYEDMDLKTRM SLDKGSAISNFVYLIHQTAKEYLVEKGNEDKGDRKHSFCPQESETLIAGVCISLLSFE CFAKDPIPVEEDKMSGISSFDQGRSIQIPVSYLESHPFLEYTTMYWTDHCKSSGLESQ ESWQSRITTLCEARSLVFQRWFAIYRYTIDKHLPKEMTSLNSEAKFGITNILRRFLDQ GEDPNERVSQQATPLQRVTFRSFEATMILVEAGAGVNAVGWETPFFTEVDDHGNERQV GTVCGTPLCMAVYGDNPDIVSYLMQNGASVDLQSTDDEAPMDIVLPMLQSRDNASKTL QILLDHGADASC TSTA_067860 MGLREFFKSHKNMKGKTGRTQESSSNTTLTVNSSYANRDSWDEA YDALRTENEDLIRKVLLSRHRNRSSRREEQLRQVVTTVVDEVDLAKWQTKAGSHSFIL RGQFDQAVKIVIASKDFISSAVSSDPHAALA TSTA_067870 MPPQNLALPPDSLILVTGANSYIGSHVVNSLLEFGYRVRGTTRE PKPWLSEYFSNKYDAGKYEGVIVPRLDAADALKKCIKGVDGVVHVASDMSFSPDPTVV IPKMINTMENIMSTVAQEDTVKRFVLTSSSGAVLTAQPDVEIVVYEDTWNDFTVRAAW DKDSPEELRGGHVYRASKVEVERAAWKFVEEKKPKFVFNAVLPNVNFGRILHPQIHGS SMGYTRNVLKGDSSIMSLLPAQWFIDVEDTAKLHVIALLDPEVQFERIFGFAELYYWK DVLAVIRKLRPADCGQLVSPPEYEGRDLSKVIPRKRAEELLQRFYGKGWTTLEDSIAA GFEGFEI TSTA_067880 MASEGPGLIVNGGQASKSPAALLSEQHEKHNVTVEDTVDEDDIQ HPPPSSIAKPSASESSETATPTVSKATKAPALDVQSEELFPALGSGPKSKAAANVPTA WGAKRSAATAAPQNGAQSVAQSSASEFSSAPKIMTMPGKYVEKLRLAPSQMLPRNQLK KPVRDILRDISKRSKATVDMRAGPNGSIIFEGKGSVDAVQQALKEVAQQVGSKQSVRV PIPASARAHIIGRGGAVVQDIQQRTGARVQVPKTDEPAPGDEDDDSVTIDVLIEGDAV AAEMARREIESIVKERASNINLRLKSIPPEFFPFIAGAHNAHVNDIEERTKAQIRVPR YDTWLNQPPPQEAGPGQIRFVADPDCHIHISGERNAAQEARAEIERRAAELQRQLTLR QLAINRGQHQFILGDSNTAFHDFLAETGCAIILPPASDDSEFLTITGPPEQIEAGINR AMELATSMQMASIDLSRQHSAAHARALTSYLQQRQIIKDLERAYDARIVLPQSVEGPV TWEVYSRDGKNTIRARSDIMNLVQAHPPAKIRSISVDPYFHTYLTSYGSPQLKEQYGV HLIVPENPEGNEVILVYEGQQSPEAEFEIPRQRPSNEDIAAFEQALQSAQEYLINTLG DQSNIVQKSVSIPAKYSDKVRKYIAREEKLDADHIPVRALVQNASTAGESEVILRGRP SDVNDLASKVLAFVEQQKKDDLERDYTISFDFPQKYANFLIGKRGENINKLREEFDVD IKVDNGKVEVKGPKAKADAAKQRIVALGRRLEDETTHILKIPAKYHRDLIGQKGSQVN RLQDRYNVRVQFPRAAASPANDDQSVADTASEVGGGRFGRNNQAPDEVHVKGPSKGAD AARDEILSLLQWVVDHSHSAVVSVAQSQIPALIGQRGREMDKLRADTGAQIDVPSAQD VPDASGRVEIKIKGTKKQVEEAKKVLEQRAKEFDSIVTKTIEVDKKHHKALIGGGGAN IRRIIVEAGGPDDSTASRIVKFPRAESDDKIIKLEGNGAVVEKIAAAIDAFVKEREDQ VTVTLDVPQTQHRLLIGRGGDIRRNLESKFNISIDIPRQGSERTDVKIKGASTAVEEA KAHIEELLKGQQGETVQVPRHLHHVISDNGSFFRRLRNDYQVTVDHAGQQPPAKPAAV ETREGATNGTSLPLITDEAVAAADTHSWKVVENAPSAGENISATIPWVLSGSTENVAK AKAALERAMASATEQGATGYLILPDPKTYRFVVGQGGSQINTIRKKTGCRIQVPKGQA KGEAIEIKGTKEGLEQAKELILEAVRNGQNSRS TSTA_067890 MAEAQLSTIQAEAPKKQAKAKAYVSPGVSLIAGGVAGGVEAMCT YPFEFAKTRLQLRDSPSTSASSTTPKQMTRNPLILIRDIVRIEGISALYTGCTTLVVG TAFKASVRFLTFDSIKNALADEKGHLSKSSGILAGMMAGCVESVVAVTPTERIKTALI DDAKGPKRFRSTTHGIQLLIREQGLRRGLYQGLIPTTMKQSATSAVRMGTYNMLKESA KHYNIPLNGVTTFGIGSVAGIITVYATQPFDTIKTRVQGTQKATINDAVADVLREAGP KGLWKGSTMRLGRLFLSGGIVFSVYEQVVKALSPGN TSTA_067900 MAEKSFTYAEVSAHTTKKDLHMVIHDKVYDVSSFVDEHPGGEEV LLDVGGQDATEAFEDVGHSDEAREILEGLKIGVLKRQPGDPAPKTTTASSSGSSSNAS SGGLGLGLYAFILLGAAAAYGAYQYLQLNSQKQ TSTA_067910 MSSGPTVLPSAFGSSATPLGGGGMQRSSERSNLGTSSRNGRLVS YHSHSGRAPLPYIKDLQDQAAHLDVDERSPISVLLSTAAQSIQKCQTLLDSDQVGQAY VQYLRASEIVVNLIPRHAEYRTLPSQNPNLYAQFSKLMQSIGQQQSTMDTIKQQIIED NLAHSPPRKNLASSNNTVSSGGYVAYKPPNGVIRMPSPTDFQRGNTSQQENVQKINGT MSSPEDILAQRFARLRASQSPLPTNGSAITDQSASVSSRPSSGVVTDYPPRPSANSMP STMSISSGPGSPRPLGPRAMGTSHYGPASPKRKPLDTANISLPKAPSPAYSPIWSVPS QPTQPNPPRKSTDSIQATNTRYSQLAGSRMNSPSRFGDDDNPYRSRTPNGVHLAQESK PTSADLPHNTTIRAQQLLEYMRRYNVLVIDVRPRELYDTGHIYARSIICIEPVVLKEN VSAEELEERLIVSPEHEQSLFERRNEFDLVVYYDQNTDSVSYLAGSPVGTSAPHLRAL YDTLYEFNAYKPLKDGRPPALLVGGLDAWEDLVGSQSLATSSTAAIMGSLQTKRTQQR PGRAFGRVPSASANSSLEIRKRRLREFTPLNHEELTAWMERAKNEEIKPGTYQGDEAG IPEEEIDENAVAEPSSPFVHTYEDFLRRFPEPQAIQQSMVVPEPRAPVSSTPNYASSI PVAPSRPPPAIPRPSYSGVSDGRQIQPTLARQNSATKTALYTSNSFMTRLKLPRTGLT NFGVTCYMNSTLQCLSSTVIMSKFFIDDRFRYYVQKNWKGSQGVMPGLYANLIRSLWK NDVEVIIPTSFRNFCGRLNREWAIDRQQDAKEFFDFVVDCLHEDLNINWQRTQLRPLT FEEEMQRERMPVPKVSKIEWDRYCHREESFISSLFAGQHASRLKCTTCKRTSTTYEAF YSISVEIPHTGTGDIYQCLQSYCQEEMLSGDEVWKCPYCKCERVATKQIIITRAPQIL VIHLKRFSASKTQSARKIHTPVDFPLHGLRMDNFVIPYTPANSESGDQANDNGVSAAP PSLTPTTPPFTYDAYAVLRHLGSTLSGGHYISLVRDAQRQCWRRFDDERVSDFNPRDL RFSDRLQNEQAYILFYERVPAK TSTA_067920 MAGWFSSTSPLDEQIERATSSSLEDISLNLEISDLIRSKSVQPR DAMRSLKRRLENKNPNIQLATLKLTDTCVKNGGSHFLAEIASREFMDNLVSLLKSDSV SLNYEVKQKMLELIQAWALASQGRLELIYLGETYRKLQNEGFTFPPKSEISSSMLDSS APPEWIDSDVCMRCRTPFSFTNRKHHCRNCGNVFDAQCSSKTLPLPHLGILQPVRVDD GCYAKLTSKTFPSGSISERSAFKNNSISKNTASMEPRAARADSSFDEDLRRALQMSLE EAEGRVGSGYVPQSKPTQSKPASVPETNEEEDADLKAAIEASLKDMEVQKQKHTEALK NSDATKLPSGSAVNTNALPKNPYELSPVEAENINLFATLVDRLQHQPPGTILREPQIQ ELYESIGALRPKLARTYGETMSKHDTLLDLHAKLSTVVRYYDRMLEERLSSAYSHHNL GPYGSAPPGAQPYNKYPTLAQHQPEGAGGVENFYLSNTIPDQYKPNVQPQRTSSYSTV TPDQGVISPSPYPQLNSEYGEPSSLHSPPPPTDTKPYTGQYSTIPPGPAGAPYQQPQI TRRDSQYSQPATAPAPYGHNEPQYSNYPHQEPTQQAGHDSPAYQYPPPTQSYQQPYAQ PPPNNAAEGSYPAYPGAPAGAGYPAHSQVPETAGPKPVVEESLIEL TSTA_067920 MRCRTPFSFTNRKHHCRNCGNVFDAQCSSKTLPLPHLGILQPVR VDDGCYAKLTSKTFPSGSISERSAFKNNSISKNTASMEPRAARADSSFDEDLRRALQM SLEEAEGRVGSGYVPQSKPTQSKPASVPETNEEEDADLKAAIEASLKDMEVQKQKHTE ALKNSDATKLPSGSAVNTNALPKNPYELSPVEAENINLFATLVDRLQHQPPGTILREP QIQELYESIGALRPKLARTYGETMSKHDTLLDLHAKLSTVVRYYDRMLEERLSSAYSH HNLGPYGSAPPGAQPYNKYPTLAQHQPEGAGGVENFYLSNTIPDQYKPNVQPQRTSSY STVTPDQGVISPSPYPQLNSEYGEPSSLHSPPPPTDTKPYTGQYSTIPPGPAGAPYQQ PQITRRDSQYSQPATAPAPYGHNEPQYSNYPHQEPTQQAGHDSPAYQYPPPTQSYQQP YAQPPPNNAAEGSYPAYPGAPAGAGYPAHSQVPETAGPKPVVEESLIEL TSTA_067930 MSPTTNSQVTSPGKRPGLARRSVSSHAIVSTRTSPASNATDATE PQKTTAAAGHKTRAHVVGGGHRAHGRNPSFGKNLNKLQRLHTAQNMHLFTEGTTTIGT GARHHQLESPRTSGSHVRWEGGNTSLGEHKSHTSTIRKNYSTPALHRNTSTVLPKKAL VTDRPQSAKGRPKKSVGFELVGDSDSEEEWEDSTQSPESTRRGSAVPSSKNSAESSQV LVDPLTFVKRSYPQISQSRSLPESTISSLPKPVEDSDDESANQDQASSASSAEQERES QHEQRTHETEDIANRLLSQSLISKAPPAMSSISAMGTATAIDTARRNESLTSLTNLAS SHGGLRGHAPSAQSSQAQSSLPQATSSSIEGGVSRFIVNPQAGAHATSRTDSDPNTPS SFLPHYHPQAPPTPERSTVKKTKVISPQPRPLGDGPPSRTQQKLWLQRTAALTTSPPD SNGSPALPQSTIDPVFIAASHSRNGQYDNGRGAVNGSARIGTTHDGEAKHTRKAYEKT SLELHVVQRFQSPAKNSFIRLQWLTNTSGRPNTASESSFLAKSSKSAPSLTTGQFLNA LPSRRAKAIAASSGDNDSSTESAENVNRHRKSKSGRVYFQDHDDVVNISDPSSDPAVA VEPGNAQAGNSASTINTDNKTVTGTDREGYFATEQEMLLRRMWESRGEAASPG TSTA_067940 MSSTLLQYFLQDDVDSFKRLLANVSNAPTGQRSAPGSITPKIGS PSYGSSPSTSSRNHKKVIGTSPGTPHVDRSGYGRSHATPWSRTQVNARDQNGRTLLHQ IASSPKPTVIEFANALLELPFVDIYAQDSESGWTALHRALYSGNIAVAQALMQRDLRS ATDFSAPSSLHHLTASLIKIKDREGNSPFDVYGATISGRDIKRTDGKPLGDFVGNDSD IEASSPETSVYGGDSPEDGILARAQLKARTNLQGDEVFTFGSNKNMTLGLGDEDDRQF PERISLDRPDHLLQRFFMEREKAREHQEQRSTLFGQAIGHADNQDNLPTLITSKPLSV QDVYMSKLHTAVLTNDPESNLYMSGFGPGGRLGTGDEATRYHFVCIETGGLAGKKVIS LALGQDHSIAISEQGEVFTWGSNKYGQLGYNLPRANNKRDTPMQSTPRQVFNPFKREV ILGAAASAIHSVVFSSSGLYTFGKNEGQLGLVDSDARSLEVQVTPRRVGVSLFNAPIR MVSAIDRATAVLLETNETWVFTHYGYSKVIFPLDFSSYFIKESFLATRYGNTANRVIK ITAGGNTICALSSFGEVYSVHVNGKPDHTSIASSTTNPAKIRNSLSTPERVWSVRKSH MAANDVDVGQDGSIIICTTSGSAWRKEKRAKIKASNSKDYKFVRIAGLSRVTAVRSNA YGAFAAIQKDCDVTKEQVTVSPSSLWNDFFQLLPVKSLAENVETDVKGDLIIRHQVAK MKVAVSSSSDVESEVRRIVERSDMERSGHLIWLRTTVSDTRVPVHEFILAGRSPVMRT ALAESRRTHHFSISDFITLGFNDDGNHELVLQGMDFLSLLTLVVFLYTDDVLDVWRDV RHDSVNAYRYRQVRTEVMRIATYLDIRPLERAARVMGEPSRTLHDDMDRALNDPSFFE SGDVTIRLKDARVRAHKQVVCQRCPFFDALFHGRSGGRWLDSRRQDEAITIDLQDMES NVFNFVLRHLYADTEEELFDDVRYSSLDDFIDLVLDVMFAANELMIDRLAQVCQKMLG RFVDTRNVCQLLNAVAPCTVTEFKEAALEYMCLNLETLLENRLLDDLEEDLMDELDLI CQENQLARYPISRGRNSEEALLEKYPELVTGLEIDRQRRVDSMRLSSRRDQDELFDER IRANVSDKGTPSPYVRKMKAAQSGEPRLSAGSPTLKARQSMNDLMFQMDDESAVSPTP MRRGKTPMASPLIAEDLDDLSQPPALSLSYGQGDSLGDASYLEARVGSIETGAAGISN VSTTPTIQPNPPAATSKGAPWVSADISGDKRNLKDIMAETSTSRVSNLTLGMTDRSNT QSGGTFTQKMSQKERKRLQQIQAQEALAAQQKAAVESRQSPWQTVSKKPTPSSLTGDD SANQFKTVQKPAMTLRQTVAGSPSIRPTSNPGLSPSGQGRGLSQPLLGPSTNAKPIPA RTNPVALKTRPSPSLSPLSTPQPIIQSIRHTPRPERSLPGPSGQTSLASILLQQQAEK DEIREAASAKHNLQDIQAEQEFQEWWDKESKRVMEAEAAAAAAAASQTSRNRNGRRKN RGQQSQQHQQRQGGNKPQQREKADMSNEAPRSQGKRPTHHRPPKNPQPPAGPGNSRSE SIHSRGNGRGGGGRLEGVIDGVGGMGDVELGTDGGGVGGGGRSGDIELGSGREDGGER SGDLIDGNDPGDMTWMLIVKTAEADLEL TSTA_067950 MHQHPRSPFPARQPSVSSPSSARGDGSRDPDDNRASSMQSSDTT RGLGIDSGADAPAPGKEAMAKLNQIIANYHTKAALIILHARADLPQSFSKGSDSPRVN RWFNVDLDETDEYRDSIRRWRTCDAADNRPPPMIIETYLDAKSLTNSQTLVLIDENGK RWDVVGALAASQNALVRAKPKEVVLERWRVELGPSSTRPPPDLASILPTVYKKSIVLF RSLHAYARLLPAWKVVKRAGIMRTNPALRVRYRIREARDSDLSSSSDSLTLSLQSGTE KVVDTYSFGTTESPAGPFSVQVTYRLNVDFRVDDSESLLSSRFMGADDNYFLPSLPSE EPSKMLAQEAGSLPLGRRGLADPDRSQAYGSLSTFHQVGPTTGASPISTLRAVRDMGS PSPSSASPPRKLLSAAKVGPVGRVVGESSGVARRPSISIQPFKAPPLSASPSLVDPPL GVSPRASTGRAITPSSLSDSRTMPPPSVPASAGRKIGFEPALPSSASSSPKPAPISRY SSSFSHRRGRLSSGGTTRIEEDNISSGKASAASSGAPPGSGILADPNGASGDSLHTDD ENISDFLKMLDLRKDLLSPVKAAAVDAATRRTSAALTRFQRMRDTNAALSDSMSSSFH LHRSSSSSSRQLSSVPPMVAGTSISTASSPGKPISPHTPHTPAIRSRLSSNNNIITND REPDASPRQSDVEPEVTPSDETTYQPTQPGANVIDIPTSPSSGLPTYRRATSAHSRRI SAGGGDDEEIFPFGMRSVSLGDDRTHLSLSARMRQHEYESMGTNTAQPSSGTTQPADD TSNPALQTSYPFREFNTQRGGCGSSAAVATSTSSNHPYQTRFSHSRGRGSSGGPQSHS STSGSLPRGSAIPAHLTERERDRDGSASGSNSVASSLIENRRGASRRSGSGRSLAQHT TSFDEEEPLLFAMSDFGASRRSLEEGRRSSVGGESGTASGGSRRGSGRRGAGMPGFHP WQ TSTA_067960 MKISTQSSVPVYTISGSSTARPLPEWLARRRKRSLKNDPEYANR VELLQDFEFEEASQCIRISEDGQWVMSTGTYKPQIHTHYLPHLSLSFARHTVSLNTTF LLLSSDYSKSLHLQADRSLEFHTPSGCHYTTRLPRYGRDLIYDRQSTEALVPSVGVNQ DGLGEVFRLNLEAGRYMRSYEVDVGGDDYTSAGGGALQGGINTGSINTGAIAEGSHNL LAFGTSIGTVELWDSRARGRAGILLPPAQSQPGEGRHEITALEFHRSGLTLGTGSSNG LIHLYDLRSPIPFLKKDQGYGYPVHTLKFLQSSSQTREQTMEPKILSSDKRIIKIWDP RNGTPWTSVEPAVDINSVAWYPDSGMILTANEGRQQHAFFVPQLGPAPKWCSFLDNLV EEMAEDPNDPQAFTGGQTSTVYDNYKFLTVPQLRTLNLDHLIGRTNLLRPYMHGYFVA QRLYEEARLITNPYIWEEERAKRVKEKIDQERESRIRGKKKVAVKVNRKLAEKLLEKQ EKAERRNAKRVLEQGGDETMAEAPEQSPETTTGDESKGLLGDSRFAKLFEDEEFAVDE TSREFQMLNPSTTVEKPERKERGLTAVEQEEADEIPGSSSDDDDNDSDDAGSEQGQKP SNRPAKANQPKMGEEISYKRSRKPYTKMQVSSSSSSANKSRGGGRDRSFETLAHKLGS NNTHRVNKDRATSRQGAVGEKEITFAPTSSKSRSQQQSRSDYSGTDNGYRNKARRSAS GNTFRRI TSTA_067970 MDFPGDATTNFIAINGFSVIHWRIYTEEATTNNPSSEIAPSSGY NILRHLSRLKDLEIQLRNLDCLVSCYPRRLGLWVFSPTPGFESLSPLTRDAAPPTLKE SKLSIGASSLKVSAFGSISAADLIKSLSGDGVTAAAGTPGQPGRTPGSAVNVPRSGDA YANSMAIYASFITAIAGAISLQLIRRHNAIPLGSRTFYTAVERSFYENPTISDDDVDW IRTLTTLRIELTQVGKIVLALHTIHQDGISRLREPNRTDITPSNIQPNMDVWLAPNGT VARLINVNTAQSAVFAPKQAKSEGVSRQITEAKQRVWKETVLEWLSNLGLPVDHPKDE HWVEVEVSEPFYARLAAEHLRQMDDSQSSSPLKRILWPSRYCFWRTKMASSEKVCELN DGEEPLHFAETWLETATSRHEKPNDNSNVVAISQNGGTSTTKFEVPEKVESLARVIHY PDLQNASSVYPTPPDGALVPGMNQISADTLEPDCQDIGLSQTPGETPLKQQENVRKSS GDNEHMQVGTGLYDTNDDELFSGMDDEDFGSKGITDADFSFFDDDDDEMNDFMADKQE TEHPKQGTLQPRSEEPDEVPVSQAEVQKDHVPTEDETFEHNETQKAKDAEPSALLEVD IKQPDITYQNTALQEAPSIPLEHTISAPLSPIDIKQMLFSNKDTKRIDGRRDSASSYP VLERKQSRYNAIPFKRGLTLDQKYANAGRFFFTANKDKSEDVNTTPGIPTIGFPRWRR SGPRDSIDEINISRQQGLEQPMQRTDSASSDESSLDSSDNESEDEASLFRLTSLKRKR PLSEAEKSTTSSMEKLSITSEVEAVVSKEDSSIFLGNFFSVLTDWSLVGYFSAKQSPI SPVTSRKDDQMQVAQLMVDQITQSSLSHNIDGWEAVPDLDCQTIGLHTFLDEATSLGE NERLGLKSYATLHDSLQSTAETPSARPNPQRREVKGSITKLAPSHLRIHRGRDFLEVL STSMPFWETFGLEPASGQKDVSAYCICPQFVKEEADAFMTRLGQIYSGCNLGKHVRGD MSQTFENGIGVWNIAKGDGSYARTMQTLRGLCEELGTALSKAPSTGENFVIYIINPFS HGAAFVDICSTFLRLFQRYIGDVDKAHDKSRLNELVLQIVPLPFIYSPTSLVIPPQSE YLNLALEVYTRCPPKDANSGIIGYAPPVVLADAVPKAINFRVTSEPVSPFQEGRCLHV AISRSVDQRWISVAWSDNSGSCQITMSYCMRARGSNVNRSISEVRQEIWEATKDLMER TQTRWKVLLVRTEPVDQEEIDAWTGFAERYNQAKALPVELTLIYANIAPGLRLELPTQ FQFNGINPLTSTPVATPYGGISSPDQLGAATPASGGQGPTNMNYNTAMTPTDTPPVLT EADSDAVLIDACEESWAVILSHRLSNSAYLTDYKPALVSGYLLRRKGINDSQGVTAMA LNLVHTSRPPALHEAVLREVLASYRDLATLARAKGTLHVQHNTLPWHIATAVKGQELL SFVL TSTA_067980 MSLTTHQVDHTILETYPPDSLPPDQIHSLLTQIKDYQSTHGSLL KVIDSEIPHSVHLQPVSVSVFPTVFPRRVFHEAIDELQLAYNELYGKIAEDKEWLYAV TGPLRKTEPLVDALWCVYEEVQRQRRKVQYIEQNVHAGLFRSDYMLHVLSDSDNDDDE DWIQSLCLKQVEFNSYSCAGGCHADKVADMHRFLALRGVYNCPSIDEKQDMRILPSSL PLNNTIHGIASLLVLAHKRYGSTPYNSAASHTAVLMVVQPNNFNIADERPIEYALWNW ADDNPIPTYRIEWQDVLHRTQLTETGELLFFPPESGGRTPVEISVIYHRAGYEPQEYS DEIKGKDIRIRLELSRAIKCPSILGHITTIKKVQQALTVPGTLGRWLTSDKADRIRGT FVEIYSLNEFDFSEKIHDEKLTENYILKPASLEGGGHNIYGSDIPSILQTLLENEKSR AYILMQKIRSPQNIYGMLMSSSRGVVVDEVVSELGVFGGCIWEKNESSSGLDMIENRV VGWSFKSKERSLDEMSVVKGFGCFDTPLLVD TSTA_067990 MPFLTTTSSDRGYSPVAKVRDLEADESFVLTEYETHARKCTRCI DALDAFREGRLLCERGLGYARDVANYIFTKSGKAYSAVDNEHDEEVLVRIPREYKASR RLLLAIEEGLRLRRDQPIVSYDATYPVGARRPAENAGAGGDDDETVTEIIERAPRTRR RVIIYRRTSPGRTSSSRGSLYEADRVDRQERRQYIRVSRFKSTKTGCNTIGIDLAPTK PLSRDNRKTGILSEDE TSTA_068000 MAKKAKSRTITVRLISMAMTGFYRTMIRPRTHRPLSMLKYDPVV RKKVLFLEATKGGKAK TSTA_068010 MGNSQGKPVAFTDEVNLNHFRLLRVVGKGAFGKVRIVERKDSGL TFALKYIRKEEVVRSESVRNIIRERRMLEHLNHPFLCNLRYSFQDIEYIYIVVDLMNG GDLRFHISRKCFTEEAVRFWMAELGCALRYIHSQGIVHRDLKPDNVLLDSQGHVHLAD FNVASDYKPGKPLTSKSGTLAYLAPEVYEGGGYYFEVDWWSLGVTFYECIYNKRPFEG RSQEALSEAIIKAQPKYYATNPPVSIPCLRAMAALMEKDRSKRIGATGFETFTSHIFF ADIDFEALERKEIDPIFTPSSEKTNFDATYDLEELLLEEAPLEARARRQKPRAELRDD ATAKEIREDELHRIIETMFEPFDYTQVNYDGNAAAALAASCNPEDQLPSTGTNTGSGS VHSRQMSQSNSLSGTSPPQQGDKSLVNQAANSVAPTSVPEEERLSTSSSRTNRQPVPQ PPTSFSRPLPPLPPNLRQKGATRKMSKGGGVQMVLEESGSWSELADQSATLPAEGYEG LLSKGQKPPNSGMLSFLSRKKGRERSPKPTEPGVLGKEGARHIIAG TSTA_068020 MPSHSRTRDGNYHRDRAERDYYSRHRRYNKDDRLRGEEVEVEVD DPRNEYDRRRYERSIPRRGQSRGYETVEVEEEEDEEEEEEEEEEEEEIVDNSRSRHRS VPRRVPEYDDPPRTPRRSRPEMQDRYRDEYNSRQRTRDKTPKAKESPATSPKKKLDRE GYRRNTGRAPQGSPVRERRHRHRYEEDIRVVGDQDRTRDKHRDRELRRQQRCKERRDR EDAAQKHTSTESTNSASQLLSADALAKLNDYYERERMRTPKQQNDDENNERRERRRQR TRDVAAVEDEDDRIRVEKRRERRRPPSQQPEQRADYRREKKAFYDDDNDTELVSPRHN QKRRLVSGALMEEGRSNKLSFWKRFGRRNDLADEKVVDDDGDDGHGGGGGSFYSRDLK EPRDDDYDHVPFWRRKRNLIIAGVVVLLLAIIIPVAVVESRKKSITSSSGGSGGSSSY GPLNGSLSSISEDSIPASAKGTILDPFTWYDTRDFNLTYTNETVGGLPLMGLNSTWDD SAQPNSNVPPLNKEFSYGTMPIRGVNIGGWLSIEPFITPSFFSRYPVTDGVIDEYTLT QKLGSAAAATIEQHYATFIQEEDFAEIAAAGLDHVRIPYSYWAVTTYEGDPYVKQISW RYLLRAIEYCRKYGLRVNLDLHGLPGSQNGFNHSGRQGLIRWLNGTDGALNAQRSLDV HNQLSQFFAQPRYKNIITIYGLANEPPLLSIDISTVLNWTVQATEIVQKNGIKAKISM GDGFLNLDKWQFMMKDNVPPNLLLDTHQYTIFNINEIDLNHTAKIDLICNSWLPMIRK VNSTTNGFGQTICGEFSQADTDCTQYLNNVNTGTRWEGTLSGSTTAYCPTAKGTTSTN RGSTCSCASANADVSGFSSEYKLWLQTYAEAQFSAFESAMGWFYWTWQTESAPQWSYK QARQAGFMPELAYKPNFTCGSAVPSFGALPEYY TSTA_068030 MASTSPQGSPTGEETTTGRPEHTSTASHDTASQDSAQSDAGQSL PASSKARFPRLSFDTPDRVFPIRSVISVDPTASNPPTSQSPPPQWPPFRPLSPIREGN RAYSGVNQRDTQDAASRAEDSTDQKGSSDVADENKETAKAARHSVIENISAQFSSDGQ SSTSSTDGGVPVGRDDPPRSRPLENGEPELVTSRFQHIVTENGHAIITGREGEDFQFC EDEPIHIPGAIQSFGVLLALEEEKEGKLVVRVVSENSADLLGYTPKQLFALESFTDIL TEEQEDNLLDHVDFIRDESCDPRIHGPEVFIVSIANPAGETKKFWCAMHVNDANDKLI ICEFEFEDDQAHPLNVSGQTTPDEPSDTLGIDPTPEELAASTVNISRPLRVLRNARRR KGEAAAMKVFNILTQIQEQLAAAPTLDVLLNTATGLAKELTGFHRVMIYQFDSSWNGM VVAELVDPRATKDLYKGLHFPASDIPKQARELYKINKVRLLYDRDLTTSRLVCRTVKD LEMPLDMTHAYLRAMSPVHLRYLAHMDVRASMSISINAFNELWGLISCHSYGATGMRV SFPIRKMCRLIGDTVSRNIERLSYTSRLQARKLINTVPTEANPSGYIIASSDDLLKLF DADYGALSIRDETKLLGRITHTPEVLALLEYLKMRQLNSVLASHDVGKDFPDLRYPPG FKHVSGLLYVPLSAGGKDFIVFFRRGQMTEIKWAGNPYEKKLRDGTTGYLEPRKSFQT WRETVLSRSREWSETDIETAAVLCLVYGKFIEVWRQKEAAMQSTQLTKLLLANSAHEV RTPLNAIVNYLEIALEGSLDSETRENLARSHSASKSLIYVINDLLDLTNTEKGQNLIK DEVFDLYATFKEATEMFQGEAKRKNIVYNFTLYSGLPKSVLGDQRRVRQVIVNIIANA IQHTESGHVNVEIWRSPVQPESHGTVDVEFAVNDTGSGMSPATVDALFRDLEQVSSEE ENYYYEQDEDKEQAKETQDGGEKRVLGLGLALVARIVRNMHGQLSVKSEEGKGSRFKI LLRFPVPTEQPVATTVPQITGPEPVASPSTPPLTEGEVLLVDSNARPKSQRRLSAESS RSGGSFGSSRSGRSEADRLISAIQEPALVNRSATPDESRKSNVSSKSLGSATQQSISS TSSAKSGTPGPIALPGPPDFRHMMPLGSPPAPGQEPITDSGMPMSALRVGETEVKRPP SPLELARRRSASIATTVLTSPGVASEENPFDALHVLVAEDDPVNSKIVQKRLQRAGHS VHLTGNGEECAIAYRANPQAFDAILMDIQMPIMDGMDSTEMIRKFEQSSHDVQVSDKA KPLGRVPIFAVSASLVEKDVQKYIDTGFDGYIMKPIDFKRVNAILSGLQAYSERKALT YLPGNWEHGGWFDRSKIAEVKTEAS TSTA_068040 MNALYGMFLLLIAPVLVQAQNKAQQSSNNASDSSDWGGESNSFI GKKAKIIIIVLSVVAGTVVVLSVASSIMYVIAKRRQWAVRETIRRSARRMADAIKSPL TPRFPKSASENSDRGRTPLYTRRQERAKQDRSSRGMTRISEEESSKLPYVLKGDDDDV SGLIGNRDSERYVKTTKPIWRHSHSESNGSNATKNNFNADSYFFDFGLKPAGRNSSDK KAAQKLKHKRPHFDLERGIELGATDHMKVTVSLSPKHNTEPTDSSKRSWGSFFAFGRR TSTA_068050 MVNEVTPAKGPLSSRKPDEQIQEAVSTPEFGKPTPLRSTQTTSQ IPFNIPKNNRPRVEHHRPPTHQVHYIPSETGGSRITQQSTSKQPFNPFKPVVPSTYTS QGSVPVSRTYDDVVGIKRPSQFTWNTKPAAPPIFSSNPSGPTKPSAASKTLQNFIDLT KAGDANRFGQPSYQREFSSADTYSYIDSAKAQENIKALLEGAFEDEEDKPRTRARKKK VEADINDLAAKLQQVSVGSDEKQTKEAGPEDDEEEEEEEVDDGTREGLKVKLLPHQIE GVEWMCEKENGQKKTRGVLPKGGILADDMGLGKTIQSIALILTNPRPSRQKTKDGEKN DTKKQLPEGVDKCTLIVAPLALIKQWESEIADKIEKTHKLRVCVYHGAGRTKFSADLK DYDVVITTYGTLSSEHGASGKGNVGCFNIHWYRVILDEAHTIKNRNAKATQAACALNA QYRWCLTGTPLQNNLDELQSLIKFLRIKPFDELATWREQITQPLNNGRGGLAIKRLQI FLKAFMKRRTKDVLKLNNNLKPGGGNAEGGSNQSSTGFQIVKREVVEVEAEFTPAEVE FYNRLEQRTDRRLSQMMGGSKLDYASALVMLLRLRQACNHPDLVKSDLAEDKDILLNN VDKNKSAKKDDDLDKVADLFGGLSVVSRKCDICQVELTAGESETGASRCSECADLDIE LKSDKKKKSKKPKSKKHKESKPASQEPDTRKVRARRNLPDIEDSSEDEDDGEWIVPKG QRPSTNLGTAGGSDDEDAEGGGEWIGSDDSHTEDEDEVISISDSDEEEEYEEEDDDEY DGPDIVPSTKVRALIRILRKEAPEYKFIVFSVFTQMLDKIEPFLKRAKLGYARYDGSM RNDLREASLEKLRNHSNTRILLCSLRAGALGLNLTAASRVVILEPFWNPFVEEQAIDR VHRLNQTIDVKIYKITIKNTVEERILDLQERKRELANATIEGKAAAGKLTMQDMMALF GREAEARLDHERGAPDLTSKTRLLVSKNEDDSISTGTGSSWSLPSSQSSSKDVRRKQD RQTGRQDDTVYGRRW TSTA_068060 MQRHILDHPVYQKYLQAQKQSESPPDYAAMPPIPAADLQSFSEH LQRSTRILALLGAGLSASSGLPTFRGAGGMWRTFDAMLLATPGAFNDNPGLVWQFYSH RRHMALNAKPNRAHYALAKLAQKNKHFITLSQNVDGLSHRADHPPEQLHLLHGSLFDL RCTGFYCNYSTKNDFTDPLTPALAIPTQGVHPDPSKDDKTGEEATKVLYEAMKASHKE ATPTELDISDPLVPLPSLQAEDLPKCPECGGLLRPGVVWFGEGLPSHTLREIDDWIAE SPQIDLMLVIGTSSKVWPAAGYVDYAREKGARVAVVNMDRNDAPGGANGLEPGDWFFE GDASEIVPEILKSVIGEE TSTA_068070 MGRTLTYPKKDINTVKRYAHRATYDVAAIHSIINLSQVLHVSFS PGPEDPFPATIPMIGQMGSFEYPSADLGEPLDCYVHGYVSARMANLARKSEEGLPVCI SASKVDGLVLSLTPYNHSYNYRSAILHGYAKLVTDEEEKMWALKLITDSVVPNRWDNS RTPPDKGELASTSILKVKIVAGSGKIRDGCASDEKKDYQNDELTSRVWTGVVPVYEVL GQPVPNPDNKVAQVPDYIQSYVDGYTKKSKEYAENAVKVALPKEEQH TSTA_068080 MSIRTPILHQLRRRISGINRCSAPFHPLLSNRVVAPTRSSLPTR SYASVSAAELQFGQPLHETHPHLLEPGELTPGITALEYAHRRSRLANRLPRNAVAIVA AADVKYRAPGVFYEFRQDSDFFYLTGFNEPGALAIIINDGSGDNHLFHLYVREKDPKI ELWEGARSGTQAAIDVFNADETGNIERIKELILPILSGAAEIYTDIKALSPAGSSITR YLYGNEAESDLQKIIQARKVKPLRPVLNELRVFKSESEVVNLRQVGQASGRAFTDSMR QEFDTEKELSSYLQYQFQLNGCSGSAFVPVVAGGRNALSIHYTRNDDVLRDGQLVLVD GGGEYGGYIADVKRTWPVNGKFTGPQRDLYTAVLNVHRTCVALCRENANLSLDRLHLI AEKGLKDQLGQLGFDVSSDAIRTLFPHHLGHYIGLDVHDCAGYPRSVNLRAGQCIAIE PGIYVPNNERWPQHFRGMGIGIEDSVCVGDENPIVMSMEAVKEIDDIEALRS TSTA_068080 MSIRTPILHQLRRRISGINRCSAPFHPLLSNRVVAPTRSSLPTR SYASVSAAELQFGQPLHETHPHLLEPGELTPGITALEYAHRRSRLANRLPRNAVAIVA AADVKYRAPGVFYEFRQDSDFFYLTGFNEPGALAIIINDGSGDNHLFHLYVREKDPKI ELWEGARSGTQAAIDVFNADETGNIERIKELILPILSGAAEIYTDIKALSPAGSSITR YLYGNEAESDLQKIIQARKVKPLRPVLNELRVFKSESEVVNLRQVGQASGRAFTDSMR QEFDTEKELSSYLQYQFQLNGCSGSAFVPVVAGGRNALSIHYTRNDDVLRDGQLVLVD GGGEYGGYIADVKRTWPVNGKFTGPQRDLYTAVLNVHRTCVALCRENANLSLDRLHLI AEKGLKDQLGQLGFDVSSDAIRTLFPHHLGHYIGLDVHDCAGYPRSVNLRAGQCIAIE PYVHL TSTA_068090 MAAIFVPPSPQTSFAMSSRRPPLANVPNAANSPHRSSNTAALKR ARTGSEMGSRIDLLFGQPPAKKQFVEREDHATGSPSKPRSMAAPQMSAESRMFTRRGN ASLTPFEKKLMAVREQKDGASQATRNSRYERASAEKLDNIRQWQRHYRKAFPSFVFYF DSLPADVRNRSLREVLALGAKEERFFSRTVTHVVTSRPIPDVDIPTPTETQNEQTSIE GPIQTVNPVLLERNDNRRDHGNNTDVLYRARQMGMKIWAIEKLQRMLAAIHEPDTSAA YTGGRAGSLSVKGRGETELSQVLRNERLHGPADRDPTQLMKDLVYFRGPFIYVHDMDE KTRPVMVREYPRVAVKEQGLWPQFRSAQIGKCPFIQEEPTKKELARMKEEKRRKQQQR EQERTAAKEEVIKREDVPETQNQEQPVKQEADDLTAQVKLEEEDTAPLGPSDDLRPLS VRPAPPRVKSENHSVKPIGKIAAWPMLREPAASGVQPSNITSAIRSQMVSSTAAAPGA KAGLSKEVHELKRKVLEKSNGSMITSANPSSHRPMDAPTNMKLASGASAGAKRPREKM SNIHEEDTTQSEDNGNNNISQNTDCKGTLQKKVVKEKQRDPKPGYCENCRDKFDDFDE HTMTRKHRKFATTLSNWTELDALLSKLERPLKHAY TSTA_068100 MATSPSKKEFQIGWICALPVEAAAAIQMLDENFGILQEQERSDT NTYTLGRIGRHHVVIACLPDGQYGTTSATAVSNNMIRTFADSLRIGLMVGIGGGAPSA DHDIRLGDVVVSRPEGSYGGVIQHDMIKIKKDGEVQRVGSLNSPPKPLLNALAQIRAA ELYDDPQYPVYIQGAIEKNKRTRKTFSRPNIKSDRLFKAEHGHRGSATSCDQCPVEWE EDRIPREDGDPHTHYGTIASGNTLVKDGTIREALRKETGALCFEMEGAGLMVDFPCLV IRGICDYADSHKNKLWQGYAALTAAAFTKELLSYVPKGVSYESLVADICPLLEDIKEE QRKAFNQQEYHHQQKMARILTEDQRRCHQAFKTSTYEKFKNINPSRVEGTCEWVLNSP EYLRWWNATSNDLLWISADPGCGKSVLAKSLIDGVFPASDSNISIMYFFFKDNDEQNN LATALCAVLHQLFSLQPQLL TSTA_068110 MWRIFMATISDGTFRNTICVFDALDECRDRDKKLLIEKLRGFHV RRLATQRNWLKFLVTSRPYDDIQDLFRPVIDLFPQIHLRGEEENDQIRREINLVVKVK RRLEKELTEMKHHTYLWLYLAIDDIKRTLKDSFRPRLEKIPPLPKSVPEAYERILCRV TADQKPKVEMILRIIVGARRPLTIQEMAMALGVATFPNAETAAEAGLCPDGLDKKIRQ LCGLFIFIRDSKVYLIHQTAREFLISQHVRSASFHWYLEPHTTEVQMTNICVRYLLMN DLVSNREKLVRSLLEYSAENWADHSRNVLSLEKELVQQVWKLYNVAIDQFHLWFPIFW KEAMRYSKDPGMNAMHLAAFNGHQEILSLITVNEQGAINRVDRSGTNALQWACLRGHF DIVQRLLEKGANVNAKGGKYGNALYAAAEGGHIHIVQTLLEKGANVNAQDKINGSALQ AAAYEGHLEIVERLLEKGADVSAKGGRYGNALQAAAYRGHLEIIERLLEKGADVNAEG GEYGNALQAAAYGGHLEIFQRLLEKEADANAEGGFYGTAIQAAANGGHLEIFERLLEK GADANAKGGRYGNALQAAAYGGYLEIVDRLLEKGADVNAKGGEYGNALQAAAYGGHLE IVDRLLEKRADVNAKGARYGNALFAATCGRHLDIVKRLRERKPNFNPQREEFGNSR TSTA_068120 MHTERSIRSRKRPLSQQLSADASPNQTRKKRKVKHPSGSQLPAA FWDNLSEIWLTHNALQELDRRNKQAPANVSPKHNPRTFQEGATWYRNGRDWAKEQRDE AIRRANEKATQNIIRSSAVNTSFSTVCEVSSTESITEQSYFSFSGTNTIETHSLQSTR SLSPKPSQEE TSTA_068130 MESGVSAALSLGAEAIKKLNGLLEDKQTAKAWYGDVYDLFTSNQ WRFWNGRRINRVFVIKKEDWVPVIRKWLPHTNGECSWLHIYWAIHESRLKHNKFNKLR LYSGLLEIPYLESAMSSLSSIGSISSLPAANLDSWGLIVLALANGADVDYEDSGKGGF VASLEARNFIITIQRDNVATQMTGHLEPRDHPEPGCRVISEQKWTNLLWYGHTFEEDD PTMSWPRINEQGGPPEDLVDGKLDAALHNTVMDDARRKVLADKLRGCIRECHNAWEEV EKEIGLFDYGDAAEIDMIKENLRKKKVILCDDRKTDFALEQTPQDTCDYAHSQLDQAE KYKGKFRRGDLVKMHKEVYDTPQKYKYQITGHKHRLGIALQTDQTVKDM TSTA_068140 MYNLSQGIGCRLLGGDMAGLLISFRIHRTDINLSKLYTGSISIE YATTTVAYDHRVWKTGLPVRSAVLKPHAGWLVIWWVTTSESQLLPGGGRPGLKGQNLQ NLNLAFTNVKTRAKMTPKLYKEEDELIAKALSAPPTNQQIEESANYLLGKDFSGPGEP PRAGKNWVHDFIKRLPKQYVRIVQKPQEKERTVAEHYGEVERWFIDLELAIQQYKIRP QNLWNFDETGFIVGQGKDEAVVTAYPKTSKRVSSLSSRESITVVEGINAEGKIIPPLL IPKGKVHLEEWYRHIKDDDWLVAPASNGFITDEIAFEWLQHFDHFSRPGAFPDWRLIL IDNHTTHLTIQFVQYCEIWHIRPFRFPPHSTHFLQPLDGVPFQQYKHVHGRVVNKIAR LGGFDFDKNDFFEELRDIRIRTFTTRTIRHGWRERGIWPLNPRLILDTMLQPEEAFEA FVAEGDALKIYGEADDTIPSSPTTKSISPPSTAVKLRRYVNKIEKSIDGIKDILTNLT LAELGDLHRESFAKVRDTATRKNQKTTKRQVKASGVPYVKDANRLIKRRHDGDLLKIY KSHAVGVPQPMEEAASTEPQNSGFFFDTQGNR TSTA_068150 MRRELANPAVLPENVYNMDKIGVLLSIPNSLKVLVSNLPLPVSA EAKTFPTGTALLAARTEPAVFLRHTRTSHSKNSKCTITLDGKEPISNKKIKDKITIER EFVPRRYEYKIVNSTLVPDVNELGEYIFVIRLRSRNKRHLERDSERHQKSELRC TSTA_068160 MTVSNLGGLYTDQGKLKEAETMYQRALTGYEKALGPGHSSTLVI VNNLGNLYRDQGKPKEAETMYQRALAGFEKACDPNDYQTKKVAEALKRLIRSVTVNHN WKKQYFILRALLRPPLHRALGIFTWYGS TSTA_068170 MATRTRRSHDDYTVGWICALLLKMAAAKAMLDEIYDDLPVQTTN YNAYILRRIKEHNIVIACLLSGNYRLVLVNTVAIQLLFTFHSIQFGLMVVMWWLADQQ TYMVEWCNITMARQ TSTA_068180 MENIYKHYQSFAFSLFGSTAVLKGDKNGSKSTDIPSFTDSDWQR LSQIHRILTKFNELTLFVSKRRPQISLAIPVYYGLYDLLSEGSELQGSFKELDPDIAS ALKEGLKKYMKYYTFMDESEIYYTVLILDPRVKGDLILKELEDKEAGNLILQATHDSL HQKYLPTRSELPTPCRYFADIV TSTA_068190 MTPSPVLRRFGSSLRTIHHPSRKVLSVTVYRPVISASASASASA SPTTTTTTTTTTPQTRWSSHAPMGPASSQQTRKPVTISTLRNMYKKNEPIAMLTAHDF PSAFVADSAGMDMVLVGDSLAMVALGMNDTNAVTLDDMVLHCRSVTRAVRSAFVVGDL PMGSYEVSPQQAVQSSIRLVKEGHVQAVKLEGGVDFASTIKAVTTAGIPVVAHIGLTP QRQNALGGFRAQGKSVAGALKLLDDAYAVQEAGAFMVVLEAIPAEIAAIITKKLRIPT IGIGAGDGCSGQVLVQIDMTGNFEPGRHLPKFVKKYANVWEETKRGIEQYRTEVKNRQ YPAKEHTYAIDEEHVAEFQRLVDEQSPKKRQE TSTA_068200 MFELPEAKRIRRDEIVSPSSSTRSSPDIIPAENEEAHARLGQLL AFDIFTTININQVQQPSNLKDNHTNQKDGDDEEEFDFRLFSAPNTATKIPGAKKEEEM KTQKLKIRLRSPTPVDAQPGEGRFIVPFRGWSYYVTKPEMMNLSARDEQEKIQIEEED SMRRRQFEDVAVTGDDVVRWARSMETPGCHLPWRVVRLDRRFHRVPKSLLRGDNEMKT ITTTEKKKSKPGKKRRIILRTRAAAVTAAAATSNMTEAEKRNKKNRERKIKRRQKERE RKAALAATTTTATDVVGATADGDSSV TSTA_068210 MGACQSCLGLGRREAHESEHARLIDDDLYPGGYGYGSINNANQQ QSDPEDMKREREALEAICQRASDTVIDIWALQSQPNFQPRATLPSIDSQGSSRVASNE IRTPTPDGSNTDINNDDEEIRQEATTIGTIRSTTSIKPATASSSNINNNQHQQQQQKH LSTAPNNWGEVVISPRRKKRNNKLGSVNGAAGGGDIFDVLQV TSTA_068220 MSDYEVTLVNDNKFYVHFKGPEETPFQGGHWKIHVELPDQYPYK SPSIGFVNRIFHPNIDELSGSVCLDVINQTWSPMYDMINIFEVFLPQLLRYPNPSDPL NGEAAAMLMRDPKNYENKVRDYVAKYANKDAAEEGGEDTESEDELSSVGSYESGGEEP AGTMDDV TSTA_068230 MDSPSPSDSSRRDSPQPALSSPALAQRPKPTGRSDSSAAGNTAI PDEDHGVDIPLTMSASVVLTGLPHDTHRALADAEAIDVGKVTVRFQPLPSAPILRKTV FKISASQKFETVVNFLRKKLDCKDTDTVFCYINSVFAPSLDEGIGGLHRCFKNETDDQ LWVQYSMTPFFG TSTA_068240 MDQFASPNGQAPLGNGGSPSPRHIIRLGRMTKIGLPIIAVLGIG YGITALRNAQQHIQRESMLEEERLRRNAQLMDAYGDKTSLDDMQRAFEQYRKN TSTA_068240 MDQFASPNGQAPLGNGGSPSPRHIIRLGRMTKIGLPIIAVLGIG YGITALRNAQQHIQRESMLEEERLRRNAQLMDAYGDKTSLDDMQRAFEQYRKVSFFLF FFSFPAVVPLVGPRASSTRITDIRSLFLR TSTA_068250 MPYVNNVPHLGNIIGLVLSADGTDDYGTTTEAKALMEICTPREL CDKYHALHAEKRQGHNFIEKSTSPSWQIALLKGNVLYVVIPTLVVINVMVVARFSEPY N TSTA_068260 MSADEDSEFTGQTRDGLTRLPPVATEETPLLQNEYPADQQLQRE DTDGTPIAKEPTTLELILVLGSIWVGVFLAALDATVVATLSGPISSSFNSLSLLSWIA ISYLISNAAFQPLSGRLTDIFSRRAGLVFSNIFFGIGNLICGLATEQWMIVTGRVVAG IGGGGLTAISTFVTSDLVPLRRRGVWQGIGNICYGTGMGLGGIFGGVVNDSLGWRWAF LIQVPFVVLSTVLICFTVKVPVKETDRSRIKRVDFLGAFTLIVFLVLLLLGLNAGGNQ VPWNHPLVLTSLPLSVVFLAIFIYIEDKIASEPVIPVRLLLNRTVGFACLTNWFSTMA VFGLLYYVPIYFQVQGYSVTAAGVRLVPEAVGTALGSLGSGMIMRVTGRYMLLNYVVM ALLVICAGLFCTFELNSPSWLPILGLFGLGLGYGAMLTITLVALISAVDHHYHSVVTS ASYAFRSTGSTIGITIASAAFQNVLKKGLWARFGDREDAGELIPRLRDSLDEIWKVPA DWRQGVLDAYMESLRAIFITLLGVTVLAALSTTWLVDERLKMKDTMSLTNNSGYSGMA ISLKAEDVAGPKESKGLETSHLQIHEITGDINRKDGSSTIETSTRRPLERTQRRKRVL LSTESAFASNFLSPLIRSPDIELRLITDEESSAISTGATKVPHYMDSVDSHTFDNRTG SRRWLRAKAAELCEWADLLVVAPIDAGTLGSMLYGLTHTLTLSLLRGWDMNKPVFLVP GMTISEWTNPLTERQLQELHYNWPWIVRIPPLLWSHSGPEELSQLPWDGSRVFEDSLI KTLDLSNVLAGRDASQLEREPLEENLETTKQSSMAIAKLAQQAAKHREESQSGRPKSL PLELWLNVFVDHLGDWEIAKAVGIPTNLPVPREWQPHILKMSTPPSLEYTILRGSFTA ITSKIKELTPYTPLSNLACHLIFKFSRTDILTYLLEQHPSLYNTTTRFNNLPYLASAI YGNPTILTWWRDSPVSSTQEHGPDAMDGASRSGHINVLDWWLSSGLPLRYSERALESA SAEGRINVLEWWKNASLTAPSSRPVPLKVGKSVLLAAQSGKTQSLAWWDASGIPYTHS ESVARIASTHGHIHVLELWYRLKGAKMIFDNQVLVGATRSGHDNVLEWWRKSGLRVEF KTCDIEEALEDADPTTEAAQRVRQWWARNGLNLGVGTSEWMKVKVLT TSTA_068270 MDNLIGSAFVFWLLNTLLLSEASPASSHYLKNQFNSATILFHND GDWRTHEQTPSAILVNEPATYDHASEICASYNESLLDCDKLSVFANDIAYQVWLGNID AFDLLWTSCSLTQPSDFHGVLSTAGNALEFPFLCTNTAPFVSQVDTDYSTFPTVKTPI IEGVVFEGLRDHMAYRFLGIPYAKPPVDDLRFQYAQPPEYSNNGTGIIDATAYKPACM QVGSFEGNAQGLNPWGNSEDCLYLEVFTPVLPSGDSPPSKGLKPVMLWIHGGAMINGA GSDSTFDGASLVSRGDAVLVSINYRLNIFGLLTLDDGVVNGNYALSDKIAALQWVKKY IAAFGGDPDNVTIFGQSAGGASVMDLITSPKAKGLFKNAIPQSLGGIVVDQATIASKM IPYIQPECPSSLGAEARLECLRQLSAETLLSISTESWSWSTVIDGVYMVDQPVSLVAN AQVNHVNLLIGFMPDEAQSLLSTNISLNMTNLTTGLKVLINQGIINSSQISDISSSGL WDIPQDYTDPYNATVNIGTDGMIICHVLEFIDAATTSAPKSSNAFTSLWVYTHQRAYA LSFYSFYDLCTFPVNEPDTPYYRCHSGDLYEVFGTYYIFDQPVRVEEDIYYTNAIQDI WTSFAKTGNPNPDKEYLIIRGYESSLEMFEGFGFEEYDAKKGRGLVNLQWPRVWYSDL PDREHCQLLGINPDKMRFTPIKQQGKHWVYAAKQ TSTA_068280 MSLALLKILLLSLIASTGASFMDLYAGSIRKSNYNHQLVQGYDG ANQKSPDLVKLIHSRTAQHDSVFSNAIQLLESMKSSPSCSRIAAMKLLTSCQSLSSSE PSGGAEIADTLDRVKSIYAARLALCELSGAGASIPLSCSSIRIASDAAYDESGIDLVD IADDFSASVVEGCLRGLESRPQWWTSYSNSRQNAIVICQAARIEIEKEEMLNLHQSLA KNAENLNSALQTALRDAAAENAQQRIFMETVYKLRDRLITELQKDSIQASSLFASLLR NFEGVVSSSISKVLLLLKDVEEDSSALSQGMHISITDIEHLRSRINEIYNELERRNSE LKDLQGQDIKDMESNHELTLFMQSSLNNLQERVAGVDGALEWLVERFAAVHKQESLIL ERLQSFETHLEESEARASRLLETQAHHAKAIETQAQAQEALGANTKIVFALLEKLTVR AANLESILEETASKFKDFQEMDGLFGLNISLWTALSLLLTLFAVQNPKMAGIISVFAG FALVSRAISYITHFHLSTFMNRI TSTA_068300 MSCAASQRCLVQLLREPIRSKSVPAFLVPALSQPLRAQCFSTTS AARSRIGGAPVSIPPEVNLSLIDLPQIKARGKAKDAPKMAVEVNGPLGQMTLNIPPFV NINHDQTARKATISVQDAEVPHQRAMWGTTRAHLQNYVLGVSEGHICILSLVGVGYRA TIESTATTVTAEYPGQQFVSLKVGYSHPIELPIPKGVKASTPQPTRILLESIDKEVVT QFAAEIRAWRKPEPYKGKGIFVNGETIRLKNKKIK TSTA_068310 MTYDYERRIAELAVQRACLLTKKVFHEKAKGELAKDDKSPVTKG DFGAQALIIQAITKNFPNDEIVAEEESSELRQDAALRSEIWDLVKDIKLNDAASDEIL GGSLGNEEAMLAVIDQGNSLGGAKGRIWALDPIDGTKGFLRGVDGDVKVGVIGCPNLP IDDSESLTAGIGSQQSDEEGKGVLFSTVQGEGAVSRPLTSAGLAPSKSISMRPVPDVS QAVFCEGVEAAHSNQDDNAAVAKRLGITAPSVRLDSQAKYCSIARGAGDIYLRLPMKK EYQEKIWDHAAGDLLVREAGGQVTDIYGKRLDFTQGRTLKNNKGVVAAPAKLQDQVID AVQAVLNLK TSTA_068320 MFKSDKPYTAVSVHIESLTSEQYEIEDSSGIVDLIEVIRIQSTG PTEASRALRKKLKYGNLHRQLRALTILDFLIQNTGDRFLREFADEPLLERLRIAATDP VSDPEVRKKCQQLFGQWAVTYKDTPGMGGITALYRQLPKRKQPAQQAQAKVLRETAPS APEAPMGHSVSISVGEGPSTILTSPKTKKSKRSSTFGSSSSKSNKKSGVKPFNMEKEK PEILQTIASASVASTNLQNALKLVNRETRRVSEDEEVITQFERCKSLRRQILRYIQHI ESGDLLGSLIHANEELVTGLMAFEVLDKSLDYDSDSEDDQIYQRGIDESFSGITIGPP KPPRPARPMSIPGFPTDNKTSPFNFDDLESASESEEEEDENNPFGDRNEVKTPHVEKH QPTWREV TSTA_068330 MDSSRNYQKTVLDNLPCSLPTRDIPEDIDPCSISQVFSTRLAHL SDNEFTQDAIWRDMFSLSGTLRSFYSAKVILPTWRLLCQRRKTTAFKLRLETAFVNRK GADVAWIEVSFNFTIGLPLAASCSGYLCLVPNREGEWKIWVMGTILDQLPAEYGDIDQ LDPVESSGSDLHINDTARNNPVKVIGKSRPTHQQTLQQHFDCIIVGAGQSGLCTAGRL QALGVSYICIDSNNEVGDSWRLRYDSSKIHTTRESSHLPFETTFTSDYPEWLTKDDLA DAFKIWGDRYGITSHIWLSTTLESGSWDESTKRYTLKLRKRQPGSGQSDLVTVTSSHV VMAIGINSQVPKFPDYANKSEFQGTVMHSRDYKNSLNWKGKHGVVVGTANTGHDIAED MVKAGLASVTIVQRSKTYVIPQTEYQKWAKTQYNLETDMKSSDIKCFAYPNVLSRKLG LLRNRSLYATRPNLYFELKRAGFLLEQDDDLTRHLCERLGGHYMDIGASKKIADGLIK IKAGSPPVSYTPTGLLFADGTHLKADVLVFATGFLGNMRDIVRRIFVDEVADRAGDCW GVDDEGEIKGVFKPTGQPGLWYIGGAISHARFFSRFIAMQIKAALEGKSFPVFDANEQ EVSSRL TSTA_068340 MADQDEFAQTRGADDLFDDEIIPISGDQEIQQVTETATEQEHQQ QLTDVATQQAAPTPRRNRGHGRGAAHADGGRGRGRGRGRGGRGSSDTGPRKDAAVKEA TTETVETTKDTESTEKRDDTAEAKKEEEAESKTATKTENARVQAVRGDRSGTGGIKKP KLTEEELAERMAAAKLKAAKKAAAYARAEADEASFQQREKVAAEKRRQELANRKVMDS ERERNRMRKLGAQTGREWDAEKKEEDYNGRRGGGSQYRRGMHGAVSGFARRTDQLSNG LEMFDDFPEFRGRGGRGRGGRGRGRGGAGRGGRGDSQNAHDRPAPRTAPGINNETEFP SLPGKQPSKEQPAQTENTSTTDKLSIPAVEKVASPGSPLSPAEGTWAEQVESSEAL TSTA_068350 MGAHEDRNSKTSVQGGASAVPTPANNVNTGSSGTGLSDASQSGG KGAAEGGSSYQGPGHTSIPNPAASIGGFLGLVDQKGAAEAHMKQRVAESGGFIDKDLK NSGIKETCQTEDHSFMETKPGRPDALPGSSLLKGAVGRLTK TSTA_068360 MKSPFLSLAALTAFLVTCLAGTDYHERLTLQPLPTSSLLASFNF RSNSSISGFENQHFRYFPRSLGQILQHTRTKELHVRFTTGRWDDESWGARPSDGYKEG ATGVELWAWIDSESQEEAFAHWISLTQSLSGLFCASMNFIDSTRTTSPVVSFEPLGDH PTSDQLYLLHGTLPGEVVCTENLTPFLKMLPCKGKAGISSLFDGHKLFDASWQSMSID IRPICPAEGGECLIEIEQGVDMVLDIDRSKRPRDNPIPRPVPTEELVCDPTKPYNSDD TCFPLEKKSEVGWTLSEIFGRTIKGHCPLTDEAGPGAQTVCLKVPDSRTVLTTPGATE IKDGSGISRCFVLSSTTDFDLVLPEEEAKAKVPLQQGVLHAERTIIGHGQERGGLRVI FRNPSTVKSVDFIYFESLPWFMRPYLHTLQATVVGNDGISRQLPFSDIIKGIYYRPAI DREKGTQLELVLSVPADSTVTLIYDIEKAILRYTEYPPDANRGFNVAPAVIRILDQKS RSPVYLRTTGLLLSLPTPDFSMPYNVIILTSTVMALGFGSIFNLLVRRFVPADEPALR ALSLKARLASRLVTIRDRLSGKLSKVKEK TSTA_068370 MAAEQRKLLEQLMGADQLSSSLQVTITDPKVCRSYLVGTCPHDQ FTNTKQDLGPCPKIHSEGLKAEYEAAPASEKAKWGFEYDYMRDMQKYIDECDRRIDAA QRRLEKTPDEIRQTNNMLKQISELTKTINSGLLEVSVLGETGSVSLAMNELFKVRTAK HQKETCERDLRDLSDTSGPSGHQKLQVCDVCGAYLSRLDNDRRLADHFYGKMHMGYSD MRRTYKKLSEELKGRPPPARHDDGYGDEGGWSGRGGGGGRGYGRSGGGGGGYRKKGGG GYGGRW TSTA_068370 MAAEQRKLLEQLMGDQLSSSLQVTITDPKVCRSYLVGTCPHDQF TNTKQDLGPCPKIHSEGLKAEYEAAPASEKAKWGFEYDYMRDMQKYIDECDRRIDAAQ RRLEKTPDEIRQTNNMLKQISELTKTINSGLLEVSVLGETGSVSLAMNELFKVRTAKH QKETCERDLRDLSDTSGPSGHQKLQVCDVCGAYLSRLDNDRRLADHFYGKMHMGYSDM RRTYKKLSEELKGRPPPARHDDGYGDEGGWSGRGGGGGRGYGRSGGGGGGYRKKGGGG YGGRW TSTA_068380 MADEPRRSGRATKGQHKNLELVQDIPAKKGKKGQSKEKSAKPSV EPTPTPSEGNEEEIIRCICGEYEEEEDIERDMICCDQCSAWQHNDCMGLTFAKGEEPE EYYCEQCKPENHRELLEKMARGEKPWEEAARRRQQEIEEKKAAKRKKGKKGKKGGARP SDIKPETSAPSTPAASETPAAPSVSRPSVDDKTPAPAPAPSETTTNAKGVSTQKRKFD EHQEPFTPEAGPKQKQQRLSEPRKSVDKTAKASPSESQKPSIIELRTGVAEKPEDISS AARRRAADALVGIFKEQVTSAQKQAAYSVPPEKTEEDVARPLGLSVEHAMYVNLCGGS GEPNDAYKNQLRSIMFNVKANSSLRDRLLSGSLAPSTLATMSSQDMASEEQQQKDAEI KRAAEKQHIIMQEQGPRIRRTHKGEEVIEGDGETGTSESIFSSTAVRRPTLDAENAIP ESPTGSKVSQPSAEPTGKPSVETHVVSNGDANRSHSPGFPTQDGLFPEVPAHLHQPLP VGAKVQADEEIDKLLKDEDVESPPYSPKDLHAGSDIWFGKLSMSAIAEFRSVGRHIAG ADLSGKIPWSRLAPTSLVVDGRIDIELASDYLCGLRFSNSTDVTVMAISAPHQPNDRA GFDKLFNYFTERKRYGVVGKHPLAAVKDIYVIPVEAGSSGKPEFIQLLENNTLEDPTP DRVLLMAFVVKTKESTPSEQATPYHSGQAAQMAIASPLGTTPFTQGHQQQQQFASPTP GSRQGSQTTPSGNFAGSPPLNGNGHPVPQLPPQQIPNVPSSQIQPPQQKQIPTAFPSQ QPLLVGPAAAAHVLGPQANAPAIQELLQKAPSADVAQLNIVREIITRNPAAANDYPVL MNAIQQYTSTTRNGQPSGV TSTA_068390 MKAWHLLTGIIAMYARRPNAQLDSALIQRKLTDNPLIAIPTVGP SNLYYYEQGFKLRVPSMTDIRSMLRSELALRGSAAQTGSTGRVTKKRKIEGPDTIRPA HKKLRPTTPAYNDVSEMDDNQQIESANTNQGIPEEDEEDIAQSEEAGPHLPEDELSQQ RAVSPNQQNNTIKSSMAEVSASNQSIDENEWAAFEREVVAPTRTIPAAAVSSVATISA APVTAEELAAQQQKQKEEQAKAHEEDIEGEKEDAARHLEEEFEEMEQLEERVKRLKAK REELRARNMVDSNDTTIDDHENTLQDGEGSEDDDEGDDDWDDWRFR TSTA_068400 MLKSTYRPPPPLPPGWTEHKAPSGHLYYYNAATKQSTYKRPTAA PVSTAQPIDPTLAQTSYTPENLPPFSSTPYGPTAGTAQSDRFTPNNTYQQYRTGHDSS RGHGNRRHREPSDRPKSKHSIPGCAPWLLVKTRYGRRFVHNPETKESYWRFPEHVLKG VVEFDRLEREKKQEVQIHDDKSQESPETGKQDEGEKKIQSQEASVEAAPDEADSDEYE EVEVTDDEEGEEQANKRPRVKDEQADADRPLEFNEEDIDYQLAAMGEDYGLDPGEYGE PGEEGWEEGVEGLSLTDEEATALFSDLLDDYHINPFTTWEKVLEEGRIINDTRYTVLS NMKARREAFASWSRQRIQEIKARKEKEEKKDPRIKYIAFLQEYATPKLYWPEFKRKYR KEDEMKNTKMSDKDREKLYRDHIARLKLSESTRKSDLSALLKSIPPRDLNNSTNIEAL PPAVLTDLRYISLPPKIRDPLIEAYISTLPPAPEEETSALSAQEQREREQKRLERDRR ENALAERERRVEEEKRRLKGGLIHGKKLLEQEAAEIQEAMQIRGKDGLRSYFKTGEDE AMTNTDQ TSTA_068410 MSRRYDSRTTIFSPEGRLYQVEYALEAISHAGTAIGILAQDGIV LAAERKVTSKLLEQDTSAEKLYILNDNMICAVAGMTADANILINYARQAAQQYLLTYN EEIPCEQLVRRLCDLKQGYTQHGGLRPFGVSFIYAGYDQLRQFQLYQSNPSGNYGGWK ATSVGANNAAAQSLLKQDYKEECDLKEACALAVKVLSKTMDSTKLSSEKIEFATVGKT KDGKIYHHLWGADEINALLRQHGLAKEEETES TSTA_068420 MCFYNQKKFACGDWNWTSFAHQCNYEYRTGETCGMRLVNFTEYE SKQCRLCEKIETKFRRRSQELERLDRWRREGGSLVATMSRSQQLVSDLEKEIYQLQTE RDCKRKALS TSTA_068440 MHSALRQKALLNNAELVLKRALPNQNVTITYATTAASQLAPPSR RNIQTTSMLWTAQHEQNADRLISRESLNPSRAESTGTGTDDEVASHDASFDPHNTAPE SEIEATGRESEARKDTSNPLDVSPGNIEVNKTRDPSKDPPESGADRPASSRGWTRKSK PEVEDSQIA TSTA_068430 MANNAIGVALLGSTGLGSHILTNLLTHSSVARVDTISRRTPSAA ESSPNVKLTTVIETDTSSWPSKISQLSPPPTIFFSALGTTRSQAGGLENQSKLELDTN IECAKAARDAGAKVYVLISSNGANSASMVPYLKLKGQIEEAAKQLGFEHTVFVRPGII VGERGESRPAEAVMRFLATSVGKIHSSLKDGWAQDADAISKASLAAGLKSLAGEAPAE SEKVWIVDQAEIIRLAREE TSTA_068430 MANNAIGVALLGSTGLVGSHILTNLLTHSSVARVDTISRRTPSA AESSPNVKLTTVIETDTSSWPSKISQLSPPPTIFFSALGTTRSQAGGLENQSKLELDT NIECAKAARDAGAKVYVLISSNGANSASMVPYLKLKGQIEEAAKQLGFEHTVFVRPGI IVGERGESRPAEAVMRFLATSVGKIHSSLKDGWAQDADAISKASLAAGLKSLAGEAPA ESEKVWIVDQAEIIRLAREE TSTA_068450 MGGVPELKAISGPTYLAAQDLIQQVAYSFSDKIFSYSPESFDLD SAVKYWVSKNEKNVNEEVTGVQSLQTRQGAGSIALGYLFSRDFDLKKRHIPQGILASS ATLTYLRSALEQLSLLYAVANPVVAHVAAVDYANERLVSDYATALNLSEDLGLGLIAS SSVHESQHMALFSTLVASFMPTIHLYDGVRVGRDHTRVIDVMDQAGLQRVYQAVQQQT VNDIKAKHLDDQGKVLNVLHSLNGELGTDYGLFEYHGHSEPTSVLVVFGTVEASLTSQ IAGSLSKRDSRVGVVNVRVYRPFVEEEFLRVLPKTVKTVGVLGQVRDEQSVQDEGVHS ALYDDVLAALTFATDRNERPVCLDIKYDCTHQWTLINAAAAFQRVSDKPILQNANQAS PLQLFEQTSTQEFTLWDLDDGQSLDAVASLTQALAKDPAANVTTSTVYDNLVQGGVVR TDIRRSTKIVDAPYPVITADIAYVGDIKILNDVDVLASVKDHGKVILKAPGVTNEDLE KRLPVTFQQQIAKRGIVLSLLDSPESSSVQAAVLRVAQSSFDALKIQIPESLAQAEKD LETTLRPATVPESWHTAEVPSDAQELPKDITSTSFVPFDKTEVEPASLLKDWKTAAQG LAFKEAFGTQNSLRPDVNTKSFTVHVKENRRLTPVTYNRNIFHIEFDLGDSGLKYDIG EALGVHAENDPEDVMEFIKFYGLNPDEVVEVPNREDENILENRTVYQALVQNVDLFGR PPKRFYEALAEFATEDKEKKELLALGGPEGAVEFKRRAEVDTATYADILLEFRSAHPS FHDIVRIVSPMKRREYSIASCQKVTPTTVALMIVVVNWVDPRGRDRFGQATRYLSRLQ PGAPVTVSVKPSVMKLPPRSTQPIIMAGLGTGLAPFRAFVQHRAMEKAQGKEIGSVLL YMGSRHQREEYCYGEEWEAYQAAGVITLLGRAFSRDQPQKIYIQDRMRQTLPEIVQAY IREEGAFYLCGPTWPVPDVTEVLEEAIAIEAKASGKKVETRKEIEKLKDEERYVLEVY TSTA_068460 MGKASKDKRDAYYRLAKEQNWRARSAFKLIQIDEQFDLFAHADP DSVTRVVDLCAAPGSWSQVLSRVLIKGESFGRRAWLEKRRRDKKALEKVGKESENTQE GEQDDDDTEDESIILKPRKNVKIVAIDLQPMAPLEGITMMKADITHPSTIPLLLRALD PEYYEEGSEKRDTPQSNTTTSTKLPSRHPHPVDLVISDGAPDVTGLHDLDIYIQSQLL YAALNLTIGVLRPGGKFVAKIFRGRDVDLLYAQLRTVFEKVSVAKPRSSRASSLEAFV VCEGFMPPEDFDSTHALQNPMFGGAAVSKETNEDGTVGYDIPDDDEKPQQSRIAQYVP ATANGDALDQLTLAEQLSHPPPHESRWIPPFIACGDLSAWDADASYALPEGYVSLDPV QPPTAPPYKRALEMRKELGGTYGKTKLGATGRA TSTA_068470 MGMKRGRESRNLAKKASKKQRVEDTVDNAEGIVGIDDLNWQTVQ LPDRLGDIGGFFGLEEIDGVDIIKPESSGQIKFKVAADKPTKSILKKTEHADETPNDN IEEWSGFSDGEEQEVEAQKKQKTKKKSEYAKQKNPADEKKPAAKKEQRQPNAKGGKPD EKIQSLPFTALDEEVEDEVDVSAWDSLGLSPALQTSLSKLKFSTPSTIQQAVIPEVLA GHDVVGKASTGSGKTLAFGIPILEYYLGTRGQHGTPKEKKKKESQPIALILSPTRELA HQLAKHIGTLNSHTPAANARIALLTGGLAIQKQQRLLADADIVIGTPGRVWEILSSGT GLIQRMSRVKYLVLDEADRLLSDGHYKELEEILDALDRKEDNEEAEDSPSESEEDEEK EDATVARQTLVFSATFHKGLQQKLSGKGKFMSSDLMNKSESMEYLLRKLKFREEKPKF IDVNPISQMAQGLKEGILECGAIQKDLYLYTLLLYHPNHRCLVFANSISSVRRLSQLL QNLGIPALALHSSMEQKARLRSVERFSSPDADPSSVLVATDVAARGLDIKGIDMVIHY HVPRTADMYVHRSGRTARAGASGKSVLICAPDEVVGVARLVGKVHAQAEAGAPTKRNP LESLELDRRVVSRVKPRMTLAKKITESTLAKEKISSEDNWLRNAAEELGVDYDSEEFE KQGGKAKGRGRGGGRLQKEKEAGSITKAEMAGLRAELKQLLSKRINIGISERYITAGR VNVESLLRGEGNNKAFIGLVDKLEF TSTA_068480 MDEEVRDKLGDGGGGDLNLGVVRLAISSFNHYKKSPHFTIARTK IIARKISKGGKAKTVLPARKSLPKGKTVTQHPPAPKKYHLKWATNALQEIRRYQKSIE LVIPKLPFQRLVREITQNITTNQIRFQALAIQALQEACEAFLVKEFEMTNLVAIHAHR VTIQPRDMRLVERLRKIMNRSSFQDEI TSTA_068490 MPPIRNKNEKNLAEQEGRILLAISDLKNGKISSVYQAAIIYNIP RTTLYDRSIIRANGHKLSQFEEESLVKWVLDLDKRGLPPRHSLVREMANYLLSQRGNQ QVGEKWVYNLIQRRPEIESKFSRKYNYERAKCEDPKLIQEYFDRVREVISKYGILLED IYNFDETGFAMGLCATAKVITGSDRYARPKLLQPGNREWVTAIEVINSTGWALPSYII FKAKKYTWLGWFEDLPDDWRINISDNGGLQIRLG TSTA_068500 MTTMKKRISRHTRSSSEAIGEVFTRASKAYEMSINELTITQKEL HDLRAAYEKEKQKRQKSKKQISHDQGITREEAQALLQGQVEASQAVTTAPAEPELPVS HPPKLRFEFNIAYAFGEKLSARLHCRFAYRLSYTMPAGQPLSDIDQYQQEISTVHPNT IRRRLKQWGIL TSTA_068510 MCCTLLFRSRPTSLRWDTWKSPTVESGICSISIESSLTCLEYSP FAFVKVGVRDGDKQHVAGQNYNTRQTFRLQFQSKTAMDNHPDRMRGYRLIAPRHVDVQ KPPTPQSPNADEGKTKRASMACLECKKRRTKCSTGNPCTECHNHQRECVYDINADKRR KEHVISTKQQLENTEEALRYYRTFLEDILASMRLGSRSHVEQLVQVVQNTVRNPDPDD RSGYTTIRETIFSILSEFEDAEGSEEASDVDQNMTD TSTA_068510 MCCTLLFRSRPTSLRWDTWKSPTVESGICSISIESSLTCLEYSP FAFVKVGVRDGDKQHVAGQNYNTRQTFRLQFQSKTAMDNHPDRMRGYRLIAPRHVDVQ KPPTPQSPNADEGKTKRASMACLECKKRRTKCSTGNPCTECHNHQRECVYDINADKRR KEHVISTKQQLENTEEALRYYRTFLEDILASMRLGSRSHVEQLVQVVQNTVRNPDPDD RSGYTTIRETIFSILSEFEDAEGSEEASDVDQNMTD TSTA_068510 MCCTLLFRSRPTSLRWDTWKSPTVESGICSISIESSLTCLEYSP FAFVKVGVRDGDKQHVAGQNYNTRQTFRLQFQSKTAMDNHPDRMRGYRLIAPRHVDVQ KPPTPQSPNADEGKTKRASMACLECKKRRTKCSTGNPCTECHNHQRECVYDINADKRR KEHVISTKQQLENTEEALRYYRTFLEDILASMRLGSRSHVEQLVQVVQNTVRNPDPDD RSGYTTIRETIFSILSEFEDAEGSEEASDVDQSKIPRE TSTA_068520 MQDNNKLAGQKRKASETLTAERRKITRACDSCKLKKTRCSGTLP CHRCTKLSRTCQYNATYTRGTPPSPLPAPGSTHRQDAVRRSTPSVRSSIHTGPVSPKS SLATSSPTGSHFRRSSHSLAVLSPRSSSPEPEATDLEGNYLGPSSGISFLSRSWRRLK QDNISTTPRLPENERSKNTPVLLFGDRPFSDKADWSSLELPPKDRAIRLLDTYFDFSI VTYRFLHRGNVMALLDTIYEKNILPSNPPPSHSAAKVGVIYMIFAVGMLGEERRIGND EIHPESESERWYAAARHMMSIETGPPALETIQVRLGICLFLLSSSRANQCWYMFGTTM QLVTALGLHRRRLSKTTRGGPAYVEQELRKRIFWSAYTLDKYLSVMFGRPRLLDDEDI DQDFPDEVNDEDMFLEKPPRIQDAMDGMMIASILHFRLGLLMGEISRRVYPTKAVSTV APYEAAIELVAELQEWKQSVHPLFGSVRASSLIPPLCRQSHVLQFAYDHAMIHATRLF ILNDFTDLTRRPLVPLDLVTTHVQNCINAVRDVLQRVDALADQGSMLESWWFTHYICF CAILVAYIYTIQQHQSSTSDPITPSTSSMTDDVQDLFSLAERCQIHLAKATRKNCPSR RYGIILEELRLEVHRQLSIATINNINPSQPQMTEVNTIQQGLQLDKPYQTDTNFEQPT VNTNPDLDGYPYLGNSAIPGMEGPDLLGGDDFGLLNSLDGSVWWTQLDSWAYSSLNND SSEFNF TSTA_068530 MTISHIMTAIVQTTPSDMFQWKQSSTTQHIETVAIESPKSQTID GLIRHRAKLLGDTPLVFYPHTGIEYVGYSMRQLDIFAFRVAQKLALRLPPRTSSSEKP IVVSLLGPSNLNYLVTFWALSKLGHSVLFLSTRISLDAYASLLERTESEHIVIAQEFQ DTAKELTKRLPGLQINYIATDDWYNFPIEDVNIDTNLTSNLDPAQETAYVSWIIHSSG STGLPKPIFQTHKAALGNYAQNMNMRGFITLPLYHNYGISCLHRSVYSCKSIHLYSSS LPLARQYLLRTMQANDFEIFYSVPYTLKLLSEVDEGIQSLRKCKVVLFSGSPCPDSLG DRLVTNGVHLVSQHGSTETGQLMTSDRPREDKLWNYLRPGEAVKPFLRFEERSPGLYE AVVLDGWPSKVLSNRPDGSYATKDLFMKHPEMEAYKYFARLDDTIVLMNGEKVIPLAL EGSVRQDPLVAEVLVFGAQKARIEAGAALSRDQVIEQIWSRIGQAQSEMPAFGQLSRN MVVLLPPNTQYPRTDKGTVIRQAAYRQFADLIENAYDDKQSTVELETLPEPELRRFLE EQLRDILPAKSQQLLSEDADFFNIGMDSLQATQLRSIISRCIDTGGKELGLNVAFDHP TIKLLAQHLISLRSGFSDKHGSVEDAMRTLIEKYSVFQPHIPLPNGLEGRYIVITGTS GSLGSHTAANLALQSDVRIIYCLIRAGSTMEAYERLAKSLRERRLYDSLSDTARSKLV ALPVNLSDPRLGFDEHTYNLITSQITDLIHCAWSVNFNWQLASFEKDNIAGVKNLIDL CLKSQRPTPASFNFCSSISAIVNTAENEIPEALPNSLSYAQEMGYAQSKLVAEHICQK AAEQTGLRARVLRIGQVIGDTQHGIWNTTEAIPLMIQSATTIGALPRLNEIHRWLPAD TVAQTIIDHSFSTYNSGILNIVNHNSFHWTHDLIHFLHQAGLQFTELETSKWLEKLRA SNPDPAINPPIKLIEFWTTKYGSGEGSRKSFVWRTELARRYSKTLNETKGLGQNDVTK MVQFFKTVW TSTA_068540 MGSRLQANSELVRKRIQSHTFDDDNGDEYEASVFGGFDDYFRRK KLKLQNRDAHIRANSANNPPIFRGVVAHVNGYTQPSLNDLHQLIVSHGGGFLQYLDGK TSATHIIASSLTPKKREEFRKYRIVKPAWVVDSVKAGRLLPWDNYRVVDEGASQKVLR FETGTLQTQTNDLERTYKDQTGNSWYNSQFRDATQDLQKETSKGSPSKEKTDIMSSIP NSNADTDYGDFPSLVSPETTKESPAGRDSMATRNDSSIAFEEHEKSNQETTEDGVQNL TKVQLARETIPVKPGMSSEEYNALLLSDPRMKKSSVVNPDFLHQYYRESRLHHLSTWK AELKAKLQAITQEKSDSNKNIKRRVPGTRRYILHVDFDSFFAAVSIKKHHPELVDKPV AIAHGTGSGSEIASCNYPARAFGIKNGMWMKGALDQCPDLEVLPYDFPAYEEASRQFY ECILAVDGIVQSVSIDEALVDITTQCLQAGGSDGRGISEGSIYREQAKADEIAKSLRG AIKYKTGCAVSVGIGGNILQAKVALRKAKPAGQFQLKPDEVLDFIGNLTVQDLPGVAY SLGGKLEEIGVKFVKDMRDLSREKLVSHLGPKTGAKLWDYARGIDKTEVGVQTMRKSV SAEINWGIRFVNQNQAEEFVQSLSEELHRRLVENLVKGKQLTIRVMRRSADAPLEPVK HLGHGKCDVFNKSVLLGVAANASDIIGKEAIAMLRSFGFNPGDLRGLGVQMTKLEPAK STSGGGLESSQRQLNFKASPVAKRAINMADPDLIESPHKGDGASIDAVNTLDNRPTFD SSLKPLNVLGTQFIMPTQPDPKVVAELPADIRSMLVRKPKEPRETSPCPAPRQRVSTG ENLPLPSQIDPDILKALPEDIQSEILGYYGQPSSGIKPASPQFQPQSPPPPSRPVRAA PFLKRPTTPIKKRRGRPPKSLVNASKPAARSGLMQSNFDFGRTRTEPETIEQSLPAQD TETVSEISEDFLAALPEDIRAEVLEEHRQQRMRQRSNLVAPVYRRTVASRPTTPAESS TAVATEKRIQLSPRADRPTFTSKKLSTLPDLREAITAWYSAFSREAPFVADVEALALY LKRVVLEERDLEKAVSVADWLAWLIRNDEDAMDRIATIIDHSQSSQAETTWDEALNIV QRSVTAAVEERGLPPPKFSA TSTA_068550 MSGVQPVGLYTIQVPPGGIMVPALPHGAVAMFRVSMAAIDPDAE PEYEDDAAASKPARSTLKIVHMPDDLDFDDEDDEDWEDHGSEEDSDDEEINGGPSDKA KAKKRQAEAALMDLDAEDEDDEDNTDFKAALSQLIKGKGPATGDEDEDDDDEEGLELE ETVVCTLDTERQYQQPLDITIAGDERVLFKVTGTHTVYLTGNFVLPAEEGHHHDDEEE DDDDDEEDDDDYDLSPDEDELDGMDFLLNGDEESDDLDDLEDPRITEIDSEKEEAEKA PKLVTKGKNKRAAEDEAALDDLIAKESASKGDSKKQQKKLKKNNGDAVPVESKKDAKE APAAKADKKVQFAKNLEQGPTPSSNQKPAEKSTGTLGVKEVQGVKIDDKKLGKGPAAK SGNTVAMRYIGKLEDGKVFDSNKKGKPFTFKLGKGEVIKGWDIGIAGMTAGGERRITI PSHLAYGKKALPGIPANSKLIFDVKLLEIK TSTA_068560 MPKRNRIPPHGRSKISTSVNELSAKPQTSAGKERPQSATLTDSQ KEVRPSRIGFTLKIKRGSAKLLSRLGLWNSVATEDTDDTSSTPLDINSFCSSTAYQHD SEHVIIQDRSDCFYPSSDDFPTKIEFHEQHTAVSSTDGSVAESFESAQAAFENDYNVE SVFGHISRGPILARRLSQKLSIFGPSTVIRRTKTRFRLGIIHMESSCPVSPDHKCNGS AQESAEWSTGVSPIFSQAEYHSSLDGLSTSLMLSGSNRESSAQRLWSGSSRSAPSIQS IAKTKVRPSIVTVETAAVAKVFFETHFNEIYSPQDGRKQRFRELQAHLCALPFTNEER FAVQKAWAAQESEYLRQTRVLKSRSNRAHDREAVSFAGYEVIRVLGQGSFGTVQLVKE KTVDQELPRRKNAKLQTSVDKCQDSEHGSRVTPKSSLVSDGYGQGKNAQKPNGDRVYA MKVIRKAEMVRLGQEGHIRAEREILTASERSKWIVPLIASFQDAVNLYLVMEYEVGGD FFGLLVRQGVLSEGDTIWYVAEMILCVEEAHRLGWIHRDVKPENFLISASGHLKLADF GLAFDGHWSHNQAYFHNHRYSLLSKLGIDIQGDTEDRAKSQEQRNSESSLLRKSGLDA SQNQTEEPVLDWRDRKERRRFARSIVGTSQYMAPEIIAGEPYDGRCDYWSIGIILYEC LYGMTPFYCEDREETKRRIRDHRFTLSFPQERLSDIIISYHAIDLIQRLLQRKEFRLS SRAYKINDCALWHGLQSPVYATSSDPRNTHWKGSHVYSDDAADIKAHRWFRDHSWNDM LLRRPPYVPDERSWEQIKFVDEATLEMITAQEKQQLIDAPLTPNPDVSTPDLQNIAEL NALKKEIDDHANKKRKHKEKKRARDKILRDAVVGPTALDLRTRGAFLGYTWRRPKSVR EVLGIERGRSLVY TSTA_068570 MPHATENGDNVVDDLDFQNVLAQINAPDGGQFDFMGRDLETGEK ADDAIDFEDFDDDDLPDEEEAPTTSVVQVPEVKQDEIDLDNLFSGDLFGNDEATAEHN GRDEVDDLFGDGALSPVAENGHTTEDLFGDREHMNGIDNNAALPLTETAPDDDGDIDL FGEDPDETISHAEEDMDPATLNAWREQQALFARSAAAAGVIPGPDHIPAPPENDEELL RSLWPNFDRDSFPRFVELFRHKKAFYLGKQPSKPPKPVLPTKINLEFGLDQERIFKTG GQGTKRAHDFENLGLVSLQQVEDSPVESEEDFDAEFDDSEILPGGVTMQDLRVLCTDW DIKTVVSEADEEEEAAPQEATTVPVDETTWSLDMEEVRPTKKRKLGRDPHELLALADI EIPLLDDPGRLTSQIAKKIVIDLNDPHLLLDTRPEAILQKPKSLNVHDRDEMDANTTK RLNKRYNISNDDAYDMLKENHQSKIRSTLGNAALEHSMPALRLQWPYYKTELSTAEAR SFHRPALSFRPHVSSWFKNSPVIKRKHTKGKDVKALYNSTKSLSLADNSTVLLLEYSE EVPMMMSNFGMANRVVNYYRRKNTDDPTRPKAEIGETQVLLPQDKSPFSIFGHIDPGE TAPAITNTMYRAPIFSHQPKSTDFLVVKGTTQTDGSSFFLKNIENLYVVGQQFPSTDI PGPHSRKVTTVAKNRLKMLTFRLMKKDGNMQVSINQVTAHLPGSTDMQNRQKMKDFVS HNKDTKMWVPPEGPIPDSDTIRSWIQPEDVCLLESMQVGLQHLHDTGHFNAAENDDEE AEGDSSFEQQMAPWRASRNFIMASQGKAMLKLHGEGDPTGRGEGFSFIKTSMKGGFQA IGESAQEKMEKREQKETGGHSYNVARQQRAYEQSIRRIWDAQKASLSSQMEASDNDSD MDQDEEDDFNKPTPREVATPSFRRDDESMSQFSRFSSRSQRGKVLRIVRDFEDENGNV YQREQLVWDPRVIRKYMQIRHRVEAVNTQLAELQPTGNAEVDARNKKLLEAELSRLNR NKERRFAREKQKGIGRASIADSEEAGTPSKSGGTTTRKCANCGMVGHIKTNKKLCPKL NGTAPPDEGISETAFSMGPGTTSF TSTA_068580 MTEESEEIAPPEVHHYSDIGEVPWDIQNYWSQRHRIFSKYEEGI WLTDDAWFGVTPEPVANKIAEHISTSTPKDKVVLIDAFAGAGGNSIAFAKSGRWKRVY AIEKDPAVLACAKHNAKIYGVQSKITWFEGDCFEILKTHLKDLGFYSVVFASPPWGGP GYRSDEVFNLQTMEPYSLGHLYREYSAFSKHIVLYLPRTSDLRQLAKLVGDGNHVIVE HYCIEGASKAICAYYGDFKLTLSD TSTA_068590 MFGALNRFIGRLDSEAVQNDSHGHGDNSFGFQILRNKDGDLPLE PWFDFIIGINGHLIEPDHNLFVTEVRNCAGSSVSLEIWSAKGQRTHTISIPVSKENPS LGLTLQLAPLSVTQHIWHVLSIPSPLSPAYLAGLLEHSDYILGSPSGTLRGESALGEL VEDHLNRSLVLWVYNSEFDVVREVELVPTRGWGGEGALGAVLGYGALHRLPVGLGEEV EGPGEVVFETKEDGQEQLRDTPAYNTNPISPTGNYLVPANMASPPPLSSPTSSLNSPA PPRSNKPGRRNRHAGISPSKTFDEYFAEGEQKSKEEDIIPSRKGAPLAPPPKAGDPPQ QIQAGTNEEESVSAGQT TSTA_068600 MAFRPMFQRRVVAPIAATFIAGGIVTYPRRTAYAESPSDLRKPI YDDYPTESVAVPEQKPIVTIPSDISKTVSKEEASVTDLLTAQVRRARLFLYAHSLAAE NSFNDFLSRVLRVENAFTNTVASLAPSPESGERLLPGGVYVIVSAMAGSIVSRNRGII LRSATPLAFGTIAAWTLLPVTMRNVSDLAWEYEKKVPVVADNHLKARTYIQHVYTTGV AHSQMGRAMLEDKIGEAREYLEEWVRKGR TSTA_068610 MLNSVRSRPWTCKDCLQRLHRLQRSRPERTFATAVAADQQSSID YVAANKFAKQRDDDNLRRVFDSRSFWREFSQRQTNSSKRTGIVQNQYLTSPEGFREFA HTSLQKCQRIVSKVLAVSTLEGYKSMARDLDRLSDLLCRVIDLSDFVRSNHPDPRIQE AATQAYALMFEYMNILNTTTGLHDQLKKAAATPEVVSSWTEEERMVAEILIKDFSNSA IHMPPKDRQRFVNLSNDISQLGSEFVNGAEPARPHVVLSKSSVQGLDPVVVQQLQRWN SVPIPTWGVEGRLALRSMHDENSRKEVYMATRMSSRRQIHRLEELLHKRAELAKLSGY STYAHMALSDKMAKTPEAVSNFLTTLIGNNRVQVQEELAKLQSMKEAAPLQPWDHAYY VQKRVLEYSMSRRSRELSRVPEFFSLGTVMQGLSRLFDHLYGVRLVPQETLPGETWNS DVRRLDVVDEAGRHIAVIYCDLFTRPNKSPNPAHFTLRCAREITAEEIAESASMDPPS HPNDGMATAMNPDSDTLRQLPTIALVCDFPEPPVSGNGPPTLLSEHSVRTLFHEMGHA VHSVLGQTPLQSISGTRCSTDFAELPSVLMESFATAPEVLALYARHWETDKPLSDSMM QSMAADRAAHGSIYGAVENEAQILMALVDQAYHALSADGGKRSIDSTDIYHQVFSTYC TLPDPQDSNPRTSWQGFFGHLYGYGATYYSYIFDRAIANKIWQDVFHGGKLSIDREAG EKYKNEVLRWGGGRNGWSCVAGVLGDANPSNANGRLAEGGEEAMREDHLGISFGV TSTA_068620 MQHHIWKQVNDDKLHFAPLRDPKRILDIGTGSGIWPIEMASLFP EASITGTDLSPVQPTEVPPNVHFLVEDATEEWLWEPNHFDYIRLSNMTGSFPSVKDIL RKAMRVLKPGGWLEWHDMDPVPRCDDNTVPPPNTEGGFSQYALHDWIELEVKAAEEFE PYRQFLIADKLAAQMREEGYTDVNDRVTKVPLNPWPKDPKLKTLGAWQEENWLTGLSA YTYKPFLALGWTKPEIEVFLVSVRKCISNRHFHAYQNFHAITARKPLPGES TSTA_068630 MWDIRSHTRDSTFGKCLRLATGRKLARSPEEQLEFQIPAGYLDL QSSIGDSGNANKEVYFLVVGWHSKDDPDNLHNWSFRKKLWVSILFVYRFSVYIGSSLY TASTSDIMQIYGVVGMFLLYRYGARLRERSRFTGM TSTA_068640 MVNYGVSRACETCRNGERRSGRVCLGYRSNDELRFHHHIVTMNV PVQSFPISEGSIAEDAVGFFLNQYVVYSTDPRVSRGFLDGLPSLLSNAHRSSNLVQAV EIVAWTSIGIQLARPESLVRARREYVLLQSCQTHAPTVEALVIAILLDLYEIVSGGEI SSEQQSMLPMSGESNPLGTLQELQTTLDCALAIELAFSLWNVNLDPSWKAHIVGHITQ TDAEASSCPFARSDPVHTYFDIYVAAVMNTYRKTYLMLLDISIHLASRIEESIQTNIV MGWEQQVHILINDIIASVLYHLTNNLHDYLQAIISSSKSPGIGRSVEGLLLLHHLYVL STCSIVSSLIRSYARKCPAWSWRHMRIGQGTLMSKQREKKKPLPSENRTAHAPNKEG TSTA_068650 MSVGSHAYRHALQRSPSRLDYVWISDELLSSTFQRFVKGQRRYG SRVPGPLEASKRLAKRRNTALAVGGSSFDPAANVAHLFGANGTGHVRQWDDSPWSSRW PEPLELFSYSDQPPPPPNPSFLAHAESSAEASGDTIEPLPSENPTFASSLTSCKTIHD VKEALEWYHIDLRQEPEQSRRIFNHLYQLISQENQASSVHELLEFMDDSSLNIYGVGN YAKLIELLGSASFAAVYKIKLVDNVTKAIGLGLVPVREISQILRLLPASVCGNGSPRH PPEAETLGKVFNDVWSSLQSYSVFKLHEIDHDILEPWIEQLLFLGNDRFLRLAKRILA AYHKSMRSLSYSISLRALDILSSERALVDHNIFATYLERALMLGDEASLSYAKDIIVT HHRYERTPLKPIVNTLLQYLVTSRYSTDLKGNSAIILPELLCQLNADVATEYIILMTE RLVLTKREDNTRAHALKVLRNCLLHVDRPTLLSSHTWSTLKEDGSLSTSKGLRLALRM WTWIALGTAPHRRPVRSNLVRTHQRSVYASGISILKLFDDVTTSIMWQSHGNRSELLP KLVAGLQQLDVPSNQVLRKVYTLLSHRQIKNLSTLQYFQKLEEGRLTLEQAALQRDVF NSTKHYLLSSHLRFIQNVDVTSSDFIENMVEMIEKDNGKIRDMIFLISLHTPLKIALA MASTRNAGHIPNTKRVKAILRLESKGKQLYLEPNACVSFIHLLASSIAISDKVSPRAA FNLVTYLYRYLMSHNAPVKPNFVRAMYHCGITRFSQNGLRVSGVQEDYIMQVVRRHEE PEAARGLMNGSYIERIY TSTA_068660 MPGFDFSNYNRNAALHARGAPLPKATSTGTTIVGCIYDKGVVIA ADTRATSGPIVADKNCEKLHFISPQIWCAGAGTAADTEFTTALISSNIELHSLSTGRP PRVATCMTMLKQHLFRYQGHIGAYLVVAGVDPTGTHLFTVHAHGSTDKLPYVTMGSGS LAAMSVFESMWKPNLDKEGAIALCSEAILAGIFNDLGSGSNVDVCVIEKDQPTQLLRN YIKPNERGQKARDYKFPRGTTSWLKEKVIKKDDIGKYVTIQELGAEEDSNVVERMEVD S TSTA_068670 MMSFGSGGGALAGDASTEIGPELPEVETSELGFLGLDKDYNIQF LPTPWPTDALPAPTSSLLTISSLQGLVVGGGPEGLVLATTKSIRDTISTKAESGPKTK PFQPQAHISLPSRPTHVAFCATESALAVAMESHNQLVIYDSTTLGNGNPQPQISIDTN SPLRSLTPNPSSESDLSSLVALVTVNGDLLVADLKAGSLVHGQSGPIFRNGVASVTWS NRGKQMVAGLVNGSLVQLDPKGVVKAEIPRPPALEGNKHVSSISWLENNLFFAVYTPN ESEDDAGMVPDSDYYIIERHPSKPLLYRQLPIICTPFGLKRSPAYHFIARLSKYDPGL KDALIISSTASTDIGLATRVETPFKEADEMTKDVFTTTTVMEETRRATLPLNADSMET STIGLILDLTSTETVSYDVEKETKTPLPNIMVLTNEGVLLSWWFFYFKAIEAGLPYST TATASTTQQRPALAPPSTPAPTLASAPNIRPSFGQPAFGQTGFGAPQSQSSVFGKPSS TPTTPSFDTTSAFGNSQKPTFGAPSTLGGGTSFASTTPIKPPASTFGSPGILGQRGSS FGQPGLGLASNSQPAFGQVGGLGGKGFGGFSSPSAAQSTFNAGATASGGGFSNFAKSG GFGAITSSQPAESPFGKPSTDSPFGKPTQATFGTTQASDDFKSPFGLPKGGFKIGSTF KPDEKSAADNEPTFGKPSKSGAFSLGSSFGTLLGGDVEKTSPPTESMDDTQDVAATPS DQKPPTLFGKPTAFGTPQSPITSPPKISGLFGTQSQSTTTPAAAQTSRPSMLFGSSSA ASPFSPLSPLSEKTVVPTLSSERESVEAPLPPDATSRAVYGPGDTSASSNVSKSSYDE APLPPSFTKSKKIDDAPLPPDFLKKSEKLPEPESAPLPPDFLPRAKETKEPASDVPLP VKEESILLPHESEGSDGDFEDSGEEITHDVSPPNETVESESASFKTSPESSFGGTSRK EPTGGLFTKVTIPESQPRAQPLKPLFGEIPQPSIPPPKPQANAKSPRSPSPVRPGARR GHLRPEQTRSTSAPSVPRSMFGFKPTPAKPPPSRFEEPLSQGDLIEEEESKAAAAESK RLASEAEYLSSDDEDLLIRAELDQPLVPAPTLDPFIPRQEYSGEHFKPGIPGQIERLY RDINSMIDTLGLNSRSISSYILYEEENRTADLSEWQRILFSDTPTNILDQNISISDIE NVEGLLEFLAARLEEQRLSNVQEKINECQRLLSNDIFNLHDQCVHIQRILDSYSDTVS VRTQPLSAEQASLQQDLRKASTSIQSLLTELEQGITLLRAKIADFSGVNRSLSGRLTT KKPTVEAVTSTIATMMNMAESKSSDIDVLEAQMKKLGIELRSSTSREGSPISTPIKKF GFRVPVTPDSDGKRSPYQTPQSTSRFQASVNGSARHSRLRNVNFQSESIPNQDSDEWK TRTRRRKEIARNLKRAIGERKVKVRTMDDD TSTA_068680 MNSFGDSRPRSQRSPDGAQKPAASADPHGSRVRRRNRMITSCLE CRRRKLKCDRLHPCTNCSKGNRDCLFLAPSLDSTTRLKLAELKERMGTLERSLEREVS QTSHTTEGDLLAGSRSLGSESPAPVPEDEYSLQPTRLAQMDAMYEDEADDVMVDLGIQ VGNMRVTDRLGGFVRPRLAEEIAAAIKVEAQDSGPHDPANRDIEENDGSEVLRLLELA NQGVQLPFLAPGPSFIAPRSDMLLGSSLQDYALLDLLPSKPAADKLVEQYWEACHPIA RIAHRQTLEARYKLLWDNIAQGIEPMPSLQAIIFATLFTAVVSMPAEKVLGLFGVEQR NLIERFQLGTESALSKAHFLRSTKTETLQAFVMYLIPMCRETISRAHSALVGTAIRLA ECMGLHRDPLEYNCPPVETHIRRLIWYQLCFLDLRTAEVQGPRVSIRSDDFSTKLPLN VNDADLLAGHGKGSSEWTDMTFACIRFECQEIIREVFIDRVRLEKKELSVTGAIGKTE MARRKMYERYGPIFNRPNLTPLQRAASVTMSFMLHRLHIILLHKFYNSWQGKMPDRIA QLVINTGTQQLEDAVTLETSPDLQPWVWYSRAYHNFHTAFLLLIDVVAHPLRREADRI WRCLDYVYEIKDEYPQNLTRGQIIEHRRKKAHKIMCQFRDRMRIYRALRRMRTSADVE EIVLPNGINSSPEPSESAAGGFDPMASFKLEPLTLLPSGDSQNKARASMSRSSYPQFQ QLGTPQTLQSQNQIQNYTPAAQNQGISPFVPPATSALGNMTGLDGLQSSWLSAPSDVL TAPAPQPNWRVSPASSEDLPMPEIDWSEWDKMFPPHLNDGNLDLSPQTLSGTMQYEMH PNAMSMFPYPQFNSNQFGPRQ TSTA_068690 MEFSWPVIILIAYIVISVVRASSSNSGPAPQHGKVINVESQQAF KELTASGPVVVDFFATWCGPCKAIAPKVGELSETYTNVRFLQVDVDKQQQIARDLGVT AMPTFVLFKNGKELDRIRGANARALENGIEQIA TSTA_068710 MTAPPKSPTVTEFISFRLKPSVKPEEGEKNREGEELLDFFRETM LQSGHLGSAWGRTLEDENVIIWVIEWADSSNSTNLSRLEPFIDYSKQDNPSTLLTFYS TLSPSISESDTLTTNPITELVTFAVPSDISPEQHKQFNNDQVQFRDALINKATPESAR PITWSRGQIERPATFDHPDSASGKALVYLNVVGWKNREQHTQARDTKAFAETIEPLRK QVLTPVKGLEMKHVKFQKIGF TSTA_068720 MSASPSTLPSTKRPLEDPSSPSGPNDQPEAKRPALDKVVRSDDY KQSEADAKDSSATNGDSVVNGKDASSANDTQPIQSTASHADRVTSQPPQHQTPQDESS WIHIRAVISSQEAATCIGKGGENVSKIRQLSGSKCTVSDYSRGAVERILTVSGPQDAV AKAFGLIIRTLNNEPLEAPSTAQSKTYPLRLLIPHILIGSIIGKGGVRIREIQEASGA RLNASDACLPLSTERSLVVLGVADAVHIATYYVAVTLVEQLTERFGGPAASAYATRSG GPAGVVPGGMQVVPYVPQPAGGQYGHPDSVRRHYPQNNRPGPGPYGAPYAHGGPAAQA PVAQPPLHYGGGAARAPYAGAGPHQPAPYGAPHAQPHGAGAQPLAGVVSSQPITQQIY IPNDMVGAIIGKGGTKINEIRHLSGSVIKINEPQENSNERLVTITGTQECNQMALYML YARLESEKHRI TSTA_068730 MAKKDKKKSAEKKERNAAKQLKKADKKEKKNKSKGKDVDSDAED VDLDAVLAAYAEEQAKFLKVTEVPSNPPSPRSSSTILASPANRNELLVFGGEYFDGTL ATFFNNLYVYLIDRREWREVTSPNSPLPRSGHAWCRGGNAGGVYLFGGEFSSPKQGTF YHYNDFWHLDPATREWTRLEPKGKGPPARSGHRMTYYKNYIILFGGFQDTSQQTKYLQ DLWIYDCTKFVWFNPVLPAASQKPDARSSFSFLPHESGAVLYGGYSRVKASTGAGGGG KQGKGAGGPQRVTLKPMVHQDTWFLRITPPAADAPASTGPTVRWERRKKPANAPNPPR AGVTMAYHKGRGIMFGGVHDIEMSEEGIDSEFFDTMFAWNLERNRFFPLTLRRPKAHG KKQQTQAVKARDRSKADEEDLLRNLAALEAKRGIRTAYVDEDNMDIDSPVDKEEVELV KPEIVKFEMPHRRFNAQLTVQDDTLFVFGGTFERGDQEFIFNDMYSIDLVKLDGVKEI FYNEPANWNTQVEASDDEDEEEDDDEDMDEDEDEAGEAMSVDTPSEAQIEFTVPSITR DMQQLEVEETEGDPETKDDRPQPRPFESLRDFFVRTTAEWQNYLLEKLKQRGDPAEKT VKELRKEAFDLAEEKWWDSREEIMALEDEQEAAGIGEVVSMADRAEGGGAGRRR TSTA_068740 MEKMGGDKKQESLSPSNHAIPGFTGNPQPIIPMYMNVKENEMHR KELHAFSQSLWDLIFQPPNLPVLPTSDSLSKARMTLLDHLPDRGQGLERTKDHLLHDI VPAFNASSLSPNYYGFVTGGATPAAILADNVVSVYDQNVQVHMEEHSVATDVEFKALG LVADLLRLNRKTWHNGTFTTGATASNILGLACGREYVLRKAAERHGSPIYSVGEHGLF EVLQAAGLTGIQVLSTMPHSSVGKAAGILGIGRSNMKSICTSPTNPLEIDIELLEKEL SRTEKASIVCISCGEVNTGHFATNGGKQMREIRRLCDKYGAWIHVDGAFGIFGRILDT SQEEYTAITRGCEGVELADSITADAHKFLNVPYDCGIFFCRYSSSTLSEDVFRNANAA YLNSGASNGASHVPSPLNIGLENSRRLRALPVYASLMAYGKNGFKTILETQIRLARMI AGWLYDHPAYTPLPLAASEEDLCAQTYMIVLFQANDEKFNQQLTKKINGTSKMYVSGT SWEGKPACRIAISTWRADVLRDFAVVTDVLEKVASNS TSTA_068750 MNPAAAKLIDRRLHTVPGKLRVAELFFDVPLNYSKPSEGTIRLF ARSVRRPSTFPDTEQNEKPLPWLVYLQGGPGFGCRPPQEVGFVPFILDKGYQILLLDQ RGTGLSSTITSQTLARQGNAVKQAEYLKNFRADNIVRDCEAIRKCLTATYPEEYQKWS VLGQSFGGFCATTYLSNFPEGLREVFLTGGLPPLVNGPDEVYKKTYEKVVERNQAYYQ KYPEDAERVKRIVQYLQENKPRLGAGVLTPERFQQIGITFGVHGGLDSIHDVVLRMTL DLDSFGFLTRPILSLVESYGEYDNAVIYAILHEPIYCQGEASRWSADRLRAQNSRFRV QGNTETEIYFTGEMIYKDMFESYDELCDLKEVADILASTDDWPAIYDEAQLAKNEVPV YAATFVDDMYVAYEFATETAKKIKGAKQVITNTMYHSALRDRGDEVMHQLFFLKEDTI D TSTA_068760 MFTMGVDQKKVDKIRSFAERGRNLEKQYGENPLSLDAIQLYNRR LDDTIRSLQDHVKRQEDTLRQLRASKQELEISGHDAEPTERLAQVHRAKKAYDSLLKS EPDLPAPDSPLPCLIAIRETSRVIQENKASISNLRKSLPLNRQQLKDEQEDLEDARRI HDGLKNRVERIRREQSENSTKNPSELADEFVNSERKKIRALKTSSSKLRKELDQFIDD HLASRLAAEDLGGPVVGDTMEVPDSTLEAGYTAHGKPKKPKSSTTQNGNDDDDPRQQR IDSLLRRLRQGDDDPTSTAPRNKREAAAAAMHELINSLLEAETIYVELPRESAASRFL VRAKVAQLHPRDARRIRLIDFGRSFEE TSTA_068770 MTKNNLKQHLRWLVDRGQPDFAALDVLLTRPDVDASNTQIPPQP LLEAPRPIHTEELTITLDENSIAILKKNEDLGLKVDSDGDCVLQDATMARLNLASSSA SKPRMLSITAGNRSDNARPLTPGSDVRKNSGSDRPKVGDISYRSASAAIISSPSRRKR NVTPTKLGPILSSDYKSHFDDIESIDLTGDVEQTTSSGTVEAFGDSQTLWREDCAMRP EPLPKQGKKRKSDEYQSDLGSPRRNSPRLKASHLPGDDDDDDDVSVADITPTISSDPP SYDITSSQELARQSPVRSAVSKKPTTTTTPTSKSRTKRDSQEDLDPNLFSWDSDEDAF EPLPPKRIRPSERGLNPRDSNRAPNAETTPQPDVIQTQPKFTSPKKLSPKKVSPKKRQ AIVDTVASTPLSQITPNPKVEHFLSLNPAAVDGAIEKLNKALVANAAVVVQHAIEGEL PPHEVVAEGKAARVKVDALGKVKLERASYDEKKSRKQQLKDMMVKAVADGEDLTGLAA EIKESQDIVKDLQGIEARLSDLLSIIDMDLSAFTPPPQFGDLGNTVLPATPQTLVRPV AQPIPQRFQTETPPIVPSNSRAASLTTSYRQDVSTFQEGNSYSTGIPSNAAAPFITSD DFDFDEEDFLETDNVLSTGLNEAPATYSDNRRIFAETSGNSSRQPQPTQKPTVPDPNS MLSFAWSKDVRTVMRDRFHLKGFRPNQLEAINATLGGKDAFVLMPTGGGKSLCYQLPS VIHSGRTKGVTIVVSPLLSLMEDQVDHLQKLGIKAFFINGDVTPEHKRWVMSALSSPF ADRELELLYVTPEMVNKNLTLRDILKTLHANRKLARLVIDEAHCVSQWGHDFRPDYKE LGSFRSEFPGIPVMALTATATENVKIDVINNLRMKGCEVLSQSFNRPNLTYDVLPKKG SAPDIISQIADIIQTSYKRKAGIVYCLSRKDCEKVAQELSKGYKIKATHYHAGMASAE RTAVQRDWQSGKYDVIVATIAFGMGIDKPDVRFVIHHTMPKSLEGYYQETGRAGRDGK RSGCYLFYSYRDTAAQKRFIEQSDGDWQQKNRQRQMLRHVVQFCENQSDCRRVQILAY FNESFRAGDCHRTCDNCKTDETFQTVDFSDLAKQAIQLVRLFNDRDEDVTILHCIDIF AGTFKRMKGDHAQLPQYGVGHDIELGDVERLFFKLVGEDILEEWSKTTSRFTHRYVKL GPKASQFLSAKSPFTMQVRASSKSAKRASGTVSTGMSGASDYHPQSTNVPSPVQASRR KATPKAAPKAKGKRGAVKAAGDDDDSDGFEPVRVAGKSNRRKKAEVGPPIVQDDRLAE LDDNQQMVVEDFVTNAKELSQQIMMERNLRNQPFPDAILREMAIYLPEDTNQMKEIPE INQDKVDRYGSRFLKLIRNSKQLLSDMRANNEVIHDPNHTNVINLDSDDEYGDDEDFM FSLSQLDPDDQNTSQYFGTSASTQTRVPSTQFTDLDAPSTTSRRTTSKASSAPRSRAR GGAQRTSSGSSSRRRKPWIPEAYTYTGGSAKRSYKSYNSRSRGSSSSGYSTYRRSSST TTANKRKTSARPSVPQIDLMPT TSTA_068780 MKQRFSSIDVKIICQELNTSIIGLRVSNIYDLSSRIFLFKLAKP DYRKQLIIDSGFRCHLTEYSRTTANTPSGFVSRLRKCLKTRRVTAVKQLGTDRIIDIV ISDGLFHIYLEFFAGGNIILTDAENKILALFRTVAAAGEQDEVKIGLTYAVEKAQYYN GIPPVSEERLRATIQKAIDAEQSPGGNAQRKPKKKVDVFRRAVSSGFPEFPPLLLEDA FAATGFDSSITLKEVLEDESIFQKAMAVLREAEKIVAGLSEGETKGYIVAKERAKKDT DFDQSNDSASKENLLFEDFHPFRPRQFEGKPGYHILEYDNFNKTVDEYFSSIESQKLE SRLAEHEETAKRKLEAARADHLDRAGALKQAQELHIRKAEAIQANIYRVQEATDAVNG LIAQGMDWVEIARLIEMEQERNNPVAKTIKLPLKLFENTITLLLSEESAKGEGDKEEF SESEPEGSDSNSESEFEKDGGPKRKNAEPLAIDIDLSLSPWSNATQYYEQKKTAAVKE QKTIQSSEKALKSQEKKVTEDLKKHLKQEKQVLRPSRKPFWFEKYLYFISSEGYLVLG GRDSHQVEILYQRYLKKGDVFVHADLEGATPMIVKNKEGTSNAPIPPGTLTQAGSISV ATSKAWETKALMPSWWVHAHQVSRTNERGELLASGGFMVKGEKNYLAPGQPVLGFAVL FQISKESVHNHRKHRIEEYSELDTKETVSAETSAQEASSDVKSTVKEDVLAVADDTVE QPETETQVEEEDSASESEKEVEDEAPKSNPLQPSANQGDTEAPPEDNAEAADEEDSEN ETDEMSTINQDEAPQRKSNGKQSTKPTTTTTTTKQRTLRGKKGKAKKAAQKYAHQDEE DRELALRLLGANTKVNKTAESAAEIKAKREAELEAQKQRRRAQHERAAEAERKRQEQF LKNRREGEGADVANGEDETYNDETIKAEAEDLSWLPALVGTPLPEDEVLAAIPVAAPW SVVARFKYRAKLQAGSVKKGKAIKEILGQWILEAGGSSGVGAGAGSGAGNKIAKKVIA DDVAEGLDRATAERMRAREADLFKGWRDAEVMNTLPVGKVRIVSSGAAGGSGAGAGKA DRGGKGKGGGGGGPKGGKGGKKK TSTA_068790 MVGSLSLFTVNAVLIMSTDDASRIFAKYYSPPHPPAGVPVNSTD YPGANPYPTVKEQKGFESGLMEKTNKQTNDVILYDNRVVVFKVENDVMLYVVGGADEN EVLLYNVVVALRDALGILFKGATDKRTIIENYDLVSLAIDEIIDDGIILETDPVLIAS RVSRAPTADTPNMKNIDLSEQGLLNAWEFGRRKLAEQLRQGL TSTA_068800 MSTTPKKPTGTNGTTGPSSRTTPDRTTVDSRSAATKSSNGLQRT PSGRASPVSARAAARKPGRSNLSVSSVPKISSSDTASFDDEARAQNAALIEELKEQLQ KAETASEQYQKQLGVLQSRLDDAITEQQKLEDQVHERDGNIEALNAQIRDHSRQLRDM EQAHEQERNAMLQDKEQQASREEELQSTIQRLKESIAQKDIRINTDGDKSNISRSSSF RNRSSPDIDSGQFAPSAQIERSPSRNNAKLLLQKDKLIESLRLELAESQIKLVEMENK GGGLQQELERELLEARMANARLMEDNESYQLLLSEKTLNGDFTKGDFMHDAPSGMPQS LSGSLADELESVGEGNNAETRKLEAELRTLKDQNKALTLYIERIIGRLLQHEGFEKIL DKNDGPLPTSNTSKTDKELPPPPPEKDEPSLLQRAKSVIMTGPAGRPQPRPRPVSVMP PPTSVPSAHENPETAPSIPLGRSQSVRMGHRRSRSDQIGDPMAAAVVGQMYRGPSGSR SPSGGPLSPTTMGPGSRQSSLFGSYMSSGNPRAPSQGSQPDRKRLSSSDSLSSDANAE VSSLGATSTPPSRASSSMNNYTGAVMTQNRLRPLRLVRENQEPSEEEEAANKRANRSS WMGWFNRPTSNPVQDEVPK TSTA_068820 MAEPSQRRLWCAFSDNIDNMFPIDCNINVDSIADVKKKIWSESQ PKLAQVASWELDLYSPSSPVKNIPTRENLVHLHPRKRILSDFPRSNDPDIDIIVIQPE EQQHSIATQVSNSPRLEPCRMICTRDETVSRLAEVIDSQNIVHVRGTPASGKSTLSLL LRDYYRRNGRTVFWLGIWEQNLREFGDEDPWANLARYIRCNYPRLDKKQNIFANGNVI IIDEAQTSYGDTALWNQIIKDIRGGIGYKVKLCLFCSYGSPSEGLPYNRRDHGTPVGF GRAQRISLTPSGELGSPPIGLFYNRDEFEIVVTKLCSSDPVEKYSVDNDARDYIFNLT NGHPGAVSSIVYYLFQVYRSQVKYKDISTITQDHVTQALADDNKVFGGLDGSIVFRSF PFPGKLTPEARSALITILEDGYILFDESSDGIRCCYENGWIHRAILGDSSLQQNSVGV LPSRLHEKYVEFLIGETQLHFPEAFPTIQSLCMEILKRFSAKKLRHSVEGKLSTASRL RPLEAQYQDEFYRAFNSVVGRGVPISSEWSREGDGRVDFWIPQKRWGIELLREHSNVD EHCNRFKKGGRYYPWIEAGMLKDWILIDCATSLPSSEHTEPKLWRAVFENDYSQLMML DYRNNCLMGPTVLVN TSTA_068830 MMGSSDLHVTVMRSIHQILTISAWPISVWVILLSTVLVTNYILA WVRYHRVRRSRRGAQVPPKYPTLVPFLGHAFSSAWNLEQFLYKATHYHGKITSTRISL LGSNMYIFQDRETVEKMMKHPSLASPMSIIIVTLRFLFGMPETGLKAYRADDSGPLVK PFPGSNPNLTPEERIDYLLHQSFNHAFSGPGLAPTTHRFRNTLLAKIKMMTSVENDQW NHIYDFFEVFGKMTIGALSQAIYGPLLFQLHPEIIDDLWDYDNVLPWLARGIPQILMP NPYRVRDKIRAKLQNWYIYARQDFRESHIDAGGDGDPAWGSRLVRNLQQVLHVERRSH DDDAMSSHDLALLWASNFNAVSAATLAAYHIFRDLSLLTRLRMELAAHFDPLSTFVTA DPKLLLKLPLLSAVYAETLRLYVKVFFMASSQHNDVNLGKWNLPKGATGVVSSGISHM DETYWNDAGGKHPLNEFWPDRFLIHPETPLSGPARPDQMRFTHGSKAGGSKIPYFSME GLDGVWIPYGGGPSICPGRFLAKNVVFFFCALLISEFDIEPLDDHSFQLDPWRYGLGT ARTKYPVPVRVRRRKYKENA TSTA_068840 MSAKGTIILTGANGGIGSAIVSRIRSSPELSSYHAIYTVRDASS VPQDPDPRQQSNSDTQNHETISLELTNLTSVRTVAAGINARVAAGEIPPIRALILNAG YNELNTQKFTDDGFATMFMANYLGHWLLVLLLVQSMDRDMGRIVVIGSKAHDPSLSQN ARPFKDEKWRTMLHESTDPIAYGTWSSFKEDQSYASGMRRYGASKLCAIMMIGELQRR LSTDPALSNVSILGVDPGTVPTNLARHSPFFIRVILFQLLLPILAAIKHWRNPTGNND IRTPEKSAGDILAAALDCNPVLGERPQGMYLDGSEVAEISPEARDESKRLMVWRDSVR YTGLKGEETMLVNWE TSTA_068850 MPDQSVQCVALRALLGQQKVLLPDNTAYTTSLSSYFSQQEAAVQ PACIVLPQTSLDVSEAVISLQKPENGQRCPFAIRSGGHTAWAGAANIADGVVIDLRNL NTIELSPDQSTVSVGVGASWDMVYALLDPLGLSVNGGRAAGVGVGGLCLGGGISYFSP RYGWTCDTVTNYQIVLADGSLVNANAQSNPDLFRALKGGNNNFGIVTQIELITFAQGD IWAATMYNDLSIVDDIIAEFVKINSPTAYDEYASLITSFGYSQARNMMVISSNLEYTK PVENPPVFEDYLALPTLQPNGARSLSLVTTLLSTAPVIKAAYEAWKSSVPSIKNIPNI VFALALEPLPPIFYSRHASSNSMGLDDRADSLIVALISATWITPDDDALVGTTAHSLL NSINAAARQLGGLDPYVYLNYAGQNQDPIESYGHKSVRQLQKVRERVDPTLVFTQQVP GGYKIPSS TSTA_068850 MPDQSVQCVALRALLGQQKVLLPDNTAYTTSLSSYFSQQEAAVQ PACIVLPQTSLDVSEAVISLQKPENGQRCPFAIRSGGHTAWAGAANIADGVVIDLRNL NTIELSPDQSTVSVGVGASWDMVYALLDPLGLSVNGGRAAGVGVGGLCLGGGISYFSP RYGWTCDTVTNYQIVLADGSLVNANAQSNPDLFRALKGGNNNFGIVTQIELITFAQGD IWAATMYNDLSIVDDIIAEFVKINSPTAYDEYASLITSFGYSQARNMMVISSNLEYTK PVENPPVFEDYLALPSMMSTSQITNMTNLAFATQALQPNGARSLSLVTTLLSTAPVIK AAYEAWKSSVPSIKNIPNIVFALALEPLPPIFYSRHASSNSMGLDDRADSLIVALISA TWITPDDDALVGTTAHSLLNSINAAARQLGGLDPYVYLNYAGQNQDPIESYGHKSVRQ LQKVRERVDPTLVFTQQVPGGYKIPSS TSTA_068860 MSSSLPPGTNLCEIPALQPPAGYVSNLVNPTTLAPVTWAMCLIL TILSVSLVVLRLWMNIRKLDVADYFMAMGGVMTITYTGLIISLHRYNRHQWDIPACWM DGHYLRIIFAGTTIVGAVLFFPKCSIFFMYRKMFPKRSMQIGTWIGFIFTFCIYFPSI PLSAIYEAPKPGHTWDELLRSLAASRDHTLIYWGIVQGSCSVLLDLYIFTLPLPTLFQ LTLPLKKRLQLVALFATALMGVIASVVALVFRVDLLHNQDSTWDQARLAICVVTENNV AIAVGSMPIVAKFIRSHVMTSTLFQSLRSKIMGSSDRDDNYGITPNVPEGLDNKDPNK RQHTWKHPQADEYYELTDTRVNRTQVTAADEEDPSMRRPEAVDASRSGINVVRTVSIT EQSRPGNKSQSREKLL TSTA_068870 MLILSPESNTNTLFWRFLHEEHQNSMIYVLELLVLGFVGWRIWR FTLSPMIYPNDPKELPYWIPFIGHGLEFFHDSQALLAKASNYFANSKDPFALTVFGSK LYVISDSKQTNEVYKNLETLSFIEFVQGLFKINGVSEAGIKAAYTDLPVDKPGFPNPQ GKSLGGTLVRQMHIHQLYPGENLDHLEARFLEYFEKNLTLPMLRTACAPYLLSQDDTS VDVPLMRWCSEYFVRAGGLAYFGDMLGAIDPELAPTFIAFDDLSWQAIYQYPRSWSKV MSAKRDQTQRAFRKYFEVPQNLRTGDAWFTKTMENELRAVGVGTNDIATILVTLHWAI NTNTRKVAFWMLTYLLHNTSYIGPLRKETAAAFDGDKPVDLEHLHNNCPLLESFWFET LRMSSNAASVRLVNKDTIIGNRVLRNGNRIMIPYRLLHFDETVHGPDVYSFKPERFAG ANAEKLTRGDIWRPFGGGKTMCSGRHIAKRATLMFLSIVLRKFDIEILGERNMPAPDL GRPVLGIMAVKDDQDYTVRVSERNRVSE TSTA_068880 MSSPFIALMATGILYAGIGHAGNATCKCMPNDACWPSPAIWSTL NDTLSGKLIQNIPVAAPCYPGPYSNPTECAIVQENWMNTSFLSEYSIGYSYPFTQSCD IAPGNTTNCELGDSPVYAVNASTPEDAVAGVNFAREWNLRLVVKTTGHDMLGRSTGYG SLEIWLRYLRQGISFEEVYSETLLYGQNEPAAWNGSAIEVGAGYDWGDVNAVAAANGV IVVGGGCPSVGVLGGFTQGGGHSRATHDFGLAADQLLEAQVVLTNGEQVLANRRSNVD LFRALRGGGGGTYGIVTTAKIKAYPDAAYTAQSFYMAPLSTSHDDLVVFMEVIAILYE AFPALADDGLSGYGAWSAYNVGESINASMTYIFAAREKTVAEIEAMFAPILEKLLLYN GTNIELVVSYMAYDGYWDYYYGLGGGTCSAATTNSALVSRLFTKVNLSNDGEKLRKML NNTVGTPSEGVVNGFALLGGGPVSVSDTFSGVNPAWRKSYMHNYCTRGWSDDAEYETV MSIHHDLTYSKGGALREFAPDTGAYMNEADWQDPWYLQDFYGENLPVLTAAKIKFDPD EVLYCPTCVGSERWTSKVTGALCRAP TSTA_068890 MARRPARCYRYCKNKPYPKSRFNRGVPDPKIRIFDLGRKKANVD DFPTCVHMVSNEYEQLSSEALEAARICANKYLVKSAGKEGFHLRVRAHPFHVVRINKM LSCAGADRLQTGMRGAFGKPNGSVARVNIGQILLSVRTRDANRAIAVEALRRSMYKFP GRQKIIVSKNWGFTPLRREEYVRLRQEGRVKIDGAYVQFLRHHGAVEQNIKRFPEAYE NVSQA TSTA_068900 MASRPVIEYYFSFISLWSYIGSKHFHHLAKQHNAHIIYKPVDLM HTFSVSGGLPVKQRSPQRQAYRLLEMKRWCQIRNIPIVPHPKFYPTNPSLAHRVFLAG IEELGNDHEAIQRFAHIGLQTVWADEGDIADPETIARIASEAGLDGERLLRRAQTEDS LAEQEATLVREIDSRRYFGAPVYVYRDEPFWGQDRLEMLDDVIKTDVSMAFRLPTHAP PRRQTSYSTSERPVLEIDTHTSQHQQQLDDDSKEWILFSPSQAASTTTRTRSDSTERT ARTAGVSRLSDFGSFNAVTQADSADSTSVDALDEEATELDSLDDGLPDFRENILQDSN DYPVLPTHDGLGSFHASGQQVQEQLWRYEQYNPRRSADAGPTRRSSLQNHLETVDELE SLADRERWQRIEKWRTEQSRILLQEIERETRRRQRRNSRGSVGTTSRRPGNEVLGSIS ETKAMDTSSVSSDTASSPGDSQKESIWRRITRKVIRDLMGIDESILSVILGESLVDDV HLTSYIDEESHPDIREMQEAIRDVPTTSTSADDHVWQQRLIERIARELGVLVHQIWEH PGAFSTYLCSDDPSEYYAGIPVTTSQPSIQRNTRTARSEPPPPIVSEDSNILSISSPQ FRPTLQQDSVTAEHVAQWGIDDNEIGTAQSQTKRPQEPTSTSALANPEYEYWEQDLDV TMIFRYLKNRFRRGDNNGNINTATSTSHSTNIYNEQDASNRAAVIRQHHPLVARAHAR SQARQLSLRAQMRAAGISTPTSPISHHHHHHHNQTTRFGRRPSSSCASQSTKVSARRT HTGLGGSGSSRHYWDIGGSVGSGSAAVSVGRPAGVGTWGEV TSTA_068910 MAEQKIRRVAVIGAGPGGAIATDALVKEQAFDTIRVFERQNIAG GTWVLTPTDNGQEPRIPSLQDLINERADLGVPIPKDVNKGIPVETPATEEINSPHLRF TETGVHEHLHSNLTPEIMSFSKEPIPEILSERTRAQHGPDSPFRPREVVRAWVEDVFK RYGNDKLIEFGTTVELAEYIDTDNKQGKEWVLTLRKTVPAEGGIKNVWWQERFDAVVV ASGHYYLPFVPDIPGIIEYDRKYPGKIRHSKHYRGTKDYVGRRVIVVGGSISAFDALH DIREVAKLPVISSARDHSPLFGDIPFLHPHIENRPGITSFDTTTDKITFTDGSSVIGD EIDIILFATGYDFSLPFLPDLKSVHRRIPGLYQHIFKIENPTLAFVGMIAGVFGIRFF EWQAVFIARVLAGRAKLPDRKEMYEWEQTRLAERGDGPPYWTLYPDYTAYFEELRKLA GEPAPGTTGRVLPKYESAWDDTFVRLIKRRQEWWKRAAEQASRNTRSSL TSTA_068920 MTQIESSLHDTDVSFASRPDAEAPVEKTDAVITGDVEALGEEAR PIDAQLHARVLRKIDCFLMPAMVIGYGLVYWDKAILGSAALFGMTTDLHLIVTNTSVK PPVSNSSRLSWATSIFYFGQLAGSYPMAYTVQHFKTRNVLCPAVIIWGIICAATAGVT TWHGLFAQRFFLGFTESIIPTAFMTIVSGYYTQPEQALRQSWWFSGTGWFTIIGGALN YGFAQVKSGDLAPWQYIYIFAGCITALFGIWCFFLPNSPVDAWFLTPEERIAAVERLR AGQTGVKNKTFKVEQVKEAILDVKIWLVAITMAAAYTVNGAVSGFGPLIVSTFGYSTL DSILFQFPLGGLSAFGITFTGWLCSRYRNIRAISLVLCCLPVIAGFVMIWKSSWGHKP VTPVAGYSLIGFFGPVVSLTISLAASNVAGETKKSFMAAAVFVAYCVGNIIGPQLVKS QTKAQHYPELWTGLIICYCITILSATTLYFVLRRENKLREAVVEVDERERDRLAFKDL TDKQNSYFRYVL TSTA_068930 MATTTTEHTATPVLSYVQVPETSENLDWADLVTLNLSKFDRPGG KQELATQFRKAMEDIGSFYVKNHGLSDADIDTQFALAKSILSLSNEEKQPYRAALEQG DYNGWKPAGTRNLIPGVKDNFEIYNIPKFIPEHANRLHPEIVKEYWDTIKQFSTHIHD QIVKKLLIIIAVSLGLDDEEVLINKHRYEKRSGDHLRYMKYYARSEEENRMLGSVWLK GHSDMGSLTLLFRQPVAALQVLTKDGTWKYVKPQMNALTVNIADGLEFLTNGFLKSSI HRVIAPPKDQAYIDRLGVLYMVRLEDDADLVPLKESPVLQELGLVNDQVLGSDGKPIN AGEWVKQRIIKNLGNSTSQDGDNEVGDVEIVKGVATKYYD TSTA_068940 MSQKDSLARSRKRVFDSVFAPVKLIGNAPTPLATPALRSSAPDQ AFGSVKSSRATKVKPEGVVWSRAWHSATEFLLLPDKSFDTPDYIEYAESHHRSKQITS EADDALTNLLSPSFRDRVLHQGIKEYDLIHWYCNETRRHFITNLQTVLCTALKESIDD PLAQTVRCLQLAQHMYFTPFLKHVVPKLDTTERESSFFRLRESFQTIVAHSLPSKRIS HLLESTFRKNAVDILGITQARNDQLEDSESNGMEIDSQSSTTYRLWREIASEAARAHI MSEHNGIQTNDSKHRFLSLLQAMQDVGLGGHRAQKVFAQVMNDMMTEYIITSYKEQWD TPSLVSQHLRLWVENVFARLAVEVLAILQPSISENADGYLDNVRFNDVEKWQEIGLAR LGALRTSELFDVIVDWDSSSGAIEDLKQYTTHTASRFSLSNAFIGMLTQRLLHPGAST AEILQLYISIIRAFSLLDPKGVLLDRTARPIRKYLRDRDDTVQVIVGGLLADTSSTDS GENDDNGETLVELATELSNAHQRNLQTGSSELDWDDMNWVPDPIDAAADYKKSKGADV VGSLISLFDSKEAFVKELQSLLSERLLKKKADFDQELSVLELLKIRFGDGALQACEVM LRDVLDSKRLDAVVRNDQGLGGKQQSARRQNNQNMPQFHSKILSRFFWPAIHDQPFNV PEEIKMLQQRYSTGFESLKQSRKLTWLNALGHVTVELDLEDRVFTDEVTTWQATVIYA FQSRSPSFENAPATKTVAKLAETLSMSASLVRSACLFWVSKRILTEVQRDSFRVLEVL PNDGDHVMSGGDQEAADSSATEANAAAGADVAAAAAAKETANAATMEKMNLYWQFIVG MLTNQGAMPLQRIIMMLKIAVPGGFPFSNEELREFLASMVAQGKLEVVSGGSYKIVR TSTA_068950 MASFVADLWSSVFTPGPTPTLLVATNATFAALQLVLFLLLIATY SIHFVVLSFLSGALWWSINWFAREVRQEQARQEAEKRKQEALEQEASESNKLNSSADA NDSETETEIGGVSKTRRRRVNQPEIASLSPASALQSKKPGDKDGQGKTIEGENSGYTS TDSEWEKVDKDRST TSTA_068960 MVILNGYVAKTAREPDYELNLIPLLPYLSKMLTSSFLKPLSQAG PKKMEKQWKLSLKSRKWNTRIVNIFFILTIPVLRIIGGASLSELAQSVLEKLDAAMLP LVSVSQEENAQSSEEDSKRDASSSEALLTPSKVLLTPATPPEDINEGSDSYIASKPLI NSEAPVDNILTLSKNKSSRLLMSYSQIRFWAVKSLVPDQSFFTVTVGLWIGDNLRVDR LNSGVATIAQRHEIFRTRFYDDENGVPMQEIMHTSSIQLEQIYCADKAAASDGFKDDY EALTIAMSSITPVHDEILLEQRTMERSASAIVLYSSGTTGTPKGIVLTHGGILDRVEA MDKFGLEKQRVLQQNAITSDHTLTQVFLGLFS TSTA_068970 MYTTLQAHCTSDITLYSGPLLNSVSNFLSQTRSMNMFPQGNRQG GSFHSQQAQWAQYQRSLSATPEDPYQGRPHESSLSPSIGYSSLPSQQVMFSNTSYPIG LQQPLQAQPSQAFYPTSQNNTTHSHTGSLVTSESESRVRVLESRPKPQCWDHGCNGRE FSTFSNLLRHQRERSGAAAKSECPHCGAVFTRSTARNTHIAQGKCKGIRESSE TSTA_068970 MYTTLQAHCTSDITLYSGPLLNSVSNFLSQTRSMNMFPQGNRQG GSFHSQQAQWAQYQRSLSATPEDPYQGRPHESSLSPSIGYSSLPSQQVMFSNTSYPIG LQQPLQAQPSQAFYPTSQNNTTHSHTGSLVTSESESRVRVLESRPKPQCWDHGCNGRE FSTFSNLLRHQRERSGAAAKSECPHCGAVFTRSTARNTHIAQGKCKGIRESSE TSTA_068980 MASIFTYDPDPPRVSSPWSVSGSSTPGIGAGENLCSNSETGLLA VDSDKLADYGISKLEPEPQEGPTEYKLHLLLRSRKPFISMSTGQVVGGNFQFKNSKKP YWNLNPILEPSASPRSSSAANLVSRQQRLQQLTTQLLWRLQQSSPFHSSSTANLILPV LPEATPKLGLPPKPSRLLPGLEESQGALYEIGVADDGTFVGLVKEELDESLTNLGAMA ASLGCKVDVLRKVVVGTCEWAEGSEPEVIRSGELWVAEALVSPDLEYYNSLSSKESGL EMLSLDDNVPPSKEEDFLEGGCSQTEQIRISITGPSAAGKSSLLGTLTTSALDNGRGK SRLSLLKHRHEISSGITSSVAQELIGYRDLPSSPQGSIDVINYASGNVTAWNDIHAAS DGGRLAFVSDLPGLPRYVKSTLRGLISWAPHYVILCIPANSSHEAVAQDDGEQAPEID ICLAYLDLCIRLETPLVVAITKLDLASRMGLKQTLGRVLSTIKAADKKPLMLAVAGDD AKRTPNLNKISTTDCQEALKTVSAVNDDWSGTVPILLTSAVNGSGVGKFHALLRYLPL PRTPSSRLVRTTRIQRRQEIPTDVFDIDEVFAIPPSKVYSSLDTTRADTKGVVLCGLV RHGSISVGDELVVGPIAVESRPDDINTPHASRPRSLQTPDNASNASPRSRSLRSRPAS GEFSPFSQGSFPGKSRLLSHAEWQRVRVVSVRNLRLSIRILLEDQVGTIGVEPIPWGP GREVNALGRLRKGMILAQARISPDQSETSTIPSYLAFNTAFVATFPSRDFSSTSSPPL ILGGSVVVYIGNIRAAAKVTCVALADDSEIDSSPEPHPEEADFFSFDDDDEKEKQKQE NRFRRTRQESEKTIDVSSARSNGYVNITFAFISSIEWVEVGSLVVVMPGVTTATSATG DLIPFSGLEGYVGRVIEVSSSEDMSER TSTA_068990 MDNNNLIQTSPGMLTQGTATDDEADNTVSSATSVSASMTQHQPS KTGIIVSNDDVLDSSPTTCSSNESPNPKNPLNLLDLPLDILREIVKEITLTHDLTSLA LTCSALHSLAIPAMYSRFDIVWPEPAPVSDQPMGVDALSYGLATLVMGANVFKETPRA RHNKQRCQHCGCDGPRQQCVHGSSSLRTNYGGFRIGNNYAQWTKKFCVGNGPNDWVQE YAITKETGKLLGTLVALAVARMANLETFIWDMPTGVMRDVFLALASLDARSGDRECHL ERVWVRWHDNRQKNPVFHTWTHHRPVVDLYQRVEYPTFSVLPPLKSLSVLNIDEPAYL QEMAILIERSRSRLRELRIGMATTCATDSWVYPTELEPTSDEPSRSSLFPGWPKSGGV LSILLNIPATGMVLHTVSPSAEPNGSKNHHGIPSSPTSNIPNEQSTGVTVTTHMLGDL TVDETQGTTDSQVWNTTSLNADSNQVKVSGSPVATARTSSEKQERLKLEILELENVVI YTPLLMKAIDLTRLTTLTILHCDEHESLWRALRRKFSPSTESSRQGSDNAAQEAYPFK LKHLHTDRVSPYLMLFLKEAIAPNTLESIYLQEGRLYTTVVSIEAIYKHVLRRQRASL RKVLIDRSRRGEPVSINHGHWRDWMFPREALAFVTSGKMPQLRELGIGMDRRDWHFFL QRLPRLANLRALYLPNMFDPTLGRNKHRELALQILDIVTLRPEIQLCYIGIEHKCFEI LETPVNDKIDRELYRDSPWYSESDSDEPDDQTNGHDSESHSEDEEDDEVEGGDDDVHT NPHAILEMDEDTEDDSEYRHSNNGSRFVFRLREILFYDDKISVFKSRHCSL TSTA_069000 MKLVRFLMKCMNETVTIELKNGTILSGTIASVSPQMNTALRTVK MTPKGREPISLDTINIRGSTIRYYILPDSLPLDTLLVDDQPKPRNKARKEAADRGGAR GRGGPRGGRGGRGRGRGRGRGF TSTA_069010 MLPTPSTSHVSFDTIYEPAEDSFLLLDTLSSASEAAWLTDRFQI SKSSASPLIVEVGSGSGVVIAFTAANSKHIFDVNRDACQATRQTVQTAISETGNASRT HYLSSLTGDLVSPLKPGSVDVLLFNPPYVPTPDLPILPQPRQNETKSLSRSEKFEHDS YLLSLSYAGGLDGMETTNRFIEAIPSILNPERGVAYLLLCAQNKPEDVRARIIRGWHG WTADMVGRSGMQAGWEKLVILRIYRNEM TSTA_069020 MRVLTRLLAAPTTIGSKASLTTEPLALLPPIPLYRRLLRVHRKK LPPQMRLLGDEYVKSEFRAHRNVDNPIHIVGFLTEWQLYAQQLEGDSWQGGKLDKAKL DKMSDQQIGQLYELMQAIQNKSEGDGERE TSTA_069020 MRVLTRLLAAPTTIGSKASLTTEPLALLPPIPLYRRLLRVHRKK LPPQMRLLGDEYVKSEFRAHRNVDNPIHIVGFLTEWQLYAQQLEGDSWQGGKLDKAKL DKMSDQQIGQLYELMQAIQNKSEGDGERE TSTA_069030 MPERVHMRFDDSDDDKTVVNKPTTTTVQPPKNKSKPLKPDKSLE VSNKRRKRDESGRQPQPKAHNETIAGPKLHADSAFKNKTNNSNPHAFKKHVNRAQREH TAVSYSLKEKAKTLLETRKKLPIFQHADEIRQKLKDNNVMLLIGETGSGKSTQVPQFL VDEPWCQMKKVKITNTTDNGNFQTKSIPVGGCVAITQPRRVAAISLARRVAEEMGTPL GKASPASKVGYSVRFDTSVSPSTRINFLTDGMLLQEMLHNPWLTKYSVVVVDEVHERG VNVDLVLGFLKNILSSKGEGRGGLPLKVIVMSATADMESLLEFFGQEGGSDPNLNGEL TTENGPISNNKSNAVSSCHIKGRQFPVQTIYSPAPVHDFVDAALETIFTIHIKEPMPG DILVFLTGQETVENLEYLVNEYAVGMDPSLPKVLALPLFAALPQAAQQRVFAPAPPRT RKIILATNIAETSVTVSGVRFVVDCGKAKMKQFRSRLGLDSLLVKPISKSAAIQRKGR AGREAPGKCYRLYTERDYLALDEANTPEILRSDLSQSLLTMKARGVDDIMNFPFLTRP PREALEKALLQLFNIQALQETGKISDIGLQIAKLPLTPPLGRVLLAAAEHGQNCLLDV IDIISSLSVENIFLNTTSEEKKDEAEKARRDLYRREGDHLTMLMTVQGYATENADRKA WAERHMVSHRAMQSVMDVRKQLTAQCKQAKLLTDQDVSNEKRVPPDALATVSILKSFL TGFSTNTARLVPDGSYRTVVGNQTVAIHPSSVLFGKRVEAIMYNEFVFTNRSYARGVS AVQMDWVGEVLAG TSTA_069040 MIEGTELFSQVQDAKGNYDSKLHIAEMIALLGSQLKKFLVMSDS MAEFEWSPPIKDKRVKHKSNREYFGGPFFDDQVNSSEMT TSTA_069050 MEESPELNAFRRQWREEVTRRNQTTATPRQRQPTSQNLSSTANS HLDHLPPTKHEAADRKDEVEEEDFGRDYGEFVQRTEALTLRSADEDSFQRVPQKEPRS ALEHFEKAVEKEAEGSLGDSLAHYRKAYRLDAAIDQKYRKKHFSAKPAPTQSTPITST SEPRKSTKEKSEDVETLPTPELILSFANLPIPPAEPYIEGDPAPPCPIAKIPNEILIE VLDHVAFMDPASWGRMSLVCKRFAYHFAHEQQIWKRLCQGHEFGFGSMHYSFNCDVLG DIYHSFSPAYTPFPRGTAVSIPEPLSSWSQVFQSFPRIRFTGIYISTVNYTRPGGASS YANAAWTDPIHIVTYYRYLRFYPDGSVISLLTTTEPIDVVPHISKENVAAARLIRHPN KKRAEAASHEASTSGAPVPTVAMQALKYALRGRWHLTRPTNEEPQNSEIGDRLHSPLS TENNQGIGSDPRDLIIETEGVDPKYTYVLHLGLRSSNPARAVGATKAPPNVSKNTKLV WKGFWSYNKLTDDWGEFGLRNDRSFAFRRVRGWGF TSTA_069060 MSTQGRHSRLDKSSPLAEGSLHAEFAEHRDWHDHETRAMASLNS TGSPLAAAAAAAQGSEPAQISVGQRMLSATGGSILTSLLVTPLDVVRIRLQSQASHIH NASKFTAHTTDAFRELPADLGVTACCREVFWIGNNPEICLVGNGPVARAIDCAVEDTQ QRRINSTFDGIRKIARNEGVLTLWRGLVPTLVMSVPGNVIYLAGYEWLRVDPHSPLPR YIPDGYVPLVAGSIARVAAASAISPIEMFRTRLQATPGTGTGHFRATLEGLHQMTQAR GYSSLWRGLSLTMWRDVPFSGLYWWGYEAVRDIITDLRERNKHKNNLQDGLRSRRGSQ SSQSTATTFMDSFIAGSVSGAVAALVTTPFDVGKTRQQVFRHGADEVVGSTAGRIGST TVVHPELLSMPRFLLHIFKHEGLGGLFKGWVARCLKVAPACAIMISSYELVSASESGM PGSAIILTSPRLRVYHSWAVLSLRFLCTQLIVYLLVLA TSTA_069060 MSTQGRHSRLDKSSPLAEGSLHAEFAEHRDWHDHETRAMASLNS TGSPLAAAAAAAQGSEPAQISVGQRMLSATGGSILTSLLVTPLDVVRIRLQSQASHIH NASKFTAHTTDAFRELPADLGVTACCREVFWIGNNPEICLVGNGPVARAIDCAVEDTQ QRRINSTFDGIRKIARNEGVLTLWRGLVPTLVMSVPGNVIYLAGYEWLRVDPHSPLPR YIPDGYVPLVAGSIARVAAASAISPIEMFRTRLQATPGTGTGHFRATLEGLHQMTQAR GYSSLWRGLSLTMWRDVPFSGLYWWGYEAVRDIITDLRERNKHKNNLQDGLRSRRGSQ SSQSTATTFMDSFIAGSVSGAVAALVTTPFDVGKTRQQVFRHGADEVVGSTAGRIGST TVVHPELLSMPRFLLHIFKHEGLGGLFKGWVARCLKVAPACAIMISSYELGKKMAQGV NTRRHSDGDNL TSTA_069070 MGILGFLHAFADYLLSLVKIVQNREVGWRTINRKTGREIREQQP LLKKLKLFLLFNPLTEWIDTTHFMRLHLHKKNYESGIREGTPASKNQIKSFVNFYHIN MNDFEPSEINAYNTFEDFFVRAHKPGSRPIHAKNDPAKAVVVADSRVVVYETVAESKK IWIKGNDFTITNLVMDKQLGPLFDDGSVASFRLSPQDYHRYHSPVSGKIKLFRSLPGN YYQVDPLALRSGLDILSNNARDYVLIESEQFGDVLFVAIGATDVGTVMIHDKWQKPGN YINKGDELGLFQFGGSSIIVAFQKGHIEFDKDLLDVSKAAIAMDVEIGMSLGKAVKVS KS TSTA_069080 MAEQTENQFNNTSGISDPVWVHEVPYSSYPHAAFGPLKQSITNT DVCIIGAGIAGISLAYELIARGRKQVTMIEARHVLSGETGRTSGHLSNALDDGYINIE KKHGREGANIAAESHTWAVHRVGEISKELGIDCEFRHLKEVANIEKEAELAQELLGKD QVSFQEGLEVRGWDVSGPDQRDGAIFRNQATFHPTKYLLGVLNWLKDQHKFQCFEHTR MMSIEEDSKHGVVKVKTMDGHTITATDVVEATAVPLQKLSVIAEMSFNRTYCIAIRVP KGAIEDCLIYDEAEAYKYIRFTACDDKDDYLVIGGCDHKVGQEHDSQARYKELEEWVR ARFPFVGSVDYKWSGQIFEPVDYMAFIGKNQGRKHTYIITGDSGNGLTHGVLAGRLIA DEISGINNSWASLYSPSRVVSIAKSLPTMVEHDVQINTQYKRYLQSDITDIEDLAPNT GGVMHTGHIGQQPVAVYKDEKGKAHQLSAVCPHMKGVVCWNATEKSWDCPVHGSRFGW DGVCIEGPAKKGLTPIST TSTA_069090 MPNTDLLIIGAGPAGLMAACWASQFNISTRIIDQKPGRTRTGHA DGIHSRTSEILDSFGLVDQILRQAVIETEMCYWACANESGEIQRVKKTSVQLENLSRF EQILLNQGLIEDVLVRYISDIGRVKIEWNKKAEMLDVSTANEAEYPVTVSVKDLHDSS NQTETIRARYIVACDGSHSWTREQLHIPMETRSDESTWSVIDIAPITNFPDIRQSCAI KSQKNGSIMTAPRENRLLRLYIQLKGDDELEQIARQKSHESPKALVRIAERAMKPYYF RYRHCDWWSIYNVGLPGQRLVKHHRIHDRIFLAGDAAHTHSPMAGQGMNISMQDTYNL LWKLGSIIIGAANPAILDTYESERRPVARTLMQVDKRVLQAYEQADPKSEGVDKVREQ FAGFMSGTGVVYQPSILVGESDADLCLNRAGMEKVKVGMRLACFKKMVKNQADGSTKY LLSLLRSTGIWRLLVFAGDVRQEEQLMKLDEFSNSSLVTKFLNRLGLSIECLLIHNTP RDPIDVFDIPEIFRPFDDTFGWDYGRIFTDYEDSTYPSNGAISTDGEERGKGISEFVI LCRPDQHVSWLGALDNLHGLERMIAMIFDR TSTA_069100 MFENIIPLQLTQSQRRLRDSKPLFPRSSTTANNIIDIRPHPEMT DNTLRESIQESLNKITSGQDSEFYVGMPSLLLWNEQGLRYFEDVTYSPSYYLTNEEIG LLEKHKYKIAERIESGSMLVELGSGNLRKIKVLLEALDNLGREVDYFALDVSLPELQR TISLVPPGYFRHVRCFGLLGTYDDGREWLNKPELQSRPKTLLSLGSTLGSFQRHEIGG FLRSFFSSSGRNPSFFVGLDGCKDAQRVYNAYNDPEGANQRFVKNGLHRANQILAYEA FDLEHWHVKGVWEEENGRHSQYYYLDADVSLGDGMQVPAGKSLLAIQSHKYDSDDQAW LAESAGLEIVESWSSGQGYSLLFLGEQKQ TSTA_069110 MHSSIELPPLRDHFKQEPLPPFQSSRPRELLPSILSHSPPGRSS TLPPLQRNNSKIQRPRKSSITQNARKPKHDRTRSKEFARRPSLGDRKALSAEPQTAAW VQGKRWEDLIEAATSATEVDEDRDTTPVPHSPSVSTLLNNTSSISSAKNRNSLPPSLH SSSLAPLRRSFQGSSYAESPLQKSLTPPPLELHRSRDSDMEPFPSIEPSLESASTVSG KNFHMSASGLSSSVTSDSSPLNHLHNHVRPQHRFSNPTPSTFRHRDIQIYCANCNRAW PLQDCYACTECICGVCRECVGMFISSPPMSMSRPINSPNKAPTSYPSPRGCPKCRTVG GKWKAFQLEFR TSTA_069120 MKTITSLLLFSATSLAKTLYVSHYDGHVYTLTYNTTDPNPETSL TLASTLTACGSMPSWLELDADAYLLYCIDETGTSQTSGNGSLTSFDISDVSRPAVVSE TGTLAGGVASVIYEAGEEGEKFIAIAHYEGSSVSTFPLPLTNDTTALQTFLFTLTGPV TVPDRQDAPHPHSVFLDPTASYILSPDLGADLIRIFAINDSDGTLTECEAHKTVPGDG PRHGVFNQEGNRLYITNELADTVSTYSVSYKDDCTCGLSLKLRNEIVPSPTGKLPTSY VAEIRIPNNSTNVYVTVRGDQSFAPDDSIAFLDPSPNPTGLVAKNLTSSFGSYPRTLV ISEDGSLVAVGNQKSSSVAIVQRDVETGELGELVGNLQVGDVEAGLSSVIWGSD TSTA_069130 MVTRTSPNTPLHIYYHQSHENLKVVDGNSNTTLYIINRSLTEPH LTILHASSGSGKPTDMAGTVSMDDEPTDISLCFPDREFKMTLHDRKADVHWVAGPGIK WKWKHQYKKNEDLEAIDMATGRWLAKFEIASSEFDKKGTIVLSEFMLLGQSELDVLVV TALARNEYDRRVRKKNREGVVHLGLKLEDMC TSTA_069140 MISLSDINYLRRCVDLAREAVDAGDAPFGSILVNAAGEILQEAR NRVTTEEDITLHPEFTLVIWAQKHLSPTERATTTVYTSGEHCPMCASAHAYADLGRIV YVSSSAQLVQWRREFGVKSGPVAPLPIGQVAPGLVVDGPVPGLDEEFAIDGT TSTA_069150 MATVLVTGASGFIAAHVVAAFLRNGYNVRGTVRSEKSAAEVRQT HADYASQLSISIVPDIAAPHAFDEAVKGVNGIIHTASPFVLNANDYEKELFQPAIQGT TSILKAAQEHNPDVRRVVITGSFASVLDPSKGLRPGYVYTEADWNPVTVEEAGTNGSI AYLASKTFAEQAAWKYVKDNKPNFSVTTLLPPMVFGPVVHHVKDVDSLNTSSADIYRL INGSETEVPVNAFWAFVDVRDVAAAHVLAFEKPEAAGQRYLLYTSPYSYQQFCDIIRE KFPQLRETTPMGTPGAPIPPVYTLDTSKAVQQLGMKFRPLEETIVDTVESFLKI TSTA_069160 MATLQPSLTIEKEAESETQSASSKTKTEITAATPQTTGVNVPPP PNGGTRAWLQVLGAFFLNFNTWGLLKNTFGLFQSEYSAGLLRNSSQSSIAWIGSLQSF LMLVVGILCGRALDAGYFYVDIVLGVFLEVFGMMMVSICKEYWQLVLAQGLVVGIGTG MTFIPSVAIVGTYFSTHRSTALGLAATGSSVGGIIYPIVLRRLIVQIGFPWAVRTMAF IMLGTLLVSIVEMKPRLPPRKSGPLVNTEVLRDPIFVIWLIVVFFIFIGLYIPFYYVE QYALNLGISTDLSFYMLVIMNAGSVPGRILPSIIADKIGNLSVMIPVQISE TSTA_069170 MANRLSLRQLCCESLIALLGAKNVLLPGSSGYNASLSSYFSPQA SSVHPLCFVTPQSSTDVSKIVSSLAQSGSCIFAIRSGGHTWFPGASSAPSGVTIDLRG LDSIEVHTQNSSVSIGVGTTWDVVYSTLEAYGLSAVGGRVAGVGVGGLTLGGGISFFG PRYGWACNQALSYQVVLANGSIVEASESHNADLWWGLRGGSNNFGVVTGVTLAAFEQG PLWTTTTDNTLASVNDQVSIYSQIMQPQNYDENASYLFGWGISQPLNQTVPITLNQLA YTKPQGNSTPEYYQDIVNLPNLAPLEVAVVNMSTIAVAGAAAQPPQVQQYLTATTTYV PTKEMLLATFNAYNNSVASLRNLTGVTWTVIIEPLPPQIYARGATENALGLAGNTQSL AVCLVSPSWTDPSQNEQIYALARELMDTIENEAKKLGAYDPYIYLNYAAPWQDVIAGY GPASVSRLQKLKAEVDPNNVFQRLVPGGFKIPS TSTA_069180 MPPIRNKNRKNLDEQEGRILLAISDLQNGRIQRVAQAARIYEIP RTTLQDRLNGIQQRSLVRANSHKLTQYEEESLVKWVLDLDRRGLPPRHSLVREMANYI LSQHGKPQVGKNWITKLIKRRPEIDSKFARKYNYERAKCEDPKIIQEHFDRVQAAISE YGILPEDIFNFDETGFAMGLCATAKVITGSDRYAQPKLLQPGNREWVTAIEATNSTGW DVPSYVIFKAKKNVREGWFDDLPDDWRINISDNGWTTDQIGLEWLKTHFIPYINGRTV GKYRMLILDGHGSHLTPEFDHIFCMPPHSSHLLQPLDVGCFAVLKRHYGQLVEQRMRL GFNHIDKMDFLTAFPQARTVAYKAQTIRNSFAATGLVPFNPDRVIQQLNIRLKTPTPP PSRSSNTASSCLQTPQNIRQFIRQSTTINKRINERTESNQNQEINQAVVRLSKAYEMI ANDVLLVRKENYDLRAAHEKEKQKRQKSKKQISIEQGVTKEEVQALVQGQVEASHAVT TTPAEPELPASQAVVRRQYRCSGCNVMGHRINQCPSRISS TSTA_069190 MASSAAPTDLCALPAGVSPDGVYNFISPPSLFPALLVISVVLGA FSTIFVLGRLCVNRKKLRIADYMTFIGCLINITYTGLVLARPDSYRHIWDTPVCDFNG ESLKILFVQTMFFGPVFFFTKAAILLLYSQLFAIEMRFKLAINLSILVTLLLYLSEFP LAAIYAAPRPGHSWNSLLENLKANAPRLALGGAVQSAISTALDIYIFILPLPILLKLQ MMPRQRLQLLGIFSTALLGVGASIASLIIKIRLMSSADSSWLGAQATMCTIIETNIAL IVGCMPSFAQFVTVYVRGSTFIKSLRSRLLSGSGRTRNASAPSKDVITFGSNQPPRRN NYYELTDTMLLETQTTIRDDTSEMDHHSKSSSTQSRVEQAV TSTA_069200 MLSEFLISPVALLLCSCIIYSLVSFIKHCRRLPNIPVIGARKND LFPIFQAKWRNTLNFKAAMEQAYTQYRDSAVILPVVSGGDSVMLPYSEIDFKERAAQI YQTDHTFMNPRINRAARYDTIIRTTMTPQVGILIPALSDEVNWAFDEYWGINTSKWKE VCVFETVRHIVGSVANRAVVGAPYCRDPGLVNNGMAFAIDVPFSASILNLFWEPLRPF IAPFVTIPNRIHTRRFRKIVLAEIEKRLRDYDARRANPEDKSIGPEPNDFLQWAIQQA KAFGDPYMWRSETLADRLLILNFAALHTSSLFATWAMFDLAACEPKVLDELREEITSV LAAHGGQWNKRAVAELEKLDSAMRESARLNSVLAVGLRRVVLAENGLTTPSGVHLPKG THISVPVYPVMRDDSIYADANTFMPFRFSELRSKQHQQQRSEGEEKEQESNNINMKRI PNNFTATSPDFLVFGHGKHACTGRHFAATMLKLILADAILKYDFERQTAKVEGPWYGD FRLPPMKACIKIRRRETPA TSTA_069210 MESTTAKGTIIVTGANGGLGSAITKEIVSKPEFSAYYGLYLVRD AAYAPALDSVLATSSKHPHEALSIDLTDLDSVRKAAEAINLRVSEGKIPQIRALVLNA GFQDFGKQTWTKDGFDTTFSVNYLGHWLLTLLLLKSIDRDAGRIVIVGSQAHDPNDKR NDSSKAFIDDKYNPMIRDKDNFEAIAKGKWSSAIEDTTWRSGFRRYGAAKLCLTMMMH ELQHRMNTDPQLKSVCILGVDPGAMSTGLQRHASWFIRVLLFQIVLPLTLLLMSKPPL RPTQKSASHILQAAFDSNEVLGQYPKDLYFNGDEPLETSEESRDAQKRELVWKESVRY TQLKEGETVLAAWE TSTA_069220 MSPAFNFITTPFPFQSRLLGARQEEFLIVGKVASFLILALILWR LWRFTVSPFLHPERPKEFPYWVPCHGRAFFQDSNGLIARARDHFRYTQEPIALTAFGV TFYVVTQTKQSAEVYKNKDTLSFENFVQTLMRNNGNEEDVIQLMYSSLPADKFGFPNP YGESLGVLAQKMHAHQLHPGDQLALLQNQVTQRIDYLLRLDTLLGTCSPYATSRSPQH IEFPLYKWCSDYFIRLGQHVYFGETLDQIDPTLPEVFLEFDELIFKMLYQFPSFLSHD MSKPRAQVIASLKKYFQVPQSKRRNSAAWLISAMEDEMRALGVDDDNLAVVIFHLYLA INTNTRKSVFWMLTYLLHNPTLLARYRQETGPAFKDGELTDALYIQNPATCPEVAMIW HETLRLSGWAASVRLIMEDTMIGGKLMGKGNRVIVPHRLLHFDESIFGAEPHTFRPER WQQKENLERSPSWRPFGGGQTMCSGRYLARFSATTFVAMLLQRFDVEMVGSPKLPAAD EGRPVLGIMSIKKGHDFNVRLSLRRKDS TSTA_069230 MLIHVLSGTALGYVIWTLFRLESNFQKVRCMKVPILRIPIDPNS ILWVIFQPLVWKLLGFLPIPWSSYPDFVRFSHRNWHFLEKSSPGRRFGAVWALVSPGG IHLHFSDPDAIQEILSRWRDFVRPVEKYQILAIFGPSILTVKLEDWPRHRKAVSVPFN QANTKFVWNETLRQTQSIGRYWESQAPGEIPDVQQDLRTLSMNVLAAVAFHEPYDFIG SVKLKDRKFSMESYRDCLYIVHKYLIFLMLVPYRFLNGRFVPRTWVGIGHAATSMKSS MVNVVNRESKALSEGKAQYDGIIPSLVRALDQSDAQQGGDVGDTVKKSPRRSQLSIDE ILGNVFVMNIAGHDTTANTLSFIVMRLAANPDVQDWLREELTTVIGDRKLEDWDYALF QDLKRCQAVLYETLRLYAPITGLPKIASKTIEAFHGGGDHVLTIPSGTEVFPMLLGIQ TDLRYWNDPYTWRPSRWILLSDTMTPDHYDGKTEQLFVPPKGTYFPWSEGPQNCIGMK FSQAEVVAFLACLFKEHRVRPSLRKGETEVDARKRAQKCADDVNYDMLLKMSQPSRVK LVCERV TSTA_069240 MTNITNIASVSAFCCSILSLLLQDKIAFPGNETYTASLASYFSA QESSVSPACIASPQNAEDVSTIVHALTTAVNTNASDSCLFAIRSGGHMSFAGSANVQD GVTIDMRGVDDVELNSDRSVVSIGAGANWGSVYRQLDPYNLSVAGGRDSTVGVGGLIT SGGISYFGPRYGWACDNVLNYVVVLANGSIVNANKNENPELLWALRGGSNNFGIVVRA DLQTFAQGEIWGGVVFYDFSTVDEQVEAIAAFNRHDTYDEFSSLVPSFGYFNGSSFII NSMEYTKPAVDPPAFQNLTSIPSLESTMRLTNISDLVDESESLQMNGLRSASATVTID SSVEALTATVGAWNASVFSVEDIPGIVWALAMDPLPPAMYTRHAEANALGLTGREGKS FIIINLRVTWNNADDDARVYSEIKQLIATMEREVGSLGSLDPFVYLNYAAPWQKPISS YGQASVERLTRIREEYDPQRVFTNTVPGGFKILN TSTA_069250 MASQKFYSYVVAGSVLMISLLILRRKKAVREQQPPAPPKPHPLA NTPPEQLPQYIQELLAAVSGCVILQNDVEAFQKAVDYSWAQQNREIIPACVLRPRDTH ELSKIVAILKKEHERRTRAGSQVESFFSVRSGGVNPGLGASTVKDGAVVDLSLFSEIM PAPDGSTVTVGTGSKWIDVYKTLDEKGLIVMGGRNAPVGVGGLTLQGGISFYSPKYGF VCSNCVSYEAVLADGKVVTASASENPDLWRVLKGGGNNFGIVTRFTLRSFPYAPLWTS GIVTLAAFQYAKSLKAYHDYLAHTSSGKPGAFDEDAAGPILSFIYVQKLGFQILALSI LYTKVPEDKKWPAHWDATGFKSLRGIRKNRVETNTSIVERFGGTAPAGTRHVQGTTTI CNDLETIKNAYAIFCETTAELRHVNGLVFPFTFQAILPGWMNKGDPNIFGLENCTEPL IIISYSVTWAKAEDDEFVRSTIRRSIERIEAAAEARKAGHQYRFINYCMEWQRPYEGC GEENLKLMREASHKYDPAGLFQSGRAGGFKLDI TSTA_069260 MDRNALRERLPPTLYMIANFAHEITGRKPGKNCASRWLNKHPNA LISRYSPDIDRNRKRADCAWSYALYLELINRNIEQYNLQPNQIYNMDEKGFAIGIMTS QKRVFSRRLYEKKFKQFLQDGNREWITTIACIRADGTVISPVLIYMAKSGHQGFIMFL EETQGKKYQLWLQSTGLVPFSPEVVLQRFNEKSESRPSSASSTASILLPEEWRDIRKL LRKIGGKNPSKDFKMLSNTVMELTTEVILLRLQLERAEKALLNEKRRRIRKRPLLLGL PNENEGGAIFFSFSKIQQARELQQQREDQARRKEPGNTIKSFDNGLQRKLQRKKSKKR LKPVNKSEKDACKKLPKKQRQKLEEKLAKQANLQLQKDIIATTNPRKSRTNPNSRKLK RKQSSEVEEEVIDEVLATNRREQ TSTA_069270 MEEARHGEGELRRGAQPGVTDQRRLSGEAEERAAAETAEQERIR REQDERVVAEQERLRREAQEKAAAEAAQRQEAIVAEQPRLQREAEEQAAEQERDRRET EERAQKEAEEKAAAKEAEAARLRREAEQAAEPFRLEREAEERAAEEERLEQGKERLAV AAEQERLRREAEESADVEMVEQERLRQETSSAEEERIAQERANALAHLEQGDEDVSAP PDAGPFERSSSTTQVDLPIDLPNLITQLREGSDSPGQDHSPIVEPHGLATQSFPETAI ESHTVEAPTGDVSPPRMVTAALEPITEEAEVSSLIPSVIIEGDRQRQLRERIAERRRA DKEKVANSERASLEIDDNFAQTRGAESEDLYTNEPDEEVIIPPELLTESTLPPEGASE ILRQDRIQEADTAASAPTTEPLPQVTVTRTKSKSKPKPKGIQKVRKSAQATRKPAASR ASFDPSSRPLTQMEFYKIMADLPAWPGNDQSAHDDVPPPPGDLEADTIIFRVWRGGKW IEIQRVAIDPDDPFHIERVANRYEQVECVYGNPQVLSINTGILAIPVPWGFLLYLHVI ILLLPFWGSVIIFE TSTA_069280 MAGAEDLSKGLTQLSLGVDSHKIIVGVDYGTTYTGLSYVSTEKK DINDIVVVNTWPGPARDADHVEKAPSRIAYPNENTDMESPRWGYQVEPGMTAYSWTKL LLEQRMPLGGYEDQNLEVASKAGIMKLPEGKTAVQVVADFLTEIRDHARRILEKRITE KILAATPLEFWLTIPAIWSESAKNATREAALLAGFEGSSDRPKDRIFMITEPEAAAVA AIRKSIHDGMGDCVKPEDGVLICDCGGGTVDITTYLISETSPQLVFEELCTGIGGKCG STAIDRNLYALMSERFGNAFDEIPLKRKGPGSEFMNKFERVKRDFGFSKEDKINELRL KMNLKDPDPTFYDEEETFVLLSNNDLRKMFDPIIKQIIELLQQQIEDANREAEREAIN RIILVGGFGDSYYLRQELVKHFESAGRITITVPDNPQSVIVRGAALRGLEGIRPKTRR SRRHYGFQRWIPFREGTDSELDARTDPFTGLKMVSGIMKWMIAKGEKYVDNYNSDPHN LKILHFEGDSLRKHLLLFSCDLRDAPERYDSDKIEHVGMIVVDFRNVDLAQFEKKKVN GIETTVLQYAVKVVFGAEEGVLKFLVIANDAVIGTANIDFSQKTTISQVHVPRSSSQP RMAQKSLEYRSPKKDTSP TSTA_069290 MGKAMSDIIGLKKPEKKKTTESSNRQQDSSPKERTSRATKRVQV SSEKNQGKSDDAENALQVLKEPESSHEVEDDGQPISKSEMDNNAASNEDSATRIAELE EEIRCLQGQLKSYDEGYRSLQQQLRDAQEGAFRALKKGTWMPKEDYRVRDEFAKLEEN IRNWAKAYALSDISTLQAKITETEKNSVLSKLDGYYDGDWDRLVSCTKALVQKRLPRI LVQALLAKDIFDNIFDNPFFFFNEETENKEKFRSPFGTQLVALYNEMEKVNEAQAHVW RSDTLRLLNVKTQDARQRISIKRAEAFFQGPVQSLCTDLTDSEKTKCKEELQEVYIWA ADLSASLWTQRTYMRNDGLRQLDEFSIESPEMVAHPLYRLDDEDHSMDSKGVVLVVYP LVTAYGNDDGDLYDQKTSPDDLLWKEEDPSTKYILSHKFNQAGAGWIGFENCQGLRTV FVKIVKTTGRQKLQFHKTDQINLVNLQEVFHSKNVTYLIYDFDRCDLPLSMIHASPNV QFTEADIAIVCRLVLTGLQYIHEKLKISHGSIKLLDLVLSQSGQVKIGMVPN TSTA_069300 MLDEEHPVLPAADPRDANPYLLGRVSDHNVVIACLPAETTGKVS AATVTDMIHSFPSIRFGLMVGIGGGVPHHTYPEQGAGVEIGEDDDESEDEMEEIPDIR LGDVVVSLHTKSTEAVVQYDFGKSLQEKEFVHTGGKLNAVARLQANHTRGHYKIPELL SKMLADNPSMANFQHPGSEKDRLFKADIIHVEAPKIHCGTIGSADQVMKNAILRDQWA LKENILSVWKLLYKLILNHAPTKILALQFNDKRIFFW TSTA_069310 MNASELLFNMPGPRQILVISLSRYLRGQPLREILDKNWEAYPNE LPRFKNVPFDFDGNDIPTALQDLKSTIKACTWDGILIGWCIRGYPERTNLLEEVISVY TKAIRKNDAGTKLMFCTGPDNLADATLRNFPKEAQEVLQS TSTA_069320 MATTLSSHHAQAPHELQNSLSQSSSTRKIDLTLFPDGLKTTGQH EPLPEHIHPFEDFPKEIIGRTVWKAEDYKDHPEKWVHRFTPAEVEELSSAADRFIAAG TPLTGITQKKFVLPTLGPYLYYELRDDLINGKGFILFKGLPVTAWGNRKSAVAYMGLG TYLGYFVSQNSRGHVLGHVKDLGEDPTQIDKVRIYRTNARQFFHVDDSDIVGLLCIAR ALEGGESDLVSAHHVYNVLSKERPDVLKTLTEPNWYFDRKGETSKGQEEYIKTTVFYL ERTEENPRVYIKWDPYFVRSLTRFSDKGIIPPLSDRQQEALRVLEETAQRLSLHMILE VGDIQFLANTHILHARTAYKDYAPPAPRRHLMRLWLATPESEGGWKLPFWDSDEKKRG GIQVDDQPPVALLDAE TSTA_069330 MKPLHAEWSSFITQHPKIRHDDHQRNRDFYDSPAGHKFVTEVLT IDTTIPASDDHNIPIRIYTAKRSELSHGVVIFFHSGGFTSGSLETEDVSCRYMALSGP LTVLSVEYRLSPAYTFPVPVNDGWDAFRYIVTNLSSLVANLAPGMVNMVVSGTSSGGQ LAAIVSQRAQTWMKGNISCAKITMSGVLLRAPVTVNGTDSHFIPPRLRDIHKSWCAEL ETTLLKRHEMEENHDALGVPLNERNSPEAYPLWGQFHGLPKVYIQICDVDILRDDAVC YAQSLRDAGVEVQESLYLDLPHIFWIYAHHLDVSKKAQEDCVQGLNWLLASTG TSTA_069340 MPTRHIHIAILDTDVPVPAVYTARARRLNQNIRDNSAIAQQVQI HTSAYDVVGGSYPGMGMLRKTTPCLEEEQEEVEEEETFRPIDAILITGSAASAYYQGE QYAWISKLQEFIRRVWSRFPLVKFFGSCFGHQVIAQALLVNTKDAQSLVSPQVRVEAC PMGYEIGIHPVTLSPEFTPTTLGKAFTSRLGSNNGKLHIQLIHGDRVVGFYPSHTTAE TSAVTLSALPKPWLNLGSTPLSPIQGLYYPGRVLTYQGHFEFDVFVNCETVIEFGRRA GWDAQMVTESLRKINGFEDDDSRLAAEIVVQFLAGGDDNSGQGVDVPMKISYSMKEDM VRSVVSNGLVTPPDEMSMQV TSTA_069350 MAMSTSNVRMIITAVVTAAAVAAGSSSFGKELTTTTEQSAESQI RPICTTTPDNILTSNQYFIVYDRAWRTIHKSDLPSDQDLTTILGPDNGSWSYDQKLLT TYLRRNMSAFARYLPQARIIKMILRKANPQALPTLNPVVIEKLDFGVGDVVCGIYKVL RREDALLEFGIEQLSPKAESQEKKTEQQFDGRLVIGIRPASDIDSDSVEIYSETIMWR DNTKPNIIMPLERKIPKVMHELASWWLLDSGVNYLKGLKGN TSTA_069360 MPGSVLENTTDPPLPPPKHHLQSAPLLTPIQATLPNTLTNITLY PTSNGPTSLPQELITFLHEEFSVEILKGCTYPMEEPMSFDRFREYWFGTFAVVVLKGT VDESRKLLQGDGKGVDWKEVCLGTFYIKPNYPGRCSHICNAGFLTCSHARGQGIGSIM GRTYLEYAPKLGYKYSVFNLVFANNPASSKIWDRLGFEVLGRVPGAGRLANSEELVDA LIYGRSLV TSTA_069370 MDDHNDKDGSMLLRSGSPSPSIPPTPAISSCPSPGRSFSTISSI SNFSATSVTTSNSDNRLSVISVGSKRRGYIRPQGVEFAESAKNRESVMCLGSIAHLQY YFARTGLLDGKGAQMARPRNKNHVDSAKEVPKLMLTQDPQFATEMVDSPVDDIAPDQV DFDVEDDDELMLPPTVSTYSVKTHHIAPPPKLKVLRKELVEALDKASLAVKKSEPDNT VTSPTASSNSLLPQYDPQSPSSPKSTGWHEVQGMHILDLVTLAIRAARVYYISHERPE RLASIKSERKFREELLAVTDVLKGWASRNFAGGLRNTERAAILNWMAGVIIVLDEERR LEEAENKERASWNWASGDWTGREREREEAFLQTLMNGIGPALPPWTSPENAVLPTPLL SRLRDGRDLIRMHNQAVRKSRRLFGEIKTPHEDIAKPYRRAENLRYWRKAAELRWEIK FDLDVMGVVYGSSDEAWKQFDAALLEWCRGVREEMLDDWRNPRKGSVASIIELPVIFD NETLTAEASIVSMPAVPFVPGSSATSTTATSTNESSLQASYSLRSLTKKFSAPQLSQS PSTEAQEPLSTTSSPSTISRRFRQSPQREESEQNLSSDDTQRTSIFRRLSPGLAARVK LLSGSTDSNNPQTKNNKGAVGKIPEHHLRELESLHQDLSIKVEKRGRAWPGLTIKRER SAPDLLQSPTKKEPSQANIQQSSSQQQQKKLLTPDNELFPDRRSSPVDTIEQLADVRD SVDGVAASTMTTVGFATMAEGPSSIPQNVDPIQEVSSDLEEYLRRSTLEDQAPPPPPK DTPPVPSTPSSNNMQSYFTSPYKLNRAESIFSFSRASFSNQLSQLTSINLPQPAALEA SITSIINAPTAVRALSGAAEQIEKWMNKASEVLNGLDAEDDVEWAAAGGREGLEEVDK AVIKFESLVDVYVKAIENVQLRDDIDDVRADDLKLIVVQMDTTLKNWASIRKFLKGVK EQVELAMEWEELWNNVLGDVGLEIDNLSRLIFEMEEKRHKSLAPDTEAEPGNGLDINE LETIVEESPLHGTPSTANKRLSLALFPAADTSNPAVANSNPQDDANLMQLFARMQPLR ASLDFLPMRLSMFQSRAEKLFPTACEELEDRRKRLETDYKKLEADAEALRRELGEDRW ILVFRNAGKQAQKMCDSVERSIGKLQESLESGDPVNNPSAVTKKIESYEAKKIHYVPA IARVMSIIQKGVNDRLTVNGEVLTLLSDMNQRVDALKASMKVMDTVLEETTISKSQQL RDSVSSIITTDSPANTSLFGTPGSSPASSVIVTGYNGGLRTPNAGNGGSSRRGSSVGS TSRTAAAGSRRLSGIPTAASSRKSSAALSVNTPPYSSTTPTPATRTSRIPSTPAMNRP RWNASTNTADLDTGHNFKLTPPSTLRQNVPLPGRTSRSVSSSLPVPSPLSRATSSSPV PTGRTGSRTGYRTNSRLGTMSPTPTSPTVGRSFSASTTSTTTRPSIQDSSYGKLRKST PNLPTGLRNRQSYGGPMTTTVRQNEEENGATKQARPGTSLGHGSRRISLLPQIKSKSG RESSTGNNKKPDERPPWR TSTA_069380 MYSVTATTTPLRLSATGISKTVCVGSLYVLAGGHHYSTLQSIRV RYSPVSLSSTTSKRSLSSASPKSAIKEFFPRVETKQIIEAESAWKHPVYTEEQMRSIR TEHRKARTWSDRFALAMVQGLRWGMDFVTGYRHPPKGDVKDPKAVTKFTMTERQWLNR VLFLESVAGVPGMVAGMLRHLRSLRTMRRDNGWIETLLEEAYNERMHLLTFMKIAEPG LFMRLMVLGAQGVYFNGLFISYLISPRTCHRFVGYLEEEAVLTYTRVIQEIEAGNLPE WEKMEAPEIAVKYWQMPEGHRSMLDLMLYVRADEAKHREVNHTLGNLNQKEDPNPYTA VFRDSKKPRPSKGGDLMKPTGWEREEVI TSTA_069390 MALTRVRFKVHGTVQGVSFRAFTQKRAIEYGVTGWVQNSRDGRV EGEIQGEPELVQKLLKDVDKGPRHAQVVKLEKTDIETQEDEISFEIRR TSTA_069400 MGSLLQGLQRLYSLDTLDTRLTTSFTTPIKYTAQDTQQQPSTAR DPTAGKDARAQEIASNAQPSKWNTPEFYFYYFVFITVVPMMFKTVIDISQPDHPAYAI YADLLSPGWIPGRKVDNSDAQYASFRDNILYLFLLLIVHPLLRRVYNYFNSISASTNE GRKSAGDARVKQRTIFDFNFAILFLMGLHGISIVKILLILFVNYKIVKGLPRAYIPAA TWIFNLIVLFANELCDGYPLASIARFFVSSEVAAAKGVPTLVAWAEFLDGFGGLMPRW QISFKFTILRLISFNMDYYWSLGYPSDSPIEKKQIDPASLSERDRLTIPADLSSYNGL YYAAYVLYSPLYLAGPIVTFNDYISQQRYPSPALTPTRNLLYGIRFCLTLLCMELILH FIYAVAISKSTSDWSIYTPGQLSMLSYFNLNIIWLKLLIPWRFFRLWALLDGVDPPEN MVRCMSNNYSALAFWRSWHRSYHLWVVRYLYVPLGGRRDRRQPSPSSSASKSTTKTPS TFLSRARGIFNQLIVFTFVAVWHDINLRLLMWGWLITFFVLPEVIATLLFPASRWRSH PDLYRIICGIGAVGNIIPMMAAHLIGFAIGLDGLKGLLAGIFGSWTGLAFMLGACGAL FVGVQVMFEIREGEKRRGIKLSY TSTA_069410 MPLVEVLGTSRSHATPGWAYVPETSLQKSSSSTAATALTSRKRG IREPGGSTTDLTSRQNHAILRHLAELDRENHRDNVHIPVPVRKDAIQRDNGRGARAKT TSNVRRIVQSQKTFRNYLDDEEAALGYASTSVMGSGAAVPAAGASKVAKIAGRRSLTP AAAASNTDMLTERQKPTKKQNTERTTTTQDNNENPEVQETPIQGGLIKSPHDNDHLLK SYIPHAPSDRLMAALLAEPPLRYHVARAAPSASGKPARRFCTICGYWGKIRCKNCGVR TCGLECYKVHEDSRCGAFF TSTA_069420 MFRPSMTSLRAGRVIAPSPAPAMPRMFATSSLLRTDISSSALPK QKPVGAFRGGIFGFLLGSVAAGVSVYYYVLKEYRVSNELLTDDIYALQSASQKLHKYV TELETKVDQLQKKK TSTA_069420 MFRPSMTSLRAGRVIAPSPAPAMPRMFATSSLLRTDISSSALPK QKPVGAFRGGIFGFLLGSVAAGVSVYYYVLKEYRVSNELLTDDIYVRGCRIPIFFYAW VLLNTNSCLGPSKRFTKTTQIRD TSTA_069430 MISRRLPPKYVLASILCSFGGVLFGMDTGVIGPVTIMHSYIASF GFVSSTVHGLIVSSILIPAAISSFFAGKVADILGRPKGISLGAFIFGLGAALEAGAAH LSMFIVGRCIEGIGEGLYLGTLVVYICEISPPSKRGPLTSTPQLLITLGLCVGFFVCY GSATVNSSLSWRLPFAILSALALSFSLASLWLPPSPRWLSLRGRTAEAIATWDILGVS HAEREKIEIAEGILEIANPEEASHPQTSQGTNLEERRKNSIWELFSHDVRARTLLAAF MMGMQQLSGIDGVLYYAPLLFQQAGLTSSEASLLASGVSAVAIFSVTIPALIWADGWG RRHSTIYGGIGLGIIMFLIGSLYASNTVHGTYGAGRWLVIVSIYLFAMLYSLSWGVGI KIYAAEIQPQRTRAAATSLAHGSNWIANFLVALTTPMLLASSTFGAYFLFGGCSVLTA VVCAVFMPETRGRSLEEIEEAFKRTSASKRLARAVQKFSGRAEV TSTA_069440 MKALFSLTTTSASQTRIRYLPEMTSPFLQILDSAESDREFGHPP PTIRVKQQACKIDIPPTEITDLQNLVSKARLGPVTFENSQPEVTKEFGLTRSWMSKAI QAWSSESTFNWNKIQDNINSIPQFISTVNHNNHSYSIHYMALWSLRADATPLVCIHGW PGCFIEYLAVAKLLQSKYTPDTLPYHFIVPSLPGYTFSSGPPADSNGDFSTYDVSCIF RSLFIDQLGFDQKGYIVAGGDIGSRVCRALAVDDEHCKGIHLTFCFDFDMRNFPRQGL KDNELRDIEAIETFVTAGAAYAQMHATRSATIGFVLSSNPVALLAWIAEKFLEWTDLT PDMETILTFMTLYWVTDTFSRSIYPYRHDFVPSEDVPCHGDGARWLIPRSKVFGFSHF PKEILPVPKAWVERTAVAEEVTFWREHEQGGHFAGVEVPGDLLEDLQEFVEHVRTRK TSTA_069450 MSPRPPLSHDDYTVACICPMSVEQAPVEALLDEIHPDLPTSRDK NNYTLGRIHRHNTVIAVLSDPGNSTVASVATQLLNDFRSIRFSLLIGIGGGVPDLDHD ADIRLGDVVVSKPSGTFGGVVQFLRGKLLPEDRFERTGALQRPPDVLLASVARLETLH RRLDSEIPRYLEEMIQKYPKMRQGGYVHQGVENDKLFRAEFQHVAGNDCKSCNPDEAI EREPRLDLNTVIHYGTIGSSDAVIKDGQVRDKLRDDLKIQCVETEAAGLMASLPCLII RGICDYADSHKNNRWQPYAAATAAAYAKELLSLVTTLDIPQCIQTTLHLGAVHNFLNE YVSGDVVGGDKFQDLAVRSIT TSTA_069460 MEDIRHIQPSSKGKLKQKYNRCLGKRKEVFLDPDYEEKEGEEEE PPYEEGSEADPTLLKHKEGAAHHAQSLDSSEVGDLVPESSDWRGLELDDINDDALLRW KHEAISEDRTIESNWDKKRLAVVLHQLSRPSLGQQKDPILAAG TSTA_069470 MWTRVSSSDGNRYILRGSFLSVKSDYYLQESSNLAFVAYKTYAY EAVYYSAELKELPGQALKFSELFPSFDLKKEIHSPYLFWYCTRPSYESVVQELPSHHT AFVKLFAYWVHTNSEREYADAEDQISRGVISSESMKYLVRPGNPSVSQGPGLLQAYQA TSLANIWEDSAWAYEFDGSFYKKPISLELKLDVKDPKQEVELNTLQVVPLNFVTLRFE EYLSFGARRTGHVAKRNLSRTVAEITLIPSIVQLHPLEKQISVINRKEISPEQMNVDE PPIAPEIFFFPLRIVSFNLRRKKWVDLEVDLIKEVEWNKDSFDNLVIAEETKKLVQAL ITNRLVAERGTDMVDDKGNGLTILLHGALGTGKTFTAESVAELAEKPLYRVACSDIRA KPEDVEQYLESALHLGKIWDCVVLLDEADVFLQELTVFLRVLEYYDDILILTSNRVGT FDKAFKSRIQVKSSTPNIDSDDIKCYISELTEQQMNGCKIRNAITTSRQLAKFKNKKM SHADLKHVLNIAGQFNKYLSRLKEGSIDDEISRESSIR TSTA_069480 MSLDPGGFPRTDSPASSDSSLTRFRLRTSDDEHLKKDKNYRRYA STVERALSLFDTTLQEWADYISFLSRLLKALQSHPPDLPVVPHKTTVAKRLAQCLNPS LPSGVHQKALEVYTYIFGLLKPEGLSVDLPLYFPGVAPTLTFASLSVRPLFISLLETY LPELEPMTIRPALKAIILALLPGLEEETSDDFEPTIRTLNKIRNVASQMDTRRSSLSS NAGGQYFWQCMFLASITNPSRRLGVLAYLNRHLPKLGLEKSSTSEKGDDQLEGGDAAA IIESVISPEPGLLIRCFASGLTDDQLLVQRNFLDLLVTHLPLHSAVLQSKIRRDDLEK LILAAAGVVLRRDMSLNRRLWAWFLGPDPSQSLIASPNSTSEKEQDNSTEREQSQSRY FSRNGLDSLVRGLLKMINQDTLQPSQRSRPLRIALSLMDRWEVGGFVVPAVFLPIMRS VQSFEGTADKSHFGELFRSASAFFDGVESSLIFSELLYLVHGDTRGQYGNFEKRENDL LLAHFILANFNIREEEMLLLHIPLLTLAILIEVNDIILMNRPGFPSEQTNIYTQHLFK LLNLVLNLLPERAFLVKVVSENLTSDPRELDNKDLMKSIQQFYDRSKESLELPPLPFT PQVLSELILRMAYRLSMSALEDHDCSLSVKETSGLLTGLLKKAPKSRILGDGHLIQAF HKALEFDAAQLTTQRFAVISSITSIATSLYCIHGAGFYITYQQLCGLLPALMDHLWAF LSPESPKFHVEAVRCIGLLHSITWQDHAVEASITSLMVGVDSPKSSEMSNIQHVERFC VLWSHSLQWGQESQGRSPEGNGANGKPLADRSASHVSLLKRPLFIVLDLLSQNSEEVY QVVRDWVHDMPSIQRVLHIIVIRLEELVNIDDHEDKRQGLIDSDDRRECQYLLQTLSN LMSCLSQNGWITLVSHPVSQVEQPHESETPENPNEHKTFQIAIAETSLALVGSPLESD SKLSAEEQTLQRTALSVLRQLLVGLGAEQLIDTNIHLILIEKLSIALDQNSHLLQTTT IETLLVALKAKFAHVLQPPPQKHRRTGSKGSIRSASLLSLSASLSTDRVDKLHQPPPV PQPPEQLLNCLLKGISSQNSHSCLEKWILLLSESLPLYGESIFQILLMLVECFCREIR KSFSNLQVTFEKTEDWAKDRSEHVTIVLLTGLETCIAAAHERLHAEEVTVTSARSPDH SQTFFGNMVSNFVSDGGPARNVANDRLTVLLCFQDAVRLCFSIWSWRANSKGKMSLDT ESVASFQYTSLRMRNRSRALLEHLFTAEALESLETLVELWSNLDPSETSKASHIFSLL HTLDRARPKVIIPAIFNSIYSRTNPAALDPSRKSALASTISDSELAAFLVTYARSLDD DVLDEIWGDCTTFLRDVLANPFPHRQILSRLVEFAGILGAKMENTSFGDDRRMRKELG DLLLRLLAAIFTSKPMGLSQDSGISARPSADADGSPTPHASPDNLVSILISSLPAFLT ALGETDRITNAISSISANVISPTLRSRLFPNNVNQGVLDLLQQLSRISASSKVWKKDI VDAFNDPRFFGSRVDLVKTGWMGLLRQWILVDKERFPELLSRLTPPTTAGIMFGVGAT AARLEADRKTQLNLRRITLLILANGEDYFIIDYPTLLQKLEDLLQATHISSPSSVTRA ELFMVLRALVLRTSTTHMASFWPLINTELQEAISAVPRHQQSELYGPYSLLQASKLLD TLLLLVPDDFQLQEWLFVTDTIDAIYPPGRWEPIALADEIARTLGPGDEVSPVPGELS EKQRLNKPCLNSDRIRETPKDEIVDRILKPFFERISIQAFESTYSMGSPDRDACVDDL LADIFNESTMAN TSTA_069490 MEANGGPSTTPEGQHETDSLNQIKHVQQTPENSNSHTNDAEEVR PPLPPRPGTIDLLSEGNAFRTTPTRSNLQSHATTALSLTDITGQTNPDGKENFVTGFG RTLLGRGLRAKASLSQLNSARASEAGDTASVVSYVPNSEEGQEESLFGEFANENNAQD HEDVEILSLDEYPQDGTELEFVEEFEPIGQLDEDGENEESLLQKWKAKRKHYLILSAA GKPIYTRHGDSGLVSGYIGIIQTIISFYQDADDTLRSFMAGDTKIVILSKTPLYLVAI SRLLESESHLRLQLDALYMQILSTLTLPALNHLFSIRPSTDLKRPLQGTETLLSSLAD SFTKGSPTALLSALECLKLRKSHRQVINNLLLKNRAEKLLYGLVAAGGRLVSVVRPKK HSLHPGDLQLLFNMIFEADGVKAGGGESWIPVCLPGFNSSGYLYMYVSFLDLNDDSGG VVTDDDTPKDESAAIVLISADKESFFQLQEMRNKLVEQMRKSGSIRIIKESIKKGRPL PTDLVPDTVLRHFLYKSKAHVQFVMSSYAPDFTSLTRHRRLISTYNSLHESVHARNTH VKIHYGTSRSASAFAWVTPTFEFYCIAGPDATRTALSQSANKIRQWVHQEEERLFIIG GAVF TSTA_069500 MTEQRQTRILPVQRLNKPLEPNRSIAEWWEEEKSKNTPEAAAVL EAAETLRTSDIPVGFPTETVYGLGADATRSGSVQGIYKAKQRPSDNPLIVHVDSIEMV NRLLNPNGGSPTTKIPAIYEPLISRFWPGALTILLPNPKGSLLAKEVTANLPTFGVRM PSSAFARLLIHVTDRPLAAPSANASTKPSPTTAEHVYHDLQNGGPCGVGVESTVVDGL TNPPAVLRPGGIGIEEIRTCPGWENVQVGYNDSALQGKEAPRSPGMKYRHYSPKARVV LFEAGSDLASVADRVKKDLIGGGGSSNGNANGFSGRKIGIVRTKTWPIALSLSDAETK QTTQASNDGDTLSIHQISVPLGDSSTTVYDVHLGASAESIARGLFATLRSLDAENVDA IYIEGIRDDEGDLAAAVMNRLRKAAEVFVVV TSTA_069510 MISTMDIVDSECLEAEKPKLRLIYSETIEPPSIILDPNDLQSAD TLCPDKMVAHIVLADLDMIVKLGHGVGLGEAEAMHLASTRTTIPVPKLLSAYILDGAI LFVALDKSPCRDGIFEAGYGDYTKYSYVRTHPRKASTRGSFTALRDQLTPKVLEGEND IESNFSQQRVHAVPNNPRSEKPQDRNILVRTDGTIVLLDWGLAGFYPEYWEFYRELHS LPWRTSWDHMVEKFIPPFYVEYAVINRVFATVSN TSTA_069520 MSLKFATVPAGAKVQPKPFKVSIPDEQLEELQTLIRLAKTAPPT YEGSQEDGRLGVPSSWLANAKEEWKKFDWRESENEFNEFPQFTYEIEGLRMHFVALFS EKEDAKPIAFLHGWPGSFLEFLPLLSLFREKYEPTTLPYHLIVPSLPGYTFSSDLPAD RDFTSPDAARILNQLMVNLGFGNGYVVQGGDVGSKIGRILGAKYDSCKAVHLNFAGKL NPPKDATEDLSAVEQEGLKRAQWFGTYGTAYYFDHATRPSTISHILSTNPVALLIWIG ERFRDWPDKRNPVPLRTILQEVTLYWLTDTFPRSIYTYRETYPPPPVPPAQQPDQYIH KPFGFSYFPQEVIPAPRSWVERTGNLVFWKEHTKGGHFAALECPQELADDIVEFVEQV WQQ TSTA_069520 MHFVALFSEKEDAKPIAFLHGWPGSFLEFLPLLSLFREKYEPTT LPYHLIVPSLPGYTFSSDLPADRDFTSPDAARILNQLMVNLGFGNGYVVQGGDVGSKI GRILGAKYDSCKAVHLNFAGKLNPPKDATEDLSAVEQEGLKRAQWFGTYGTAYYFDHA TRPSTISHILSTNPVALLIWIGERFRDWPDKRNPVPLRTILQEVTLYWLTDTFPRSIY TYRETYPPPPVPPAQQPDQYIHKPFGFSYFPQEVIPAPRSWVERTGNLVFWKEHTKGG HFAALECPQELADDIVEFVEQVWQQ TSTA_069530 MQPSEYLTRRELTEQYNIHFLGPVQPCRWPETHRRLFADVQKLG DQKFDSYVESITVDSIDKPWRARTQWRAKRLAALAKKYRGEGHRERGWRNNIEPEVFQ RFSVEVTCPECRSRLWESEFNAAVDNLEPFAESLEERRLKRRACECPEQLGSNSYEPG INMIFSDRSEAAIKHVPPLPIKKSNRNKQSKEEPDRVYGLKQAGDFNFILNSAAKTDP SKRLRDTIEISPFFNEREPLLFPFLIMEAKSTKQGDTAAVELQSAFCIRRLLRLQQNL KEAAGEETQWKTGPLLWFFHWYGERWIVAGCFVENVNGSAHWSTVDLWSGNICDLDGA IQLLLIVDYIFDWARDVYRRSIFNELNILASDDIAGTVPIPDIYSTIERSVSAWVDEA ERERPSQDSNAFYEQATTDDTVPEFLNLIHPQGVVRDASIIESKFLALHIAVDNVESF LLSFPTVESSYKAIQVILQYMQDAWRMDASTLQELETHWTGNDRGYSDDQEIFYARVV VLMHIGPEWQPVRLITYLAVSEAALKMMASKLATNPMSNFFDSNFKVAVKSHISKQSL LRRIDMFKEHSIVDNLTAAVYTMCLSSTIHRRGGRIKNEFSLNRRAPFFGFVQDNAPG VLDMVTTVYESHKIGRKQPTDSYLRYSCIRTKQTRQFGSIRMWTHLEPLFVHYTGCIL VDGLHQNGNIPKRCLYTVDGSCEMEVVPELLDRLSQQGRYYSTVPLDPGTRLGEYFGF LNQQSQAIGFWNQNRDPESIAVWLEFLSRQDTSKSKQQPIVIVLDDEPTTEVHEQDVD MA TSTA_069540 MDSSHSKVQSSGRHRQRKARFHSKGWVYLPPESNEAILPKHARS SAIQASHIIDKRLRSNVIPKQSSSKVKKTFAERTIEKRKRAFGKGLKKLNQSANLPAR YHNPGGTVEEREETIAQESVQFVTSVDDGISSCSSLTDLGDLSEEEVDVIDTQCRQEN GDETLSNTNPRTQDEKEPGPKISHEGHLSETHLRKRMQLLEQQVTRLMVRKTEEEAQY QHTINKLLEQNYNQNLRLNMLRTGKKGEKPQSTTNDLLTAELYAQDQEIRRLKSNLNE ALRLNELLSPHSTKTYAVEMAKSTRQLMHQIESSVIFAADMLCQALNQPLKQSPHERL GNFILESIGSVDLLQSHSSAAFRAMIFKFIRECIFYSEGMWTTLHFESLMLRVYQTAL QQAVTPEFLERFHRAALHLLLQDSNHFKEAFVIPHAEILTIDIMHLLGPYLDNTLLQQ SHHEDRLKKCIRDLFCDAIELRASYFPPPGTRYELIQFKPGTVYNPELMQVQPIPIES MNVPPDDSKLLRIKVCVHGAIIAHPTQEISSVGLDRLKDWSQSFIEDMGDKDSDVTMW KGKLTSEKASVILDLQE TSTA_069550 MSRRINPPRRVRRKLHHDYYSGADVESTITASSTTDDGITVREP SRSEPVSERPLKFIVALDFGTTNTSVSYIKFNPENPPDTVHGANIRSIRSWPDSASDQ NDTNDYNANVPSESWYREGEYIWGYSVQRRMRKLSSSEFKSCQDVIKFSKLLLNDQGH SLNKLRKQLRRLNKSVHDVIRDYLIQVFAHTKKELIEQENFSDACAVELVICIPAGWS FAAQRDMQMIMWDVAERVDFGKKDFEPFIIHEPEAAAAHLLENMDPSDALMGKNIAPR EGEVFIICDAGGGTVDAITYRVTQVNPYRFEEVVKPAGRACGSTLINDKMFQEAAERL RGNPFLEATNVSKEYLIQQYISRTFESDIKKGFTYEQYIGKQQDKIHIPIVGLRRDDE KDIGENSFYITSERMALHFKKSIEGTVELLKQQKENAEVVDDVAKIILAGGFSSSDAL QKRIQEEFTGVDIITPKKRDTATIVSHGAVFRALNKEAGPQRKIMASWGVLQDESYIP GRYKAHDLSEYITTGTLDSEPYATNVIQWLIKRNKKLGKQVSFKTTLSQHFDIGEDWE VRQKLYASLEFVRDHYHFEHPFNARKWEKEKLNLPNSKIFLPILTHFTLRSDAEVAGV VVANLNSYRDSGTIQPKISIADKEYYSVEYEIVLEVDGRNMKAKLYYPPGQIYYKLDC KEKSKMCNTKLHQFLQHLPKCEHHVHLEGCLTPELMFTLAARNGVCLPNTEQRPEFTS PETLYERYEHFTSLDDFLSYYFIGMSVLQQQSDFEALAWEYFQMAHADGVHHAEVFFD PQEHINRGVPFETVVSGFVAGCQRAEQEYGMTAKLIMCFVKHLPASDAQKVFDLAVEG GHFESGAIHGIGASSSEVGPPKDMFKEIYQTAHSKGIRRTAHAGEEGDPTYIEAALEA YKSQRIDHGVRLIDSPRLMEQVARDEILLTVCPVSNVKLRGVDHISKVPIRAFLDAGV KFSINSDDPAYFGGFILHNYCSVQEAFDLSIEEWRTIAENSIHGSWVDHERKLELLQK VDDHIRAHHATTRIALNSIRHPHQLPVHKTLLAKMSASTTSAIPDRYKLIFFVPHDHV EPCKEAIFSTGAGSFPGGKYTKVCFQTPGTGQFLPGDGAMPNIGAVGVLEHVEEMKVE VLCLGRETMLNAVKALVKAHPYEEVAYEVYKLEDV TSTA_069550 MSRRINPPRRVRRKLHHDYYSGADVESTITASSTTDDGITVREP SRSEPVSERPLKFIVALDFGTTNTSVSYIKFNPENPPDTVHGANIRSIRSWPDSASDQ NDTNDYNANVPSESWYREGEYIWGYSVQRRMRKLSSSEFKSCQDVIKFSKLLLNDQGH SLNKLRKQLRRLNKSVHDVIRDYLIQVFAHTKKELIEQENFSDACAVELVICIPAGWS FAAQRDMQMIMWDVAERVDFGKKDFEPFIIHEPEAAAAHLLENMDPSDALMGKNIAPR EGEVFIICDAGGGTVDAITYRVTQVNPYRFEEVVKPAGRACGSTLINDKMFQEAAERL RGNPFLEATNVSKEYLIQQYISRTFESDIKKGFTYEQYIGKQQDKIHIPIVGLRRDDE KDIGENSFYITSERMALHFKKSIEGTVELLKQQKENAEVVDDVAKIILAGGFSSSDAL QKRIQEEFTGVDIITPKKRDTATIVSHGAVFRALNKEAGPQRKIMASWGVLQDESYIP GRYKAHDLSEYITTGTLDSEPYATNVIQWLIKRNKKLGKQVSFKTTLSQHFDIGEDWE VRQKLYASLEFVRDHYHFEHPFNAHAEVAGVVVANLNSYRDSGTIQPKISIADKEYYS VEYEIVLEVDGRNMKAKLYYPPGQIYYKLDCKEKSKMCNTKLHQFLQHLPKCEHHVHL EGCLTPELMFTLAARNGVCLPNTEQRPEFTSPETLYERYEHFTSLDDFLSYYFIGMSV LQQQSDFEALAWEYFQMAHADGVHHAEVFFDPQEHINRGVPFETVVSGFVAGCQRAEQ EYGMTAKLIMCFVKHLPASDAQKVFDLAVEGGHFESGAIHGIGASSSEVGPPKDMFKE IYQTAHSKGIRRTAHAGEEGDPTYIEAALEAYKSQRIDHGVRLIDSPRLMEQVARDEI LLTVCPVSNVKLRGVDHISKVPIRAFLDAGVKFSINSDDPAYFGGFILHNYCSVQEAF DLSIEEWRTIAENSIHGSWVDHERKLELLQKVDDHIRAHHATTRIALNSIRHPHQLPV HKTLLAKMSASTTSAIPDRYKLIFFVPHDHVEPCKEAIFSTGAGSFPGGKYTKVCFQT PGTGQFLPGDGAMPNIGAVGVLEHVEEMKVEVLCLGRETMLNAVKALVKAHPYEEVAY EVYKLEDV TSTA_069560 MASKLFPAVVRSSRQIIPQVSKPQWRSFSAVAPKLSDTLHVHRN KPDNNPSIPFKFSKENEKIIDEILKRYPPQYKKAAVMPLLDLGQRQHGFTSISVMNEV ARLLEMPPMRVYEVATFYTMYNREPVGKYFVQVCTTTPCQLGGCGSDKIVQAINNHLG ITPGHTTDDGLFTYIEVECLGACVNAPMVQINDDYYEDLTPESIVQLLTALKESATNP AAKVPAPGPLSGRETCENSAGLTNLKEVVWNPEQMMRKDGEL TSTA_069570 MPRQTTRGRAVKRDSSQAEVDRIDQEEQQVRASTNEIDLAVQQD GTQQRETRFEEPSPSTPQEIAKATGRRGTRKRQKIEPTSQLVSEFVEMDYANQIRQKF SPQNGLAGDLPPIHDLNQIFEEITRHAVQEDGFKELLDSLNGRPLRVATMCSGTESPI LALELVVSELKKMSIGPFSFKQLFSAEIVEHKQAYIERNFHPPILFRDVLELSKEFAT TAYGAKKKVPTQPDLLIVGFPCVDYSMLNNFRKKFGERGESNDTFKGLVAYCKMHKPK LIIIENVSSAPWVPIQRSFQNIGYYCHHVKVDTKDFYIPQTRERSYALCIDNSLVKNG KELLEEWGKILSGFQRRASSPFTEFIDADDNVIEQRKLERAPVFEPKSSPTWSLYKIR HADVRRESSFGNGRPITGWEEGGKCCPPTWMDRPWFFSQVERVWDTIDANHLRVMEVD GSDSTYKVRCIDLSQGVDRDVDSRPSGIMGCVTPSGLLYCTSRGGQIQGRELLAMQGL PLSRLSLTRESQSQMQDLAGNAMSTTVVGSAMLAALIVCHMVLKVNNDEQRIIDSGDT VEECMPAVSLISSFLEKMRTVESLTITTCCWLTALAGLTSSLCSCEGQYGLGLYGLYR CLDCNFTACAACKENPIHNYTPIPVSQLSVRRDPKLFRELLLHILPSMIRPPRLPIHL YQEMSGHYPTTEEIEKVWKKYLEAIELVCQDALMFVSIKRRHIWNVVYEGIYSTLHLA IGPHGMHWTLFAKAPNDEPAGSYFREVLRHPIAKMEVFQDGTSVLEGKWKVYGPLSRK NKTVTLKGVGDLVPSYESVCGLPNSAQKQVWSNIIVGGEEAAMEGLSMDIRGHYQRIS NCGGALQSLHRRSSCAPNEPDLFLFLDPRVLGPSELDSWVFSTDPSRKVNDTWRDIVL QLDSKWSYQDLLPSGASSVLASHRQWIDAGSMAIINCDSQNGLVSRILDPRVNVQLDG YSCYNCYVPLLSSSTNVEGTIQQQQQQQQSWEVKDFREINELAWAWAKFSTWSPCPNW KLIEAIFEICERCSPEQPKMAWIVRKGKERPIEDPVDAARCERDYKTRPSPFCLFEKT DGLGNKKDAQRHHFCLALNLKTLLHRAFRAIAHRREESATVEFYWRITNNDDTDIAHP SSYRIVNNNGESGAVQPPHFMVPLRLDQLRSLRWALSRESTDQEAFLEEEVEEAILDP MNWRAEAKVLVHRTIRGGVLADHVGFGKTAVVLGLIDSQHEKDVIEAEEPCNNAIPLK ATCIVVPDILFDQWRSEIVRFLGDKYTVLEIRDISQLHRTSIKNFQTADIVLVAWTIF TTASYFKSLEEVAGGPCPPKMTSRSVRMFEAWSEDVLGSIEERVTTLQMDGPEAFLDS IHLKRNSAWGTGAYTRYLPSRKIAGKGVKYNPYSEDVPTNQADGDVSEPAAARSPMEI DNQGFKSILPKDDLETYLVTDQNKAQYRSRFGILKGEQALMKNVKGTVFHMYRFQRLV IDEFTYLNQDRHALLVSLKARSRWIMSGTPPIKGFAAAERMARLVGVYLGRTCDEDYE KSKFKRSESENFHFFREVPSRGWHFRRNGLAQHFCDVFMRQNTPEIPQIEWTVHYEPT ILSAVERILYLELKVFYESYNPQTTGEKKKKYAHDQRGRISEIVDTCDTPEQSIVKRS SYYDALPKWSSASEKIDTAEKLVQHRRKEIEKIIGEIKQQAKAITWLYHQLYRNDPKF SALQKSLRENDYGDTDVSNFACRIIDEALTSYRQGDWEAFWYPSNEGLSNAADTPLVD VGDDEIESDQESSDEKETVQGREIYATSEYVPISQRKDRANKSKIDLRALPTGKEDKH KELREWTNRVRVNIHALVDCTRGLRFAQSGCTFVGTGSLPICQSCGQKDQRAKKKHSV LGKCGHIVCASCLAEVLSNEKCTVLDCRGAVDEQYVIPGSYFAMCQPINMPTPLGGSK VEALIKLLKDESSIPKDDQVILFLQFQDLQQVVTAALELNDISYVSVGKTGKHGRGKM KKFSANHDKKVAILQLGTENAAGLNLQNANHVIFFAPFAAKNHYEYQSTIAQSSGRVI RFGQKKKVHIWNLATLNTLEVGILQGQDGRVLVRRPNGKYELVLEGEVGKEDVKGFDV PAFDWK TSTA_069580 MTDIIETIDVDLDIQEILLAASQHNIPKLRQLLRSNHAGAENPA NIKDTETGYSPLHAAIAACEPDDAEEKKDGEVNGEEKEEGELALARETVKFLLQEGAI WNDLDKNDETPGCLARKLGLMELYELMVDAGVRAELLFNRLEGYEMLVDDDDDDEEEG QKEENEEKEHEEAEHKNVTNVRYLHSNLTFQNDRLLDNDQNGVMMSWESDIMRKTALK ILPAPGLRVLNIGHGMGIVDGFFQDQSPATHHIVEAHAEVIEEMRRKGWHEKPGVVIH HGRWQDILPQLVEQGELFDAIYYDTFAESYADFREFLSEQVIGLLDSDGLWSFFNGMG ADRQISYDVYQKVVEMDVFEAGFDVAWEDVPVPPLDGEWNGVRRKYWVVDNYRLPLSW GVYKVKPATNPPSRVSR TSTA_069590 MSLSSSPTASLNDSPDATKPPFTPKRCLLCPNSLPSFDDSVLHI QKLYGLFIPHRQHLAVDLETLFRYLHLIIFGWRECIQCGTTKTTVQAIQQHMTGKGHC RFDISDPDSEFAEFYDVSEPEGNQESDIDEGNHDESVLSSSQKQLLAGKESIHLPSGR IISRKSSAQAEPAFFTRLHRRNQSIASRIEYSVPEPGEEDEIRKGGLNPDVDGTRALS RREKREKAMVKTKTQLANMTMNDRTSLMHLSASEQRSVLATQHKQGKKGNKNLYAYWA TGTPVYLCDSFPIFNCYSVSGSSTIPLKPTFPSKSIPTHQINMAGSPREQWERLQIML QNRARAGGGGGGGGFRFGGGAPMGGPAIAIAVLVAGGYALSASLFNVDGGHRAIKYSR ISGVKKEIYSEGTHIKIPWIETPVVYDVRAKPRNVASLTGTKDLQMVNITCRVLSRPR IEALPQIYRTLGKDFDERVLPSIVNEVLKSVVAQFNASQLITQRENVARLVRDNLARR AARFNITLDDVSLTHLAFSPEFTAAVEAKQVAQQEAQRAAFLVDKARQEKQATIVRAQ GEARSAELIGDAIKKSKSYVELRRIENARNIAQILQEAGGRNKLYLDTQGLGLNVNAG PEAEK TSTA_069600 MAPGMGKSVFLGNIPYNLTEEQVKDILSTAGTVTKFRLMMNPET GKPKGYGFADFADADAAASAVRNLNDYEIMGRKIRVDWPHNNEKDSIPEDYSDPYQPQ PSQPQAQAQQQQQNPSSLPPLPPGVEIPPHLDCPNAISQTLSQLPPNQLLDVLSQMKA LAVADPARATELLRTAPQLAYAVFQALLLMNLVDYSTLGSVVEQASQAATGPPPPAAA AVPYQPYTTAPTQVSTPPVHNSPFAPPPPPPAAAGALPQQQIPGQEELLQQVLSMPQA QIDALPPLERSQIMMLRQQLMQSGMR TSTA_069610 MSTNDILDGGGATGDEKQGISVSTFVASLATGAIVFAIEALLFL MLKGNLRRIYQPRTYLVPDRERTKPPAPGLFGWVYAVFQTTNAEFIQKCGLDAYFFLR YLRMLLKIFVPLGLVILPILIPINKVGGKDNNVVSNTNSTTWNVTGMDQLAWGNITPE HTDRYWAHLVLAVLSILYVCAVFFDELRGYIRLRQAYLTSPQHRLRASATTVLVTAIP KKWLSVEALTNLYDVFPGGIRNIWINRQLDELNEKVKLRDKLALALEEAETNLIVKCK KAQMKKARAEARKAGKKATKEDEKQKEETDKKAAQLAMQSGISSGNPHQVAHNVQDAL KKNRESQNLESQRHRKKKFIPLVGGGLNAVGNGANVLEKKLVGGIRKANQGVEQRLDN TPGFAILPEESISSRANSKEIDDPRNQYLRPSLDSHGGSVNSAPEEVDQQPSVPNQEQ SSRRPDWSRKSSSNSKRGSRTPEADEIPLTEGKTRQPGTDSISDDSTTQKKDGQEYRL AYNEDWQEDCGEPLWKKYIRQKDRETMRLPIFGLTWMPSIPLIGKRVDTIDYCRKEVA RLNLEIEIDQQHPEKFPLMNSAFIQFNHQVAAHMACQAVSHHVPKQMAPRVVEISPDD VIWDNMSMKWWERYLRSGGIFIVVCGMVAGWAIPVAFTGLLSQLSYLEGRFSWLEWLS KLPHWLFSAIQGVLPPLFLSLLMVLLPVILRFLSQTQGLQTGMSVELMVSNYYFAFLF VQLFLVVAISSSFSTLIDSVTNITGWPELLAENIPKSSNYFFSYMILRAMSVSAGALA QILNLIKWFILGPLFDNTARNKWARTTDLHTMRWGSFFPVYTTLACIGLIYIIVAPLI VIFIIATFALFWFVYRYNTLYVTKFRFDTGGLLFPKAINQMFTGLYVMELCLIGLFFL VRDEHDRVACQGQAIVMIIVLILTLGYQYFLNEAFSPLIRYLPITLEDDAVRRDEEFA RAQRIRHGLPVDDEDEEDTRGGLSSTPRQAGYDERDFGTDRKEIELQDINRDSMDEQR RDYDDFLTRNIHPLTHTGKRASGMTAVSWADRSRNRRSKYFGTNSGYDVPSIEKLRQQ LAYEAEDEEKRGTGTNPVGRALFAGIHDELEDLTPDERDQLVQRAFQHEALRAKRPVI WIPRDDLGVSDDEIYSTQRFSKHLWISNEYQALDGKCRTIFSRSPPDFSEVDLIQL TSTA_069620 MSSPASRRGRPPRSSAASTPRSTRSQQVSNTPQSNANGSQATPH ASRRLQNENNVPSSSPLMYQSSSPVRGEQTGMDISSPIRESSVADGDTTPRATRTGRQ DSSPIRYMSSSSPPRNNNRQDIRSDIPSSSSGLFVGSSRPELSSYTRNVSRRGDIHSD AFSSTPSGRRRLFVDATGRPVTEGHAHSDATFSNVNPDTSEADALGGNSTRVIWGTNI SIQDSMSAFKNFLYNYATKYRMWADGATEEETRAMGDAAEEKEYVTMLNNMRKLGVTG LNLDAKNLKAYPSTKKLWHQLHAYPQEIIPLMDQTIKDVLLELAISEMETLRIQAQRN QPRTRDSSAAPVPSSDATSETGRAAPADIPNLVEQVEVRTYKVLPFGLDKSVNMRELD PADMDKLISIKGLVIRTTPVIPDMKEAFFTCQICNHSVMVDIDRGKIMEPTSCPRAQC KSPNSMQLVHNRCIFADKQVIKLQETPDSVPDGQTPHSVSLCAYDELVDVCKAGDRIE VTGIFRCNPVRVNPRQRTTKALFKTYVDVLHIQKVDKKKLGIDVSTVEQELSEQAAGD TEQTRKVTAEELEKIKETSRRPDVYELLARSLAPSLYEMDDVKKGILLQLFGGTNKTF QKGGNPRYRGDINVLLCGDPSTAKSQLLRYVHKIAPRGVYTSGKGSSAVGLTAYVTRD PETRQLVLESGALVLSDGGVCCIDEFDKMNESTRSVLHEVMEQQTVSIAKAGIITTLN ARTSILASANPIGSKYNPNLPVPQNIDLPPTLLSRFDLVYLVLDRVDEQEDRRLAKHL VGMYLEDAPENASQEEILPIEFLTSYITYAKTQIHPVLTQPAADALTEAYVTMRKLGD DIRAAERRITATTRQLESMIRLSEAHARMRLSSEVTAEDVEEAVRLIRSALKQAATDA RTGLIDMSLLTDGTSASERRRRDDLKKAVLNLVDDMGASGTAPRSVDVLRRLNENSSI QVESNEFNNAVQALETEGLVNVDHTRRTIRRVGGAI TSTA_069630 MSFRQAYLQHLQGDGFPTIIENQSRNIQTRLRWKLHEYQAALHV SFNATDHLACALGGSTAALSYNHNNVVIMIWNEARYPATVDVIASSLQILSESARVMY SDTTGVVQKINSTNPAAVAAFSNWALISINIQPTEQGSRLIFNTIVMILMLLQQFFFL ATMNGVASHSQLVSRVLPKQNYCYAARHLSSFITSAALYASPARSGLFREAGL TSTA_069640 MVDKVVSFLALGVVLGLAQAKLQCSGSFEKISAGEWVNRANPGW NLGNTLDALPTEGSWGNVANFSTFDDLIHGGFRGLRLPISWADHFVTGAPTYEVNATW LQRVEDVVDAALERGLYTIVNAHHESWFDLVDAGYNYTEIEQQFYSLWYQIGTQLGCK SSLLAFEPINEPSANTAEQIAELNKIEAIFIQALADSGGYNADRVVTLLGPGEGLDIP GNLVVPVNITNPYAFQFHYYSPYDFIFQAWGKTIWGSDSDKAAVDSDLAEIRNNYTDV PLVLGEWDANPSCERAARWKYIDHLMRSARQYNITTFMWDAGSDLLNRTAHTWTDPVA HAIIINGAAGTNNSLADSTEDGDAVTQWSSAYIWHRIGTQVTDNTNTYLLNGNLLESI SGPHGVLVPGRDYIIDGANVTYKASFLENYFSNSTTDSPGEKAVLTLKFSHGAFLYAN LIAWDTPVLATTNISAASVASNDLYIPISYKGLSQVAAVQATLVNGTYLFDSWTQWLG PLQKGRATSGSQWNYDTSSMTILNSAVQAVVQAGVDANFTVEFFPRLGNAGPNAVNVT ITV TSTA_069650 MTVSLITLDVKGAFDAFFLADRSAAYTSKAGLPILSSGSHPLPL GDQFRSELMEESRYNQLIDYCRILTTLRLIADAMETNPTTTARSGRVVTLSTRAGEAR DSSDNVTKVSKKTSAQVNGTIAVKKIARRIDEAQCGKATKEGILRKMCLFLESTQHEI MTLKDVVLKQEITIKEQHRMIKEQSKND TSTA_069660 MVGAKARIASHLDATSDHRPLIATVPSDQDSRKQPKNCDLIHWT TPAASHSSPLISPSAYRGSAKKTLSQGIGQPWWNNECLCSKTPPDHQAVTTTILAR TSTA_069670 MKFGEQLRSSMIKDYYWHYIAYDDLKDALKTEYVTEPTPANPKP DRKSWTEADERRFVALLESELDKVATFQALKSKEIIQRIKASEQEVNQVVARLENPAS FSGAERPTDEDFMLLEADLSDIIADVHDLAKFTQLNYTGFQKIIKKHDKQTRWYLKPV FAARLNAKPFFKDNYDAFVVKLSRLYDLVRTKGNPVKGDASAGGGQQNFVRQTTKYWV HPDNITELKLIILKHLPVLVFNPSKEFEEKDSAISSIYYDNPETWELYKGRLQKTEGA EAIRLRWYGGMEVDQIFVERKTHREDWTGEKSVKARFPMKEKHVNAYLSGDMTVPAVF EKMRKEGKKSEQQIADWEALAKEIQYRVVTRNLKPVCRTFYHRTAFQLPGDARVRISL DTELSMIREDNLDGRRRAGDNWRRMDIGIDWPFRQLPPEDIERFPYAVLEVKLQTQAG QEPPQWIRDLTASHLVEAVPKFSKFIHGCATLFPDKIHLLPFWYPQMDIDIRKPVTRK YGIERPTQSTSISTSDMVDEDDDETDDEIDGNGQTSNGNAATQGVSHDTVTNYERNGA LSATRNELDVEERVAADFLAGDEDYPLYDSDDESDFSDALEEARRIGGFYYYRMLLSS YLRRTGHITWEVLKAITPRPRATQMADEGTNGITILGNGRRTVKRFIAPKGKRIHVPI RVEPKVYFAAERTFLSWLEFSILIGSIAATLLNFGSDYVTFASAWVFTIIAVICLVYS VVLYVWRVDKIRKRRDVKRIYYEKWGPTFLCLGLVSAVLVNFILRAKHGQLASGDDQG RPHLFQVDMSNQTVEEVGNIRGLEL TSTA_069680 MRFLSIAAALLSTSCSTLLCAAEPVKDFTPPEVFKNVNLVRNTN LEKGYARETINVVVENTDKQPQSDYYVPFPSDVFSRIGGFEVRNKKSPEKGSFPVTAV EADGGSTQFYKIQFPEPLSPSSQTTLSISYYVLGSFSPLPKSIGQSDSQYLTYTIDAY APSAYKVETQKTKVKFPTSNVPGYTITSKLKTGNDPEKQGSTFTYGPYTKVAPGATYP LTFRFESTKPILASSLLQRDIEVSHWGGNLAIEERYWLRNDGANLSRNFDRVEWARQS YGVSSNSALQELKYPLKPGSVDPYFTDDVGNVSTSRYRPGNPGREAHLELKPRFPVFG GWKYSFRVGWNNHLSSFLRKVGAESYVLKVPFIEGPKAAEGIQYDKVVVRVILPEGAT DIKYEILDSGVPNGLPDASYIESSISKHRTYMDTIGRTALTLKVDNLSDEARDSQLLV TYTYPFTAGLRKPLTIAAGLLSIFVGAWFIGSLDVSIKKR TSTA_069690 MILAHLAGIKVFGTGGLGGVHRGAEVSMDISADLTELGRTPVAV VSSGCKSFLDIPKTLEYLETEGVLVGTFADGRKGHVDYPAFWTRDSGIPSPKVIQNEL DAAAMIYAQNQLGLTSGIHFANPIPEKYSIPKAEMDRVIDEAVRTAAAEGFHGSDNTP FILAKIKELTGGSSIPANRALIESNVQRATKVAVELMKLERAHKHPEYRSIPVSSDLT SSLGSSVSAPTPDTGSVAAIGRSTSPIDNLGKVDVLVAGSLAIDLSCDFTPFGSQKAA VSPSVQTSNPATIEQSLGGVGYNVAIAASYVGSTTLFCSVVADDLSGKAALAAVEQEG LETTGIQTLSLSLGVRTAQYIAVNDAKKDLFVAMADMAIMELPDHDLNMEGFWGAIVA QARPNWIVVDGNWSPAVISKWIELGQTVGARIAFEPVSTAKATRLFAGLETNKSSCVI GPSDTVPRSKLDLAAPNELELRSMYSTARENGLFDSPEWWNIINELNLSSAGSRDLLV SVTSPSLVDAGIPQQSIQLLPFIPNLLIKLGPQGVLLTQLLPREDARLTSPDCSPYII SRSDASAGNGNEMVGGVYMRLFPPETILADEDVVSVNGAGDTLLGVVVAGLSNGHNAG KYIKDFIPLAQRASLCTLQGKGGVSPRIRELQASL TSTA_069700 MMDTEKDDLPSATDHSEHESCDIPKTGGTTSKTASNSKDPSRPR RKKARRACFACQRAHLTCGDERPCQRCIKRGLQDACHDGVRKKAKYLHDAPDGALVPG AQGNFYNQANGLSKMSPSDFTPNGTNNIQQPKTSSIYASSTPSYNSNSTFDASSATNP SLPDSAALNPGPFTATPASPTFSISASSAMPALTQPANEMTTGAGQNSFGAFFDPSDP ALFNFDLASMNFGNRYGALEFGMLGHMATGAGDTPPSDSGNQRGGSIGQRSNSQQFGN PTGAFTTESPNQQSFMFGDPVLNDWSGAQNTNPRMSVGGTLYGQGGGMHLLQQDAPHA YAIGSNTFASPSSTTSPHATTIAPSNFDDSPMKTKTVISTPHLRQQSLYNANVNKRRH REPSQIYDSVKEPYSYTGGFHKLIAFIKRRFSPPKTVRIAKALASIRPSFIATTKTLN QDDLIFMEKCFQRTLWEYEDFINACGTPTIVCRRTGEVAAVGKEFSILTGWKKEVLLG KEPNLNVNTGGGTNPSSQTDSAASSIRGGAGRMRNPEPITTNTAPVFLAELLDDDSVI EFYEDFARLAFGDSRGSVMNTCKLLKYKTKEDMDLVKSDDGRWNSHIVGKGGIVGEAG MNRLGFRDGRVECSYCWTVKRDVFDIPMLIVMNFLPHI TSTA_069710 MRQNGPRDPVAGPDSGPEPPYPIRLSGPVIKGFGRGSKELGIPT ANIPPGGLEAYPSLQTGVYYGVVALDPARFEYNQIEAAAQTGSSESADAEPKKKKNEI TILPAVLSIGYNPFYKNEVKSIEIHIMPPLTSPSPTSNENPTTTFFKLPDFYSTHLNL LILGYIRPEFDYVSREALVEDIRIDCEVARKSLMRGGYVRYLADDYDDKSENGEEKVR REREWLRSFGG TSTA_069720 MEEKYETERRSLSPTSSHANEEHDGATFSPIAAPSNNLRDLDTV ELGKTHSRASRASRASMNRTYSLTDGYCLSVQDDEELDEEELEQRKDEGVTPEDVSPT EYLVKWSENDPENPRNMSYARKWVIAIVVCLGSVCVTCTSSVYTMIYSQVTRDFHCSR EVATLGLTTFIFGLGVGPMFLAPLSEFYGRRIIYIASFAFYVIWMIPCAVAQNIQTLI VVRFFGGLSGAAFLSVAGGTVGDLFNRSELAAPMMVFSAAPFLGPQIGPLVGGFINQY TNWRWTFYTFLIWSGVQLVLLVFLVPETYHPVLLKRKAIRLRKDTGDQRYYAALEKLD RSVAQTVIRSCYRPMLLLTLEPMILCLCLYSAILLGILYLFFGAFNLVFTRIYNFELW QVGASFLGITVGMMVAVGSDPLWRANYQRLERNHIAAVGEKGESMPEWRLPPAIAGAP PVTIGIFIFAWTAYAHVHWIAPIIGTAVFGMGVILVYSGIFTFLVDAYPEFAASALAA NSFARSSFAGVFPLFGTYMYNRLGIHWASSLLGFLTLLMLPFPYLFFKYGKELRKKSK FATSRV TSTA_069730 MNGTISRFRFQCMNIRDRLVHTPWLFIKTVIRGITAALAVISLS FFAAAIPCWNHNLEHIHGPEKGDWQDGIPIAPLALAFLYNTCTTIYAVKKGKGVPYHI LEVLIDFLILSALAAALVFAIWGGVFNVWSTASTMMGPSGMMMVMCDESSNAFSRECF PELYPLGLIEIAAIGFAVPVW TSTA_069740 MFYSETLLSKTGPLARVWLSANLERKLSKSHILQSNIESSVSAI VDQGQAPMALRLSGQLLLGVVRIYSRKARYLLDDCNEALMKIKMAFRLTNNNDLPANV ALPPGGITLPDVLTESDLFMNLDTSSLLLPSINLESDSKRPGTVDFGSQLLSDTQHLV SQEPARLEDHTLLDLDLGEDDAPLDNDISIEVGRDAPLMRPVEEDLFSEEGKLNDVDL NLDLGEDGAPLGDMDLEEDSHDNIDRFLMPDDPMDLGVGELDVPQVEPEAEARSPQPL VDRFTTPQADVAAGEDEAAVDEQEAARETQRAKRRKIIGHDVDTQLSSALIKKHQEDH EDILKPVSFLPRDPVLLTLMQMQKNGDFVSSVLGQDRGRGWAPELRDMLSFETVRKAG ELKRKRDSGVSDMEIDEANVPALDLGGDESAINLDEGIGLDTTLQQDQIEFGADNDDQ LGSDNEGLQLDEFDDTIHPSETGPVSLGTQHAVHLLRERFGGSQAAEPATPQKKGVLF QSLCPEKTTTKADATKMFFETLVLATKDAIKVEQSHNNIGGPLRIKAKRGLWGSWAET EAGGEIAAQQETQVAA TSTA_069750 MSPSSSPSLPLYNVQDSRSQSPYLPTKTQVSSSVTSSYAFLQPQ QVADRLNTSLTHGLSPAEAEIRLHRDGPNELPREDPEPLWLRFLKQFKETLILLLLAS AGISFFMGNFDDAVSITLAVTIVVSVGFVQEYRSEKSLEALNQLVPHYAHLIRDVAAN SGLEQGVSRNGAIDADAQKTHADAPAPITNALKASSTILAGKLVPGDLVLFTTGDRIP ADIRITSATDLSIDESNLTGENEPVHKYSDVLQSTSIPIKQEDPDAPFGRLSDSGLGE PHVRLSEQHNIAFMGTLVRSGYGQGIVIATGAHTEFGRISTSLQEIESPRTPLQLSMD RLGQELSYVSFGVIGLIVVIGLIQGRKVLEMFTIGVSLAVAAIPEGLPIIVTVTLALG VLRMANRGAIMRRLPSVETLGSVNVICSDKTGTLTMSHMTVTKMWHHDCPEPFEVREV ISSAPGPVVQKMLRIGNVANNARLSRAHADSPASAASAAVLSSTMDSSSSHSQTRWVG QPTDVAILDLLDAYGEDDIRDQISGRVAETPFSSEKKWMGVVIGGARAGDSRMAYIKG ALEQVLARCDTYLMKDGREVILDEPRRKAARDAAEKMASEGLRVIAFASGPVREPGRH MRRLGGSRSATLSPKIDQHGADEHDEQFTGLVFAGLVGMNDPPRKDVRKSISRLMSGR VRVIMITGDAETTAVAIARQLGIVVNDSAGSHAVLRGDQIDRMSTAELSEAIATTSIF ARTSPDHKHKIVRALQARGDVVAMTGDGVNDAPALKRADIGIAMGKLGTDVAKEAADM ILTDDDFSTILRAIEQGKGIFYNIQNFITFQLSTSVAALGLVLLSTALGFHNPLNAMQ ILWINIIMDGPPAQSLGVEPVDPAVMNSPPRPKHARVLTRPLIQRVLTQAFLIMLGTL IIYMHEMSDIDDELNPGHMSRVVTNHDTTMTFTCFVLFDMFNALTCRSESKSILRGEM PLTGNKMFNYAVLGSIIGQLSVIYIPFLQSIFQTEALKLSDLLKLVVLASSVFWADEF RKYYKTIKRTSPGAMYSSNV TSTA_069760 MKPQSLAAGLMLAGLQMVSADHHHGALHFRHEQKPAVQEYDTTT TTTTSVLKVVTITTTITVSPDTAPTIVLAPIAEPTSSSLPTASIDSNTEAVASIANTQ SSSTTSPSQGSKTNPLTPNGIKAGSAGGDAYPFWKDHIGWWYDWTPNPLKSYPDNTGH AIPISMLWGAGTVSHGDAVRYAEFQKLTAAPPYILGYEEPDCSPPDSSSISVQKGMKV WNEVVAPWGKKGSLLGSPSVCKQSDEDWLTPFQKGISTPWDFTAVHINTNNMGVVKKV LNHYWTKYNQKPIWVTEFACVDTSNGFKASENQTQINKFIADIVDLFQRDDRVYAYAY SAGRGLGSTWPPVKDEKLTESGRVYLDAIRKYA TSTA_069770 MSSSVTEAPYAPDYASYNWTGAPADYALTTNTDLGGDSRIENLN KWYQSGDQAYIIVASAMVMIMVPGLGFLYSGLARRKSALSMIWACMGSFSVITFQWYF WGYSLAFSPSATNGYIGDLKNFGLMHLLGAPSPGSPLVPGLLYAFYQMQFCGVTAAIV MGAVAERGRLLPAMVFTFIWATIVYCPLACWAWNVNGWGYTYGVMDYAGGGPVEIGSG LSALAYSMVLGRRQERMMLNFRPHNVSLILLGTAFLWFGWLGFNGGSAFGANLRATMA CWNSNIAASFAAIAWVLLDFRLARKWSMVGWCSGTISGLVAATPASGFITPWASVILG IVTGIVCNYATKVKYWIKIDDSMDVFAEHGVAGIIGLIFNALFASDDIIGLDGVNTGS KNPTTGTNQGGWIIHNYRQLYIQIAYVVAACAYAFVVSAIIAYLINLTPGLHLRASEE AELLGMDDDQLGEFAYDYVEVRRDYLAWTPQKAAQLEDGHEIPHADRYGIEAHSTMLE GHTPEDKRSEDIIEAQDSAIPLAADHHHHTMTTSSQLPAAPRQVAEQNPPDTGRAVDE EKNA TSTA_069780 MSKANGSGNTNGHKRSTTMTVPASTPPDIYNANSVSEIKATLLH LHDQEAAVTARLDALVASQKDFSRELGRLDLLRAHLGSQVNTARNISHGMLSPAAATA ERISGAVRRLDLEQARVKATLEVVEQVAELKACVLGVNGSMEGPQDWEMAASYLNRAS KIPPEVVKGAFAAQIVPTAEVPDPPSVTLHNAAESLCGLFLREFDKAVKENNGAKITR FFKLFPLIGRSEVGLDVYGRYVCQGVASRARANLNAGPGGSQVKDGYFYASALTKLFE HIAQIIDGHGALVERHYGSGKMTRVIERLQVEADVQGGIIIDTWSDERNVDRKLTDIK SYAFTFLVQSFLPAQRATGTPRTGSPATRDGLQEGEDEGVDMKEIDAILNELGVMLER WSLYCRFVGDSCRPVEENGNAEAPLELPEFLVESTLSKKIRDRMIGPFNAMTTFFLRR SVEKAFQLDEQPTGLTLSLQRPLASEPPHITSAVDDIMYIVNKVLRQSIATSQEQVVT NVVPTLSRVLGADFIGMIQRKMRDECYPRPPVQGAQPAEQTVISFLVLINNLDIAVDY IRRIVRGQVESKPADGNPENGKTVTEDVGNGLAAMYPLGDDALIVAQTLQSLSTSFES KANDLISDGIQVVFNNVIKARLRPILAESFRDIEYQPRDHNDPTTSTYQTYEVDEDEE DEELRRAEMVRPRFAAAWKELVSPISRILTPTAFDRLLSITVTYLARLLEKRLWSYHG RVNGLGAIRLERDVSGIVNAVVEVGSSHGAPARYRHRETFARCVQITMIMAMDEDEWD DVVAGGETADVVDRLSVEERARARSMMRN TSTA_069780 MSKANGSGNTNGHKRSTTMTVPASTPPDIYNANSVSEIKATLLH LHDQEAAVTARLDALVASQKDFSRELGRLDLLRAHLGSQVNTARNISHGMLSPAAATA ERISGAVRRLDLEQARVKATLEVVEQVAELKACVLGVNGSMEGPQDWEMAASYLNRAS KIPPEVVKGAFAAQIVPTAEVPDPPSVTLHNAAESLCGLFLREFDKAVKENNGAKITR FFKLFPLIGRSEVGLDVYGRYVCQGVASRARANLNAGPGGSQVKDGYFYASALTKLFE HIAQIIDGHGALVERHYGSGKMTRVIERLQVEADVQGGIIIDTWSDERNVDRKLTDIK SYAFTFLVQSFLPAQRATGTPRTGSPATRDGLQEGEDEGVDMKEIDAILNELGVMLER WSLYCRFVGDSCRPVEENGNAEAPLELPEFLVESTLSKKIRDRMIGPFNAMTTFFLRR SVEKAFQLDEQPTGLTLSLQRPLASEPPHITSAVDDIMYIVNKVLRQSIATSQEQVVT NVVPTLSRVLGADFIGMIQRKMRDECYPRPPVQGAQPAEQTVISFLVLINNLDIAVDY IRRIVRGQVESKPADGNPENGKTVTEDVDTAIAVNIVRVQSQ TSTA_069790 MGNEASTPIDGSVPPATLRSRDLDSVAKYILEKDVRRIVVLTGA GISTSAGIPDFRSPETGLYSNLAHLELPDPEAVFNITFFRENPVPFYTLAKELYPGRY RPTIAHSFITLLHRKGRLLKLFTQNIDCLEREAGLSGDMIIDAHGSFASQHCIDCKSH YPDELMKQVVAKGEVPHCIAPECNGLVKPDIVFFGEALPGAFFANRELPAEADLCIIM GTSLTVQPFASLPSFCRDETPRLLINMEQVGGLGSRADDVLLLGDCDEGVRKLAKALG WLEELEALWEESNPNKEDRDLETAPKKTRDERLHDEVERLTADVDRTLHVSNSHQNYT RQYLDRHLAKQLAKQNAREERDGQVVSDTTGAPGEEILRSSDDDISGISGLTHVFPHL VDKKPSW TSTA_069800 MVGLGPRRRPSRKGSMADVPKSLLDQIKEFEDIFTVDRQKLKEV VAHFVKELEKGLSVEGGNIPMNVTWVFGFPTGDEQGNFLALDMGGTNLRVCEIQLTAE RGEFDITQSKYRIPEELKTGTAEELWEYIADCLQQFIEYHHEDEELPSLPLGFTFSYP ATQEYIDHGILQRWTKGFDIEGVEGKDVVPPFEEVLQKRGLPIKTTALINDTTGTLIA SAYTDPEMKIGCIFGTGVNAAYMEDAGSVPKIAHLNLPPDTPIAINCEYGAFDNEHVV LPLTQYDIIIDRDSPRPGQQAFEKMTAGLYLGEIYRLALLDVIDNKPGLIFEGQDVSK LRKPYSLDASFLSAIEEDPFENLQETQELLERVLGIKAVKAELELCRRLAELIGTRAA RLSACGVAAICTKKKIERCHVGADGSVFTKYPHFQARGARALREILDWEDNEKDKVVI LPAEDGSGVGAALIAALTLKRYKEGNLVGIKDKDSFKCFV TSTA_069800 MADVPKSLLDQIKEFEDIFTVDRQKLKEVVAHFVKELEKGLSVE GGNIPMNVTWVFGFPTGDEQGNFLALDMGGTNLRVCEIQLTAERGEFDITQSKYRIPE ELKTGTAEELWEYIADCLQQFIEYHHEDEELPSLPLGFTFSYPATQEYIDHGILQRWT KGFDIEGVEGKDVVPPFEEVLQKRGLPIKTTALINDTTGTLIASAYTDPEMKIGCIFG TGVNAAYMEDAGSVPKIAHLNLPPDTPIAINCEYGAFDNEHVVLPLTQYDIIIDRDSP RPGQQAFEKMTAGLYLGEIYRLALLDVIDNKPGLIFEGQDVSKLRKPYSLDASFLSAI EEDPFENLQETQELLERVLGIKAVKAELELCRRLAELIGTRAARLSACGVAAICTKKK IERCHVGADGSVFTKYPHFQARGARALREILDWEDNEKDKVVILPAEDGSGVGAALIA ALTLKRYKEGNLVGIKDKDSFKCFV TSTA_069800 MNVTWVFGFPTGDEQGNFLALDMGGTNLRVCEIQLTAERGEFDI TQSKYRIPEELKTGTAEELWEYIADCLQQFIEYHHEDEELPSLPLGFTFSYPATQEYI DHGILQRWTKGFDIEGVEGKDVVPPFEEVLQKRGLPIKTTALINDTTGTLIASAYTDP EMKIGCIFGTGVNAAYMEDAGSVPKIAHLNLPPDTPIAINCEYGAFDNEHVVLPLTQY DIIIDRDSPRPGQQAFEKMTAGLYLGEIYRLALLDVIDNKPGLIFEGQDVSKLRKPYS LDASFLSAIEEDPFENLQETQELLERVLGIKAVKAELELCRRLAELIGTRAARLSACG VAAICTKKKIERCHVGADGSVFTKYPHFQARGARALREILDWEDNEKDKVVILPAEDG SGVGAALIAALTLKRYKEGNLVGIKDKDSFKCFV TSTA_069810 MTDLQTQPPSSQYYVLTFPAPHVLLVTINRENHRNALNHQAHWD AEAIFRWFDNETSLRVAIVTGKGSKAFCAGQDLIEQSNNVASKDGGIGAKRSERLLSH PPGGFMGLSRRRGKKPVIAAVNGYALGGGFEICLNCDIIVASPTATFGLPEAQVGLYA AAGGLSRLTRISGLQIASEIAMTNRKLTATEAQQYLLVNKISKSPETLIDEAVEMAIK ISNLSPDSIIVTRHGIREAWETGNVEQATIRTQQEYTEKLFGGENFAIGVNAFANKTR PKWVPSKL TSTA_069820 MFEQFTPFDISVEQDVTIHGIHGGSGPALLLLHGFPQTHHIWHC VADELTKSYYVVAIDLRGYGRSSKPDGGEGHVQYGKKAMAQDAVRVMDELLKRRDGND SSFYICAHDRGARVTHRLCVDYPHLVKKVILLDICPTLAMYTQTTQEFAQSYFHWFFL IQQSPLPEISILTNPQVWVESFMGGRYAGLAPFEEECLKEYKANLADYETVHAMCEDY RASASVDLREAEEDVAEGKCFDPLEEWRKVSSQSVDGKAVDSGHYIPEERPEEVIANV LEFFK TSTA_069830 MRSISWITLLGAVPAIRAQFVTAPTDLIEKKGYMDIPVRYKEVP TGICETDPNTKSYSGYVDVAEDQHLFFWFFEARNQDPKTAPLTVWINGGPGSSSMIGL FQENGPCGIDSDGNVYSNPYSWNNASNMIYIDQPTQVGFSYSIPVPGYIDPSTDDIIV LPSNSCPDYAADLSCGTYPYGNASLTANSTDNAAPYFYRALQGFMGAFPQYSRESFHF TTESYGGHYGPVFNEYIEQQNKNLERGAKKISLESVLIGNGWYDPIVQYAAYYNFTVY PGNTYDYLPFNDSVASLLYNNLWGPGNCIDQLLDCAARGSNEICSIADKFCANHVENI YDIYLNRDEYDFRELMPDPFPYEFYVDYLNTPEVQAAIGAYVNFTEGSESVGNAFSAT GDDGRIMNTVEDLGKLVDQGVTVVMYTGDADYNCNWLGGQEVASMVDAPGYSSAGYVN ITTDDGVVHGQVRQAGHFAFVRIYESGHEVPFYQPVISLSMFERAIKGLDIATGEVKA HKGYKTVGPPESTYKEGNSTIQWKVVDPSATYNTTTNEPNPVARSMKRSVQKSARRFK P TSTA_069840 MSIRSRHTPIIVGVGDIRNVSTKIEDAREPADLMLDAIQQALRD TGLGSITSLRSQIDSIDVVRTWTFAYGDLPGLLAKRLNIASPLKHKLYTANGGNQPAK ILDEAARRISRGENKLALVTGGEALASAATCSKLNNYPPPGWTPADGNAEIFSPGELG QRGDTGSTHMMGAPIQIYPLYENGLRVRRGQSFNDNNNESATLYARFSEIASNHPFAW NYGKPPMDAKEIGTISKTNRMICYPYPLFMNAFNTVNMAAACLLTSTEHARELGIAEE RWIYVLGGAGTEESKKFWERSNYHSNAAISRSIDEGLRVSGLKADDIDVFDFYSCFPI VPKLACYHLGLDPCHPAKPITAMTEIVRQLRKPSSKKQHGLVLANGGVLTYQHVICLS RHPRKDGGTYPTTNPLPETLTDLPMPKILENAEGEATIETYTIEYNRTGRPETGYIIG RLKNTGISNENNARFIAVTADERSLQRLVELAVSDEPIGKTGHVSVENNGNEKGRKRN LFTFRPKTRL TSTA_069840 MSIRSRHTPIIVGVGDIRNVSTKIEDAREPADLMLDAIQQALRD TGLGSITSLRSQIDSIDVVRTWTFAYGDLPGLLAKRLNIASPLKHKLYTANGGNQPAK ILDEAARRISRGENKLALVTGGEALASAATCSKLNNYPPPGWTPADGNAEIFSPGELG QRGDTGSTHMMGAPIQIYPLYENGLRVRRGQSFNDNNNESATLYARFSEIASNHPFAW NYGKPPMDAKEIGTISKTNRMICYPYPLFMNAFNTVNMAAACLLTSTEHARELGIAEE RWIYVLGGAGTEESKKFWERSNYHSNAAISRSIDEGLRVSGLKADDIDVFDFYSCFPI VPKLACYHLGLDPCHPAKPITAMTEIVRQLRKPSSKKQHGLVLANGGVLTYQHVICLS RHPRKDGGTYPTTNPLPETLTDLPMPKILENAEGEATIETYTIEYNRTGRPETGYIIG RLKNTGISNENNARFIAVTADERSLQRLVELAVSDEPIGKTGHVSVENNGNEKGRKRN LFTFRPKTRL TSTA_069850 MVGAKRKVATAIRTKSTGPSSSAKASHHEFDMTDKDYNSSDSEG DDEDDIPEKDEVEEKLEQMLFGDDEGFQEALKNHGRHQESTGLVMRSDQEDGEGEVED EDKHNMDDVADADLFFLDSGAPEVQDAIDHHPDALAVELKGESGAVTKPAVWNDSDDE RMAISLAGHRQLRKLRVVESEDVVNGTEYIRRLRTQYLRLHPTPDWANTELRNKRKSR RNSHGTNGSEDGVSSSEDDMDTDDDEDLSTKPLAKLLQGAGDLIKGSEDGATGKRAKL RQEVIDIRRLKDVGGVQPSSIDSLSFHPHYSLLLSSGPASTVWLHHISPSAPTPSNLL TSLQIRKTPLYTSAFSSPTGNKIYASGRRRYFHVWDLESGRIEKINPTADRKEEQKSM ERFKLSPCGRYIGLIGSARKGGGMINILDSTTARWIAQARVDGLGGVADFAWWSDGNG MVIASKNGEISEFDMRLRRVICRWTDAGAVGTTVIALGGSSGRPQLGGDRWVAVGSFS GIVNIYDRKPWQGAAAQAEQSKKHNIEDAIPSNPTPVRALEQLTTSITQIVFAPDGQF MVMASKWKRDALRLVHLPSCTVYRNWPTSNTPFGRITSVTISPQSNLLAVANEQGKIR LWEING TSTA_069860 MEAPAATTFASATTLVGTQLPSPTVTGLGAFVSANTMSSPNSPQ NGECQLLGPFSLLIQAALGGLALLSLVYKRYRERPQRPLKIWAFDVSKQVFGSVMLHL ANLVLSMFSAGHFEIRQQYQPNPCSFYLLNLGIDTTLGIPILYLALRIINRLAHYTPL ARPPESIESGNYGQPPRIMWWLKQSILYFIGLLWMKLCVFVLIQVFPVIVKIGDWALR WTEGNTALQIIFVMLLFPLIMNAIQYYIVDTFIKRKVVADIDMEPFEDEHRNELSNDN RDSHGALLEAGSQSDDESDLEDGIAVRDIKPLSRAGSDVRVATTEYDPAVDCSDLLTE SSSKTSIPKGPSRS TSTA_069870 MSRKLAPEANRILFVKNLSYNVTAEELFDLFGKFGPIRQIRQGI ANNTKGTAFVVYEDVMDAKQACDKLNGFNFQNRYLVVLYHQPEKMARSREDLAERQEN LERLKQQHGIE TSTA_069880 MASTSSFLSHPGGRPRKYRTPSPPRRAIEPISPPAFKSERQSFW NDRSAFYPRDYNSNSYNSPSDPTRRSIGASHTRVSFGHPKAGHGHHRASSSIETLANI ALATSPRFPSLSFDPPGNSTRTESSPLFPHTDADSERPAKRARSEKASPAFQHVDTRP ATSHVTTVPDSMKTDAELLLNFARPTNFASPHQFSQPSNVFGQPFNQFANDQRLPTIN GATSTFPGFIADTLPGSTQSPSRLRSHSDGSAAIARPPVPGLRPNTSSSTLPGVAWHN DAFRNHHNLQDPSSPPDEINNMASPPKRRPTITSDTVKTVPEAKEEEVTPEGNAPANC AACHLVRVDINTEDQGEDTWIKCDGCESWYHIVCAGFKNDREVRTVDKFICRKCRPIH GQTTFVRKSSRARTAIDYAGLNQGLVKSSTDSYEHHYIQPIKDGRIKFLPENFPRMRA ELVTAEYFERGVGMTEPIVIPAALNPRTSIDTSELDSVFDGLAGEASTQEMFDEILEN QPGEPEIVIDCGQDVLDMVVPQGLTVRAVAELYGPEERVEVIDVKSQQGEDKRWNMQK WADYYESTGKKIVRNVISLEVSQSPLGKLIRRPKIVRDLDLQDSVWPEELKAAGDYPK VQFYCLMSVADCYTDFHIDFGGSSVYYTILKGKKTFFFIPPKEKHLKKYEEWCNSPAQ DTTFLGDQTKECYRVDLEEGDTMLIPAGWIHAVWTPENSLVIGGNFLTRMNYGMQIKV AKIEKDTKVPRKFRYPFFQKIQWYTALKYLNDDPVPDSVLDAFSRDEHYRFYRQFPIY YEFGERENRSVLGSPYYNARFYSQAELEGLPELLRYLLRTALIAGGYSVDGVTAEARN AVKRSIPKMQGDPIEVAKKFAVWIAWKRGNETAASWTRPGAISSTFKIDQVEKRPAGR PSRRSERHADAQKMYAERQSLQLVAEQPQEPQNAFPSSTPHPPDNVSPVLQPFPQLVP VAITPAATAPSITTPTTSTPAIMNPIVKEEPVKPRTTNKGSGLGPKRVACDACRRRRI RCRHKDEQADGWSLGVSPNPAPLGTMFDSVDPQRQSILARDAASVLNALASVATETTL QDGSEHLPYNTDGFPRDNVGFLSGISQSAYPRPVEGCVNGNNGGKKGRSKACDECRKS KRRCIHDEYGRIDPVKAQERSKPRATSSAKRPRPNEEGIDLVTNKKVKTGVTAPVSDS VNYFETDQAISSYLPASSTHVLQQDQYQQQYYMHDPDGISTVQSPPEERLDQKIEMAD ITYTVSPNPKINGDASVVSLQMQANQQMANPLASPPTSLLGEAEILPPQRPGEELHGG SVTVDGEHEALHTPNSSSRHSSRQPRQVDRFVPEQQAGTKSSTTAKQSTMTSSSRHPT KASSVTSSHTKKSSSRPSSSHAKKSTSPSVEKKLARPSTTSPNSSKNVKRERTSFTAD DTTEADAESLRLIRELQEQDFGLRRRAARV TSTA_069890 MKIEGNIVEMTSFVLSPEQKQVKNEARLFAQNVLSEAATVYSRL DTQAERFHSIRPFYRKAVAAGQIHAQIPAAIGGTSKNLIDVALALEEQYPVDPSVSLT IAATGLGLTPLILGGNPVLYKKFLSPFLTGEGEPLASLVHSEPGGTANWLEKGGKGLQ TAAYCQDDGTWIINGEKLWTTNSAGWDGKGADLQCVVCRYCTNDELLPTDTPASAVVV LLVTRETIAQNDDSAYQVLAEPELAGHPAVSGPHTRFTNFKVPGENLLAAPGVGATLI ENSFGMSAALVGAMSAGIMQTVFEKALKFSKTDTRGGTVPIVQRQSVADLLIDLKIKL DASRLLTYRALDYVQNESVDAKTALEACLQAKIYGSDNAVDCTTAAMKIIGITSYAQD KGFGKILNDAVCLSLFDGGNVGVRRRQLQQLLEHDDYESRIGF TSTA_069900 MVFRPENIPIRFFKACRWCRRQKMRCDARDQVPCFRCRSAGRNC ILDPIDSEPRRTRRRQKSATPARSQLGGSPLDQRAGFHTPGSAEQDYSSLVTTRDAGF NSSIDSLPNSVDLSSLQRPQTGDQSGPKSQQLSPNEMIAPVSAVHSMSVNLLGSDNIF MDSSSKGDSKGDIIARGIVSEENARVMYERFAGGSKNFLPLFDPIRDTFDSIRSRSLF CFTVIIYLASRAVADLRSNTHLQRVLQDEAHRLAEDSFFERPTKLETVQGMILLAAYS EKTWFSIALILRTALDSGLEKSLDTLLSQENVPRSSLSATMADRELVWQTRTWLISFT LELDVASGTGRKSRIAEVDVTKLRAFLDYPLSLPADMRTVSIIELHQLRGHSRVIIEK TPTISDIISSELPAIMSRLQNWWITWDEIHDQNGFHAGAFQRSSLKLMLHYARIFVFC ISLARIQRLQPTYANSNPDTMDQSVMNLWQSLVTTIMDQLAYLINESSYRYQMTWAPT YPALTIAFVTTFALRVARWCPSLIDQSLLLERAEKICDFLRQPPYPDIHRTVSIFVNY ARALIASQRRQENHVDMPEQQGEDRLSPTSYGSTNLIINAPPVEMGSNPHDDEGNNRG PTMQSTTTNKESNTIPTLSNDTPRPPLSRLPEMMEVPNWATMSNSIADSFGLFEEDQN DIFDFLHTMPSIPQ TSTA_069910 MAQANGLFLSGVVNYTKIFLQLDKGHAFNSTSSTLAESEKEPQT DEGYTLTKLSQDEILVEFSNQSAANPPNWTANKKVYNAIVAFIVAVNSGISTALPSNA VPAIMQQFSQSGSELKVLPTALFLVGYIIGSMVFSTMSESIGRKPVLLGTFSVFVLAT LACAFAPSWGAFLAFRAICGLAGAAPQTVVGGLYADMFFNERTRGRAMVTYMSAGSFG PILGPIISGCSVQYGWRWTFRISLIMTGTTWIALLFTSETFGPALLKQQACKLRKKWG NRYFSREELYPDSTFTTTQIIVRPITMLFTEPIILSTTLYIAIAYSLVFFYFQAYPII FEGIYGLDVKSASLTYIPIGIGASSSGVITICYDMFYEKDKKLGKPWTSSPELHRLPL SCFSGPCLTISIFWLAWTAKSSIHWIVPVASGLVFGFGYQIVFTSLLTYVTDAYRIYS ASALAASVVVRSIAGAFLPFTATPLYDSLGVSWATSVLGFVSLACLPIPFVLLYYGGE IRRNSPFCQRLVVVDEIEGREQV TSTA_069920 MPDQKRIYFIGSNISHAITNTIHNDVAATIGYTNWRLEAIDIPD VTHDDTLIKGKLHGPKFAGAVITMPHKLAIIRYLDDVDEIVSLVGACNNIYPVIAGTE SKLIGTNTDWIGIRECLLRLDRESRPNNAINDEETKSGFIVGAGGASRAAIYALTRHL SVARIYIVNRDIDEVQTLVNDIKTRYQSRRTPIPEIIHLQTPEQARNINHFPYYGIGT VPDYPPTTDEEITARDTLHSLLARGNSEGGRRAVFLDMCYKPRQTRNLVAAKMYGWVT GEGVDVVTYQLKEQWRLWAGEEEMARRARQIADLL TSTA_069930 MGRRRPVSKFIIITLASLITYLSISSVLRAVKPSLFVWSEEDLE EAEWLATSKSWWDRKFCRFFGLCGIAHVRLSRKDGPPSRTLGQMPIDYQDDSWRMDWT LAEDLSANWTNSERVLREVPEYVFEYAPLVHLFSGESFWPGDIAEHLVYTTPTLNYTP VQAKWDHPTLQDLDRLNRWELGKHVYLTSGDDVETRPAWLGGERNIPVPPQPGTPDPE EPVDDTPGNSPVSNEGDDRKKWYDAGGWNDDVDAHSVEMEESEILDFEHTVEELRKRY TGKPIKTTGVDRGRSDAPAFLVVVDKGNDIVDAFWFFFYSYNLGNTVFDVRFGNHVGD WEHTMVRFYKGHPKALFLSAHTAGEAYSYEAVEKQGKRPVVYSATGTHAMYATPGIHE YVLPWGLLHDQTDRGPLWDPLLNSHYFTYDYLSDVLRASNLNPSAPTEWFFFNGHWGD KFYPLGDRRQYRFAGQYHYVNGPLGPRFKHLGRRKVCQGRYTSSCVIRNFVEEEKRSK RWVGVGVGEEPEDEELSSIMERRTNWLMTNGINPEV TSTA_069940 MQVSTPDSEYEYEYDATETETFYVNIDLTSYNGPLRVPRGYASS TTEQPSRPDEDAEEAASPPQDRGEVTGKRKRVDGNESLHDIATDEVEVEDDDQIQIME LHSKNPYISYRNHIFSCEWADMIGTDMHFVRREDSEPDPTYLKHADGYSLIAANPIKI IGRRVHVARSSVTAKPNEKEISSATFAHDNRSSQVRFLQELMDIKREKGETDMVRMTY GSRRNQQFEDRISKWAHTEERVNMIHQLNRAALGGDSEALNKLEELYTQIEQTPD TSTA_069950 MSIDPASTRPPSEGLPSPAGVNGHSNGLSTPQLVVGGSGSSGPG TPIGFQRFPHNKHLDHVIRTPGRQPSPQPVHLGLPGHHRVLSEEGSGYISAKFEGKQE QMEQVMDRLEEKGFFPSDFVVSETTWFYNMLGIDDMYFQTESVESIVTQILSLYAAKV AAYARDDKRLEIRLDKEDEDHAVYIDTSKPGVTTLDGPNYESRIDSKYIDGSRPGRSY RVESFRSTSPLPGEDAQQLRCYFVYKCQFANPNPDPDETNIEIIGEKRFLQKATANTK AIYQEVISNAVSRTGPVIEVFDIENSREKRLVVAYRQGSAMGIFSALSDLYHYYRLTS SRKYLESFSNGINVISLYLRPVSNEISQKYPPIEAAIHQIVKEVSLLYCVPQNRFQNH FASGRLSLQETIYSHCAWVFIQQFLNRLGSEYTSLTALLDSSNSVHAELLAKIKKRLR TETFTSDYIFEIINKYPDLIHKLYLDFASTHYVQTGDPQDDFLPTLSYLRLQVDEILD DAKLKEIISRTAVNEHDEMVMTAFRTFNRAILKTNFYTPTKVALSFRLHPDFLPEHEY PQRLYGMFLVISSEFRGFHLRFRDIARGGIRIVKSRNKESYGINARSLFDENYNLANT QQRKNKDIPEGGAKGVILLDVDHQDKARVAFEKYIDSILDLLIPPTSPGIKDPIVDLH GKDEILFMGPDENTADLVDWATEHARKRGAPWWKSFFTGKSPRLGGIPHDAYGMTTLS VRQYVLGIYRKLNIDPSTVKKLQTGGPDGDLGSNEILLGNENYIAIVDGSGVLVDPNG LDRDELVKLAKKRVMISQYDLSKLSAQGYRVLVEDSNVKLPSGEVVNNGMLFRNTFHL RKESQVDLFVPCGGRPESIDLSTVNKLIVNGKSVIPYIVEGANLFITQDAKLRLEKAG CILYKDASANKGGVTSSSLEVLASLSLDDKEFVQNMCVAEDGTVPAFYQEYVKEVQEI IKRNATLEFEAIWLEHERTGLLRSVLSDRLSLAITNLDEELQKTSLWNNVQLRRKVLE DALPRLLLEKVGLDTILTRVPENYLRSIFGSYLASRFVYEYGSNPSQFAFFDFMSKKF SSQLLNSK TSTA_069960 MSELSKAKITNGRAPSFNALCKAYQKFFEARAEEPGIITEFHAK QLVTTYDYLRKHKGDGDVDSKGFEIIESKDFMELTLYVLSQANCHGNSHQYIRRIANN AYRVLSAAATDESSEISSGAFLAWIRINAFYGNPYQARTALVKEWPKVQQWPDVDAQG SPWLWILHGMALDQNATELVQAVQDWDMGGYFTLTPQQHQDLVITLLEQGQLAAAKIM HDCPLPAGEPTVTATSAVARAYILNDDKPGADELINRLSVHSPSAETRDIFLLIAAAN GKDAQGLDRELNNWCLKDPSVREGLSISCVNDLIRYAYVTGNKSLAVDYSSLISTWGL ESNSETQLLLELEPRIQDSDIDGTVKALNELDESEASANIALRMRYRLIKMLCSSPNN DEAFDQVSKLLDPLLQDGIQLEPEILTVLSRALAYRHDWEALSQLLRPRIGSYSMRNE RPLIQNALVDFIRDTEQENEEVWEAYDLLRIAFPEISAERRVEIMDLFFTRGMVEEAC QVFGHMRHAPTPAQRPTPEAYITCFLGLARATAWDNTKLIRNMLKVDVDIEITTRVRN SVMLALAACERSEEAMDEFREILRSDEGPSQNTLIIFFRACESAPNGVAEANKMLTKI TALEIPLDRGLYLAYIKALAAQGEHELAIEALEQTENKIGQRLDKDMIARIYNSCAHE YAKEGIQQWTVETHPTVWQELENLPRTETETGLRLQGFEDDLAAML TSTA_069970 MSLSLFTQSGNSLLQRGLNLLAPVSCRWASTTPSNTQPNNIQRT AFPTPTRSTPPSSRSKALALGRRQRITQRTRIMEETRNQNEGRTLEKFQTREWTQGDL YSPHDLSPSEMKKWSKRWSPSSDAFDALNINPLEHYKNFSIMSEYMTPMGRIKHRSAT GLRPVNQRKIAKAIRRAIGVGLMPSVHQHPEIIAIETRSRGPKGGYNF TSTA_069980 MSLTNEQIWAPSPSTTRGQPTQLSSDAKGEKLAYASNKSIFIRS IDNPAVARQYTEHKAQATVARFSPSGFYVASGDAAGTVKVWDCVGEGITKGDYSIVNG RINDIAWDGDSQRIIAVGDGKQRYGHCFTWDSGNSVGEIYGHTQPINSVSIRQQRPLR AAAAGDDKTLVFYHGVPFKFNTGIRDKHTNYIYGVAFSPDGSQLVSVGGDRRIWLYDG KTGEPKASIGEGEHKGSIFGVSWSKDSRKFATASADRTVKLWDVEAGKITQTWDLSSE GAVNPLDQQVGVVIPPGRSDGLVISLSLSGNLNYLVEGSPQPRQVLKSHQKNITALTR FSADDGKETLWTGSFEGRVCSWDVATGTADTVDGDNHTNYIAGLTSTQEGKGRIYSVG WDDTLRSADVAAKTYTGTSTKLSAQPKGVATAGKLVLVASSESIDIYQDSNKSGTFKA RADVTAVAAHENTVAFGSEDLKVHIGSVTSSAITPEVEIKASRNPVSTLSFSPDGSLL AVGDSRGKISVFKTKDGSLVTDRWTAHTSRITSIAWNSTGTHVVSGALDTNIFIWSLA KPGDWIEAKNAHKEGVTGVAWIADETKIASAGTDAAIKIWKAEGLP TSTA_069990 MSSSTPDRTASSDSRARRFKLRQATFILPRTGQRLKGLVNLVKS GSRDGGTAAAQEDGDEEEQRPLLDGDSSESSSTPLQRPLLPNEMANAASYKILGLRDK VYAFAVSEHGKGVFKFGLAYLLGSLATFIPFISSFLGHQDGKHVVATITVYFHPARSR GSMLKALICAILAFLYTTFLSVTSMCVSMFFDNLDLLVIGHIIVLVIFCGGGFAFIGW TKQRLNDPLVNVACSLASLSTTTILTKEGAVQKGDLSFVKISQTLKMLIMGVCAAMAV SFLIFPISARKKLRSNMTTMTSTLAIMLGYVTESFITGSDEEMYTAPFTSASAQNKRS YVILDKLVKEAKLEHYVAGTEREYRLEKRLVRCVQDINQNMGGLQSAATLQFQLLKQT QQGPSIFSPSHNILTRKNSSTSYPGIWSVFEDSANLTPLGEPEEADLTAESQTIHATP SPQRMFEVFIEHLGPSMQSFAFTLKEILQEIPFGPGPDHNVSFNTKFHGSLDRALKLY RDAREAALTSVYRERDFAKIQSPDVEADLEEVSASCGHFSFTLMEFGEQLKDLLQILD ELKLECEERPQGLTWNWLKFWRVFKSSHPEPSSNEYSNLALTSSSRPSLRKRHSSLEG GYTDDIRLQNKVTYRVWKSLGFLRRDETKYAVKVGAGAAMYALPSFIPLTRPVFTAWR GEWGLVSYMLVCSMTIGASNTTGYARFLGTCLGACCSIASWYVSGGNVFALAFLGWLM ATWTAYIILVKGQGPMGRFIMLTYNLSVLYAYSLSANDNDHDDDEGGAHPIITEIALH RVVAVLSGCIWGIIVTRVIWPISARAKLKDGLSLLWLQMSLIWKRDPLSMMINGKPVI PYLTPREKLQIERFIQNLESLQVAAKSEFELRGPFPEVAYRNIINRTRSMLNAFYSMN MEILKNLTASEGEISLLQYTTQERVQLSSRISHLLSVLASSMKLEYPLNDALPSIQHA RDRLLARIFHYRLETESSLRTTDSDYALLYAYVLVTGQLGSEIIELVNEISILFGVLN EDVIELQ TSTA_070000 MQIDPAALSRPDSASTAVSASKTVVSAAATTQKSTKALISVPRL DLESVYTELKAAIGDNWAEYKQSTTLFLLGQLNQDELSSRLDHIICADPRTEHLHNNF ICALIGNLSRDLPDHGVASWVSANDKPTVVSKPVSSDLAEQRLKTEVMQLPPRDRKRI KGIPEPDPHEIAPNELELYYQAKQITLPTQVPASAGGLNKTNWELEIRKRYAQPLAAE TGEFPDAESIYARMVPICYEESVVSGAGFSCAEFMAIATETFVKQVLSSVFSRTRHNG PSGTINGMMTRKYRRQLEREELAFTRGEISKDLATGLLPVEAKEARSRQPLGVRDLRL ALTLGGALLGQMPLVVDEIMGAYDEDELELERHGYIEELREKHGFDGIGTKSATITAT ETNGVDAMDIDDEWDWEGGTIADRDQLNSLLDECLSLAA TSTA_070010 MSYGRKDFLSQPAPENYVAGLGRGATGFTTRSDLGPAREGPTPE QIQEALAKRAQQLGAPTPTAYSISREKGGKGKEQEAEEEDDERFQDPDNEVGLFAYGA YDREDDEADLIYQEVDEKMDRRRKARREAREKQEREEYERNNPKIQQQFADLKRSLAT VSDEDWANIPEVGDLTGKNRRARQNLRQRFYAVPDSVIAGARDATQFETTIADDGTQT EISSAAGGDGSLTNFADIGAARDKVLQVRLDQAALGSAADTTSGSATSIDPKGYLTSL TQSELKAGEVEIGDIKRVRVLMESVTRTNPKHAPGWIAIARLEELAGRIVAARNYIAK GCELCPKSEDAWLENIRLNENHNAKIIAANAIKHNDRSTRLWIEAMKLETDVRAKKNV LRQALLHIPQSVAIWKEAVNLEEDPADARLLLAKATEMIPLSVELWLALARLETPENA QKVLNAARKAVPTSHEIWIAAARLQEQMGTANKVNVMNRAVKALVRENAMPKREEWIT EAEKCEEEGAVLTCGAIIRETLGYGLDEDDDRRDIWMEDAKASIAHGKYETARAIYAY ALRVFVTSKTLWLAAADLERNHGTKEALWQVLEKAVEACPQSEVLWMQLAKEKWQASE IDNARLVLKRAFERNPNNEDIWLAAVKLETDAKETEHARELLSTARREAGTDRVWIKS VAFERQLGNMDEALDLVNQGLQLYPKADKLWMMKGQIYESQKKYPQAREAYGTGTRAC PQSVPLWLLASRLEEKAGVVVKSRSILDRARLAVPKNAELWTESVRVERRANNISQAK VLMAKALQEVPNSGLLWAESIWHLEPRTHRKPRSLEAIKKVDNDPILFVTVARIFWDE RRLEKAMTWFEKAILADSDQGDSWAWYYKFLMQHGTEEKRQDVISKCVISEPKHGEIW QSVAKDPVNFHKTTEEILKLVVEQLPC TSTA_070020 MASKKTHQQEMVQVADRSESASPFWKSKTTSQQNVSPRPYDASS VIGASYSHADILNTPARTPPRSGSSAGHSRTGQPSLPHLEGKKTSSPNRPYNNILSHS SRGWGYGNDVADDDEDDEIFYDDDEDEFGLPSIASMRKKGKNTRRTPSTFTTANTISG NSSLGADLDTAYRPRANSSDIAEERGVSLYPSAKKTEGKILRPQYKDILKDPANSLNL INHSPPPSDATPSQREAHSARISRINKFKRILQASTVSLSELRSLAWSGVPEEVRAMT WQLLLGYLPTNSERRVSTLERKRKEYLDGVRQAFERVSVSGGSGPTNTSSGRGRGLDE AVWHQISIDVPRTSPHLQLYSYEATQRSLERILYVWAIRHPASGYVQGINDLVTPFWQ VFLGAYMTDMNVEEGMDPGQLPRSVLDAVEADSFWCLTKLLDGIQDNYIYAQPGIQRQ VKALRDLTKRIDASLAKHLENEGVEFMQFSFRWMNCLLMREMNIKSTIRMWDTYMAEE QGFSRFHLYVCAAFLVKWTDRLLKMDFQEIMMFLQALPTKDWTEKDVELLLSEAFIWQ SLFQDSSAHLRQDGEDANTGYMF TSTA_070030 MTEPEELEEDLFADLYDGNDTNQVTSTGVTDSSAETKTSSAAPQ VDDSQNGGGYEPTYSSSHAQNAYQGQQTGGIQSYHSMDETSQDVNVHTGDGVHSTEGE AQGTGIKEDGKMFIGGLNWETTDQSLKDYFSQFGEVSECTVMRDSATGRSRGFGFLTF RDPKTVNTVMVKEHYLDGKIIDPKRAIPRDEQEKTSKIFVGGVSQEATEQDFKQFFMQ FGRVVDATLMIDKDTGRPRGFGFVTFDSEAAVEATLSRPLEILGKSIEVKKAQPRGNL RDDDRNRRGRDQGMTPDNSQQQGGQGPGGMPSGITPQMMAQYWQRMQQYFAMMQQQMA AAQSQGMGGMNMAGMNPAMMQQMKQMQMAAGQQPGQSPSPGPQGMQNMNPAMMQQMQQ MQQMQQMQSQGQGQMGMGGAGAGYGGNRVGPGYNAHEQLAFEQQKYEQQQARRGSAYS PYQQGGPTSWEGMYDEVPQPNVPSGPQGRGGGGMGRGGMQNQGKPGTPHQGGGGTPPA APANAPTGPRNAGRPGANYRGGGRGGGHRGFHPYSR TSTA_070040 MPGLVMDDVSASASRYWGDSYNRYDSNGVPHADNHEKPAQQMNG FKGDGSTGQGSNMAMVTKHGQSDAMDDVSWQWAPPLTHITQGFFPYAQLVNRAVQQCY NDLCDVIAELAESTQPQQSSQATSANGKPDAAAIQKKIRILEFAQAKRTEFIKLLVLS QWSRQAVDVSKLIDLQNFIRTRHSAYQAAVQRVADMKRDLVRAQVANPDLQTALEVLT TGRVSNMPEFGYKPPKPLSPRRLLATLQKINRMISTRLVTSDVIPPSFNEYRVHDGRV TFSVKGEFELDLSIAEEDVASQFYFIDIRFLFTPSSPIPKGRFFNELDSQMNSILKAK GLTGCFDFLHNLVLVNKINILFKQAISLSRGQWIGALRVELLHRILVVHYWPDKSGPK SWLEIGAHSGRQLRQKISYLGLRWIREGKEFDSSHIHFDMENLSMESILRSVIAIHSS HILRNVYERFCTQTLFANHQLSINLQISRTEPGNCRLNVQLTGSRYLNASVEPVSGAM CIHTIPSLLCRLDKGGNSDDDLVNRISRLRCIAAMEEIESDAKTFGWESVDHRKFKVD IRRVFPSNVLRASFFRNRLFGNSWIIAATTSLSGDDWWLLRLKARPSPSPELAVHARI IPGGFSVQSTRVLSGDLFLSSQGARYHFPDLDYSLTGALVMYSNALWLSELGYQDCLP PVEQLQLGSRLEVPCLYLKFDIAKLPKQLRIAPLNGTQSKSYIRDTLSISYHGIDSRT KNAIVVAHGRFLTPLRKLGLKRLKLDDCILLRRGGMGFAMRFLVAPGQSIMLDLFERI QRLNIVLSLFRTLQKHKITVKSVSLSNLSFVYAPQEGFEANIVIRLDGPGNTPDLDPA IIRQQNEPLFRLRLDISFNNLSPHNRIKQSLGTILNRSGPGYGVQCVLQLLKLTLSVL RALDRLVTEPKHNSALRVQVSIRGAQTYQIHYFGLRFRFLLTASQRRGSIIWTLKDIS SSEGQRDVEQAVEAKLQEKIYRGKGDGWQGLGNGAVAKVDQVGNLLSELDSCFADLTP PSAPPEKAALCEAAEKKMSKIVKDKGPKTNGPSRMPNRHPQGAPKESDVITID TSTA_070050 MSDIETSLPPQYPGDDTRPTSKREITAWYSYGWAAEVFVVCAMG SFLPITLEQMARDNGHLADDKTQPCQASQISTFSNDLLLSRSNTCVVDILGLEINTAS FAMYTFSLSVLVQALLIVTMSGAADHGSYRKSLLVLFACGGSIATMLFITVQPQIYVL AAILAIIANTCFGSSFVLLNSFLPLLVRHHPAILRKIAQNLVVEETNDELDPATPPDV DTPLLQTPQVATEARSVQSSYSDNQEQSTIALRLSTRISANGMGISYIGALLLQVICI LVVQFTHQTTFSFRLVMFLIGLWWFIFTIPSILWLRPRPGPPLPAPSNGKATRSWLGY MSFSWKALGRTIMRARHLKDVGLFLAAWFLLSDGIATVSGTAILFAKTELHMQPAALG LISVIGTLSGVVGAFAWGFLSRHFNLRAQQPIIASVCLLEIIPLYGMLGFIPAIQKLG FLGLQQPWEVYPMGAIYGLTMGGLSAYCRSLFGELIPPGYETAFYALYAITDKGSSVF GPAIVGAITDRYGEIRPSFVFLAILIFCAVPLMLALDVDRGKRDALALAKELDEQPHE S TSTA_070060 MRSFLSIATALLGAVAFASAADAESDVHSLTKDTFSDFIKGHDL VLAEFFAPWCGHCKALAPEYETAATELKEKNIALVKVDCTAEAELCKEYGVEGYPTLK IFRGEDNVKPYPGARKSGALVSYMIKQSLPAVSPVTEANLEEFKTLDKIVIVGYIPSD SKKVNEVFNSLAESERDNFLFGASDDAAVAKAEEVEQPSIVLYKDFDEKKAVYTGPFD SESILAWITTASTPLVGEVGPETYAKYMKAGIPLAYIFAETPEEREQFAEEFRPIAEQ HRGKINIATIDAKAFGAHAGNLNLDPSIFPAFAIQDPEKNTKFPWDQTKDIKAKEIGE FIQDVLDGKVSPSIKSEPIPETQEGPVTVVVAHTYQELVIDSDKDVLLEFYAPWCGHC KALAPKYEQLASIYAENPEYASKVTVAKIDATANDIPDAIQGFPTIKLYPAGSKDAPV EYSGSRTVEDLAEFIKTKGKHQVDAVSDIAKSNEEAAASSAAASSASASASASASSAA EAAAASETGSAAPEATEEAAPEHDEL TSTA_070070 MKGTRLPALLLHHNQTTFYLIGFPRRYNRYLSCDMSLKRSANTK VSPGAAKKPKPNGSITSFFGAPKPKEGAITPNASAPPPPTVRFNKEKWVSSLTAEQKE LLQLEIDTLDESWLAHLKDEIVSPEFLDLKRFLKKEKESKATIFPPEQDIYSWSRHTP LHTVKAVILGQDPYHNYNQAHGLCFSVRPPTKAPPSLKNIYIGIKNDYPSFQAPTDNG GLLTLWAERGVLMLNTCLTVRAHNANSHANRGWERFTQKAIDTVARVRTRGVVFLAWG SFAAKRVAAVNKQRHCVLQSVHPSPYSARNGFFTNGHFKKCNEWLAERYGADAMIDWS LDPKNPIFAQEPVPTTVEDKKGGPLATSKLANKGPLDNVSTKGDEEDVKIAKTTAVTV TATTEADPFCDEDDLDALEALAAAEGDFSANNTKVMSNEGVVVEEVEKADS TSTA_070080 MPVIPSEEEWLEQTARAQQKIQETQIDDPLLNDAPTHPIAQLQG PFEESIAESTVGSHNNWQVFIDAHARRNQVLTASVYERLCGRRWRQRPNEKYHPLWKL VSQIVFGIHLLAKGLAKSESAVIKILQVHVVELDGFIENATEDIALAFSDIEERLSHL RLPLGNIPVFNDMLTDDAFRQRVVKDHERIEHIIRRTALAMEDHSKDIEKGLQSVGIL GMYLLDLKDDRDDQTHRLGAIYLAMVGNVEGWKRELRRLKHKAYKLAISLSKLHHVAF EIQRLVDIANRNSIVATTPVSRGHRHPKHIQNNSNRLATIKDSPLPQTPADAESYTAE AQVVPIVAGFTPPTLIKATSVQGNPAPMNTASMLRPDHQPKPVEKKKDLGNKSDRYYA RSNTAHTKPQRSATEPVMSGSQIKNTLRKERKEEQQRPKTSSSKVTERSTAPEKESNK PRARFGSKINQFQKSTSKIFSVSTFSRSSIKKKENETESKIEAGKKQSKRTDRSWIDS ETHDKQMSWSHLPGERSEGHTLASRKNAAPEFPHLFYLSLLEDQSPSDEGEDLKSLHE DTKDIVNRGDEHEITALPVMTDSMMGSKERFGPDDDMAAEVASTYSTKNRRFRAKNLH VPPLPKPKFSKSSNSDNNHVIYQNRNWLRPKPSTVFSFMSSKKGAEEASLNSGTSEIP SRSMSSSHSSNTHGSTAPKPSFNPTWATHKDGSSRNVDTPPISPMTHYNLTTFSSSAG ANANMRPGTGQSSVYGSVGENPTPANSTSRKPSTANQHERKGSAGVRAKGSGDLRSTS PITVTTTLVRPQHGNLSLFPRTPTTPRVRKGVSTTSLREVTTADDIDDRPSRSRG TSTA_070090 MNPSIPPSTAEYGGDEVSAIVLDPGYSTTRAGFAGEDAPKSVVP TCYGKYPESDKYIFGDDIFVTPRPGVSIHNPFGRDGVVEDWDMAQRLWEYSFKSRLTG AKPGNPMYNGLNDVPAEGEPPSDEMEGVETEEKPLADSPLLMTECGWNPTKAREKTIE IAMENWGTPAYYLAKNGVLAAFAAGKASALVVDIGASNISITPVHDGMVLKRGVQHSP LGGDYISSQIRVLFKSNTPQPITITPHYLISSKTAVEAGQPAQAIYKTFPADKAPDAS YRKLLEERTLTEFKECVVQVWPGPNKLSSVGPNGVLNEDIAKNSPGRSFEFPDGYNQS FGAERYRVTESLFDAKAYIPDPESEFPAPTPAQTIPELIKNSLNGVDVDIRPHLLQNV VVTGASSLLYGFNDRLNHELMQLYPSPRVRISAPGNTAERRFGSWIGGSILASLGTFH QMWISKKEYDEHGPNIVEKRCR TSTA_070100 MDTEISEVPIVSFRPVKRHKFLRKRLDESDDVSTPDNAATEYRA ASEEGQAYNGELQDEGNENEVLSKIMRPRKFQRMRRGGIEFSTSSAQPVEKNDSLALT AEPTEADIIKAKLDRFTAHTGQKVDVDKHMMEYIESEMARRQNLTQAERETSTAGRLD KSNANDSFSNAFSKREPATLGKLHEIDLGQETKLQNIARTEAATRRMAGESPDAVDES PSLGQNGKPWRNRRRNSKDIERDRLVEEVLRESKLDVYDEPEQSPTYDDQAADDRIAE QFRRDFMEAIQSRRRTQRNRTTTTTTNKRVAKPEPPRGPKLGGSRSARAAMREMQEKG AKK TSTA_070110 MQLGWFTAAAVVLLSPAHVLADNILKTNGYSLCSTNATVTVNNF NVEYDQSSNNVVFDVAGSSDKVQNVTVALVVYAYGKQVYEKDFNPCDPASSVPELCPL PARSFSASGNMTIPQEYASMIPSIAFNVPDLEGQARLELKAADTNEEVACIESEVTNG KTLQIPAVSYVAVGIAAASLALSGLTALGAAGHPGAATSSPSFGEVMGWFQTLATSGM LSVQYPTVYRTFTSNFAFSAGLIPWDDMQTSIDSFRNMTGGNLTENNYQYLRNATLTF DDGSSNSSLTRRVFTDLLGRTALFVRDVTTSDNVTSTSNSTASGGIVAELKGIQAWSE QLYIPSSNIFMTVLLFFAIVIAAIAVGILLVKVILETWALYGSFPQSLVEFRKHYWGL LGRTITNLVLIVYGIWVLYCVYQFTRGDSWAAKLLAALTLAAFTALLAGFSIRIWILA RRYKKAQGDNSVMYEDKEIWRRYSLFYDSYKRSYWWIFIPAIVYSFVKGCIIAGGNGH GLVQSGGQLIVEALMLILLLWSRPYVAKSSQWINITIQTVRVLSVVCILVFVEELGIA QTTKTITGIVLIAVQSTLTVILAILIAVNALIACIRKNPHIRLRERKGDDDMDNLTAL DARNSLLFDGRSRGDTYEENKYNMTGPYEPYRDMPTKGGHIRERSTDNLISQDTYYRG HQLDQATHLRSHSRSPSPEELQLREQKPRDFGVAM TSTA_070120 MDKAQTPKMPLPIRGADIIPSSSPAFGTPARPVRTGNRPNTVQA KSSVLPVLLPPALLRPLAFRTFTRKHDLTISSNTLQTLAIFIGKNCGSRWREDGLAER LLDEVAKLWRKNGGGVIVEEGNGASIKAILQMVEGNMTNGKVVPGKSADQEDRRGSLT GPASTDERVNSNAEEEDESLSTDPRRWMKVIDAYDQPRLTYNPNKKHFETSINKPSFF PDPSHQITFYRDRLLRNESFQASSLLNNNKQTYKITPIANLIGRGGSRHMCLGLLSVS PAGELSLTDLTGSIMLDLSETVPIPRGNGAWFCPGMMVLVEGIYEEEEIVKGSVLGGN SGIGGAIGGKLMGITIAGPPCEKREVTLGMNSIDSKGDIGTSGGFGWVDFLGVGSERA QGARMRKMEHKSLRQVAGTEGQRNGRCNIVILGEIHLDNTRTLDALKSVFGIYNALPF EELPLAFVMIGNFIGKVGFGQSNGGNSIEYKECFDSLASVLSDFSALLSHTTFIFVPG DNDPWASTFTVGASSAIPRKGVPELFTSRVKRAFTAANNDAGNSASKSTPGEAIWTSN PSRISLFGPVHEIVVYRDDISGRLRRNSIRFCNTGDNDLQEPQISDGATQENDENMII DNDDNDNNKAQKNSPIPTKQPPPSYLAAQKLSKTILDQGTLSPFPITSKPVLWDYASS IQLYPLPTALILADSEVAAFSVSYEGCNVLNPGRFVPEDYKREPVTWVEYDVLRKRGK VRQQRI TSTA_070130 MTTAEPQPAELQARLEDLVTRAAAKDAVKDYNAAAELYSQATEI QAQINGEMSVENADLLYAYGKSLYNVGVSKSDVLGSKIAGGETSQHPEPTTKRSKETS SGGLIQAAISSSVAQGSLDSKKPTEPANQLFQFTGDENFADSDSEEEGEGAQEEEEEE EDDFANAFEVLDLARVLLLKKLEDMEQESNDKGKSSGIPPEVREIQERLADIYDLQAE ISLEGESFANAVSDLRAALELKEVLYAFEDPSVSECHYKLSLALEFASVSQTDGEDEE KPAVVDPAMREESAKHMQSAIYSCKSRLSQEEKKLEAGEIEGEDKINAAKRRIANVKE IVADMEQRLLDLRRPPESGNASSEDANAIEAMNGVLGQLVGKSAAEKAATLDQLSKQA NDLSSLVRKKPHSSSSGAKRQHNSIEEPEGSKRAKIEDST TSTA_070140 MEGELMSDSSNYSSQHQLSSHGQVSILASCYMASTVSETIKGFH YCTPNGAGIGMPEVPSGPDSRMTSPPHNISNTANTWPRHKVPRNSIDNINRGEAAQTI TEECERLFCDTLSVMFLGERNRRHRTSLAMGAFQQNVRPDNRKLSRQRDIEAYLELWD YANDAIYRGFVVDGCGQRTLFVFLDSQATSHGIKTALLSLFELAELEAFECSQIMACV PRSDDPVGSGIVRNLGWCGFSLTSLDSWMPPGNGGMALSDRWLFLAAQVNKLRVVEAG LFKCPETTTNHRRSIAPAFPTFLLPSPSFPRRQRKASEDYNITENAIEDASPASSSEN SKRALRSSHLSYIRCARCGADICHTSQIISKGFTGRHGRAYLVSPTDSASFLTGKHRT NNTAILPNTITQRAVPRQLVTGAHTVADINCMICGSVLGWKYIAAEEEAQRYKVGKYI IETKRITTSSCWEFQDDFPSPTPSDGGSASPLSMMRRSSSAGTTAGGGSGIDSSSDLE FDSQDEDECEDLFAGVWSPGLARRRRNRKLSRKL TSTA_070150 MTSSVHFKFKSQKEPSRVTFDGTGISVFELKREIISQNRLGDGT DFELSIYNEDTGEEYDDDTAIIPRSTSVIARRLPAARPGKGGAARYVSGKMPLTARGP TRLDLPPSGRSTSGTPAGQSSIPGLESAQTEDEKIDALFNLQASQWKEQQQEMANATP VAFGRGRGKPLNVPDHPPPPGYLCYRCREKGHWIQACPTNNDPKFDGKYRVKRSTGIP RSLQTKIEKSAAMAMDGSAEDLKNSGIMVNADGDFVIAQPDKAAWELYQEKAKASAAA AAEAAAAEGSKELQARGLECPIDKRMFLEPTKTPCCNRTYCNDCITNALIESDFVCPG CSTESVLLDNLTADDEAVTKIKAFEAEKAEEKKEKEKQEENKETHLENGEEAKSRSTS VKPATTTTAAVSSPSVQSVTSTNQSKKRAAEDEAEVEPTERPDSSASFKKQKADDSKQ SDDQQEDTQTQDVASQNSDNNNMPNNMMPFPMNPQMPFPNAVFPGMPPMPFDNNFMPG AMNPMMNPMMMPMNNGFQNQGFNGWNQMNPMGGNNFPFPVNGMFGDGSMNNYGQFNNN FNPMQMGQGGQYMSQQQSGYQQGMGMNNFTNQQRNNYSNYNKAAGGRDDDSPYFRQPV NPQRHQARQRRIRPSDYREL TSTA_070160 MPSVHLLDYVAGNVRSLVNAINKVGYDVEWIKFPEEVRNAEILI LPGVGHFGHCLSQLSSGGFLEPIKEHIDSGKRFMGICVGLQALFEGSEEDPQIPGLGV IPSRLRKFDDTSKSVPHIGWNSAKTSNSEGSEASNIYGLREESKYYYVHSYAAPYEPG VLEKQGWSVATAHYGEEKFIGAIARGNVFATQFHPEKSGQAGLRTIRAFLDGELSQKQ EPSLPSSARRDGLTRRVIACLDVRTNDQGDLVVTKGDQYDVREKSGVSSGGQVRNLGK PVDMARKYYEQGADEVTFLNITSFRNCPLQDLPMLEILRRTSETVFVPLTIGGGIREM TDTDGTQISALDVAKMYFQSGADKVSIGSDAVIAAEEYYQNGKKLSGKTAIEQISKAY GNQAVVVSVDPKRVYVSGPGATNHHTIKTRFPDANGQDTCWYQCTIKGGREMRDLDVR QLVQAVEAMGAGEILLNCIDKDGSNSGFDLELIQDVKDAIKIPVIASSGAGKPAHFEE VFANTTTDAALGAGMFHRGEFTVDDVKNHLVEKGFLVRRPEKE TSTA_070170 MVVVDMMRPEADVYFMVGSPRANMLIGICGGKYKDISRLSSCIC AGKHAIAEYLIREQGFELLQLANKNPLHISNEPRDPVRLEDSERESLPSRKDEFVFDT VDSLLEFVTKRWTGRWVTTDIWDHTTLDRLLQRPFFLLVSVDAPVSLRCQRFRDRCSR QKINPPDLEEFVMWNDRHLYDREIGRAYLTDRAQVRLFNASSSLEELHAALKNLDLSN NERLRPTWDQYFMQLASLAAQRSNCMKRRVGCVIVRDKRVISTGYNGTPRNITNCNEG GCPRCNRGEGGGAGLSTCLCIHAEENALLEAGRERIREGAILYCDTCPCLTCTVKIAQ VGISEVVYSKSYNMDKESAAILSAAGVKLRQFSPPPNGLTYLQHPEHSKTLPIL TSTA_070180 MQYTPFVSDIELPFYTSLASQKINHDKLDDSARRVQGLYEVRPS DAPAASCRMQILGNALNSDHVPPGYYRAEGIIKNFNTVEEYRNADKIGILQQAGRAIW DAIGGSILSNPSILSSFLILSFADLKKYKFQYWFAFPAITSQPHWVPANGSSSSDNAS APSIPGSRRLSDAECSVLVDRVEAWKTQNDVRQHGFFLARKEHTNATSGGVAAPEWRV SSLAGYETGFFDGSEPEDQYFAFADPSNYPNAPGWMLRNLLALLHRRWGIQKAQILLY RDIPSKRELGRSLAVMLQLDTTQESKAANDLAFGEMPKITGWERNSSGKLAGRISDLT AYMDPRRLADQAVDLNLKLIKWRISPGLDLDKVKATSCLLLGAGTLGSYVARNLMGWG VRKITFVDNGSVSFSNPVRQPLFDFNDCLGGGAKKATRAAAALKEIYPGVVSEGHVFS VLMPGHPVTDVAQAANEFELLRKLIEEHDAIFLLMDSRESRWLPTVIGKSLGKIVMNA ALGFDTFVAMRHGVKLQSAREAELGCYFCNDVVAPMDSMKDQTLDQQCTVTRPGVSAI ASALLVELFVSILQHPDGAAAPAPITQNAERGDHPLGLVPHQIRGFLSTFSNIPVTGR SYDCCSACSDRIVDAYRKDGWDFVQKAINDKDYVEELSGLKEVEFDPVTGYFVPILTP PQVQRKAEENVAGIEWEDDSEGLIEE TSTA_070180 MQYTPFVSDIELPFYTSLASQKINHDKLDDSARRVQGLYEVRPS DAPAASCRMQILGNALNSDHVPPGYYRAEGIIKNFNTVEEYRNADKIGILQQAGRAIW DAIGGSILSNPSILSSFLILSFADLKKYKFQYWFAFPAITSQPHWVPANGSSSSDNAS APSIPGSRRLSDAECSVLVDRVEAWKTQNDVRQHGFFLARKEHTNATSGGVAAPEWRV SSLAGYETGFFDGSEPEDQYFAFADPSNYPNAPGWMLRNLLALLHRRWGIQKAQILLY RDIPSKRELGRSLAVMLQLDTTQESKAANDLAFGEMPKITGWERNSSGKLAGRISDLT AYMDPRRLADQAVDLNLKLIKWRISPGLDLDKVKATSCLLLGAGTLGSYVARNLMGWG VRKITFVDNGSVSFSNPVRQPLFDFNDCLGGGAKKATRAAAALKEIYPGVVSEGHVFS VLMPGHPVTDVAQAANEFELLRKLIEEHDAIFLLMDSRESRWLPTVIGKSLGKIVMNA ALGFDTFVAMRHGVKLQSAREAELGCYFCNDVVAPMDSMKDQTLDQQCTVTRPGVSAI ASALLVELFVSILQHPDGAAAPAPITQNAERGDHPLGLVPHQIRGFLSTFSNIPVTGR SYDCCSACSDRIVDAYRKDGWDFVQKAINDKDYVEELSGLKEVQRKAEENVAGIEWED DSEGLIEE TSTA_070190 MIASCQEDVCLAREYELSLKTAQLEIAYERSLAQLDSLIAYEET RISRVDYIIFEADHELLRMKCEQSEYDIDQLLQVETALKQQLLRAQEDLANLQLSTLA DTRAIQDLKSDLTSMKRKVSDYEKMSADKLNLTKEIAQMKQEFERLQHQNKSHQNLIA EKSALERQLNSLEIQLKEERRAFERNRSIEDKKQNSEAQQQLKRLQAELQKETDERSR LEQEINERTTAWEQQKKSLEERLEKLRKQLRSTKEKLKEYQEQQNDSLLPLEPQQIDR PRQSVASDRSASLGHSGGAFNPPMTIATPGAVKIATKTQRPSAALGKKSGFSITPYLK RNRDAEDFSSSSDDDLTPQAELRKAKKRPKASPKGKKTARFEESQPYSHDNEDDNDGD GEAQRRTKANSRDKSIAEDSILSRRNDQVPSMLVGASNIGPSLESRQVHRKRKVLGGQ RDMTLFDDEDEQSERPKRVERPIPSLKPSAKHPQALGVTRLAFGEASTFSPLKRDKRR VFSPLRGRSNPLFLSLNFKRFSSSKRWQSRQRSDIFTREAVVRGLKSRAAFKLLQIDE QYRIFRRGQTVVDLGYAPGSWSQVAVTRTKPNGRVLGVDIIPAQPPKGVSTIQGNFLS PDIQEYVLEFVRDPNRGRPRLPTLSPEEPGRIAADDDSTVLEALSESAVTASEKGKEE NGLQKERTVDVVLSDMSAPWAQVKGFSNRSLSNPYRRMMNTSGISFRDHAGSMDLCRA ALQFSFNVLKPGGHFVCKFYQGPEDKVFEKQLKALFEKVHRLKPESSRSESREAFFVA MTRKPHASRSDVLDIE TSTA_070200 MRLLSYFTALLCTSGIALSAAATKSKKLERFQSLSRSTPIDLDD SIYNELTSAPRDYHVAVMLTAVDARFGCALCREFQPEWDLIANSWNKGQSGDINLIFG TLDFSKGKDTFRQLMLQTAPIVLLFPPTVGPGATADGAPQRFDLFGPTTADQVYVWIT RHLPDGPKPQLVRPINYMRLVSAVTLLLGAITLFTVTSPYLIPIIQNRNVWAAISLIA ILLFTSGHMFNHIRKVPYVAGDGRGGISYFAGGFSNQFGMETQIVAAIYGILSFAVIA LALRVPRMADVKTQQLAVIIWGVVLLGVYSFLLSVFRVKNGGYPFYLPPF TSTA_070210 MDESSQQPPKALHASLAYGTSFLILIQVVSRFLTFASNQLVLRH LSPEVFGVATQLELYYITVLYFSRESVRAAIQRQPVSSASAGSNEQKTDELAATGKAD FKNGQNDATSSQTVINMAYIAIALGLPLSGLFAFWYQSWTTQEVLSTPYFQESLRVVG LSCMIELATEPFFAVVQQRMLYKERAVVETTAAFARSIATYAIAIWAARGGWDAGVLP FAMGYIAYAAALICGYYWKMLATSPKRNYSFWLIPIHSRNPGQYIADRFSRILLWLGA NLYLQLIVKHFLTQGDSMILATFSTLRDQGIYSFAANYGGLVARMVFQPIEESSRNLW SKQLNTVNRDKQEHISQIEAAKSHLIDMLRAYAILAVLALSIAPDVVPIGLKLLMGSS WKSEKVQELLSAYCCYIPFLAFNGITEAFVSAAISPADMRKQTAWMTVFTLCFGVASF LLLTVAKLGAIGLVWANIINMSVRTIWSLVYIRGYLQQHDSQLKISDFSANPQTLSVL VLATSRKLIDYQPFGDGFYGVLATLGFATVYGLLILFLERDYILDQYKKHIRGR TSTA_070220 MAKRGVTEETEKSRKKLKTKSGKVEKHAEDKDKKKKKDKNNKSS SESDTPIASQSEVDEFMKTNTIEISDPLSNENPPRPILSFDQLPERDNILYEPLKNFS APTSVQSATWPLLFAGRDVIGIAETGSGKTLAFGLPCLKNMVDSEKKLKPSHPRAVIL TPTRELAMQIYEQLEGYALRVSVKVTCIFGGVRKDEQREALKNTAIVVATPGRLKDLE SEGAINLSKVKYLVLDEADRMLDKGFEQDIKDIVSPMPVSRRQTAMFTATWPVAVRNL ANSFTQDPVMVTVGGKPSEDPRANTRIKQVVEVLDPRDKENRLTQILGKLTRESPQGK ILVFCLYKKEAMRIERLIQSRGYAVAGIHGDLSQSDRFKSLAAFKSGDVTILVATDVA ARGLDIPAVKTVVNVTFPLTIEDYVHRIGRTGRAGADGHAITLFTETDKAHSGALINV LKAAKQDVPDSLFKFGTTVKKKQHDAYGAFFKNVDETKSATKITFDD TSTA_070230 MASMKAIGIKGGKGPAEAMFMDTISRPVPTANQALVKIKAFGLN RMDLLQRNGVYPVPPQAPSTMGVEFSGVIEELADSVCDFNVGDEVFGLAYGGAYAEYI SVSTRMLVHKPSEISWEEAAGIPETWITATQALNLIGEFKSGQSVLWHAGASSVSISG IQLSKALGATKIFVTAGSQEKIDFCVKELGATAGFNYNTQDWAKGVLEATDGKGVDLI VDFIGANYFASNLAAAARDGRIVELGFMSGGELPAGVNIGPILYKRLRIEGSTLRSRE EGYQERLRNLLVENALSKFKDHTFKVFITKVLPFDQIVEAHKLLESNQTKGKIICTVP TSTA_070240 MEGALDDIKEREREGSSFRIKPLAPQPNGQERYRAVFSDISNYV QTMIATQANHLVKDGILRKGCLVRLKSFQSNAIKGKKILIILDLEVLQHLGEYEKIGD PKPLETKAEDEEKATPTMVSGNGTYGAKAPTSYDSRNVRQASSSGHATIYPIEAISPY SHKWTIKARCTSKSAIKTWHRSNSEGKLFSVNLLDDSGEIRATGFNDQCDSLYDLFQE GSVYYISSPCRVQIAKKQFSNLNNDYELTFERDTIVEKAEDQDSVPQVRFNFTTIGDL QSVEKDTTIDVIGVLKEVGPTSQITSKTTNKPYDKRDLTLVDNTGFSVRLTVWGNLAT NFDTLPESVVAFKGVKVSDFGGRSLSLLSSGTMTVDPDIEEAHRLKGWYDAQGRSDTF TSHASMSDGTTPGGGKLDQYKTIAQIREEQLGMSEAADFFSLKATIIYIKQDNVSYPA CPSQGCNKKVSELDPGQWRCERCDKTYPKPEYRYIMLINVSDHTGQLWLNCFDEVGRL IMGTTADELVNMEAENKYETSELFQEANCQTWNFRCKAKMDHYGEQQRIRYQISSAKP VNYSDEAARLANFIQSYSLA TSTA_070250 MTGCHHFEDADVRPPTSTQPVYREDCTQCFDSIDDPSGLNVCLQ CFNGGCTGERNHARLHHARTNHVLALNVRRTRKKVQRDEPPQKISKLAILAETEEDRY DTATTVICYACQVESSGDLGDKLSAVVEGVMKALSFSKREEVKAWEQEFVPCEHTLRL KQQEHGNVNRKDLKQCSMCELKENLWLCLECGNVGCGRSQFGGVGGNSHALAHADSSS HGVAVKLGSITPEGSADIYCYKCNEERIDPNLAAHLAHWGINIAESEKTEKSLMELQV EQNLKWEFAMTSDDGSILQPIFGPGFTGLKNLGNSCYLASIIQCLFALPDFERRYFHP NEEPPHVNNPAEDLETQLRKLADGLLSGRYSKPDSDVSVQPDSPEVPHQRGLAPAMFK HLIGRGHEEFSTMRQQDAFELLLHLFKLITLSRHSESLANPVESFRFVLEQRLQCLDC GKVRYKYDEQDNISLQVPARPLVSKKSLEQTTTYETVTMKECLDIFTGEEVVELKCSG CGSNKGFCKRSRFKSLPQHLVINARRFELINWVPTKLNIPVDISDGDIDMSVYLSPGP LPTEELLPEDSETGATAFTANPELVQQLSSMGFSQVRCENALYATGNSDLEGAMNWLL AHLDDPAIDKPVSQGNVTGADSAEHDIEKIQQLGEMGIDANEASKALSATGGDVNRAL DWVFSHPDSLEDDNDMSTTQEPRSALPRETPGKTDLPARYKLQSLVCHKGGSLHAGHY VAFINREMPGNDKRAWVLYNDEKVVKADNFEEMKQFAYVYFLSRSE TSTA_070260 MWWSQSAAAAFLLWAVPATSSSSTSSSPASYPPRSSQCRCFPGD ACWPSAVAWSNFNESVDGTLIKTIPLATPCHNPSYSAAECDILRERWLLPQEHYESSS SIMAPWFTNETCDPFHAVSQPCTLGNYVVYSVNISKPEHISKTLAFAQQNNIRVVVRN TGHDYNGKSTGAGAIAIWTHNLKDREIIDYNSSYYQGKAIKIGAGVQGFEAYKLADQH GLQVVGGECPTVGIAGGYSQGGGHSSLASRYGLGADQTLEWEVIDGTGHYRVANRYRN SDLYWALCGGGGSTYGVVWSMTSKAHPGTPVSGFNLTFTNDGISQDTFYKAVGKYHAT LPSIVDAGAMSVWYFTNTSFSISPLTGPNIPVDELTNLVKPFTDTLTELGVKYTSYSQ QYNSFLDEYNSLQGLIEVGTAQYGGWLMPRSVVETNNNNLTAAYRYIIEDGATFIGVG VNVSKALVGDDIYNSVLPAWRDTLIDTVITTPWHFTAPRSDMAAKQLKMTNDYIPRLK ALAPESGAYMNEADFRQPDFQQAFFGENYQKLQSIKAKYDPYDLFYAITTVNSDQWTE LEDGRLCKVH TSTA_070270 MRHDLFINNDPDEPTRPHKPRDSTASFETSTEPRVSIIDLTISS QALGPLSGWEIESQRLTPSDHVMIWASWEPPATMSTEPTRKEVTGWQIEALLGDKKAL QEAKDTWNELAKTQPILFLDTLPEPGSAHKLMNEEAVKNALFSQGLEKAPGTDLLNFR AIRLLWNLDSERVVSLTRQCLRLGIHPHVWKTAKGVLLRKNGKTNYTLASAYRVISLL KCLGKVIEKLVAELITNFAEAQDLFHNGQFGGRRQRSAIDAVAYLVEEIHQAWANRKL AAALFMDIEGAFDHVILAKLVEVLREASVDGDLIHWVISFLSDWRVTLVIDGHMGKEV PISSGLPQGSPVSPILFVLYVHGLSRAIERSVPEVRCLSFVDNQGLITAASSVKEACR ILEKAAEVAIKHGDLHAQPGEQPLDDQEWASRDNKMLNRLDQRLAKHLAQRLSRDPSG GIERTEQYELKSFPGSIRVLDNEEALTEANQQRAGTTFWSDGSRLDTGRAGAGEHVEV PMGHGHEVFDAELMGVATALEWALERQPLGPIWVFLDAQNAIDRLRSTRPGPGQALVL RAHKAAEKLALRGQPVTIQWVPGHSGIEGNEQADQAAKRAASKQTAPGFEHLSLAHVR RACTEARRAAVSKWAQINAVQGRHRDGCVYKMPRGWNLDPVAGKAPKRLASRYYQLKT GHAPIGTYLYRIGQRESPECQACKEPHETVRHVLFECRGRRAGRRTLYQALKKAGVPL PTAAEEDPEASLFAEPRATQGLLQFVAEANLFNDKERTAREAESSDAWGWDTLEEGGL GVTLEDE TSTA_070280 MRAASAPAEDSLVMGLIEDLNGHLQEAVHQLSAELTTARNVINT QQGLITTLNARLESLETYVNALQSRQILPLDPFAATREVAAHGPPPRAASTGGLASTP IQLDAAPESRAINSTAPQPQPRYQNPTKVTKQAVQPPEGPKKVPVTAAKTTKQPETTA KPLTKPAPTKWAAIAANNTQSGGWKTVQYKKQASAPSKALSITDLKPVSTRSKEERRL IFRRRYPKDAPTALKADVLLALNRALAKAGFPDFVRAVDSGYAASGALTVLLERGTRS STLVPVYNDTLLAAVRQTDPAVISVEISEQWHRVKVQAVPVDRYMYNDQGLALAQEEI ELGTPYRLKREPTWLKRAKTIQASNQRFATIVITVGSLEEARTLINKGIKFGGRHHRV APYWESNPESICPRCCGIGHSGFMACGGRSPKCAICAGDHEAIEHSCIVVDCAHEATS PKCPKAREARQRAIRRMREQSLQDLIPLDETFAVVPPKPVLTTEERPGQSLEEETSTP EEDELLPEMQLEADIHEGNSQQPLEPELKSATEAPQSENL TSTA_070290 MANIDKALTPKAKTDPRTALPNYLQDYTNVFDPVEADRLPEHRP GANYTIELIEQDKNGKKLEAPWGPLYNMSQEELLVDTADKAFQDLKDLFITEPALVPF NPERKTIMETNSLGYMNGGTLSQYNDEGQLQTCAYYSWKLNPAECNYEIHDKELLAII DCIKQWEAELMSLESPFTILTNDEQFRSLWTQAEAHDDNYIRIKQVIADNLQTFPQDL GLKVKIANCKIDKQGHLLYRDRRWVPDYEPLQTKIMQYYHDLK TSTA_070300 MPNRLGQRLAKHLAQRLSRDPSGGIERTEQCELKGFPGSIRVLD NEEALTEANQQRLGTTFWSDGSRLDTGRAGAGVALQAVPGGPWEHVEVPMGHGHEAFD AELMGVATALEWALERQPLGPIWVLLDAQNAIDRLKSARPGPGQALVLRAHRAVEKLA MRGQPVTIQWVPGHSGVVGNEQADQAAKRAASKQTAPGFEHLSLADGRVYKMPRGWNL DPVAGKAPKRLASRYYQLKTGHAPIGTYLHRIGQRESPECQACKEPHETVRHVLFGCR GRRTGRRALYRALEKAGVPLPTAAEESPEARLFAEPIVTQGLLQFMAEANLFNDNERT AREAESSDAWGWDTLEEGGPGVTLEDE TSTA_070310 MRHDLFINNDPDEPTRPHKPRDSTASFETSTEPRVSIIDLTISS QALGPLSGWEIESQRLTPSDHVMIWASWEPPATMSTEPTRKEVTGWQIEALLGDKKAL QEAKDTWNELAKTQPILTDTTSTEEVEREAEWIERTLTEVLNKHCKQIKLCARSKRWW NSEIEAERSVYSKARKAYQAGEISEEEHREARTTPALTDEASGEVIAATFSEKEEVFR HRAFPQAPNSNMQLQLPERGSAHKLVNEEVVKNALFSQGLEKAPGTDLLNFRAIRLLW NLDSERVVSLTRQCLRLGIHPRVWKTAKGVLLRKNGKTNYTLASAYRVISLLKCLGKV IEKLVAELITNFAEAQDLFHDGQFGGRQQRSAIDAVACLVEEIHQAWANGKLAAALFM DIEGAFDHVILAKLVEVLREASVDGDLIHWVISFLSDRRVTLVIDGHVGKEVPISSGL PQGSPVSPILFVLYVHGLSRAIERSVLEVRCLSFVDDQGLVTAASSVKEACRILEKAA EVAIERQVAQNVSRARIRVGGELATVKSTVRWLGILLDNQLTWKSHYNARIKTARNTI IRLNSLCRANGLPPALVRRIQKATVQAQLLWGAEIWWQGQKTWAQRIQILINKQARGI TGMFPKTPIGALIREAALEPATVLLDARVARYTARLLALPDTHPTAQILPVTLRHGDL HAQPGEQPLDDREWASRDNKVLNRLGQRLAKHLAQRLNRDPSGGIERTERCELKSFPG SIRVLDKEEALTEANQQRAGTTFWSDGSRLDTGRAGAGVTLQAVPGGPWEHVEVPMGH GHEVFDAELVGVATALEWALERQPLGPIWVFLDAQNAIDRLRSTRPGPGQALVLRAHK AAEKLALRGQPVTIQWVPGHSGIEGNEQADQAAKRAASKQTAPGFEHLSLAHVRRACT EARRAAVSEWAQINAVQGRHRDGRVYKMPRGWNLDPVAGKAPKRLASRYYQLKTGHAP IGTYLYRIGRRESPECQACKEPHETVRHVLFECRGRRTGRRTLYQALKKAGVPLPTAA EEDPEARLFAEPRATQGLLQFVAEANLFNDKERTAREAESSDAWGWDTLEEGGLGVTL EDE TSTA_070320 MENPERPPRAAGDPEIMGPGSGVDFSTPTQEPQQLPLNAPALFA GLQDSQNQSKEPTLDNRDTLQEPRQTGETQQTPRTTLEKRKASRLTIGSRTPITRSGL NAAPKRQITLATIRATSAPIENSLVMSLIEDLNSQTQEAVQQLSVELTTARNVISMQQ GLITTLNARLESLETYVNALQSRQILPLDPCDTTREVAAHGPPPRAASTGGLASTPIQ LDAAPESRAINSTAPQPQPRYQNPTKATKQAVQPPEGPKKVVGTAAQTTKQPETTAKP LTKPAPTKWAAIAANNTQSGGWKTVQYKKQASAPSKALSITDWKPVSTRSKEERRLIF RRRYPKDAPTALKADVLLALNRALAKAGFPDFVRAVDSGYAASGALTVLLERGTRSST LVPVYNDTLLAAVRQTDPAVISVEISEQWHRVKVQAVPVDRYMYNDQGLALAQEEIEL GTPYRLKREPTWLKRAKTIQASNQRFATIVITVGSLEEARTLINKGIKFGGRHHRVAP YWESNPESICPRCCGIGHSGFMACGGRSPKCAICAGAHEATSPKCPKAREARQRAIQR IREQSLQDLIPLDETFAVVPPKPVLTTEERPGQSLEEETSTPEEDELLPEMQLEADIH EGNSQQPLEPELKSATEAPQSENL TSTA_070330 MESPERPPGATGDPEIIGPGSGVDSSTPSQEPSQLPPTTRALFA GLGDCQKQSNEPTLSNSDALQAPRQTGETQQAPGMILEKRKASRLTIGSRTPITRSGL SAAPKRKITLTAMRAASAPAEDSLVMGLIEDLNGHLQEAVHHLSAELTTARNVINTQQ GLITTLNARLESLETYVNALQSRQILPLDPFAATREVAAHGPPPRAASTGGLASTPIQ LDAAPESRAINSTAPQPPPRYQNPTKATKQAIQPPEGPKKPAPTKWAAIAANNTQSGG WKTVQYKKQALAPSKALSTTNLKPVSTRSKEERRLIFRRRYPKDAPTALKADILLALN RALAKAGFPDFVRAVDSGYAASGALTVLLERGTRSSTLVPVYNDTLLAAVRQTDAAVI SVEISEQWHRVKVQAVPVDRYMYNDQGLALAQEEIELGTPYRLKREPTWLKRAKTIQA SNQRFATIVITVGSLEEARTLINKGIKFGGRHHRVAPYWESNPESICPRCCGIGHSGF IACGGRSPKCAICAGDHEAIEHSCTVVDCRVGPAKPCKHTVIKCANCKGAHEATSPKC PKAREARQRAIRRMREQSLQDLIPLDETFAVVPPKPVLTSEERSGQSLEEETSTPEED ELLPEMQLEADIHERNSQQPLEPELKSATEAPQSARHTYSGESLLTRRALTDLDWGPI LEGRCLILGDFNAHSPMWNVHIDQRVNARSLEDLIMRHDLFINNDPDEPTRPHKPRDS TASFETSTEPRVSIIDLTISSQALGPLSGWEIESQRLTPSDHVMIWASWEPPTTASTE PTRKEVTGWQIEALLGDKKALQEAKDTWNELAKTQPILTDTTSTEEVKREAEWIERAL TEVLNKHCK TSTA_070340 MELQLPEPGSAHKLMNEEAVKNALFSQGLEKAPGTDLLNFRAIR LLWNLDSERVVSLTRQCLRLGIHPHVWKTAKGVLLRKNGKTNYTLASAYRVISLLKCL GKVIEKLVAELITNFAEAQDLFHNGQFGGRRQRSAIDAVAYLVEEIHQAWANRKLAAA LFMDIEGAFDHVILAKLVEVLREASVDGDLIHWVISFLSDWRVTLVIDGHMGKEVPIS SGLPQGSPVSPILFVLYVHGLSRAIERSVPEVRCLSFVDNQGLITAASSVKEACRILE KAAEVAIKWGVANGEHVEVPMGHGHEVFDAELMGVATALEWALERQPLGPIWVFLDAQ NAIDRLRSTRPGPGQALVLRAHKAAEKLALRGQPVTIQWVPGHSGIEGNEQADQAAKR AASKQTAPGFEHLSLAHVRRACTEARRAAVSKWAQINAVQGRHRDGCVYKMPRGWNLD PVAGKAPKRLASRYYQLKTGHAPIGTYLYRIGQRESPECQACKEPHETVRHVLFECRG RRAGRRTLYQALKKAGVPLPTAAEEDPEARLFAEPRATQGLLQFVAEANLFNDKERTA REAESSDAWGWDTLEEGGLGVTLEDE TSTA_070350 NEFVQWWLQTDFGKKRQISWDAKRAANCWKNFDQVAFEKDGKPG VMCHQCRKVLDHPASAHSGTSSMNKHYNGINCRKAALATGKKPNIKLALEHAVYPRPD FD TSTA_070360 MPEIPSAKVMRRQLRDLVRENQKSILQKLPSGYFLDENWEYHEI LLGFEHLHGSHSGANLSVVLFQLLQEHWITDRVLAVTTDNASNNITLMASVHEAIESL QSSNDVVIIRVPCIAHVIQLSLKDLLGKMKAAPKIDMAEQTWSDDRVDSLRARQQKRE IVDTLNKVMLASLEAAKDKLSIYYKDTDNMDGHLYAIGTILSPQDKLQFFSTADWDPE EGGINYRATYRQSLESSLEKYSENLAQEQQILGAPPTSTATDEFDLACIRDESQQSQI RQPVQSDELARYLDSNAVPNAQPRTWWKYHQDEFPGMARLARDVLSIPASSAGVERLF NSARDICHYRRGSLQPQTISDLMMYMCTSRFEIHEEERIMLSEYLSTQEIQAAKEERT QQQITVDPISDDEEDEGLSTEPQATSHGPSTKALGKRRLRDIAEDAESDQEDDSEIMS LPEIQHRVSGRVRKRSRLLDGYEM TSTA_070370 MDEYIDVTLRWIPGHEGIPRNEAADRAAKRAALIGARRQIVPGD IGNWTILAAAAKRRIRQSTKDAWEKQWDKQKAGKPTKKLTSPRPAGHPDDPDRDPDHG GSGVISPNPDPP TSTA_070380 MSNTASHDEFDLVSLASNPTEVTEGLETSLRYQRSASSVASTAK PQHKKRRSNNLLWEHTRPPKEGEDVRNKHKQEIYYCKHLTAEGVSRFAFNNIIKDIFG KQLEKQAGHDIDQEKHLRTAIQEAEFKEACVRLITVCNLPHSLLDWAEFWAVILSAIV AHWADTDTRSIECALLLLKEFKGSHSGEEQARVFIEVINEAGLQGKLGCLIMDNATSN DKMLRYITKETENFDPILYRVRCFGYIINPVIQAFLFGAKNHGGEDLMDQEEAISLAI KEIRLLTKETNRDIRDKVALGSLGKLHNINIWVRASTERYQNFIKAIGRAILIGNDTR WNSWSAEINVALTKR TSTA_070390 MPRGWNLDPVAGKAPKRLASRYYQLKTGHAPIGTYLHRIGRRES PECQACKEPHETVRHVLFGCRGRRTGRRALYRALEKAGVPLPTAAEESPEARLFAEPI VTQAREAESSDAWGWDTLEEGGPGVTLEDE TSTA_070400 HAAFDRYRVSFVNSVLLIHMDGLEAGTWARSRKGSYESDITPTL QSVDGRTAETVEAKTALVSESFFPAIPEADLADIDSTVYPKQLPFPEITRHEIEQVVC LASPDKAPSEDSIPNNF TSTA_070410 MFAPGSGGQDASSLRTSRRRQRTSLEDSAKPPAAKRQRSTLRQE YRPSVNKAVKPDEEREIKPGATIQSHRLETGSQQERVLPIRGSEKTSKAVSQTDHTVV LCSNEYYTITQLASGSDQPGETTTGPARCIFNSESGFALLLSESRALIWPYLTNVSLQ GDGGLLSVAMPEWCTSDGEALMGTLILNTTCTVPGLFIVVPATGKMVYWETASNATFM GIAKQKQSGLQGSISGLFFGEKVTDVTNAEPSGVITTFSSGRVAQITFRDPQGKPALS VNFLQSTAKLGAGGLFFGIRSVLGSSGWRKDTAAVRAGNSLFRGQRDVLVLSTSGLLE IWDSHWNGVNKVRAEIDLQKEICSYLGLGVNGKVESSLKVHDFVASFREIKPTSNSND GHKETTLFVLIDLSYNTAKKNLAVIKAQLYNDNMKILSSNMLEHMISNHDFEKQKPRL HVTDSWDTAFILIGQKLWIMSLQEIETTPSVQLLNGVIPKPFQDRIQFQEGDKYEILG MGSEEASSETQHSDCILMIRGFGLIRISATSSGSDTTEYVRVTAKQKLEQAVFFGTMP NTPLSFSSDGEPAFTVQEFEEAALQICSDILRSTSQYIPGGGIALDQTLRRRSKALSD LTTELIRRRIPLSKNVRWELIWAGEKLAAQRSMWKLEEDFRDRWDSETFLSRVISSMS NKFKTEYVPSDSNKQNDHVRQWFDCDTFQMQHIIPWIFNAIRGMKGPSGRAGPEFLSQ VYQASELTLAVLETAYQFREDHARQFGFEEEDFEKGVLQGSYSEIPEFWTSENMVYNE TLHMLDLELESPKWIHQSASKMFVEDRDMLASIGKNSCRRLRVLNMMLTERVHWLYSQ SDPKSMDEANALKELSLKQRKWQLYKMGGIGQLQGAIELAEEFRDLEALVELMVELQY LVRDKSGSVEGTVTTNSSTVRDEAGYKKKIAGYFEYFGEPFADAFFSRYINIHQPGAL LTMKDFQSSLTKFLRKNPSYAPLSWVNDIIGEEDYETASSALEKLAFTRDDNLWDQRV QLSLSKITRLASLEGNSVRNDRALHKLRELDDRLEMGTLQERVYEYVLPALHSAIDEK AEVELALEQFGGRIINQPAFKDLVEELLQRLIRRQSLDADQLINLLTLIDEVHFLEGD DNVVCGHEFYIAIRVLRLSGYALSDPTRYDFLHKLIWRRCFLRDDWNSIAKTEFRSDG RNKSLFLDTTLARTLLDCIREENHWGKSRGELYLIPDPSDTLSLGSLELIKSRFRSGQ WPHIERDLRTEVGLLERLLENTKLKEWFSGLMLLAEEVVAETNDLEAGQMVVPGSLKA RFNWVS TSTA_070420 MFPVPSPAMCTRNAIRRLFATKSADLSLRTALFFPGHGVQRVGM ITPWLEAFPRTARPFLDEMDSTMGFRLSRLIAEGPSSELNMTANSQPSVMATSILILR ILEQEFGFQTQDRVDVTLGHSLGEYAALVAGGYLQFASALEMVRGRAEVMAECTRRAQ QKSGESYGMVALICEADRLPALIAAIQEFLGPGSAGSRDDSSYEMASIGQVLIANVNS KNQIVLSGSLERIKTLLVQIRQFGGHDPRAVRLNSDSPFHSPIMTPAARYMRTRLEIT DITFPTAILCVSNVTATPFKSKEDLKDLLSRQCVETVRWWDSIRYLHQERGVKRWLGI GPGKVGRNLVGKEVGRTSARGGGVWAICDPRDVEDTLQSLDKCETEAP TSTA_070430 MAQPSIACIAFVAKNDHPLHVTVFPPFRESRLRFLILINSCLDI FDLRARNTFIDQDLGLLQALDERLAVYGWLTNTGVKILIIVDLAGRHVDGTAQKQNIT PVTGIKHSDLKPAFRALQTAYIGLLQNPFYNPDDQNGISQVETTTDAPLGINDKKFIA EVKRIGDMWSPSLTII TSTA_070440 MNTLARAGRIRPSLSHSVGITKQLERRAWISRSAAMPASAIERQ SEPSSSLTASGKVRREVPLPSQEKKEGAMQYALTTLDQIANWARQSSLWPMTFGLACC AVEMMHLSTPRYDQDRLGIIFRASPRQSDVMIVAGTLTNKMAPALRQVYDQMPDPRWV ISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCKFDILLMLKLSLILIERHLKGP PTSEALMYGIFQLQKKMRHTKITRMWYRR TSTA_070440 MNTLARAGRIRPSLSHSVGITKQLERRAWISRSAAMPASAIERQ SEPSSSLTASGKVRREVPLPSQEKKEGAMQYALTTLDQIANWARQSSLWPMTFGLACC AVEMMHLSTPRYDQDRLGIIFRASPRQSDVMIVAGTLTNKMAPALRQVYDQMPDPRWV ISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTSEALMYGIFQLQKKMRHTK ITRMWYRR TSTA_070450 MTDKSQFEFGHQIPVHHQDRPGFQHKIPDPKPVSDKLPTEDGGY QLYKAAGKLQGKRAVITGGDSGIGRAVAILYAMEGASSLIAYLDSEEKDAQETKKEVE KYGAKCFLFPTDLRKRENCKAVIDKAVEQLGGIDILVNNAAFQKMLPDIKDLSEEQWL NTFNTNIHPYFFLAKYALPHLKRGSTIINCASVNPYVGRGDLLDYTSSKGAIVAFTRA LSNQQVGKGIRVNAVCPGPIWTPLIPSTMTTEAMEQFSGVPMGRPGQPSEVATCFVFL ASQDSSYMSGQSLHPNGGVVVGS TSTA_070450 MTDKSQFEFGHQIPVHHQDRPGFQHKIPDPKPVSDKLPTEDGGY QLYKAAGKLQGKRAVITGGDSGIGRAVAILYAMEGASSLIAYLDSEEKDAQETKKEVE KYGAKCFLFPTDLRKRENCKAVIDKAVEQLGGIDILVNNAAFQKMLPDIKDLSEEQWL NTFNTNIHPYFFLAKYALPHLKRGSTIINCASVNPYVGRGDLLDYTSSKGAIVAFTRA LSNQQVGKGIRVNAVCPGPIWTPLIPSTMTTEAMEQFSGVPMGRPGQPSEVATCFVFL ASQDSSYMSGQSLHPNGGVVVGS TSTA_070450 MTDKSQFEFGHQIPVHHQDRPGFQHKIPDPKPVSDKLPTEDGGY QLYKAAGKLQGKRAVITGGDSGIGRAVAILYAMEGASSLIAYLDSEEKDAQETKKEVE KYGAKCFLFPTDLRKRENCKAVIDKAVEQLGGIDILVNNAAFQKMLPDIKDLSEEQWL NTFNTNIHPYFFLAKYALPHLKRGSTIINCASVNPYVGRGDLLDYTSSKGAIVAFTRA LSNQQVGKGIRVNAVCPGPSMHNPLPPILIIVALLTFTLVWTPLIPSTMTTEAMEQFS GVPMGRPGQPSEVATCFVFLASQDSSYMSGQSLHPNGGVVVGS TSTA_070460 MDASSLCDTISGSPHGFLVPSNELHLTSRLATKNFLDPLAASLA TFQDGQNKSQKNARRDKKYTPKYRFRVQKLHLDGFSVGQVWEQALSHDIEETAKSLQK SGSKKRLHSPRSIASDQDDNSLEDEDSQSDLGSQFDAEDSDLESVDMEDMEEEDDDGE EGSDNGLEDESNANPSASEDAISETYVEDRFGLNDGFFSIDDFNKQTEYFERMDERGD QDELSDGEEIDWHADPTSVTALSTRPTKSGRSAMEKDEIEDSEDSDAGPTFDDAGFGE DDRDEELQNNADWLDTNDIKYDDFFAPPPRKASKKKARPLPKTQPTAAAPTDDDVERA ISDVRRDLFEDFSDEADSDVDMGAGETEGGRSTHEKQKAKIADEIRRLEMANVAKKEW MLAGEAKGTQRPLNSLIEEDLEFERVGKPVPVVTNETSEEIEQLIKRRIIAKEFDEVV RRLPDSIRQHDISRGRVEVDQTKPQQSLAELYENEHLRATDPSYVDQKNEKLKREHAE IAQLWKSTSSQLDALSNWHYKPSVPQPNINVITDVATVMMEDARPGGAAIVGEQGTLA PQEVYNPKDAGGLGGEVVLKNGVSVAKDEMSREEKSRRRRNEKKKFKATSQQPGKQKK TDEGQQILSDLKKGGVQMVGQGGELQSLNERRKSGNAAATQSDSLKL TSTA_070470 MEETNLASPAQHQPPNTTGDAEITNTYSSSTGSPADDSDNSQLL IGESLIPERERKHAGTTVVTIDGLLKDPLRLKEDLKNGCGGQLWPAGLLLSRYMLEEH ATDLVGKTIVEIGAGGGLVGLAVARGCQTDKPIYITDQEPMMQLMRDNISLNDLSMKV KPALLDWARPPPAEIPEHPELVLAADCVYFEPAFPLLISTLQRLLGPDTICYFCFKRR RRADLRCIKMIKKLFHVTEITKFSTCDGYNRENLFLYKIQSRQHRD TSTA_070480 MATLHYLPPVKPSAIALGTVFTHTASLGILTPVFGDAYHRAQAA NTKEEFLKSKETSSAVAAWGSSVVGSALQTYGVAALINATGTLTYKGAAYLGTLIFFA SSAPGLISQIITEKRPLDTVAIGAVSRVFETVGLSLFLTWWGTRTNPFD TSTA_070490 MSREVAKAAKSATNAIAVHKKYTVQSTGIWEVIRRALSVDPNRS TGVPLNPQFRNPTPGALPPQSYDDPVTLPAADLADNPYWKRDVRRNYPQLSVFSQGDV AGLLTFGNKQAPKEDALQAGEAGQKQLIAVKQEAEEKGLAAHFEKDKSSIKSVLGPDG LPPLPARLSNTSKYELGSGQGYPEKYPCRTFV TSTA_070500 MVVELRKRKAPAAQPGPVKKKAATAKSTKKVPKAEKATEEVKSK DTTTSKAAVPKVGDVISLEGFGGELQTQDGESTALQSLIASSKAGVVLFTYPRASTPG CTKQACMFRDGYEHLTSTGLAIYGLSTDSPKANTTFKTKQNLPYPLLCDPNATLIGSL GLKKSPKGTVRGVCVIDKTGKVLLLEPGSPAGTVEAVEKIVRENSVKNGDSEDKKEDP KEREDEKKE TSTA_070510 MKVFSSDCTFNYSWDEVSTANWRKYCPWNDKSTHVKAVDTLSRT IEPSTGILRTERLITCYQSAPKWVLSLFGGSPLSYVYEVSYVDPVTKKVTMCSTNLTW ANVLNVRETVTYQPSRLTPDNQTEFQQEATITALCTGWQKIKNKVEEASVERFRENAK RGREGFEAVLEMSRRVFGEQKELEKMQSS TSTA_070520 MSESEEVPRPGQWPVDPQEDVPISKDRIWVDGCFDFSHHGHAGA MLQARKLGKELYVGIHSDEAILENKGPTVMTLSERVAAVEACRWATKSIPHAPYVTTL PWISHYGCQYVVHGDDITSDSNGEDCYRFVKAAGRFLVVKRTPGISTTDLVGRMLLCT KNHFVKSVKDILEGTEGPDNAEDRKALGTDLMQRLRDYATDETGHQPGPEVWLWNGSD AAKIDHTVEQAGNYENLIKGVPPKPGQRIVYVDGGFDLFSSGHIEFLRQVWDLEEAEG RKKGWYEESEKQKRIAEQGADYGPAYIIAGIHDDAVINHWKGLNYPIMNIFERGLCVL QCKYIHTIIFSTPFTPTESFLRAMPWGVPDVVYHGPTTFIPLTYDPYVAPKQMGIFKE VGNHPFQHVNAGEIVERILKSRSAYEERQRAKLKKGVIEQDTRDKELASQGSNPGP TSTA_070530 MTAADLLPYQITVHGHTSLLTMSGIPMPAQQGTSVGPQSAASIF QHVHDMSSKRVSTLGYLRKVHEGRVYWFNTVHFSRHDLMRMPYFDPKRLSRRAINFLL LGLSLPPILDAYTTPMEYLRALHALLVEFETFQQVHNPDGNFSSNLVRARLPQMFKRA TYTGNKTRRASSANEIGLPMHFGDSSDVKAMTGNLASSATAASAVTSFPNTDSSDLLP GEEYTYLLTPSLPFDPDFFETFVTLCDVLIDCYNRLTGLVSSPSVCTTGFGELFTKTD AKLRKVMVAGVVRDFEDASRSGAKSEIGGVSRVVLGGLLG TSTA_070540 MPLQTKHMQMGSPLLNAVQTLRSRTTRYPTNLLSQSRYSATAAA PLRCLLDRLRIPSHGTSRFNKANPVATIQTRSFRQFSYNNSSAQLTSASASAPAVYSP PQTGIISYLPRSWIPYAELIRLDKPTGTYYLFFPCLFSTLLAAPLAMPMASPFEILAY TGLFFSGALIMRGAGCTINDLWDKDFDPHVTRTKFRPIARGAVTPEKAIVFTGFQLLA GLGILLQFPLQCLYYGVPSLLFVATYPLAKRITYYPQAVLGLTFSWGAIMGFPALGVD LLSHTDALLSATALYSSCVAWTVLYDMIYAHMDIKDDVAAGIKSIALRHEHNTKAVLS GLAVTQVSLLALAGWASGAGPIYFVGTCGSAIVSLGMMIWRVRLKDVKNCWWWFKNGC WITGGGIALGMTGEYLAQYFGYYKDEDQPSRAITAATSAIRAPSPWTLASSSPAAIQF RRLLSSETRAAIDKAIQSAPVVLFMKGTPETPQCGFSRASIQILGLQGVDPKKFTAFN VLEDPELRQGIKEYSDWPTIPQLYVDKEFVGGCDILMSMHQNGELAKLLEEKNVLVAA EE TSTA_070550 MPAQRPQAIFEPIPPNIDVSSLVESTPNFEWVVRIPCDAIYEQG LDNFEKLVRLHVISGGKPLVVESYDSRFEKWIFAEQWLRDNLSSKTENATNLTTKSNI PLSIGHYLNNMSMLTDQITPDNFTEPSRQRIYLKDIDCPDVWHEKLRGIIPPFLFYMN DSTLDPNFVSQDFARAGDLMSSLPGPMRAENLMCYIGHEGTYTPCHQEMCATLGHNIM VETSTGGFEHGKRTKPGSSIWFMTETKDHHLVSEYWSSILGHDIDLEDHFAQISAWKA APFKTYVVEQRTGDFILIPPLAPHQVWNRGTRTMKVAWNRTTVETLELALEEALPRAR TVCRDEQYKNKSIIYFTLEKYSQLLAAAERLNTRTPKVRQLQKDFRKLFTMFTSIMLS ESFSNEFREEKPVEFLPYDSNVTCSYCRCNIFNRFLTCPHCVGKFASGEDDCYDVCME CYAMGRSCECISNLQWVEQFPWQELVQKHETWGRQISRMPGLKEDKYASLTKERLRLG HRTLAEVCQLELKRRPWVDITKHTFDEREGFDSQDDENGQGSSDERHVKKRKVHPRDE LSKEQARCHICKTLEPTWKLSSCRNCSLHYCYGSLFRAFEIKPQEPMQTYRWECPRCQ KICSCGACRRKFTTQPYEPKGLALGHDTKKIADPRSVEALVNFSHSNIGWLKKAGDGT ADSTRRLQRHQAEAEQAKMQEALTAHDDDDGLFVPQVHNGYSNTEPTFHDGIPIDPAL GGFPAAPSHDFDIYDSSVHLPELAARVHQLTHSNTEGLLRTEEEMFRDAAAIRFEFPS ENGFPPIDLTQDNFDTFGNHSIDPALLLQSTNGGENGVIPHAKHNIPLVRGKSRPLKK KGKHVVRLRPGREHMEAMAQAHKDQPNPSTAAIITSDLLSFHNEPLPTPENAKKRRRR GEKDDDSNVWRVEKKPPRTSRAEQNPEGVDKPRRAARIANSYEDSDLDLSDGIRA TSTA_070560 MAATLRRTFRYPGDDDESHYSETREELDEQEQEDIIKNLTQKNE TDNRFYHTVFTVVPLIAIIAYIPGLFSSLSTAGQRLIYLSCISSFLATAYIMESSTFS RDGTVMTDLSRWLSNAPSEAHKKNLIIANSALCALLALGSLYTADTVLYLLPGILLAI TSLARRVITEVDVGELEKLRYEYKGA TSTA_070570 MNPARAFLPRALRTSARGTASLKNASPFRNQRRSLIPAPSANSG PLLDRRADRELPAPESQFRWVRTLPIFAVLVGAAMFGIFNYQKSSSSVVSSTLYALRT SKQAREILGDEIYFAHKIPWISGTMDQLHGKIDISYWVKGTRSQGKMRFKSVRPDRMS YFRTEEWSLETEDGKVIQLLEPDQDPFKLSD TSTA_070580 MPPRLPLEAFCLNRSSWTTQFLLDTTTRRFFGIRSLTPPKHTSY NGGPDLPLLKSTPAAALERRLKANTMPLRTGLLAIKKGMTGLYDPETGKRTPCTVLQL DRCQVISHKTMDKHGYFAVQVGAGFKKPERLTKALVGHFSVNEVAPKRYVHEFRVKDG NGLLEIGHTLTADWFQVGQYVDARSNTKGKGFAGVMKRHGFHGQDRSHGVSLTHRSLG SAGPSQGGGSRVYPGKKMAGNMGNVQNTLQNLKVLEVDPANGIIVVNGSVSGPKGCLV KLQDALKKPWPKAESQPQAETVTE TSTA_070590 MPPVAMSISRLLRQQAQSTTTRAFLRQPLLQQKHTIISQQFSQL SRRTVQSRPAIHARKPINRQFPSQQQPRQKRFSSSTSTNSEAQQKQHAQSFSQRMKNL SREYGWSAVGVYFALSALDFPFCFAAVRLFGVERIGHYEHVVVEEAKILFYSVMPFER STIEGEPDAAPKEKITDEGASIWTQLAIAYAVHKSFIFFRVPLTAAVTPKVVKTLRSW GWNIGKKTPKAAK TSTA_070600 MNDPLTSLVKRPKKFLALFPRVTFKMKFGKQIQRRQLDLPEYAA SFVNYKALKKLIKHLSATPTIAAQGAPPADLDPQSALRANKEVFFFRLEREIEKVNEF YVQKESEFSTRLKTLLDKKRVVQSRTHADKKAPTYFVSLFEGFLQFDSDLNKLQQFVE INETAVSKILKKSRMKELYLQRAVEVQPCFNREVLRDLSDRATTVRLDLEAWAEGENI RFDVARATERAETALKDEDEQTDLQVLHMAAAGNMIALQEWITKLRTTPDSRDRITRL FLSAVTDFSDEILGAILESGLVDLHAEDDINGRNCLHEAAISGRTYVFEAGLKNGVDI TRPDVYGRIPLHYACIHGRVEMVRKLLSAAPDTVNSLDHDNFTPLIHSIVRNRLECAE QLLNNQARIDPTSESDHIPLNLACQHGTVAIAKVLLQKGASLLPDAEGLYPQHLVARS GKAPELLTLLKEHGADLNQNDKLYQWTPLFHAASEGCVACLRSLLELGVDTTILDEKG LSAMYYAAWEGHLECMALLWSKRDPSLPHRPLISHQVVPQTSGATTGYDGFRTDTGPS AFSSEGPDGIPDLELPPPIIPLRRYGHNFLDTKAFVQIYFDTSNRGPIVFDRSGRHPA SRLTMSSKLSDVIPRTIMLPIQEDSRIVTFQVDTLENFVIEFEIFPTFGSKVIAKTVA LPSLFSAENSSAGSCSLPLFDPRLRSIGQIRFDFQVIKPYYGDPLEITHFATYWKATN AIDADHNGLITGSSLSGDYVQLFVQLTKDRKPVLHPLFSINHFGIDIPICTITYEQFQ RVARERGIDTNAVLESLHSKTPSDIASIHKQLANSFLSLQDVLSHLPTSINVNLTVIY PPASEEKKLSLSSLADINTFGDCILHVVFDHARASRQKSPDFMRSVVFTSYNTSICTA LNWKQPNYPVLLCNDLGQIGDFTREVSPSAAIPSDGHTSMSIKEAARIAQSNNFMGLI CRSTLLNVMPALIETIKEQGLVLIADTSDETSTPPSKTTSLKSATEWAYRTPEGVNGV MKATGVLRFNESIDM TSTA_070610 MSSSTAAVPTASTTTSASPTSTSSTSSTSTSSTSTSSSSSTTSS STSTSSTSSSTSTSSTSTSTTSSSSSTSTTTSATSATSATSTEPQTTVGPTTIVVTST STKPPPSATETTSVSSTITSSTGTASATHTGSASSGSSGLSAGGTIAVAVVVPVASVA LIILVVLWWWRRRKANKLAEEERRKEVEEYGFNPNNDPGLGGSVAGGAMSTNTYSDRY ESQDGNSGYRGWGTTSHPRKPSTNLSSIPLSETSAMQYSDGPMRPESGEAGAMGAAAG AQNGDIRRGASNASSAYSAGVHSEGSEDAHMGGPGPNQYYDEGNPYYHDVHNTQAGYG DPAYGLGQPVIRDVQARRNTRIENPGVYPQQGNAGIAQNF TSTA_070620 MPHRHGIHHVHRRNAENLIKLESLPLKPAPTISEPPSVVRRASS ETSTCSGASCEKSSSSGLVNTLPVVLGVVIPVVAAIIVLLILHRRHVRKLRQEDANDK HKSLDFGMEVVRAGGGNPKQPEMGEKPHKHGMSLDIIGSPYLLPPGLHNSKESLRSLT KVISVEDDKYRVAAQSDTASLRSHRTMGNDDASSFGGSTRHGPIPDDMNQGLLQNASR MSRSPPVDASSPLSVSQTIHEEPFDHSNAMRNQSQNHQAVDSHMPPEDLPKNHSSPAP SGPGDEMFFGSFPQPNPNPLAMHPSNNPNEVSHPHSSLSGFDFGTDNTRPADDHHEVS AAQEKPAESHNTGLPRLSLPMSETSDYGDEPKVTLPPSLNVPQAPEAEDHSHESEDAP HPPNNRVTQSFTKFDEGFDPHRLTVGIRPLPPEDPSDNAEQRANRIRSFYKEYFDDSK GGNNEEYYEDFGPEFYDDPGVFDPYSSEYFTGPSKPFAQPVGRRAMTPPPRFQGPPRN VMHPAIGSRAAGPRAFSSASGRLPVPRATPKRAPPPEPLHVLPSPHLIKDDMSILPID YAPPSTFKDRTEGRPESPRGGLRPYTSMSRAHTPLVSSFDDLAAIPSPHALRKSGIYT TLDFAPPARFKNDIGSDAGSIRSNRTGISAIHDHNIRAGAYRVSRLPTDVVGTKDDLF ASLRPNMDLGR TSTA_070630 MDIVDQDLEKAEIDARHPSQATEAQDGLNLSPSRTLSSLASISS SSSSEGADEPRMERIQTSYTLERHPTALSRIATQRSQHSGTVGASLRSRASKKPLPPF GAGKPYPPPLPAKEAYVVEFDGPDDPLHPMNWPTKKKVLTSVMLAYTTLVSTFGSSIF SSATTTIASVYHVNSEVTLLGLSLYVLGFATGPVCWAPLSELRGRKLPIMIGMTGLTI FEFGVATGKDLQTVLICRFFAGFFGACPIAVVAAVFSDMFDNRFRGVAITVFSITVFM GPLVAPFIGGFIVDSYLGWRWTQYLVGIMAAAALVLDVFFLDETYPPVVLIEKAAELR RRTRNWGIHAKQEEIEVDFRELVSKNFSRPIRILISEPIVLLLSIYMAFVYGLLYLFM TAYPIVFQQIHGFNKGVGGLPYFGMIVGEMIAGIFIICQVPAYNKKLEANNNIPIPEW RLPPAIIGGFSFAAGLFWFGWSGYKESIHWIVPTLSGLLTGFGLLSIFLQCLNYLVDA YLLFAASAIAANTFLRSLAGAGFPLFGSYMFKGMGVEWAGTLLGCVAAVLVPIPVFFY MQGHKIRAKSKFAPTFAPERPADEDEQ TSTA_070640 MEHVSTLCAVSTVGIAATGFALARRENRTGLQSLSSSRHSTIPR NLPTDTNPFHSQGAIERPSQERTGFQPVSTGSGQSLVLSQRRRERRRRQTVTNPLTKS VDETSTQDHGAGSSRPSSSWLRRISIISSATASSVSSPTTTTSFANDSPTQAHTRRNS TVKTPNKLIKRSVSQRSITQSGNGIGRTRSTTLGLRRPATSHQRSSDIAHRSSASQGP DLSIPAAFLESSLARENDCADLDSQYWRPYFGSTVARRRKSSTGNAIVTIKPHRSPNP RYPISYPTLILSSSVQTSSASIMPVKQSSSQSPSTATVPFIDPFSRHNNATDTKHTRP IPHEKRRTVRSSSISDLSVTNRKPRNSPMKGDVNKSPLTAVRLHGKDRIVSNPLPRES GKSGSPRLRRKRNFTMSDTLSRPQTAPNANYFYDKPPYSDEPFPQDLASSVDPLNSTE SSLAYRNGRHDNRSSPINYPPPGTSPPIVRYSRNKRLSGATSDWASTVIGSDDTRVFT SADEDDQSDSVFDSFRTRISSNGHTGRRGPRIETIFYDVSSTDLKDKDSVSIEELGLE NLRLSQSTPRSSLSDIDSNTTPLALSTAKNTDTTPTAPARYSHKGALHSSPSKKPQAP HSQEVVSGSDEDAVLDDGDDDFDTSFGENINFFQPYGDPDDVSPSLTRKSSAIGTRAS VFDWSEQTWSDRDPQAHEVRPSTVHGKQGPNARSTRRANRKAPSTMHLRSQSVPVSRD GPVPSESRQPSAKFGTWGLGQKGVSEDWDGDFDFGDEDEGDNMELGDGTTSAGRLHTM KVPQAIMESQASVHGQYGQVQELTLLVEELKRLRIQGNALQVINGPANDLWKEADGIV NLATFEDEESNWQVPDSPSSCGSFDDFEISPSPPQKAWRNNDNDMKRPPLTLWSDMDH NSKVSDRQEPATKVKSVLETIYQQRESLDARSIEIANQPPQKLPFDTQSLKELVARAG VVTRALKDVIRKAEGVTTSNDSGPSSDPPFSQIFAQRT TSTA_070650 MEAELQAQIPGIDRVISEYSVGYLTHASHAYVEEADANAPSPLA EAADTVTELLVSASGDFSSENELAIRNLVEKFISSLNAADGIDAERRQMPFAAKKLDQ TINVGAQRNMSSTLGLVGGGVDLESASTRKVESRVDRKKLEKAERKIRAKQDKKLVKN VQYEASRLLDQPDSTQSYEEFFMAVNPLQLGADAQSKSKDIKVDGIDISIGGKRILTD ASLSMAYGRRYGLVGQNGIGKSTLLRALSRREVAIPTHISILHVEQEITGDDTPALQA VLDADVWRKHLLQEQEKITKQLADIDAERSSMADTSKDAARLDKERDGLDITLTDIHA KLAEMESDKAESRAASILAGLGFSPERQKFATKTFSGGWRMRLALARALFCEPDLLLL DEPSNMLDVPSITFLANYLQSYPSTVLVVSHDRAFLNEVATDIIHQHSERLDYYKGAN FDSFYATKEERRKNAKREYENQMAQRAHLQAFIDKFRYNAAKSSEAQSRIKKLERMPV LEPPENEYVVHFKFPEVEKLSPPIIQMTGVAFGYTKDKPLLSNVDLDVQLDSRIGIVG PNGAGKTTVLKLLIGQLQPTSGLISQNPRLRIGYFAQHHVDALDLNDSAVGFMTKNYP GKTDEEYRRHLGAFGITGMTGLQKLGLLSGGQKSRVAFACLSLTNPHILVLDEPSNHL DIEAMDALSEALKNFQGGVLMVSHDVTMLQNVCTSLWVCDNGRVEKFDGDVKAYKKKI SAQADAAGVARAQY TSTA_070660 MEHNDADQPLLADDSHTHSDAQYESSGVSAEGLGGLFIWALTFA AGISGLLFGYDTGVISATLVSIKTDLSGKLLTTMDKSIITSCTSLFALFASPLAGVYA DSIGRKKVLLVADVLFTIGALCQAFTSTVLGMVLGRSLVGLAVGSASMVSSLYISELA PSHLRGRLVTILCLFITAGQVVAYIIGWLFSSTPGDWRWIVGLGAIPAILQLMTLLFL PESPRWLVQAGYIAEAKAVLIKVFGSDSQAGYKASAVLRAIEEDVAAEAAQLSHNKSD SNFQRALRTMAELCSIDGNRRALIIAMMLQGLQQLCGFNSLMYFSATIFESLSFSSPT LTSLTVAGTNFLFTLLAFSLIDCVGRRRILLYSIPFMITALLGCALAFSHLRWVHSVS SPKDGQSQPSSSASSMSVAILICLTVYTAAYASGIGNVPWQQSELFPLSVRSLGSALA TATNWGSNFIVGLTFLPMMETLTPRWTFVIYALVCALGWISVWFIYPEMSGMSLEEVK DLLSDGWGVRDSVRRHESRDT TSTA_070660 MEHNDADQPLLADDSHTHSDAQYESSGVSAEGLGGLFIWALTFA AGISGLLFGYDTGVISATLVSIKTDLSGKLLTTMDKSIITSCTSLFALFASPLAGVYA DSIGRKKVLLVADVLFTIGALCQAFTSTVLGMVLGRSLVGLAVGSASMVSSLYISELA PSHLRGRLVTILCLFITAGQVVAYIIGWLFSSTPGDWRWIVGLGAIPAILQLMTLLFL PESPRWLVQAGYIAEAKAVLIKVFGSDSQAGYKASAVLRAIEEDVAAEAAQLSHNKSD SNFQRALRTMAELCSIDGNRRALIIAMMLQGLQQLCGFNSLMYFSATIFESLSFSSPT LTSLTVAGTNFLFTLLAFSLIDCVGRRRILLYSIPFMITALLGCALAFSHLRWVHSVS SPKDGQSQPSSSASSMSVAILICLTVYTAAYASGIGNVPWQQSELFPLSVRSLGSALA TATNWGSNFIVGLTFLPMMETLTPRWTFVIYALVCALGWISVWFIYPEMSGMSLEEVK DLLSDGWGVRDSVRRHESRDT TSTA_070670 MSERSPRYYEYLTSRHLLRKRGYRTTLFCQFPVELIERIAIHIE SDTDLISFALTCHGIAKCILSPLSGVWRERFNNKYDAGWQHSSEELGNEYRTRATLLQ LDVFLRPFEGPLEHAWLKAIQTLLVESYMNKPGDKQTSRSKNMLRIAEAVQKTNFLSR PMVRNGRQTHMNPSTLFCAVQLCLSHMCFDPLGPGPCLRSNYDITEVYSYHHEFHHSL IDENGMICFDTLLHIRNFWQRHLLSARENSYASSYGNVTRRPREWVQPLEAKVTTMML IDANRKSWPTRLDEHFPENIDLCPRKYFIGSEGFQGDMSLHYRIRGFYQDFPYPQEGI FGWLRICFVSYLLADDLESRDQPDAKDWDDYDFTSDFDRIYGFEGILIPGGKILLGKY FDVQSEGDEECERGPCIYWEHTHLGDVLDIMSVSQSIDYPFLTMFSSPAGSQAAEE TSTA_070680 MAQVIANSGHDDMIHDASLDYYGRRLATCSSDKTIKIFEVEGET QRLVDTLKGHEGAVWCVAWAHPKFGTILASSSYDGKVLIWREQPSSASTNGSPWTKVF DFSLHTASVNIVSWAPHESGCLLACASSDGQVSVLEFQDNSWTHQMFHAHGMGVNSVS WAPAASPGSLISANPGPGQQRRFVTGGSDNLVKIWDYSPESKAYNPVQTLEGHTDWVR DVAWSPSILSKSYIASASQDKTVRIWTSDASAPGQWTSTVLEFDSVLWRVSWSLSGNI LAVSGGDNKVTLWKENLKGQWEKVKDIDE TSTA_070690 MADALCGPSNALQNFQKHTAVDRTLQQDRIVNRHPSAQGFRSQH HNAAVLDPEFEAFENNLAGNAVPQLRPGGPAFGAPIHSQPVPAFAATDGGHWASDFQK LQVSGPSTNIQQGHIMSNQAFRPATINGWQNEFMQHQQHQPQQNSQPQFRQGPMTSFQ PSFMPNYQGMNGMVAPAPPDQGSLQTTSTVPAVFDESAFEAAFNQAQADMHEHEMAVS EQHQVLGEDIQSKEVELTVEAESTEQIRIGSDLIGVTDETPAQGRKEDPDELAKTAGH LLDSVSHDTSQKFKESSFLALMRRIRDREVQIEGDEFREIQQPLHPGGKDYPGKRKAG GSAPNQLRPE TSTA_070690 MADALCGPSNALQNFQKHTAVDRTLQQDRIVNRHPSAQGFRSQH HNAAVLDPEFEAFENNLAGNAVPQLRPGGPAFGAPIHSQPVPAFAATDGGHWASDFQK LQVSGPSTNIQQGHIMSNQAFRPATINGWQNEFMQHQQHQPQQNSQPQFRQGPMTSFQ PSFMPNYQGMNGMVAPAPPDQGSLQTTSTVPAVFDESAFEAAFNQAQADMHEHEMAVS EQHQVLGEDIQSKEVELTVEAESTEQIRIGSDLIGVTDETPAQGRKEDPDELAKTAGH LLDSVSHDTSQKFKESSFLALMRRIRDREVQIEGDEFREVSSLP TSTA_070690 MADALCGPSNALQNFQKHTAVDRTLQQDRIVNRHPSAQGFRSQH HNAAVLDPEFEAFENNLAGNAVPQLRPGGPAFGAPIHSQPVPAFAATDGGHWASDFQK LQVSGPSTNIQQGHIMSNQAFRPATINGWQNEFMQHQQHQPQQNSQPQFRQGPMTSFQ PSFMPNYQGMNGMVAPAPPDQGSLQTTSTVPAVFDESAFEAAFNQAQADMHEHEMAVS EQHQVLGEDIQSKEVELTVEAESTEQIRIGSDLIGVTDETPAQGRKEDPDELAKTAGH LLDSVSHDTSQKFKESSFLALMRRIRDREVQIEGDEFREVSSLP TSTA_070700 MSPARGYITSYAPRLRQYSNALLTPVIPPSQVGPSRTTKRGTTA INYAEDGFDDDDFEESDGPRRPTGLRSLRREDSNVDRVPLSEKVGKEASAPVEVQGIF REWMIRRLLRPDNADQLQTQSHLPLTLVPIRIDLEVPAHQPLEPFPIPRSSTDPGFSS TLPAYRRPDPVPAYRIKDTFLWNLHEAIATPDEFAITFVRELDLPDQARLADAISGQI RSQLEEYAGVALHPLFQQAQVAPNPTDQATGISRDVSSTPAPANAPTPSTPGPNNTVT ITKDPLVNDSLLNPDDAYRCVLNLNINLQNKLYTDKFEWSLLHPPGMAEEFAKITCAD LGLGGEWVSAISHGIYEAVLKLKKEVCESGGMVSGIGAYGNEIDNQAANGAEAGWRYD PEGLGDEWEPKVETLSKEEIEKREGDRERQIRRLRRETARFSSTAGITPELSRTNYFD IDSEAPLGRGERSKRKRRFRSLSPAGRSGTPGGRGTPDTSSGAGYGGGGGTLLESERG HWRCYNCGVFGHAVWTVRDGPTGPRTLCHNCGLLYERDKRLPDWARGLHRHDIPISRP TSTA_070710 MDAQTRQHYLADSPPSVVRLEIKQHFEALKDESLKRYAHFMSRA AFQGTRITLRQVSPESEPIYDLIISLYKAAAGNWHSLAEKTGVESQDLQYFLEYAAQF LGNCGNYKGFGDSKFIPRLSVDALKNLASITPETQAAFEKANGTGGGIYETSNVGLMH LGYPDKGHMTTYYPDSPHITKDEITAVGDFLEKKGLPIENTRLRKTAEGNFELLIASG LSSPPARDRDLGEEDSWVLEGGAVAGKKLSLVFGDYQNEMAKIAHSIKQAELNAANET QKKMLEQYAKSFGTGSIEAYKESQRLWVKDQKPAVETDLGFVETYRDPHGVRGEWEGF VSMVNLVRTRTFSDLVNGASQYIVRLPWDKEFEKDKFLSPDFTSLEVLTFAGSGIPAG INIPNYDDIRQNLGFKNVSLGNVLSAKAPNEPVPFIAEKDLEKYQKYRDEAFEVQVGL HELLGHGTGKLLQETAPGVYNFDVKNPPISPITKKPISTWYKPGQTWSSVFGAIASSY EECRAECVAMALSCDFDILKIFGHGDGETDINNEAGDVLYVAYLQMARAGVVALEFWD PKSRKWGQAHMQARYSILRTFLDAGDDFVKLVHTKEDLSDLEIHLDRSKILSHGRPAV EKYLQKLHVYKSTADVIAGTQLYNDITSVDDWWGSKVRNIVLQKKVPRKVFVQANTQL EGDKVVLKEYEPTLEGMIQSYAEPLCEPSLTHLPLIRSSPRKFRPELFDSENKTALTN SYKTGGTPQTALPCLESTATVEDFSYTSPPALPPLSEASSSSSQASTRPPPFSSLYIE PDSEVNWTKGAVTEAAGASSLPAFAPPPSPSLFLPQVPPSEDVDESSSVVADTKAALE SVEKKGESSGKTTDDREPPPPYTEGYSPLQSFSYVMAAAGGAASIITQVQQTGGPPIN TLGDVNGDEHITLDLRGTRFTLSRDELLTLPEFVLLSLFPNGLLPDGQMGGFHDGDIY PVDYDPNSLQYMLDFFRTVAQSIPSASPSPSGSPDMDASDSMYSSTRDMLQDRAGIIV LREDLDFYVIPPRPNIGQAEMMTVKRAAAQVLLRQDGIFSGLRKSDEVGSTEQHLIEM LTAGSGFNHEDSWGHRAPEPNKAVICSLALAKLRTDIRGDINSNASVGMAQKLLLFWR KPARRCWWEGIELEDVDGVEGKLKVWIRRVWTLEMSVIGLR TSTA_070720 MFSSALKSFSSNISSHYQISPEPAFISGPWKVHDGTKKSTGTAA SIFIFDRKTIEPRTGGFGRSASASSLKKIHEEVVERLKREVSSLTRLRHPSILQILEP VEETRNGGLMFATEPITASLDGLLKEKDSQEGGGGGGSRPSRYTIESPDGTRRRREVE IDELEIQKGLLQIAKGLEFLHESAGLVHGNLNPEAIYINIKSDWKIAGLSFAGPPDTS TTQSSLPPLALSEVLYQDPRLPPSVQLNIDYTSPDFVMDSNVSPAADLFSLGLIIVAL YNSPHISPIQAHSNTSTYKKLLTTPSTTPSQANSFLCTRPIPKDLLNSVLPRLITRRP AQRLNAREFQQSAYFDNILVSTIRFLESLPAKTQNEKSQFMRGLQRVIPEFPPSVLEK KVLGALLEETKDRDLLSPILQNIFHILKKVPNGRRVCPELIIPKLKEIFLATGGKGAA QERDTTRDAGLMVVLENLSVIAENCSGKDFKEDILPLVQLAMDSSTHSLVDAAMKTLP VMLPVLDFSTVKNDIFPPIASTFSKTNSLNIKIRALEAFVVLCGGADDKDVEPVDDLS GIVEDKKKVKKSSPSILDKYTVQEKLVPLLKAIKTKEPGVMMAALAVFRQVGKIVDTD FVALEVLPVLWAFSLGPLLNIQQFEQFMALIKSLSTKVEREQLRKLRELSSREDSSVT RQTTGGALGVSAPSGADDASDDFEQLVLGRKGTGTINGDGGWGSLAAHHTPIKPATQV TSPTFSWSSNVSQPVNRASVGGLSSTGQNSRSITPDSNAGVFPSLEPNRQRSSGTSAF GVLQPQQPQSWNTSQQVNRPSLPQSFGSSPSSSTATNTTSFPSPGGTTLQSGPNYSAF SIPPPPSTQTQYQPKPPSVTQSNPWASSGISNTTAQAAQQPPGQQKGGLDKYASLL TSTA_070730 MASDDSARRPVVRPEQHQDLYHEHHTSTHLPKSPTTPYSKMLAR LEIASTHIPSFYITPFCGAMAGVASGIVTCPLDVIKTKLQAQGGFLRRRSAHRTETAE IYRGMIGTGKTIWKNQGIRGLYQGLGPMLLGYLPTWAVYLTVYDKSRSFWETKTDNWW LSRTYASVTAGACSTVVTNPIWVIKTRLMSQSGRVSGDGFIPWHYRNSWDAARKMYMT EGIRAFYSGLTPALLGLSHVAIQFPLYEYFKMAFTGYGIGEHPDEGDSHWVGISLATF LSKVCASTATYPHEVLRTRLQTQQRHPPASSPEEIAFRGGLDHPVNRGRPPGAASSDG MPNRPRYAGAWRTCQTILREEGWRAFYSGIGVNLIRAVPAAMTTMLTYEYLRKTINTL QHEGQKRLDDVDVSGDTLV TSTA_070740 MSVPSLTSYVVKRPYLKRWLQPIAEWYTNAAGYRRLGLRADDLI PEESELVQQAIKRLPAKEAYDRVFRLRRAFQCSISHTLLPAAEQTKPEEDVEYLSPII RELQKEAQEREDLDNLIVKRK TSTA_070750 MAPRRSARISSLADQKPLEAATAISKVNKSSKSKNKASKENGIT KEQKESPTRKAQKKTKKEAAASAAEKALTTPQTPPRKQKGVTSVADNHNLRLTPPPSR LDRPVEPHRTNATLVTPRGSSVVAYPSLEDTSPSKTGLPRPIATTGNLLEKALEHLTS VDARLKPLIEQHHCRIFSPEGLAEQIDPFDSLISSIMAQQVSGAAAKSIKNKFLDLFD SSKDVQTDAEGKRRFPTPAQVAKLDIPTLRTAGLSQRKAEYVQGLAEKFASGELSTQK LLRASDEEVMEMLIAVRGLGRWSVEMFSCFALKRTDVFSTGDLGVQRGCAAFVGKDVN KLKAKGGKFKYMSESDMLELAEKFRPYRSLFMWYMWRVEEVDVAVLNA TSTA_070760 MAFSKYIVPALMASGAAYAASNNCGKAGSITNITAQADADNLSS CETVQGDVVILPQAASGITFNGIQQIAGSLIADGATNVTSIGAPDLTSIGNKFSLSGI ILLTSLNFPELTSVGSIDFQALPNLQALTFNKGVSKAGSVSITNTGLTSLAGIELTSV GDFDLTANTALKSINVNDIKNITGTLIISANNNQLNIEFPNLVSAQNLTFRNTSSISV PSLANLTGELGLFGNYISNFSAPNLTRCADLDFDGNGALTEIDLPQLTTLTGGLAISN NGKLDVISLPKLAKVQGAIDLTGDYTNVSLPALTEVKGGFNAESTGNFSCSAFDDLRK NNVISGTYNCTQTSHPTTMDGGSGSSTTSSSSSSSATSKGAAVANMAVPSVGITALVG ALLSLLM TSTA_070770 MLEDTWGNDASRGCSLPTLNSFTFRCEADRQEDTPMRRLPPLPP VEPLPPGPFNNPFTLSSHVQSPPSSQDTFPTSLKSSGWHGGEHKYTTISPPSPVSSVD FSLKETFSTQKAFGWPNDLSYSEIMALVQPRNINRKPPLQQLCGRKRKNDTMTSSASD QDDQREKHRIAEGNRRKNLSQLHRELDNRLHDFFLERAGWNPSKSLPQSKEHIVQGAI YLIDFLLAIVVFLMGQEKNIPPQLSENLQGQLRSMQLQQLVSSLQSQNQAMQYQIRAL KQEYDDLLDRHRALEFKLKSYDQVFRSPKPEVVTPLPMTTIPHGASAPGTASANQQEK KTLLGLRVLCSEIPGMSPDCSFSSETSNTTNSTSSSSNLDVIPRAIMSPMTTRPSSPM CLTPSSSFSHSSLPSSRRESLNHTL TSTA_070780 MLLSKGGVNWKSARSRLPPWRAVLILLTRTRFLVSIAVTGLVVL LWRGISSSAADMQSFYCYGPSKSPMHMTINEMNEWNAHLQTPVNFNHHEPIDVNATSI SFVDLNPIKTTPKAASNGERVLILTPLRDAAPFLQKYFDLLVQLTYPHDLIDLAFLVG DSTDDTLGVLSKELDRVQNQAEQKVAFHSATIVQKDFGSDIDMDIKEKHSFEAQGPRR KAIARARNFLLYSALKPEHSWVYWRDVDIVDSPDKILEDFMAHDKDILVPNIWFHRYD ADGHDIEGKFDYNSWIESDKGRRLAESLPKDTILAEGYKEYDTGRTYLVKLGDWRNNK DEEVELDGVGGVNILVKADVHRAGINFPAYAFENQAETEGFAKMAKRAGYQVVGLPNY VVWHIDTEEKPGNLGGRKAY TSTA_070780 MHMTINEMNEWNAHLQTPVNFNHHEPIDVNATSISFVDLNPIKT TPKAASNGERVLILTPLRDAAPFLQKYFDLLVQLTYPHDLIDLAFLVGDSTDDTLGVL SKELDRVQNQAEQKVAFHSATIVQKDFGSDIDMDIKEKHSFEAQGPRRKAIARARNFL LYSALKPEHSWVYWRDVDIVDSPDKILEDFMAHDKDILVPNIWFHRYDADGHDIEGKF DYNSWIESDKGRRLAESLPKDTILAEGYKEYDTGRTYLVKLGDWRNNKDEEVELDGVG GVNILVKADVHRAGINFPAYAFENQAETEGFAKMAKRAGYQVVGLPNYVVWHIDTEEK PGNLGGRKAY TSTA_070790 MSIPPEKREWLCLIPDKPGKQEKRLEIRATHLGNLKPLIEAKKV VTGGPMFHAHNDGDPKFKGSVMVFRAETEAEVREILNNDVYNKGDVWDLEKVQIIPVA ILIKEQP TSTA_070800 MRSALPRPITTAFRSPTSLPRTRNTAWSPFCSRCWTNERAYQTS IQRSFRSTPSQRLDAAQLKNGSSSNGSKRSSRRRTAIRFAAAGGTVGVAVIGFWDDIK HGYAAAERSARVATTLAICINDYRTTLNQTSGTPKEQEELLKACHKRCAERTLVVLEK NGSIFIKLGQHLSSMGYLLPLEWTTTFIPLQDKCPVSSFESVQKMFLADTGKRIDEVF SEFSPTPIGAASLAQVHVATLRETGQKVAVKIQHPALAEWVPLDLALTRFTFSTLKRF FPEYDLEWLSNEMDLSLPMELDFRHEAENAMRTKEYFKRHSDAPLVIPQVMSAEKRIL VMDFISGARPDDLEFLDKSGIDRDEVSAAFAHIFNEMIFGDNAPLHCDPHGGNIAIRK NDSRRKPNFDIILYDHGLYRDIPQDLRRSYAKLWLSVIEGDEKKMRKYAYEVAGVTDE LFPIFASAITGRDFTVISQNKIVSSRDSEQEKSNVTSALSHGLLQQLVDMLGRVPRII LLILKTNDLTRSLDENLHTRQGPVRTFLILARYATRTVFEEQMEFVSQNGSLLRPSNL FRFLSAFTAYVRVELKLLAYEKWLSVKSTFGIVA TSTA_070810 MVSRSNDGEESLLLSSRTPGTPAKTRLARSGTSPTKRDDKLSKS LSKDDSELKDYQLGDCLGKGAFGSVYRALNWNTGETVAVKQIKLADLPKSELRVIMLE IDLLKALDHPNIVKYHGFVKTPDTLNIILEYCENGSLHSIAKNFGRFPENLVALYMSQ VLQGLLYLHDQGVIHRDIKGANILTTKQGLVKLADFGVASRTTGLHESSVVGTPYWMA PEVIELSGATTASDIWSLGCTVIELLDGKPPYHKLQPMPALFRIVNDDHPPLPQGASP GVKDFLMQCFQKDPNLRVSARKLLRHPWIITAHRSESVVPKKPTEYEEAVKSVQEWNE ALRSPDSTTVRRPGRADHQGPSHPRLDPINIGLRPKDLTAPRSLSGKGRSPDPEDNWD DDFDSAISPRALDLPHLRPHDHLGGILSSERLKAFASFDGTTVRDTSGSFSGSDNSLG ITDSDPLETIRPLPRKVIEENKQHTKSRSRHRSSQSVPAVSGVQILGNKPGAPPSKPL RQSRPAAFYTESADEDYSDIIVANDDVLERKLGIFSEVDDNAPKTALLIPAQRKQSTS SIDSRDTKASFPTRSKARDSFKRSNSAIEIQKFTEDEHDEDFSDIFGNEENALAKPAS EHGSEKSTFLLQSKLSNNSWLGDQDDEDDPFAQLEEGFDEMDLEANIARDKYARLRNQ VEGLVSSLKTSQDDDVLADISEQLLVTFCDLPETKAIIIGAHGMLPMLEILEGCRRRD IIFNLLKIINLIIFNDYEVQENLCFVGGIPIINEFASKKYPQEIRLEAATFVQQMYQS STLTLQMFVSAGGLNVLVDFLEDDYEDERDLVLIGVNGIWSVFELQGSTPKNDFCRIL SRNSVLDPLSLVLSRVLDEDEELAKISEGRIANIFFIFSQAENHVKEMVAERAVLHRV LKELRRMTPVHQITMLKFIKNLSMLSTTLESLQNSNAIDVLTELLRSTMKQPHFREVS NQILNTIYNMCRLSKPRQEDAALNGIIPLLQKIVKTERPLKEFALPILCDMAHSGRVG RRELWRNKGLPFYISLLSDPYWQVTALDAIFAWLQEETAKVEENLLEDRADGMSFTDA IVKCLTISKANAFENLLEPLQKLLRLSPPVASTLARPDLFTRIRQKLHHNKAAVRLNL LRILSSICDSTEAQGDLLARCGLLDAIRELENDPAILVRDMAGKLLKSNEHLENLSGA KRRPLIRRTSTSALSQTSGGNLSRPTTPNLRPGQSRGLFEVSDSPRHRRKSLRPATRD GSTSVVGSNDSIGQATARSRVPRVMNSRLSLLSPLPSEEIRSPTSSNRPPSAIARRRR QTNNDIEWA TSTA_070820 MIELGLSRISRLVQYTAFTWKAIHVAGTNGKGSITSYVSGLLTA AGVRCGRFTSPHLIDRWDCITINDKTVPESLFRQVEEQIKQRNQSLGVDASEFELLTA TAFEIFDREHVEIGVVEVGMGGRLDATNILSNVLVSVIAKIGRDHEAFLGNSMEAIAN EKAGILKDGVPCVVDGTNDAEVLQVIQSRIKELGIDATIATPDIASRRFPRLVSLFND LDLEPHQRTNIACATLALQKAFEQTQYHLNVDDLVPRLSKIEWPGRLQLLSMRPLINR EEPVLIDGAHNGQSANVLAGYVNRKLRRQGVPVTWVIAVSHGKDLSEILQPLMQSGDN AAIVAFGPVDGMPWVKATDTERVAEHIKSISNIGKVEAFGTDILSALTWASQSAKQGP FVIAVTDEITKGYT TSTA_070830 MFPRLSPESARILALGLVASSSLVAATGPCDIYASGGTPCVAAH STTRALYASYSGALYQVKRGSDGATTTISPLSAGGVANAAAQDSFCANTTCVITIIYD QSGRGNHLTQAPPGGFNGPESNGYDNLASAIGAPVTLNGQKAYGVFISPGTGYRNNRA SGTATGDAAEGMYAVLDGTHYNGQCCFDYGNAETSSTDTGNGHMEAIYYGDSTFWGTG SGSGPWIMADLENGLFSGSSPKQNTGDPSISYRFVTAAVKGEPNHWAIRGGNSASGSL STFYDGARPSVSGYNPMAKEGAIILGIGGDNSNGAQGTFYEGVMTSGYPSDATENAVQ ANIVAAKYATTSLASGPALNVTTPGYTTRYIAHNDTTVNTEVVSSSSSSTLKKEASWT VRTGLGNSACFSFESVDTPGSFIRHYNFELMLDANDGTKQFHEDATFCPQSGLNGKGS SLRSWSYPTRYFRHYNNVLYAASNGGVQTFDATASFNNDVSFLISTGFV TSTA_070840 MGIPLWRPPSPPEPKSSVKSDPCAHSRSSIRRRGAVRHVVSQTS SSNISPRTPLSTSLAQLESLIEGLPSRQSLSQSDASLFDWSRDSPALNDTARREEGSR LLRDALRHRQPGRRLRIPRESSLRFEMPTPPWSPSETLQRSSLGDYARIRQTPDSFSP RFAPAYARDSGTSARTERRSPPPAVGRLPTHMIEDGPAPGLRYLRRVGHRSVSENNGN ITSLQQGIDGLGDRQRSASPDDDLDNAWETLLTTLTPDPNLPSTESSFTSNAATSSNR ASESSRQSQTQQTQSGPSATVHMILEPYPDFFNPCDIPDHATDSDTEAESELDLRRLG SFPRRQDPRARLLDSTLPIGTTQDSQPPLSRMPGASSLHSLSVVSHDLSSGVDMQAIV DRLAQREHVPDGWWAAAGLPRTIGRQLDVGDVSPGHGSDGPTNAADEP TSTA_070850 MEEQVNRLVKKTWDKFLSTPETSRLMIAISGVPGSGKTSLAIAI TKRINELYAEHSPGSPPIAAFVPMDGYHLTRAELAAMPDPVFAAARRGAHFTFNADKF LQLVKLLREPLTATTSTVYAPSFDHAVKDPVENDIPILATARVLIFEGNYLSLNKDPW NQAAALMDELWFVEVDFEIARQRLIKRHVEAGIAKNEEEADKRARENDLLNGQEIVDF RLPVDEVIVSTFDEKFSV TSTA_070850 MEEQVNRLVKKTWDKFLSTPETSRLMIAISGVPGSGKTSLAIAI TKRINELYAEHSPGSPPIAAFVPMDGYHLTRAELAAMPDPVFAAARRGAHFTFNADKF LQLVKLLREPLTATTSTVYAPSFDHAVKDPVENDIPILATARVLIFEGNYLSLNKDPW NQAAALMDELWFVEVDFEIARQRLIKRHVEAGIAKNEEEADKRARENDLLNGQEIVDF RLPVDEVIVSTFDEKFSV TSTA_070860 MYVFKRDGRKERVQFDKITARVSRLCYGLDPDHVDAAAITQKVI SGVYQGVTTVELDNLAAETAAYMTVTHPDYAVLAARIAVSNLHKQTKKQFSAVIEDLY HYVNPKNNKAAPMISPEMYETVMKHADELNSAIVYDRDFNYQYFGFKTLERSYLLRIN GKVAERPQHMIMRVAVGIHGENIEKAIETYNLMSQKYFTHASPTLFNAGTPRPQLASC FLVDMKEDSIEGIYDTLKTCAMISKSAGGIGLNVHRIRSTGSYIGGTNGTSNGLVPML RVFNNTARYVDQGGNKRPGAFAIYLEPWHSDVFEFLDLRKNHGKEEVRARDLFYALWT PDLFMRRVEANQDWTLFCPNEAPGLADVYGEEFDALYEKYEKEGRGRRTVKAQKLWYA ILEAQTETGNPFMLYKDACNKKSNQKNLGTIRSSNLCTEIIEYCAPDEVAVCNLASLA LPTFVDTARGEYDFGKLHEVTQVLVRNLNKIIDINYYPVPEAKKSNFRHRPIAVGVNG LADAFLALRLPFDSPEAKQLNIQIFETIYHGALTASIELAKEYGTYETYEGSPVSQGI LQYDMWDRTPTDLWDWDALKAQIREHGIRNSLLVAPMPTASTSQILGFNECFEPYTSN IYSRRVLAGEFQVVNPWLLKDLVDLGLWSDNMKNRIIAEGGSVQNIPNIPADIKALYK TVWEISQRSILQMAADRGAFIDQSQSLNIHMKEPTMGKITSMHFAGWKMGLKTGMYYL RTMAASAPIQFTVDQEQLKVQDTNVARANGISKKRSPAPAAFNNSYASVPRPMYDQKP IVPISNGNGVPDPLQPISASRVNGSSQPVEVAQDKAESETEEEAGENGDSEQDIYAQK VLQCSIENKEACIMCSG TSTA_070870 MVFSKAFALAAIFATLTAAAPVNQRRAVVYETVTEVDVTTIDTT ITVFPGEPTPAVAAAVYTTEAVVTSTTPAAVPTSTVAPSPAPVAETTTTPTQAPPAPP TTTTSTTPTQSPSPPPVQEAAAPPTTTTAAPVPTTTVAPPAPTTTSTPPAPTTTSTPP APASTAPAGSSSGSGLSGTGDGTYYDTATSLAAPSYCGTANDGSTENVVALSHAIMDQ SLCGAKITVSYKGNTATGIVVDKCAGCDAKSIDMSRAMFGDLASFDAGRITVSWSI TSTA_070880 MVADREAPTLVVTIVFFVLASVFVALRFVSRLGVVRKVVSHDYL ILLAWVIDFGFVFAVCYGTTKGLGLHQKDVPSSSNRALNTSEYVANVLYNPVLMSLKT SILIFYLFLSKTNKIFRWAIFATIFVVNAAGVALTMVNIFQCRPVSAAIWFPSGGNAK CFSIVTIYLSSAPVNIITDIAIFFLPIPILTQLRLPQKQKIILIITFSFGFFTAVVDV IRVAYLQSAATSNAIQEADGTGDGGNSKYHQDFSWYGAYTFMWSAIEINVGIVCACVP SLKPLAARLLPRMIKGSTESSNAHPGSAARPNVARAETAPLAIPHIQNPQPTRMGPRA YTDQGLATAPLPLTAPSEELIEMSDFLGGPGPSQDTESSAATYPSPPLNVSTFFDFVN LKKPKSMLAMSNKESIPPVALTTILFFLWGVGYGFLDILNTQFARITGVSTWGSLGLH AAYYGGYLVSPLAVGQFILKRWGFKATFIAGLIIYACGALIFWPSAVLSSFPPFVISN FIVGCGFGLLETAANPFISLCGPQENAEIRLNLSQAVQATGSILSSVLAERVLFKSVT SVARLVKFQWTYLAIAFFDLLLAAAFYYLPVPEATDEDLQELADQRTDDVSAHVSGLS VIWVTFGLAAFSQFWYVASQEVLVTSFTSYVQAQLPNANSSGLIPYDYLLIGRGIFAL GRFITAITNLFFKPRWILLVLYIGLIVFAVLAKELHGMAGVVIGLMLFFFESGVFSIT FAISLRGMGRHTKTASAIMATTISGGAFYPFFQEIAQINNNGNVSSSYVVLAVGYAAA AIFPLYLMLVPQAKKQVDPVLNDYLRRRRRSTLRGQPSRRKKHDLGNINENGDGDSND SPPNTKGEHYSNGGVLSRPRSLMDESPSTVDFGDTARSASGVSVHDLGYESNHA TSTA_070890 MPSRDTIAKFLANNEKYASTFPGTFTMEQMRPAMRSKDAEPTIV LTCVDPRCVPEAFFGPDMQAAVYRNAGGRVTEDVVRSINVLRALVNMGTVLVVHHTDC GVTHVTDEEIREYAISKNPAAAEIVNKIDFNLWREEHLVESVKEDVRKLRAEKSLDGI EVFGFTLDTQTAVVTEVDV TSTA_070900 MTQTSDVDFDISVTKSIVTQTRVPAIDIDSALDGSSVVRANQAV SREHPEGSRKSGWTEKYKEYTVMQQHILFWDRDMDGHIWPLDTYRGFRELGFNILFSC LAVLIIHLNFSYPTRLGLTYFPDPFFRVYVRDIHKAKHGSDSGTYDNEGRFVPQNFEN IFAKYDSDRDGAITLSDITRLMKGQRVAADPFGWGAALFEWGTTWLLLQKDGKVYKED LRQLYDGSLFWRIREQRKQPKGWQQGYGLGGDRFVGSHKVF TSTA_070910 MTDSDGPILPLPPEVAKKVQSSLKITNLNAVVVELVKNALDAGA GSLSIVVDYKRGGCIVEDDGYGLPAEEFMDGAGLCRPHHTSKAGRQSVYSCRGQFLAA LATMSLLTISSRHRGHSETNTVIFHESKVISRLVPAPTHQEVRGAQGTRVTVNNLFSN MPVRVKHRASSLRRTEDVDKEWEELTRMLAALALSNSVLQKLRLSDREKSRSLNVRIP HKAAETLPGIQNSGLSRLHTVLTQSGLISGTSVDEWVRISASTLDVSVKAYVALSASP TRLNQFISLGINPLFNEHKSANVLYDEINRVFAASDLGSEQAVSENRETRGKRAVRGS RRWPMFYVRIDIKSSLESFPNAEATVESDRALQHIIGVLHAMFYQFLQQHHYRPRKRK RGERDTPSTSHSNNVSTVSSRSLVQRSRSLLSSSSMQDVPVKSFTEAFDSGVKIPTYS DKEGSHYFNDFTNWSRVKSGNNKTVKELLLCRPRDTSRPQTVTSTSEFDATNSLASAQ VEEQTPEQLDLASHTIQGAADGHIRWVDPSTNKAILVNSRIRQYSAGSHGSSRPNTSI GFVRPRSAISLLSQGKEPIRRSQTAPVQGQSAWFENLVNNWSNSVFSCPKKPIMSIEA ENASDNSSQCNDAQRGPIQSWNPAEALGADGHTGKVSREGLSRAAIIAQVDCKFILVR MVPAREHHSDETSNQILVLVDQHAADERRRLEDLLSDMFTVEEQSGVISIRTQSFQTP IQCPIQDDEVSSLAAYSRYFESWGCHYKMLQELIHGRRRHLVVIEALPLIIAERCRLE PKLFIQLLRKEIWSRAGEKIPPLRRCVASTTEPENGPFPWLRWIAGCPEGMLDLINSR ACRSSIMFNDPLSIDECQSLISRLSKCAFPFQCAHGRPTMIPIVDESRHLAGLSTWSS RSEDVEDRDYTTPEKQGSFVEVFKKWERT TSTA_070920 MESDASRAINYVVKATENVLRAAGAVLSVTRVVLTSSVILAATP LLGVGGIVITQDTWNDLAAKTAWDENTPEDRRRFMICAASKVEDILTGWK TSTA_070930 MEQSSNDVAPLNIVKGKARPPVPHLNFSSSSSTATIGRTQGRMT PPATPHSSQDAVMSQSVEDSRPIFHNYLRAFYPFHPTGAVSPSTVTLPLNQGDIILVH SIHVNGWADGTLLETGDRGWLPTNYCEAYDQLPMRPLLKALTDFWDIIRGGCGTFLHD FGNQDLMRGLIAGVRYLLEKAECLTRESPLVQRYDGLRRNRKALLADLSSLVKSAKRL QELANGAPRDEDVEQVLDDMLLKSFKIVTRGVRFLDVWNEHVGLTRAILELEPHNEDT YGSPVQTPSTECFSISDRSATASTERNWSRPIHSQASNYGENSVHSGHSTHPRSSMIS ERGNRISVSHRVSYSGPAAGQRNGDLASVRLGSAYDAFLGVLGSFIGLHLQSRSSTEL ILTTQQSVASCQVLLNLVEAIWEHDFQRSTELGEAKNTMYDRIGELVYAARDIFRPNS AGDEVPFMPDEGRRLVNAATDCVRGAGSCVLLARSVLEQIGDFEVDGPHNTPSIAENE PETPNHVDVQMQENEPQPTSRRLLPPPISIPERDLTPATPALTTGITPSSMLSSTGLQ SPKLDVDINTTPLVMNDISPSDSTYREHVAHDDSQPLYRYETRGTTSTGSSFTYKSAI RDSEMSGVSQTSTRATSPDIGGTGDHPSLKESLSRSTLAEENEETEANILGKTYAHEL MFKDGQVVGGSLRALIEKLTAHESTPDALFVSTFYLTFRLFASPMDFAEALADRYDYI ADTPNAAGPVRLRVYNIFKGWLETHWRHDCDIVALPFIVEFAKNKLMEALPNAGNRLL ELTEKVSTADGAIVPRLVSSIGKTNTSIAQYVSPETPLPAPIISKSQMNLLKQWKNTG SSISILDFDPMELARQITIKESRIFCSILPEELLATEWMKKSGSLAVNVRAMSTLSTD LANLVADSILHMEEPKKRALIIKQWVKIANKCLELNNYDSLMAIICSLNSSTIVRLKR TWELVSQKTKTTLESMKEIVDVSRNYAVLRQRLQNCAPPTLPFVGVYLTDLTFVDHGN PATRNLQDGGMTVINYDKHVKTAKIISELQRFQIPYRLIEVPELQTWMQDQFVRVRSA GEKSFQNYYRRSLILEPREAPRASSTDAAVHAPPREVVKDRFDFLAWSHNSKTKSVVT TS TSTA_070940 MKPIEQWRKLLHECVSRRIEVDVFRRLVKILSRRAPLQQASLVN VLLGSQSITAEIFPYDPLIPRYATALRKLGLIRISTLLDGLRRQSFIEGQSTAVSDHD KSATEQNQKAVHRSTLMTDTRIVQDLIAPLSSTNLSFSTHDVQSIFMVTAEWILDVVR WHSGNISEDHQEGGLTSSPDALALFESLGILLVALSATAKGHDVLTSESAAEFKIPLG QALTAYLSTSATISLNLRNRLDSLQKGYQLYGGPASKDLDIQMMDGMNMNGLQFEASV LDGPVIDSRAGLYVYINALLVGRPLIDDEMLLSYLSNRYGGHQEVLIQELITATFDVL SNAMYRNESARNMFVFRSFLVNKLPPFLAGMAATSIEQIPMEMCISHALNRVDPNAFP SFSQMFEMQGNTVLSDVRQEFLFACALHRLIPETSIERLLGENPMQTLPVGGRYVKND IVAQILSNQGVADRLISEIELMEGNAGAVVAAVTDVIHSLCEQKETVTLKGICNSLSR RPQVLDAMLLFRSPKSILQPLCTLLDSWKWDEDQGESQPVYDEFGSILLLVLAFKYRY DLSQYDLGVTASNSFVLKLLDRGSTSMKLAGLDAQQNKNVGAWISALFIAEGISEETM SSCSPQEFYLLVATLFSQTLGACEVGKLEFDTLKGGFEYLLEPFLLPSLVFALKWLGN YIWESSEADLLLLLKVLHALVKPNSISGEAEACHQMVLNITARSLEEQLKDVRTRLTG GRTDLHSDIQPSPHQDIQHEIQSLLDILDPYLSFQCNGNSRRSELDSWTTHADGICGV IRVTFQGLIMWSASAQMSMSPHTYTHRLILAGIRLSTASNVLSVLLDELKAQAEEASG SLDLAIDIAATLICVPMPESFAQEQTIYHPVDTTKEVFPRCLLLTLRQALMVQHQNVP KLAEKDPSRAEVIVRLTRRVNALLTPPAHVGTLDVTNIIDNMNLEAAAAAAAAGGDGL DLGNGNANGNTNNLGGAANESIDQILNNVVTATANNNDHGNEQHDLDTTGMDTSLDDI LNAAHMGNPEFLDLDMDGMF TSTA_070950 MQSLSRIESLFDITRQQAGRVSTSSALRRDTPETVRLGSRFRVV IRRGRSLFIRNSSAFKGTDLLSPTNAHEPPAGMSGSDHQFDPATMAGLSEASHGSDDS SFDIFEWYPRYQSCQRYFVDYAQHSVPVQALSAFLNIQLPFQKQSFPVFNSSTPAAGS VPSSANQTMTGSLASSAASSISLIPYIRRLVATGMDFPGVLHGFFGDDWTAGVGPLHE HERRNYLFAAKSGGWAAVKKDYDMGPAETVPFLRPLQGPIDSELEAAERSWSEWLAME DWMVGPRFPDTLQDSSSSHSRNRTSR TSTA_070960 MATSKSTYSLSSTIKLTNGKIMPRIQLGVYLTSGRETETAVKNA LEAGYRGIDSAQMYHNEKEVGRSILRFLEAEKHKPDGLKREDIFFTSKLASNVSYDAT RQSIKKSIQASGLGYIDLFLIHSPYGGKAKRLECWRALEDAYEENEVRAVGVSNYGVK HLQELLATNPKIYPAVNQIEVHPFNTRTEITTFCQQNGIVVEAYAPLARAYRFGHPTI VSLSEKYGCTPAQLMVRWSLQHGYVPLPKSVNKERIVANARVEGFEIDSTDMNTLDGL DEYLVTGREDWDPVDAP TSTA_070960 MPRIQLGVYLTSGRETETAVKNALEAGYRGIDSAQMYHNEKEVG RSILRFLEAEKHKPDGLKREDIFFTSKLASNVSYDATRQSIKKSIQASGLGYIDLFLI HSPYGGKAKRLECWRALEDAYEENEVRAVGVSNYGVKHLQELLATNPKIYPAVNQIEV HPFNTRTEITTFCQQNGIVVEAYAPLARAYRFGHPTIVSLSEKYGCTPAQLMVRWSLQ HGYVPLPKSVNKERIVANARVEGFEIDSTDMNTLDGLDEYLVTGREDWDPVDAP TSTA_070960 MYHNEKEVGRSILRFLEAEKHKPDGLKREDIFFTSKLASNVSYD ATRQSIKKSIQASGLGYIDLFLIHSPYGGKAKRLECWRALEDAYEENEVRAVGVSNYG VKHLQELLATNPKIYPAVNQIEVHPFNTRTEITTFCQQNGIVVEAYAPLARAYRFGHP TIVSLSEKYGCTPAQLMVRWSLQHGYVPLPKSVNKERIVANARVEGFEIDSTDMNTLD GLDEYLVTDWDPVDAP TSTA_070970 MSNLPSEPEFEQAYKELASTLENSSLFQKHPEYRKALKVASIPE RVIQFRVVWEDDKGELQVNRGYRVQFNSALGPYKGGLRFHPSVNLSILKFLGFEQIFK NALTGLNMGGGKGGADFDPKGKSDNEIRKFCVGFMTELSRHIGADTDVPAGDIGVSGR EIGYLFGQYKKIRNQWEGVLTGKGLSWGGSLIRPEATGYGLVYYVQHMIQHASKGRES FEGKRVVVSGSGNVAQYAALKVIELGGTVLSLSDSQGSLVIANGTDGFTPEEIHAIAE LKVARRQLSSIFSSDSAEAKLFSAEKFKYIENVRPWLHVGNVDVALPCATQNEISGEE AKGLIAAGVKFVAEGSNMGSTQEAIDIFEADRTSKSNAESIWYAPGKAANLGGVAVSG LEMAQNSARLSWTREEVDAKLKDIMKASFENGLETAREFVPSSGENELPSLVAGSNIA GFMKVAAAMKDQGDWW TSTA_070980 MAMAETSDPPHVRHSRRKPFSTWMKRLTNLKSSSSSGTQSGSRE ASGKGNVHNNILKSGNKRQNGCAKNNPYPLSGTIRDIGSPRSYNDTVSYTESTETDQH RLDQSASQHSLQASASEQNIPGNSAKSTAPTLSTMGDTARSETGYSKAGTTMTGPGGV YGGGEGSTFSSPAPSVRSLTTTLTTVQSAAPSAHIYGIAQNNQNINGPISSAQNGLQQ QTQFAHQFPPSPASAVPPHVAMTGHPTTYSSATANNILTDNASILTLASSSKRRRRNS LDTNASMRALAPSSVFGGSRESLPLSVLSANVGADASNASITNASGVLSRQSLGGLAS AERISVYSASGTVPPLVHGGGDRSSLIAAGKQGDNGSIRSGTHSHTRNDSAAGSVSGT IGSTLNNNTSAAAAITPGAMPGRISRRSSGWGEISGEGEEGGAHQRCEIDESSEKTEE ANQENSLANGDPESSIDEKAKQ TSTA_070990 MSSYGGRRAPNFAQYLEDLNTIPSPYDQSVQQQEAEPFNIDAEL ALFTNTEFLDFGHFGDMNMPLGFSPAEEEQHKAHEEKHAAVEKHTEMNYMDLLNDLGN MPDYTSNFTSEESHNLQHMNSTFHSIPTVQNTPHPVEETTGPVQQQPPRVPKTEIPSP TNISSFVSTPMQIAGTKRKQSHDSKTLDEAARAAAEEDKRRRNTAASARFRVKKKQRE QALEKSVKEASEKNAVLEARVSQLELENQWLKNLITEKNGSESGEGKQRSETDIAHMF KNFLASHKAGKEQSSESKIGVGTA TSTA_071000 MAKKRTLRAPTTSSLPNNLRIPSNTPSLVKAFGRLSRQSLLNLV AVWLSPKIVELYPPFLENSNDNDGLNDQDESPYPAASSVEEIREAYEELRQRKGGKRE VIDRVLEGDWRHGIALGQLAMVDIQHLEDHPRAQTWSAFRLGLVDKKRQLAEKEEDGD LLATLPRLHAATFLSNLQREISPLVKAHYHLARSKSYPLIYLRLFITDSPYHQPRQGP GTYLDAARILYIAFPDSSPYIYTSMSALTGSKTGPSQSAVLMNDPRTLRGIVRDALPK ALSKQNERYTLEATSLTAKSLETMLALRGAGRTNEANGAFSIFGDAVFEGTPVDPRQP TTVVPQDYQTAGSASEDKENATDQQDLNRKGISPSNGSISSPATKRRKLAVLSRFGTS GTSSSQATLNRLDIRLLDHLDDDDNDETNANEEGSDDDDSTHTMSLSFTGNNVIAGFR KLAELGVVDPNRMPSWMTGEEGGDGSDYQGNWNYQGKTAILVSSLLHDISWPGFEQWC MVLIWLGLLVSLIWTIYTRAKDP TSTA_071010 MLSNAYYNPKKRSLEDEQDSSPKKRRAQLHAQSQSHSPNGVIDL TRDSPEPYTIPPISPNRAEEEEVQGESAEKLFGEIVSGDYTTACWMFVISRNRTETAD ITEENVDIVGTTGNIYTVTITHEPRCTCPDALKGNQCKHIIYVLVNVLKAHDELRYQL AFLSSELREMYDKAPIETEEAHTDTDASGKRKPIEGDCPICFMEFEPDKEKIVWCKRS CGNNIHKTCFDQWTATTRDRGVRCVLCRTEWSTDPGATLDRNSLMSRAEYGEDGYMNV ASAVGLSGHRDYSTYHRYWVDRHLGRRRGRSSYYFDDD TSTA_071020 MARPRAHSGADGPKEPHSVSLKVLRLSRPSLSYQYPLPREDTRI SSKASLAYPSNDFDPHFILSPNVTLPPAFGSAYVGETFACSLCANNELPETDSTKKVT SVRILAEMQTPSQVFPLDLKPGEDEHQDETLPKPGKGLDYGQSLQKIVQFDLKEEGNH ILAVSVSYTETLLADANATTASSGRVRTFRKLYQFIAQPCLSVRTKASELVPAEVENK SLGPYGKTRLLRFALEAQLENVGDGSVVIEKTILNAKPPFKSQSLNWDIHHFPSSSTS EQPTMNPRDILQVAFLVEQEVGQHDGLENLQKELKRDGRAILGQLSIEWRSAMGDRGF LTTGNLMTKKR TSTA_071030 MPLATNNAVESSGPLADYFWIAGVDGKEIEDTYWKLRNEYMSNR ESTPGPAVSDTIEEDAELEEDADSTPNNVGGNGRRNSYNRLSKLSNEARMSIRSVDSK ASGTNSNRSSMTIRAMPTTVTEASESSLGDFDFDKALLKFASERENFLSDLSLSAGAI TPNVKPPKPRPKVQKIVSEDRPVSSNPLKSGIGSVRRHMSFRDMNSMKRQPSVARQAS IRTSRRLSNYNSVIPVPQPIETSPTMHPLKRRFEPVLLDRYPPKGIPDEQTQRGTFPD FVPMFAFPNDINIVSSDQKPRSTWHGFVMTGGDGSKLHGMCIILWIPLGQKAAEALEE CCEEWRKDNMTDEERELAASLGGRLADERAKLSTLLAQLPNIPSGSPERERLEDDISA VEEKIGLMTDLLRPVRHGAASKIEGLTDDTGFWIPRAYGILGKNASMTSFWKEWLKAV SVPRTNGGVLRVPASSPTVGIWQPLERYVMNLCTEAFSPISSKTQVELSVRELRLFAR KEAPNELPGSRNTDLYALFRSLSISNIVVLFEYALSESRIIFLSSHTSMLYLATRALI DLLFPFTWSGVLIPVLPARLIQALEAPCPYIVGIERRYEKVELPSEDFVLVDLDADKI ESDVQPTPLPRHQRKKLLSLLQLAAPHHNRFGVRPGPPAYAIESFPFDSFPTENPSIF TLRAQSTHLARYVSLNSTSFGNEYTPRPAPAPIYNVFLHARDEYPSSRGLSRGNNERP TTSSTSKTGSPPSPRTSSPTSGFFPPPLPGTPVSRNDSGMALQASLREKRSGHFDTSS RRSSSFGAERRGVPRRPSAPFLGHASNLSVTTLNTDYGSGSTYAPSVYATSTIAASTI VPQAVAQPVFNTDSTCWIEGHCLQVQPRDDKVICSICDEKADEKIYRCTGCKTQVHGR CTPLICLVCPAAFYPEQIRAAFVRCFASLLYTYKKYLQPASKEQKKSGLIYSFNMDAF LRSLPNEHAEYMTVLQQTQGFNEFISERERTEPNAKDSKITLFDEIILSKRNRGRSSI FSGRMTTDFLSNTSDHLWRSAAATSFPPTSRVQNGISEDYSELIKRAPAKLDYSFMKE PRMVQGVPRVSKTANNARRKPLPKLMNGLSISPP TSTA_071040 MALVSSITITEDLRTPTSGITLTSSHEPTPAASPPIKRTVVIVY DIGQENILQVVAEVLGKAWTTVTTLLRVVLGSDAVVVGILNRDLEKTAPEMRVDDFSR LLIVNTHCVDDGSFPDARLSEGCHYEFIYSQNLFFRRDLTRFLSLILGQRRPHEDLAV KRRTNLISTTFPDVRAALSNLDILSVGSDAIELRVDLLEEPLSDGSRSSIPSLNYVGQ QVMLLRQHTELPIIFTTRCVRENGKFPMEDPELFHRYLRRAIQWGIEYIDVELWLPEE IRKDLSDHRGNSVLMSAFHDFSGKWKWTSPEAQQVFKEGARYADIVKMIAMVNTIEEN YELEYFRSTIKANYSYPLLSAVNMGPQGQLSRALNTVFSPITHPLLPIIAAPGQLTAA EINRALHIMGQLPKRDMYAIGSFRSTSQSMFMEKCFNELGLPHNFSCIDRGPRGSIES VIMQPNFGGAYVNPPLSSSASYIPTKSDAARAIGLIDTIAVNGSDGQHKFIGENATWK GIRATLTRDYIPSAYRSRAVIILSSSQSDAAAAIFALRSLDVGTIYTVGFKASGPLAA GLEPFTSIQSVKLVEQPFVIVSALPPEKSLLVQPLLRHYRSNGRASPPSTRGKRKGDP LGVATSAGWTAYGIDDVNAWTTVETMRLLVGQNVPFDFVKMASGHGLY TSTA_071050 MSLPTHFTLNTGAKIPSVGFGTWQAKPLEVEQAVEVALKSGYRH IDCAAIYLNEVEVGNGIRKSGVPREEIFITSKLWNNAHEPEDVERALDQTLKDLGVDY LDLYLMHWPVAFKSGDKFFPLDKDGIFQLTNTDVGTTYKAMEKLLSTGKVRAIGVSNF NINRLEDLLSKVDVVPAVNQIEAHPYLQQRDLTEYCKRKGILVQAYSPLGNNQTGEPR TVDDPVVHEVAKQVSLNPGVLLVSWAIQRGTLPLPKSVTPSRIASNLGVKYLLEEDYA RLSGLERHKRFNFPARWGTDIFHEIGEETARKIGDAEGPRNLIKFTT TSTA_071060 MSRPQVGIDRLTPRRVTTEPRESMNCKSCRKRKIKCNRVRPSCE ACKVFQCPCIYDAVPKKRGPKTDVLEALLKRVDGLERRLQEESTAASSTGETSPSTSK RKGKETSAGPSNSAEETGCSHQSSLSVMEQKDQAQLPYSDRRGSDLLASAYDNGFGDH PMSGLRHGQQANVTNRQDGGFLSDAILDTYFTRLHEKPFYILEESSTRQRHRMGQLPG PLMMAIYAITTRYSQSSEGQEGSTRTGLEYALNARQEIDVDNPTIEGLQTLLLLSMVF FAYGLGKKTYMTISSCSAMILALDLYREPSSKLNFSHTEKETRRRIFWTCYMMDRFVT CGSRRPCLISNDSVLLRLPSWSLSTSSHNIPVEGEIFNNAGPNIQLSADPRRRGQPAI VLLIDIIRILGITNRYLATGGVKGDSHFPWHSMSNLSKIRQELDLWAAGVQDIFVSVE SLFTNPESTTLFLSKLIYHLIHCLIYRPFLPLDLAELRGTGQHQSWQIEATNLCFLHS NAIAELVEFGRNSSLVEWPAFISHCVCTAGTVHVHGVYYKGLEGEVFSSSADYLAKEM QQMSWLRHCWAGVQHQRELLQTVYTCHAELVRNLANNPMRYSPVFHLEDFYDRYLGIS VDSSHVRLVDEVTDGGNENSHNFHNQQFSRMRSASHQSHLPQHVELPSSQFETPHQPQ SQQLQSAIYSYNFNDSTSSYSNAQQDQRQLQQHSQPQQIPRALPAFSPTLGLSPSAFL SEILPPVTAPTPTSNFHYASFPFDASHATPVRTPYPAGYTAQTPSGHSQASDSHATMS ESGPPSEKDPFLSLLEQLAENEHLEDDGPSELDFLLASGIHAPNSIENISTEAGSDAM IATGGEVSKHCSVRNTLEISCPLEDYYQSEPSQGDDNSYRNHFMFANYFRQTTKSLRP STLRFISSTSSMSSSSSASAPNMTPTIASTEPPNPENTNNSEKKSPLLALPSTYDSAD KKLDVSGQGSTVSLDHLGPIVVNQDGTMSRISNWEKMTDIEKQNTMRIIGKRNKQRMD ALKAAGVGVEGGDS TSTA_071070 MPDHVEEPKRFEPKEPVQLDSPKDDPFTLEELSKHDGTDPSRPT YVAIKGTIFDVSKKDAYAPGGQYHVFAGKDASRALAKSSLKKEDCRPEWEDLDDKEKT VLEEWFTFFSKRYNIVGKVVS TSTA_071080 MADDSNKRRRLSEDGSFKPQTAEEIVLEKNETNGESKETPAKPQ MRRELFVRSLPASATTEKLTGFFSQSYVIKHATVVIDPETKQSKGYGFVTFADIEDAQ RALEEFNGVEFEGRKIKVEVAQPRKREIDEKEGKSVPTAEAARLKAERTKQREQTQPP KLIVRNLPWTIKDSDQLAALFRSFGKVKHAVVPKKGNVQAGFGFVVLRGRKNAEKALE AVNGKEIDGRTLAVDWAVEKNVWEEAQQTAEKKDEDAMEVDDAKSDEAGAEDEEDESV GVASEDGDEEGSFDEDDEDEDDEDDEGEEDDRNSSTIFIRNLPFTTTDESLYEHFKQF GPLRYAQRPRGTGFVCFWKAEDAIECLRGAPRQVDAGKEDAHSKKHSTSIKQSVLQND MLDPSGKYTIEDRVLNVTLAVSKTEANKLTEEGVSRRSERDKDRRRLFLLNEGTINTS SPLYKKLAPSEIKMREDSLKQRQNLIKNNPTLHLSLTRLSIRNIPRSVTSKDLKALAR EAVVGFAKDAKEGRRQPLSTDELSRDSEETKVDDKRRREKGKGIVKQAKIVFEGRDGS KIEEKTGAGRSRGYGFVEYYTHRHALMGLRWLNGHVVQVKSRDGDTEKKKRLIVEFAI ENAQVVKRRKEFQERARNKQEEGAEKGGDNGKRGMKRKRPEGRPNNKGDKTKDKKVDA DEDEDEDQKNKIAKRNRIIARKRQQRRQRKGKA TSTA_071090 MADASKMNVDTPNRPNATTTKVQQPKPQALPNRAAPSAILVSSR QKGNPILNHVKLVPWEYADIPADYVLGATTCALFLSLKYHRLHPEYIYSHIPNHEETL KELSKTSIVNNVTLILCWSAPEAAHYLELFKSSENAQPTAIRSQQAQSYRESVIEFIT APRSVNKSDAASLMSTFGSLQAAVNAQPEQISAVPGWGEKKVKQWCTAVREDFRVESS RKKVALPRDLGAAVGNGAGTTSAAGDVDEDVEDIIDDENAEYILLASARKVRELQIEQ QAPSEGPPKKKDQQEEGLSEGVMDALAKLRKHGA TSTA_071100 MHSSTSTSILATTALTLLLSLPNLISACSVQGDVTTTFYGYPDN SPPGASIAYTQCGRSVAGGTGTYDDPLTFASAEGEFQICDVIYAPYLKKYLRFEDECD QCTSDWQNDGTWHIDVWTGSSSQDGGQNQIDCEDALTPDSQSIILNPDSGLEVDTTPL YDPDSQTCNTGNTYTGYDTSEYCS TSTA_071110 MAKNSTRSHSPPDRIEHLNACVSSPSPQPRPFQKKDATLREWVV QNQIGISLTTLSTLLAAHNLYPSVQSFTAPFFQMSYYHGESGKYKQGWDDVYFVISAV FAFTAVRGIAIDWIFTPLGRHAGMKRKASIRFAEQAWLFCYDMTYWSYGMYLWSNSSY WGDFKAIWAEWPKQEITGEMKWYLLTQLAFWIQQIFTVNIEERRKDYYHMLSHHVLTS SLLSAAYIYRFYNVANVVLSLMDIVDFLLPAAKILKYFGYEKMCNVVFVSLILTWLVT RHILYPMLCWSIYQYVPEVMAYGCYDGKTAELYTTNGYPNRFTYMFGPYLSEEGPFCM NFTIKWIFLSFLLAIQVLSILWFGMILRVAINAVRSGSAEDSRSDEEDGGEEEEEEVE ISVNESLSRAAAEKASGSEGSWRRANAPAPTRRGHGGILGDSDRKALLGRIGCDKPTH D TSTA_071120 MANGIISLLNWGNIKSLLLFFGPILLLRIINYYRAFRVQLAHRP PPRPILTEANRALNLLFGVIVVFLILSVPSNPYAPPPNIFTQTGSRINTPTDIIFARL ARHRPDNELTDYDKLLRGNLTSIAQKKIYLRFGPEALLSCQFCNPEDPFSYLLFYLPL NTLVPHLIHLLTLGVVTSAPFAGTEAARWRSWFTFAALGLAGLDCYIMSSYDPIAAAS PAVRAGQVPPLSLFTTMNMIRFLSLTVFDVVCAALIYISATHRFFYSPPSPNEQINHV IAAATAALNSANSKLHSLSVTRNAVVRDPSLKARDDWYWRAAVAMEGSSDANSSGDGS IWEEEEVVRAMSRVMNGRGRSRGLDMAQLGINAGEFVDKITAGLESGASL TSTA_071130 MSRLSKALAGPGYVILNVLRAINIITFLDLIAASVVMLIKISIN NNFFFFEAVSHAFTTILGVFLVISELPFFDKFFTRFVPQLGETASFVPLSLVMLIMGV SVLGNLNNDDYSQDHMGMPFWRIVASAGILAMTMGIVNFIASFIFADSENSLTARHVR SYGAVADKVLDSKSSQRSFKLSPSGSFHNNNQADGLPTYRQVSITRSAEELRNSRFPV NISSPVREKTTTSPHATPYGETPEVTMPDLAHHPAMQGGNFV TSTA_071140 MTRGNQRENARKKNLEKQAKAKSKNTMSGTEYQREKEKAAEIMR EKQRKALEKKAAEAAAGGGKK TSTA_071150 MVSFRSLCLLAAASVLSTATASSIRRDAKPWLNAHDATQGLAVD VGSGTEIIVISANAGAGSSTKYYNNQAMAAGAIHNVTVGGSAGLVYSPSSINAAVGDI VQFIFEAKNHTVTQSSFATPCEKIVGGFDSGFMPNPDGSMNPPPMLQFQVTTSTPIWM YCAQTGHCGQGMVFSINPTAAKSQAAFLSAAKAQNGTSASGAAGAAGAASSASSGVAL AGAASSSAAAISAATPASVAQGSGTMSNGGVCSCSCLCGAAQFPAGAGLGMMGGMGGE LAMIAAAPAPSALTPPGTPSAPATPGAATPPAAAGKRALKRAL TSTA_071160 MGKRKNKSGTNLSHEEIWDDTALVRSWDEAVEEYNLYHSIHARG ENVEEILNRAEKEGIDAVANGIEKLHESMDIEDEVEDAVAQEGIQEDTTRIDELQGHT GPSASGGLVPPNTGGAPSVPDALLGQVQDPALKNLMMAWYYAGYYTGLYEGRHQVSQN NDAGDQKQ TSTA_071170 MASEKKDKKRKATSAAAVAVPDLPNKKSKKASVAESTPASTPKS ILKKKNTEEKTNGVSKSTVPETTDEPSRKIKPRKRASDFLSDDEDDEDKSEEEETKSK NKKKQAVQKVEKKETKKAAPSKTKKVEPVAEEESSETSEGSNDDQSENDEIDDQTAEL IKGFESSGDEDASEDEGFEAGKPVPRIPDSKKAKRKIQKKLKENDQPDEPGAVYIGRI PHGFYEHQMRAYFSQFGDITRLRLSRNRVTGRSKHYAFIEFASSVVAKIVAETMNNYL MYGHILKCKYVPQEQQHPELWKGANRRYKRVPWNRIEKQRLERGKTRDKWAQKIEQEG KRRLAKAEKLKKLGYEFEMPELKAIDVVPVQEKLSAPEAATGTAAVDAVATVDDSAEK AVVEETPKKKESKKEKSKEKVKKDKEPETAEVDAAPVKHMETTSTMPETSTKKSKSKK SKKSVGKA TSTA_071180 MDFINQKLARLNSHISPRKAAERAEVKEGARRKSTSKSARTCTH CKKREPLNPRAEQKLKRCARCRSALYCSRACQRADWKRGHQRACSVGAIMVGNSYLES LPTEEAVMDQLVDAYRLRVEDEKMYCGRLRGRYMNNPSGSDHDNDNQVLQFALQDFRD FLDLAECPMPRHSNQEDIDENNDFSSSPSSSTTHDTDTNSASSPTPSSSSSSSSTSRN KDSILPKWWNAERRTYCEQRAMNQTEGNTWSSLLHPATNAYETSIVGREGVWVGYNGG TIPLMCHPSLKP TSTA_071190 MISFLLLIFLIQLAIYIVNTIGASTVDDLLWILYLRLPSSISKD ARKHGELKRDVVQLKREMNATSSQDEFAKWAKLRRRHDKAMEEYEAMNRSMGSRKTSF QYSVKIARWLTLNGPRLFIQFYYTKTPVFDLPPGWFPYPVEWILSFPRAPLGTVSIQV WSSACATAISLTGNVVIAALQKSGQASMRQAQAIPAGKSE TSTA_071200 MTSESAEEIYKSLYEIFANRKSDQILEIEILTPDLGPLLQDGLC IGITKAALVKSFLVARQKLMKYLVHRSENVGYGTASLKQSEGVKELAVATQIILLFDC EHVTACNWRKRFISSSVQDHSINKDMSGLEEMLQRERSLLASFLCSPLHRHTKSPTLW QHRLWILTKLLDLQEQAAVKSEIWNEFLRKLILQELDVVCKSGQLHPRNYYAFSYMRQ LHALVCQYDSPKEETLLKEASENTSQALLLTLALVMVEPMLKWWSSFALKDKMAKERK WALKRTIHFAVHIAAWESESLWTFVDLMVARFGVDILPAVDTDVSAIGDNGISAGARW QKTKLNVYKIYETRNLS TSTA_071210 MARFNLFYYLFAVFAVLALVANAAPTSSEPNTGSLAGMPGMATE FDHGSNANDKADQTQDKQVMSDSEAEYMSEMENALEAAAKAAAKTTPISAGPSRPTPS VPTTAPSPTAAKPSQASPSASAAAAKPQGMLSSLPLVGSLMGGLPI TSTA_071220 MGKQSQPAYVLGVGMTKFIKPRGKVDYHEMGFEAGVKAMLDAHV TYDDVEQGVACYVYGDSTCGQRVFYQFGLTKIPIYNVNNNCATGSSGLALGRTIVSHG AADCVLVVGFEKMSPGSLQSYFNDRENPTGLFGMMMAETRGVTNAPGAAQMFGNAGRE YMENYGAKAEDFAEIARINHEHSKRNPYSQFQDEYTLDQILKAPMIFEPLTKLQCCPT SDGAAAAVIVSQAFLDARPHLKSQAILIAGQTMATDDVSVYDRSAIDLMGFQMSRHAA RTALAEAKVNVKDVKVCELHDCFSANEMITIDALELCEPGKAHEMVRKGDITYGGHMV INPSGGLISKGHPLGATGLAQCAELVWHLRGWANNRLVMGTSAALQHNLGLGGAVVVT VYKRADGKEATPVSDQEVGKVTGLGYNPAVSAKGFTAEQAKSVLSKSVSDYAQGDVQE KVLARF TSTA_071220 MGKQSQPAYVLGVGMTKFIKPRGKVDYHEMGFEAGVKAMLDAHV TYDDVEQGVACYVYGDSTCGQRVFYQFGLTKIPIYNVNNNCATGSSGLALGRTIVSHG AADCVLVVGFEKMSPGSLQSYFNDRENPTGLFGMMMAETRGVTNAPGAAQMFGNAGRE YMENYGAKAEDFAEIARINHEHSKRNPYSQFQDEYTLDQILKAPMIFEPLTKLQCCPT SDGAAAAVIVSQAFLDARPHLKSQAILIAGQTMATDDVSVYDRSAIDLMGFQMSRHAA RTALAEAKVNVKDVKVCELHDCFSANEMITIDALELCEPGKAHEMVRKGDITYGGHMV INPSGGLISKGHPLGATGLAQCAELVWHLRGWANNRLVMGTSAALQHNLGLGGAVVVT VYKRADGKEATPVSDQEVGKVTGLGYNPAVSAKGFTAEQAKSVLSKSVSDYAQGDVQE KVLARF TSTA_071230 MTMNDSSGHVRLSSTGSTRAASATAMSTSNPWIFDTKKRNRMLK RYRTQVASATSTICATLAVTPLENLKTRMQTHNFRNLKECAKYIWRTEGFRGYTAGFL PPLMSVTFVRVVSFSTYQTVKYRISDEFERVTGVNPLVYYNQTGSNPSFSTITTFTVA GMCAGLAASPFACPFELAKNVVQTSVLMSYRSQASPDAVRDPRVRSLPRLGTIQAFKE IISRHGFRGLYTGYHLHAIRDTIGTGLYFGIYETVKQLIAKEMGKPAQTPFGPPMVAG ALCGTIPWLVTYSLDTRKTRAQSILLGRTKEIGEASIAVSRSSMYKGLSVSLMRTSFQ NMILFSLFEYTKTQINNLET TSTA_071230 MTMNDSSGHVRLSSTGSTRAASATAMSTSNPWIFDTKKRNRMLK RYRTQVASATSTICATLAVTPLENLKTRMQTHNFRNLKECAKYIWRTEGFRGYTAGFL PPLMSVTFVRVVSFSTYQTVKYRISDEFERVTGVNPLVYYNQTGSNPSFSTITTFTVA GMCAGLAASPFACPFELAKNVVQTSVLMSYRSQASPDAVRDPRVRSLPRLGTIQAFKE IISRHGFRGLYTGYHLHAIRDTIGTGLYFGIYETVKQLIAKEMGKPAQTPFGPPMVAG ALCGTIPWLVTYSLDTRKTRAQSILLGRTKEIGEASIAVSRSSMYKGLSVSLMRTSFQ NMILFSLFEYTKTQINNLET TSTA_071230 MTMNDSSGHVRLSSTGSTRAASATAMSTSNPWIFDTKKRNRMLK RYRTQVASATSTICATLAVTPLENLKTRMQTHNFRNLKECAKYIWRTEGFRGYTAGFL PPLMSVTFVRVVSFSTYQTVKYRISDEFERVTGVNPLVYYNQTGSNPSFSTITTFTVA GMCAGLAASPFACPFELAKNVVQTSVLMSYRSQASPDAVRDPRVRSLPRLGTIQAFKE IISRHGFRGLYTGYHLHAIRDTIGTGLYFGIYETVKQLIAKEMGKPAQTPFGPPMVAG ALCGTIPWLVVSLAAMPKLSSHG TSTA_071240 MTSGNILQLPFRRTLTVSLSDAITQYISSKYDQRPDMFADDLLI LDRLRSEAVNVQEPHVSGVSRLVTYAAQLKWLGGKFPVDVGVDFSWYPAFGFNTSRPI VQNNLRFELANVLFNLAALYSQLAYSLNRTTSDGLKQACNYFSQSAGVITHLRKDIIP DLRASPPEDMDEMTLQSLEELLLAQAQECFWQKAVKDGLKDASIARLAAQVCDFYADA GDYAVKSNAISTDWIHHMSAKHHHFAAAAQYRQSLDCLDKRKYGEEVARLRDSLKCVN DALKESKWINRIVLGDLNGLRTRVTEDLKRAEKDNDLIYLMPVPPKSELKPIDRAVMV SAKAPTQVTDAISMLGENGPLGQPLLAKLVPYAVHIAASIYSDRRDRLVNETIIGELE SMTDKLRELLQSLNLPGSLQALEKPLGLPPTLVSHAEELRQQDGLNRLHRSLEDTAQV KNNDKALYTEGVDLLAAEKEEDDKARAKYGTDRWTRDSSVIAGQKVYQTASDINGYFS SAQSTDDLIRGKLRDTEKILRILTGTNRDLESYVPSSRRAAITPDLERESSRLRSCLN EVIRLENRRKRRIQVLKEKARADDINPALLKETARLEREFPMQPIEASQFENLFEDHL HLYDSDLDMVAQERNEQVQLETQVREANNNFIRARRGDTSSKERERALQELENGYAKY KELIGNIEVGRKFYNDLAKIVSRFKDDCKSFVHERRMEASQLESDITNAAAMAALNIS SHHPIKSQPQQPPQHQPPAQQPLTAPQPTRANAALPPPVATTGGIWSPEMGIRFGGPL PAPGTTQPGRQRNTGDPQAGTWDSSKGMRFS TSTA_071250 MRPIRIYRLSSKRVKHSRDTHSRISTHFRNFHHSTNLPSIKWIP PNTIPDENDINHFRQHYFQVEKPVVIRAAKFVREDLPGYKKWFTAGGKEDDNSHLQLN YKYLSRFGDAVVPLEMTTTTSSSNGEDNGEVQFQRINAPLSMFLEWMQLSAQHETPAA ATKTQIYLAQAYISDLPNLLSQDFSPPPRLVTETGRNDIYAANLWIGASPTYTPLHRD PNPNLFVHLAGLKRARMLNPGDGRGVFVDVRSRVENEHRLESSGSSSIRGEEMMMGVE RRLLEDIIWQQHSKESSTQSDGNGMVGYDVLLERGDALFIPKGWWHSLKGVGKGNTAS VNWWFR TSTA_071260 MASIRTHSTYDRHGYTYYPVAIIGAGESGIAMGCQLKQQLNFDQ FRIFERRSGIGGTWWSNRYPGVACDIPAILYSFSFAQNPKWTSMFPSGREIAAYLADV CAKYEIVDKLQFHTEVTEMRWLEDEEEWEICITHLAPGTGDWSEAERRDKAATEVPQS VYLATEKIRAKIVISAVGGLVEPQEIPKNVPGFDIFEGELTHTARWDEDIDVKGKDVV VVGTGASAAQVVVSLSSSDYGAKSVTQLLRTAPWIKPDDDGKQLTDETLQQLSWYFQY IPGFQRAYRSLVFFLLEHEFFLIFSNTNYSRKYRKGEEEKYRRFLKKTAPAKYLDMLT PDYDLGCKRRIIGSDWLRSLHRPNVNLTSRRLKSVNAHSVTLGAPTYPRNAQNKHDAD EGEVQLPADILILANGYRTNEYLHPISMIGRGGRSIHDVWKERGGPQAYLGIAMDKFP NFFMLFGPNTTTGHTSVILGSENAISYTMRLVTPILKGTVSTWEIKEKAELEWANKVQ DELKKTPFNNGSCTSWYTNDRGWNSTSYPFSQIDYGLRCMFPVWKHWESKLTTEGLKQ RRRKALRRSIALVLAVTIYFWGRRNPSGVQTVKMTITQVFSKVLVSAGRLLHSIAS TSTA_071270 MASESPNPNGNTPQASGVSSNGDAKRKPGLDSGTQTRAKRNRYI SIACNECKRRKIKCNGQVPCQRCGHLNLECLYAPNCCNGNFKDSDEFRLMKEQINTLQ SQVNTLFASLNELSTFKTSLDSVPFDSYSTEPSRSGPISTSQEQPSPASPPQARHPRF HGPTSSAFNFGVARVSLRDMGLTSTENPIHDELAAAAEESSLPTALTRLAAMHPTKDP IWAITREEAVRLCRVYEEEIGLMYPFFNIEKVISQTNLLYNFLEAATRTGFTQTQLPG PDGLQDDDSLNLKMILATTLVLEGGGQSTLARQLFETVKPLFYVKTLEPANIKSIQLF SIMAMYYFHTDNELMCYRITGINGRACLELGLHRWDAVIKAFPNESDWREVTGLFWAI YNLDRRFSFGTGLPFSIPDSDIDPSLPEPDDTRPYSKSMVAYDRLSSKIWYSGVGYND SADVNREEIGFLDYQVCQWYKNISEHMKLSVDSPGNDTSGNRGVQRLRVILYLRMNHL RILIYRPVLHSASSIIENQGHARTVVDIARDTIRVLARLNQTSDIYRKQQITFNWFLV AALAVLFLAVCHAPGEFSRQVRDEFYLALDLINGFSAHSYISMRLWDSIKGLRKIAEK LGVFGRSTGPDARDPHSSAAVAMAGLAGHPMESISVYGPTMATVSELGNSPMNGLQIS HELTNLFEAVGASNSFGADNLNGFISNDGDLQNSGEGLAGVLSSDAEFSRILSGLI TSTA_071280 MSSSDGAPESWISSFCSLMGHEYFAEVSEDFIEDDFNLTGLQSQ VPMYKEALEMILDVEPEDDEEEEEEEEEEEDDDDDVLGDEKTLGYRRAGDRRHMRVAS DLSMIESSAELLYGLIHQRYITSRPGIQQMLEKYEVHHFGVCPRVNCYGCKVLPVGRT DTPGQETVKLFCPSCYDIYTPPNSRFQSVDGAFFGTTFGCLFFMTFPELDVGGNRAES ILSPAALAAEQPPTNQPAQINGILTSNFAPGLGFGKIYEPRIYGFRVSERAKSGPRMQ WLRIKPRDINELDESAIYQATHGADEEGGYEEGDTEMGSTAATASQQSAIGMRRKAPM RKRRHLPSSTVNQTSTKNGEGG TSTA_071280 MSSSDGAPESWISSFCSLMGHEYFAEVSEDFIEDDFNLTGLQSQ VPMYKEALEMILDVEPEDDEEEEEEEEEEEDDDDDVLGDEKTDLSMIESSAELLYGLI HQRYITSRPGIQQMLEKYEVHHFGVCPRVNCYGCKVLPVGRTDTPGQETVKLFCPSCY DIYTPPNSRFQSVDGAFFGTTFGCLFFMTFPELDVGGNRAESILSPAALAAGNTSKRS RVSSLHKSVSLTMSPTTEQPPTNQPAQINGILTSNFAPGLGFGKIYEPRIYGFRVSER AKSGPRMQWLRIKPRDINELDESAIYQATHGADEEGGYEEGDTEMGSTAATASQQSAI GMRRKAPMRKRRHLPSSTVNQTSTKNGEGG TSTA_071290 MPVLTWGMKEGKGVYVTDEGERWRLYNEGYLLNRSSFDNAFAHG QILIPYLTANGSRCQRQPTSQRRLKATSQENYLMQLKRCKEDKIVKLGTKRSGLEEGE SGPRVLTKQEMASPRDWNVARVASKL TSTA_071300 MRAKINLNAGHSFQRSNKPRIIGSSRHRVYNFLIKDFRQQLRSS SDNADDAVRAIASYRMLFLLARGLFCGSKARSHAISVIWAHGVLWLSKSSYTDITVFD MQPVAEHFYNPTEGMDNYAPQLGTEIDYQRLAFEAGLKPSDKLWYSSDMLMMSAVEQY GEFEPKALENMEKEGLRGMQFKYDHPEGMYFVHAVSNTSQNIQRAEVHGWGRKIDPLG KKRLGYNTSVLEPAAGFVGGL TSTA_071310 MDRTGLQGYSYQSYPIVTTGPPAADMTATSIFTLHQGTSSAFSP SAHPNEDWTKISDLAERRRIQNRIAQRNYRKRLKRRLEDLERRAASTSTSPEPSQAET TQESKSATKRPQAPKANSTTTRKKRLSAAESKNKSWNLSHSPFPLQQSQTYGTMSEER GVSSSVYTDQLNSPQIPASSPPDSFFYPPYPSYQEVYDHNSSYQSPQPLHAFPTIPQS HYGEFAGQGTSQQPLGHLSQHPLASNLQPVKQMNAFSEEQDLNPLYVNYVSLSGLEAP LHQPQYNQQSQTPPLTNTYPDRYSTSTSSIGSLSEHFPLTLEHAISSPSHITIH TSTA_071320 MRLSNQLMRNTLLRTSKTRYTASSLSSSLWKRSYSIKPISASTE LPALDPSKLEITKTTTPKDLTPNSQLQFGKTFTDHMLTMEWTATDGWLTPRIVPYQNL SLDPATCVFHYAFECFEGMKAYKDKDGKIRLFRPDKNMERLNKSSARIALPTFNGDSL TKLIAEFTKLESRFIPNEKGYSLYLRPTMIGTQKTIGVGPPGSALLFVIASPVGPYYP TGFKAVSLEATDYAVRAWPGGVGDKKLGANYAPCIVPQQEAASRGFQQNLWLFGEEEY VTEVGTMNLFMAIKNKETGLKELLTAPLDGTILEGVTRDTVLALARERLVPEGWVVSE RKVRMSEVAEAATEGRLLEVFGSGTAAIVSPVRKISYKGQLVDCGLQENEEAGEIALR MKNWIEGIQYGEEKHPWSYVVE TSTA_071320 MRLSNQLMRNTLLRTSKTRYTASSLSSSLWKRSYSIKPISASTE LPALDPSKLEITKTTTPKDLTPNSQLQFGKTFTDHMLTMEWTATDGWLTPRIVPYQNL SLDPATCVFHYAFECFEGMKAYKDKDGKIRLFRPDKNMERLNKSSARIALPTFNGDSL TKLIAEFTKLESRFIPNEKGYSLYLRPTMIGTQKTIGVGPPGSALLFVIASPVGPYYP TGFKAVSLEATDYAVRAWPGGVGDKKLGANYAPCIVPQQEAASRGFQQNLWLFGEEEY VTEVGTMNLFMAIKNKETGLKELLTAPLDGTILEGVTRDTVLALARERLVPEGWVVSE RKVRMSEVAEAATEGRLLEVFGSGTAAIVSPVRKISYKGQLVDCGLQENEEAGEIALR MKNWIEGIQYGEEKHPWSYVVE TSTA_071320 MRLSNQLMRNTLLRTSKTRYTASSLSSSLWKRSYSIKPISASTE LPALDPSKLEITKTTTPKDLTPNSQLQFGKTFTDHMLTMEWTATDGWLTPRIVPYQNL SLDPATCVFHYAFECFEGMKAYKDKDGKIRLFRPDKNMERLNKSSARIALPTFNGDSL TKLIAEFTKLESRFIPNEKGYSLYLRPTMIGTQKTIGVGPPGSALLFVIASPVGPYYP TGFKAVSLEATDYAVRAWPGGVGDKKLGANYAPCIVPQQEAASRGFQQNLWLFGEEEY VTEVGTMNLFMAIKNKETGLKELLTAPLDGTILEGVTRDTVLALARERLVPEGWVVSE RKVRMSEVAEAATEGRLLEVFGSGTAAIVSPVRKISYKGQLVDCGLQENEEAGEIALR MKNWIEGIQYGEEKHPWSYVVE TSTA_071330 MSSQPPKKGGLSLYANLLDPASESSAASGTISKAPVLFTQSSEP ATDTDDTATDKQQKSAASLRFQPIKRPQLPNQKPRAKPIPKPPSTAHALGNASSAGAS STRSQVKSTLADWASTADDDDVNGFYGREKRQRGGRKKRKKNREGPETITNWDDIYDP SRPNNYEEYKRSDEKIREVREWKDRLYAHRMARRRSSDFDSDNESPPPRNRQFAPPSY NFVPPPNLNDASKPLSHGSDEDAYAQRLQKTTEDNEAEMEDVEYQPPPPPAVIPNEAT GDDAYARRLQMSQQQPPPPPHEQPQVPVKPSLSAFQPASATISRAPVRYTLPPAPEDI PATEEELEAVMAKEEPVQDDGEDAPRSLRPGQKGFAERLMAKYGWTKGSGLGASGTGI VNPLQVKVEKQKKKPDSEGGGFATPAGRGKIIASKKKNEEEGKFGPMSEVIVLYGMLD GMDLATELDNSQNGGLMQEIGEECNEKYGRVERVFIHRQSNDRIPVFVKFTAQLSALR AVNALEGRMFNGNKITARFYDTEKFENGIYQ TSTA_071340 MSLCLNRLTEERKQWRKDHPFGFYAKPNRNAQGVLDMKNWECGI PGKSGTLWEGGLFKLHLIFPEEYPTKPPKCKFVPPLFHPNVYPSGTVCLSILNEEEAW RPAITIKEILIGVQDLLDDPNPESPAQADAYNLFKKDRAAYEKKVRQVVRENPAP TSTA_071350 MSASTRSGRLAKYLGAVLRGKQQVQSLSDFKRFIEAILDDQDPC VTIERLVSSSNALGALRNGLRFNLTPAFINEYTSRFIQFLNNPEVKILCNGIFLEQLL LIILEPRTLWNAFVEAFRKRRLDYDATHALCWLTTELLSLPTSSAVDITADAQTIIND ESIFTSPSISLRSLGHKIKYLIDMKSSASAISSEDTAGGRHDNDFLDFRQIAILPTAD EMGCSERPFYRRVDEIAQLAGNQRIAAHVDNQFRLLREDMLSGLRDDIQFARGTKKGR RSALRLKGLSLTKISCISADLQHLHPCTVGVTAKSGLDRLNDISKEDRKNFLKSNPNF VRHRAFGCLLQDTEIVAFATIERNIDELISTPPVVMLRIAGEEALRKCLLFLKLYDNV EFLVVETPTFAYQPILRCLQERAVFPLTEELFLYERGEPVTESSLAPMHVINELKETF RRNIQDILRTNQPIILDQSQLESLLSGLAQRVSLIQGPPGTGKSFIGALLAKVFHDHS KDKILVMCYTNHALDQFLEDLLDIGINASSIVRLGSKWTPRTQPLTLKAQKTTKPRPQ STWNTMRALRIEGDNLRETLNNSFTKYRVLATDALSILEYLEFEEPEYFEAFAIPEDE DGMSIVGKQGKEISKDYLYYCWVKGQSPDPFTELIPDQYRSIWAAEQRVRDEKLESWK RALLNEQAASLAVQMELFDKCQEKLSAVLGEKTREVLKEKRIIGCTTTAAAMYSDEIR SASPGIVLLEEAGEILESHVISALSPETKHLIMIGDHLQLRPKINNYALSLEKGNGYD LNVSLFERLIRADYPHTTLLKQHRMCPEISSLVRSLTYPGLEDDDKTKARPEPRGLCD RVIFFNHSNPEANFLEISDRRDEEAKQSKQNIFEAEIVLKIVKYLGQQGYGTDKLVVL TPYLGQLSLLRQTLSKQNDPVLNDLDSYDLVQAGLLSQAGANHSKRPIKLSTIDNYQG EESEIVIASLTRSNQNGDIGFMAARERLNVLLSRARNILIIVGNSETFVSSRKGENFW RPLIDQLKASGHLYDGLPVRCEQHPKKTAILRTKEDFDTETPDGGCAASCGVKLSCGI HECPSKCHQLVDHSKMICKKVVKWNCPRGHTSSLPCSMVKGACRFCTQEDTIKKRKHE RDQKLEAERQRKQTAYAQKLAELQDEASHLRRLRGDAFADAEYAKVLNQYREEIESLK SPQQPVTNTVSTKSAELKDRRSGSPEPVTSENNAGYSKNTKTPEDRPREKPKAEQTMP NNIPSEAKVEWDYQKTYFNSQSLEIDSLMDMVGLETVKAKFLDIKGKVDLSIRQNVDL SNERFGTVLLGNPGTGKTTVARLYAKFLAEVGIIPGHKFVETTGSRLSNGGVSQCQKL IETLLKGGGGAIFIDEAYQLVQGNNFGGSQVLDFLLAEVENLTGKIVFILAGYQRPME KFFAHNPGLPSRFPHELKFEDFNDTELMLILQRWIEKTYKKQMKIDGGPGGLYCRIVA RRIGRGRGREGFANARAVENAMTRISEQQSKRVVRERRKGTARVDDFFLDREDMIGPD PSQTLKSSKAWQKLQAMIGLAEVKKTVEALIDTIQYNYRREIDEQPLAEYSLNKVFLG NPGTGKTSVAKIYGQILVDVGLLSNGEVIVKNPSDFVGSVIGESEKNTKGILAATLGK VLVIDEAYGLFAGGTSDGTGARSDQYRSAVVDTIVAEVQSTPGDDRCVLLLGYKDQMQ QMFQNVNPGLSRRFPMDQAFVFEDFTEKELNMILDLKLKEQGYDITDRARRVVLEMLE RARNRPHFGNAGEIDILLNTAKMHHQRRLSVNKTTSRISDATLDAVDFDENFDRAEKS HNSVSKMFEGVIGCENIVSKLEGYQQMVKSLKQLNMDPREQVPFNFIFRGPPGTGKTS TARKMGQVYYDMGLLASNEVVETSATDFVGQYIGQTGPKTQSALKRGLGKVLFIDEAY RLAEGHFAKEAMDELVDCITKPRWAGKLIIILAGYDADINRLMSINPGLTSRFPESLQ FQSLSPKECIQLLDELLSKQKNNLLKQSNTKFDITCLHCSDPDLRVKISQGFESLSKT ASWANARDVGTLAKAIFGKTLKTMQASTEELILRRETVIEELQSMITERQGREDSQAT GVNSSKGMKLPLRTEYPNSPVINIPGQSSKPDAASNVEANKPVQSNLSHQNLISGRDA GVTDVIWRQLEKDKAMIEAKEKEYLRLMQEEKEQQRELQRREKEEGRVAREVEEAKQQ KDEEGKKRHEQARLLHEMERRKQEEIARELEKKRQALAEAQLKEQATQEKLRKMGVCV QGYRWIKQANGYRCAGGAHWVSNAQLQ TSTA_071360 MTSRLTVSRGRSSGRWRNGRGSRQPSVLPTPAPPLGDVLATIQH DDLENHKTDDQDCPQITNAQYLTSYNWLNGADHEILVPGEPPAWTPLSKPTKLPADSG LYYRDKNAARYPTYPLEPMVRAIRMDKPDFHLEELDIVGCRSTLGNLLRFTRGQSNPF RMLVEVVGNTVFFIRRENSPTETIPNVQGYGHTFPESYTTWSESARRSESHQRLITYD FAGMNCLVRFEADGYLPDLIPDSLRIQKESVSNKSEIEPDDLLSAIEASTISPIPSVT TDTETTALQISEKGRYVPQCAIFDLKTRSAKKSGVDTFSEEAARLWIRQIPNFILAYH KFGTFDDIRVQDVRDELKQWEESHQPELVKFATLLKMVVSFTRSTEDGKLEIEHEENA KELNLRRPGGVVNGVLPSSLINTIWGSE TSTA_071370 MMLGDNLQPAALIQIFEGVICDDYYKAHPLSSASNATSPTLQLC KVQPVQKERALLRGFQQFVPLFPALLCTVPYGLLAERIGRKRVLVLSGAGIVAALSWV LAVCYWRFISIRWVLLSGVFLFIGGGDAVTSSMVHVMVTDTASQAERAQIFLYLHAAD MISGFFGPAISAPLMEKGHTWAVLLLAEGVLFSGAFLLTQFIPETLYFRDKTYSSLKS ISDPSSSQATTSSSSRGSESPSTKKNSFSRRIYRLSVPYLSVLTSNRQALLLLPIFAP QTAARELFTVIGLQYSDAKFFLSYARGNVLLSLFQGAQGLFVLVLLPLITRTIAEPRG WSASRRDRRYAIVSIAMTAFGLLVIGLAPVLAVEASGLLLVALGSCTTGLLMSLLGGA VQPSQVSTFYSAALTLSMMTRSVTGPVASALLVVGLELGWKWMGIPFAAMAMLMAGVT VASGFIRIEKAEDVSEDDTELAKDKPLGARTGSLEKCSILLALAPLSGGLVLPGVDLY VHRFYTYCKGRM TSTA_071380 MALPYGVLTDRVGRKPFVLSSTIATALSEVRPGVVCSSYVLIHV ATLFRLVSLTIIAELVASPMATYTLSIEPWILYMLDVVIMLAGAACSIFVPETLDEAK AREAETASDPDDEKKIEETSQTSLLKSSVFGMTTYNHSSLSLKRGGGGGVNPGS TSTA_071390 MCGIFACHHHPDVQKFKPTALRMAKAIRHRGPDWSGNFIKNQTI LVHERLSIVGVDSGSQPLVNDDSSIALAVNGEIYNHRILRKSLDSKYHYKTHSDCEVI IPLYMKYGVDAPKHLDGMFSWVLYDANEDRVIAARDPIGVTSFYQGWSSKTPGAVYFA SELKSLHPVCDKIVAFPPGHIYDSKTDTTTRYFEPTWWDPKRVPTTPVDYKAIRESLE RSVRKRLMAEVPYGVLLSGGLDSSLVASIAQRETLRTRAMQTQGSIASENGDGELVGI DDENDLSTVTLLAQLHSFSIGLPGAPDTEAAIEVAKFLGTRHHAFTFTIEDGLNALSD VIYHLETYDVTTIRASTPMYLLSRKIKALGVKMVLSGEGSDEIFGGYLYFHAAPNKEE FHAETVRRVKNLHLADCLRANKSTSAWGLEARVPFLDKQFLETAMNVDPQEKMITKDR IEKYILRKAFDTSDEPDVAPYLPDKILWRQKEQFSDGVGYGWIDGLKDNAELHVTDEM MKNPKPEWGDDIPTTKEAYWYRMMFDEHFPPSCANTVERWVPTWSKQTDPSGRAISTH VAKYKDAA TSTA_071400 MAAPAAFSDIAKAANDLLNKDFYHTSPASIEVKSNAPNGVTFTV KGKSTHEGPIGGSLEAKYVDKPIGLTLTQAWTTANALDTKLELDNNITKGLKAEILTQ YLPTSQSKGAKLNLYFKQPNLHARAFFDLLKGPTANLDVVLGHEGFLVGAEGGYDVQK AAITKYSAAVGYSLPQYSAAITASNNLSVFSASYYHRVNAQVEAGAKATWDSKAGNNV GLEVASKYRLDPSSFAKAKINDRGIAALAYNVLLRPGVTLGLGASFDTQNLNQAAHKV GASFTFEG TSTA_071410 MPPRLTILRLISSFVITPRLNPKPELCGRDIVSPSPSIIFSAHF SATKRYYARSHEPTYYEILNVPVTATAAEIKKQFYALSLKHHPDRNRSDPKATERFAT ISSAYHILGDSTKRARYDLDHGIGGTTHGTHSSGVAGQHPMGSHSSAGATYAGSRPAS GLSKRRTAFRGPPPSFYAHGGYGGRKPQSPGGSYTGTAGTGKQHQHNNEDPTSFINNN PVWHFNARGHYKTQTAEDARRKQRRSQQMQREREILESEKGGSFVLRFVIVSGILVVT AAFGALLGRGSNTATASSPGGKRSGKTSQGKGLGSREDGD TSTA_071420 MAAQADDELNKLRLNGQNGEAKEQVSASAVDTADNDDSEDDEKE EEGGAEVAATEAAKKKKKRKPKKKKKGGAKKQSSPPRVPVSELFPNNQYPEGEIVEYK DENNYRTTNEEKRYLDRMNNDFLQEYRQGAEVHRQVRQYAQKNIKPGQTLTEIAEGIE DAVRALTGHQGLEEGDNIKGGMGFPCGLSINHCAAHYTPNAGNKMVLQQGDVMKVDFG AHINGRIVDSAFTMTFDPVYDNLLTAVKEATNTGIREAGIDVRMSDIGAAIQEVMESY EVEINGTTYPVKAIRNLNGHNIDQHVIHGGKSVPIVKGGDQTKMEEGEVFAIETFGST GKGYVREDMETSHYAKAQDAPNVSLRLSSAKNLLNVINKNFGTLPFCRRYLDRLGQDK YLLGLNNLVSAGIVQDYPPLCDIKGSYTAQYEHTIVLRPTVKEVISRGDDY TSTA_071430 MASITSTSTPTLRVNATKGVEEKQQPHTARIQPPEGSASYDHFF WTYTEEPHRSRRQAIIKAHPEVLKLCGPEPLTKYVVLAVVSLQLSCAYLLRDTSMFSW KFLLTAYVIGATSNQNLFLAIHEISHNLAFRSALSNRLLAIFANLPIGLPYSAAFRPY HLTHHKSLGVAGLDTDLPTAFEAFLLDSLLGKAFFCTFQIFFYALRPMFVYSPPFTSI HVLNLITQLAFDYALVQFCGGSLQPLFYLLWSSFLAGSLHPCAGHFIAEHYFFSEIKA GGTESLSELKSNKKPASNTNGKSPLDSLPPPETYSYYGPLNILTYNVGLHNEHHDFPA IPWTRLSELHRIASEFYEPLPCHRSWVWVIWTFVLDENVGLWCRVKRAQGGRIVGGGG SAPASKKTGRGGEGISANSMKEEEEEDGWKESEIQN TSTA_071440 MIEDLLQLGLVYHTIKCAVDDISPTFDFRGNLPLPYRSVSGEER DEPLSASEIDKWRSQYAPLDEVKSTYRLMYLLGIGHSEEEATVLSEINESDLDFALHR EKYPGDSLSFKKIKLCGELPAQRFSSWDEGKEFLRHEHPKQISYMESISGN TSTA_071450 MMCCEGLQWKLLALGILITGTPPDPNCRAKVWESEIQASWYHDN DEYGQRLEERRRQRQPCQCSPDQRPKDLFVVYSEKILQDFADCDSYDLGTNPLFDDRA DEFVIYDDLVKSHLPKQGPDRVFGLQNTQSFDSLLSSPVRPDIQKRPDDTVSNLLKTT PFKTQADLLLFPFLVLEAKSESSSRGFEAILTQTFFPIRTLLQLQDDLRLYVPEGEEA FEPLVWFLASRGDAWRLYCGTVLKGGNGEPTKYETTMLWAGSLLLKDDALQLVLIVDY IMDWARDVYRIAILRQLKSMVTGEPFDQISLAYSTMSSMRRDVSRWIPAPPSTVFNGL ETASESHEDNTPSKPLDHQALLDIQIPSTELGSLRSASKSNCRFACLYLTEGLLPSFL QVIAGRRDSKDNTAKASRQIINFVSQFDDTLLMSQGDLDLIESLWTGTVTSSNPDENF EDFYVVMEASWYFNPSWDLTREISCLAITKSAFNTLKTYAKFKVRHKGIDSLPQCERR CTRGVIRQCIECLRSGSPWQNLLSAISCTVITVYPLPTRRRDDFTPPVDVLGFGYVKY SQVRSFVTKFLKKSLWKPKDKTRLLTSEVRYYMSLGYTREEVFKMKTEKPPKHTDTSW KRISEQSIIVLEEDSHICENAKDVL TSTA_071460 MGDNLQSLRRLAKRFNIRDRREGKDWPEAHRRTFQSIKSVTQYR FDTYIPEPWKQQTKARAQWLVKRAERLFGQERNEAG TSTA_071470 MLLAADGVDLEQKDRRGQTPCARTATNGHTAVVQILQSAIRTDN AFTRHGYTQPEHRLDALNSAQFQPLPGNLASEAFPLPNPAATIIEDPSLAYWLPE TSTA_071480 MGFGGPPLAADIQAKCGGLIDIDEANDHIFVHRLTLDYLIRNRS LWLPEEDVHGCISRKCIEYLCRDDLDHDDGGICLSDASLEARLTSNPLYRYSARNWGE QARLASSADADLAIRFLNDEKKLAASSQVLMASKRMNTEAGYSQRVPKSAYFGLQTVL KSLLAGGNRANVKDSHSWPPLSYAAENGHEAIVHLLLEEGDDIDCVDINSRTALSWAA EYGHQKVVCVLITAGAELNTQDDMGRTPLIHATANGHEDVVQLLLAANRIHPDIKDIY GRTALSQAASQGYNSIVKLILNYSPEVDPDSKDIQGYTPLSHAAANGHKSVVQMLLDA QGVDPDSRDINGFTPLSHAAVNGHADVVQLLISTVQVDNDSKDCHGNGISPLALKMDM H TSTA_071490 MSVDLEPGDLGFRRPFNREVSEVLHIRNPQSDPIAFKVKTTAPK HYCVRPNSGRIEPGKQVEVQVLLQAMKEDPPLDAKCKDKFLVQSVAITGDLEFANVTS IFEKAPKAAIQERRIRVAYLPADIPDGSAQTEEEPPAYSSPGGAFETPAPTASRKIDD STSPIPAPNFEKSPKPETTETSKEADLSAFENAPSTAAAATTEAKPDSSDNIKEQLSD ALAQIQKLKAQLTEQGQGLRQRRSDGSEPSSISKAGNMIQQQIAQPTAEMGVPVQIVA ALCLLSFLLAYFFF TSTA_071500 MTASDLEQLLEMGFAKERAELAVSKTGGLQAALEWLEANQDKSL DEIKSATANTNEDEGAPALNPGEEARSLVCNECGKKFRSQAQAEFHASKTEHTDFSES TEEVAPLTEEERKARLAELREKLAAKRAIQSEQDKADQKRNEEIRRKHTKESQDIKEE LQRKERIKEAAQKKKEKQEEIEAKARIRAKIAADKEERRLKAEREKAERAGQAPPQPA APSLPTTSGAVTSKPASAYTETRLRLQTNKGNILKTFPVETTLFEVVAALSKDDGIEV QNFTQNFPRKTFDREYFGESLKDLGLTPSASLVAK TSTA_071510 MPAVGDQHREPPSTVTGTANPFDEGPRRISEYTAREVATLQSRL DRQLGPEYISARSGPSGQKVHYLAAEKCINLANEVFGFNGWSSSIQNIQIDFVDESPT TGKISLGLSVIVRVTLRDGTYHEDIGYGHIENCKGKAAAFEKAKKEGTTDGLKRALRT FGNVLGNCIYDKEYLARVTRLKVTPSKWDAENLHRHPDYAPVKKELVQEKKLPEDVEL PPRPVDYNSRKETTSHETSTTFDGDGEFGSDVFDEADFVANTTGNPDEVVLDVDTPQP IQKPQTRPQLPPAQQRPPGHQQRPAPPNSAMVTPSKPERQWAGPAPPASAGYQNNPNQ NAMAQRGGVNENYAASNPANPLGNRSSKELQRNNTASGIVKTEPSANPSNPTAMNNDQ NKNGPAEPSVGFYSARAVDILRDNPRASPMAPKFDPHAESPSIRKTAGIDHTKSVPVS KPMLFGTGQSPAGPGANTGTGPGAGRNFINPSTDAHRRIGAPGGNTGGIASPVNKGFT TSSFRPLTRPAVDNRNVSNNDSTTNNNNRSTFTTALSTHDPSLKRPPLNDVTNAPLPG SIPVSGDIKRPRLSNEGVHGNTAQPPQQQQPQQ TSTA_071520 MTADEKRGPFMKYTQQDLEGLRKSVQTHQTSQLQEGKRPLLDAW SNFHKEVEPEEGEFHDEPELMNGQSHRAHLHFEMRSKEGPLFARNVPNRPTPTESRKH MDPALDTSGSFNQPVPTGPRKHLDPALSHAKFSVAKPKHLDPALGPPRPAKLNTGIKH LKLKRRPILSSRSPARDTASYEGKLTDPGSWLDSVRNKATQPDTSPQPHTTPEVGTDS DVKLAKENPSIPTVKTATTNLLATTTAAATKSMETLHPVATVKPAATTTTVAATKSAE PTQPIATTKPVAGIQLAVAPQQGVSTNSAAIIQPAITAKPVADAHVAAMKQPVVSTPP AEKKKPVAIGDNPFLASNLPRPPVCSRDFRNQPQLSKGEGFLLDLDSPPKKVEQEQPK AVTGPDVMDLDTDGDEDDADIAESIFQDNTLNWIEELGIINDKLAELDLAKAQIIKLE DRRRKLQGLIHAASAKKSGRSRQSPKRHERLISLSPSPLEKPVRSQLFVPIPQPLFSK PAVPLTGGLESSRWATANNETPIASKVQPSKPTNDSNDESVDYWQKALPKIRPLAAEH KTKSDSAPQTPKKGTMPMFESRYAC TSTA_071530 MPKDEVGNTRNSERRGSNDEESRALLSNSSFHDDNHDSAGDSED DLVVLSGSTDEQPAPAQTHTRPTISTQHVPRQSSISRPAPDGQRRTPRTPLRVRFDLS EHVADEETPATNGHVQSRHQDGDDLWMDEEDYMTEGSQRLRSSTGQMAPLLTGIEAPT VTLATSEDFFPEDHLESARPRSGMRMAFMNMANSIIGAGIIGQPYALRQAGLVTGIVL LVLLTITVDWTIRLIVVNSKMSGADSFQATMQHCFGRSGLIAISIAQWAFAFGGMVAF CIIVGDTIPHVFAALFPSLRDMPFLWLLTDRRAVIVLFILCISYPLSLYRDIAKLAKA SALALVSMLIIVVTVVIQGFRVPSELRGDLKGNLVINSGFFQAVGVISFAFVCHHNSL LIYGSLKKPTLDRFATVTHYSTGVSMIMCLVMAIAGFLSFGSKTQGNVLNNFPSNNIM VNIARFCFGLNMLTTLPLEAFVCRSVMTTYYFPDEPFHPTRHLYLTTVLVLTSMFLSL VTCDLGAVFELIGATSAAALAYILPPLCYVKLSNTSHRAKLPAYACIVFGTVVMVISL LQAVGKMIRNEGGAQTCGGAI TSTA_071540 MAHVVDLGTQSFNLGGQCLVSRATATLIYDDNTNATGSKSRRVS YQDSWIALKQELALDLQIETCSIPAMSVPNFVKQAVETGQASLIRDNPYLRVSRIYHE YRCLTNSVALNVSLVLIKIPDLSPQILPKRLPRRAKQCVAEPHPPPGIDRATLSRITI SMKGVGQCAPWNFFLTKPSLRLSHHNPFTGNHHVQDNIPSQQAHVSTLSSSLRKYPPL APRQTTDSDRRHTQSVLSDDKSVQHSRNVLSYREIGSLQQDINFHEMVRCSIRSLVNG NSQQYKSLVTRSAIEDGPSLSDIAPLLFKPGHYERAGLIPMVTRSMARIFRAPQSLAS KERTISVKSKLRARYGNRENPESADIGHLTRAMIKCVVWTALQRGSYKAEWPKMSTCE DLGTMLFSDDCMLTEEVEEYDCADEQMIYSTTSSVTNSDDILSNTDVEPNSSYSSLSS PEKLFHRFSDKGSLSDGLHHDFTSGESAIDDSESDIISRPDTEREDIIIGSSSLPPTA CDMFTDSEVMTPSSPCSEVFNQDNECRDQHDEDMMDDSSSISILSSFTNQYALEELKQ ETEMKLEHDETEDILMSI TSTA_071550 MEGETTEPRTLKYVDIGINLSDPVFRGSYHGKQVHEDDLADIIE RARNIGCTKFMVTGSDLKESKHAVQIANDYPGSCYATVGVHPCQAKHFDTHLGGPSKL LEELRTLALATKQSGHTVAFGEIGLDYDRLFLSPKESQLKWFEAQLDLAVEVQLPLFL HSRAASEDFERLLKSRLDRLPRGGLVHSFTGTMEEMQRLVDLGLDIGVNGCSLKTEEN LEVVRHIPLDRIQIETDGPWCEIRPSHASAKYLSDASPLPKAVKKEKWQKGCMIKGRN EPVAIAHVAHVIAKIKGVSVEEVCEAAWNNSIKMFGLGETSN TSTA_071560 MTSDKLISVTEIARHNTASDCWLVVDGQVWDFTEFHEEHPGGSA IILKYAGRDATKPYNEVHSPSTIRKYLHPDKLKGVLDTSTINDEWLKEPPAENTRVML DNEKPPLHTLINAHDFEIVASKTANKKTWAFYSSAATDLITRDANKSCFDRIWFRPRI MRNVRSVDTRTSIMGVESSLPLFVSPAAMAKLIHPDGERAIAKACYEKGILQGVSNNS SYSIEELAETAPNGKFFFQLYVSPDREKSASLIRKVSSLPQFKAIHITVDAAWPGKRE ADERVKVDESTSVPMSDAKAKNDKKGGGIGRLMAGHIDPALTWDDIAFVRRHTHLPIC LKGIMSADDAILAMKAGVDGILLSNHGGRNLDTSPPSIITLLELQRRAPEVFDCMEVY VDSGIRRGTDTLKAVALGATAVGMGRSMLFATNYGQEGVEHLIDIMRDELETAMRNNG ITSLDEASPELVNTGDVDHLVPAGRLHQYARKVAKSRQKLLAAKL TSTA_071570 MTAPRSTSLRALRALSQHQTPATTLYCRRRLHITGAFSAQPVEG TDKAAAYAARTLADLKNECHRRGLRTGGSKSELVDRLSNNDVLYTRAFNIAKTRINGS VFGGPAETRSFNTSRASKAVNDSSTLDFVYMPNIASLEDESNPAQRIPIIGNLNFDFS TSPDQHHSEYPPMKPQIHAIGESGPDLSASPMSEVVDNHAVDIDPFKLTETVGKSRAG ENEMRERRLASQGGEKGVIGELFSGMIDDIWGKGSAASSSKRH TSTA_071580 MSYDRLNSLEAQPTTWRRDDDPQYQDDPEFARFTENLSNQLFTL TSNISNLSRQIALLGTKRDTERVRERVHNLLEETRSGFKDAGEAIKKVQTWEDVNPSQ KWTQQKLSSEFKAALDEFQTVQRRALEKQRASAVASRTALHQDGDQSAGHTVEGQEQQ QLQQQELEQPRLANQDEVDFQEALIIEREAEIRNIEQSVGELNELFRDVAHIVHEQGS QLDIIGENVENVTSDTRGANVELRSASRYQKNARNKMCCLLLILAIILTVIILAVVIG TSTA_071590 MAAPPRPYRRILTSALHRRFVHASALTLLMDYIVSFVIGTKTSF LWSWFPLGTCGLRALLLFISTLAVFFIRVTQMHVGPRTTASPLAALRAIFPLQIVQTL GWYLFSAWWFSEVYLWSAPEEADLGWVKIGRLNSRPTLNERSIYLHTYHMMLAVAQTA IHLYCDYDRLPIPVVKRTSQDERTHPVEPVFKRLQYGVVASVIDALRNGFIITLASPF IYVIFLRRSAWNFSLYFAKLFWNFSRNAAEPQGAVPPAFHSLFFRQIISGGCLVFLWQ TANLFFTVFLTQEPLKRGQPLTNYSKDPTGSLINGLKAKKDIVKNFAFWELCFISQRF PDRRKAIFEDLERSDGGAWKQVLQASVNTIDAINNRINKFKSPPTKTNTKSTDVAYPQ IQSLPQLTEGPKQDNIFAPSPKGTGFGQSVGATAKSFGQSKDWTPIARAKAKEAFTHA SDALLSPERKKKLLGASNEVKLLTGPAGTSQSFNFASIPFVSQFLRSPIGKPFRQTFA QRLRGIVFGEPHGQVACIIDAIEATTRLLIASLAEDSFGQVFKDLPTIIRLYTSTIIT LEDFVHEDTGLNIHWSDVTFPSANSSPEVRRNARTVPEVDIVLHMLRTSLSELLASFE KYSRDVGLQAKDIRLAKVAVGLIDDHNDNDDPFTS TSTA_071600 MSDPFNPNIEKRSTPQWALYQREQFWRANDGETPPFNTHPKALE EKAKEKLSLGGWYYAASNAGLSTTHSVNRQAFHRHCIVPRMLVDTNLRDTTTEIWGHK VSAPIGFAPIGINAIYNQAAEVPVAKVAGELNLPYCLSTAGSTPIEKVGAANGNGPRF FQLYMPHDDELTLSLLNRAWKSGFDVLILTTDTWQLGWRHDDVANSNYAFYRGIGAGL GLSDPVFQKRCREKGIDIEKDPVAASTIWIDSIWHGRAWSWEKLPWLIQQWKLISGGR PFALKGIQSVADAKKAVEYGVDGIVVSNHAGRQVDGAIASLDALENIVDAVGDKTYVM FDSGVRGASDVVKALALGAKFVFVGRLWVWGLSIMGEEGVRHVMKSLLADFDIFMAVG GFTNVKEFDRSILESYPKSYSIPE TSTA_071610 MLAPARRIALSSYKAAAPRTAITARCIKPATTHVAKRHFHKTPE TAFYKALWQSMRVKIPWVDALAQSRKQSKAGEPITFQDEELAKPDLTPKRMSDSYFAA TIPLAEDKWLLDEYLNASGRIRLGTLFMDLDALAGVVAYKHTGDTVATVTAAVDRITL LNPLKEICNLQLSGQVTYATGRSSMEISLQVAKAPAEGEKVKDEDVLITCAFTMVSLD PKTKKPVAVAPLLIETEEEQRLFQKGEENYNAKKALRKRSSYEQPPDQEESNMIHSMW TTQRPYKVPGTAIQHSPRIQNMDRTVLRSAMIMQPEDRNRHNFMIFGGFLLQQTFELA FCCAASFSHTRPSFVSLDPSTFENPVPVGSVLYLKATVAYTEPLVRTVNGEESSKRTP YTKVHVRVDSKVRDVEHATKNPTGVFHYTFLVPNEVQVMPTKYAEYMLWLDARRRAHD LDPIIASRFTEDETSARMDGVTE TSTA_071610 MDLDALAGVVAYKHTGDTVATVTAAVDRITLLNPLKEICNLQLS GQVTYATGRSSMEISLQVAKAPAEGEKVKDEDVLITCAFTMVSLDPKTKKPVAVAPLL IETEEEQRLFQKGEENYNAKKALRKRSSYEQPPDQEESNMIHSMWTTQRPYKVPGTAI QHSPRIQNMDRTVLRSAMIMQPEDRNRHNFMIFGGFLLQQTFELAFCCAASFSHTRPS FVSLDPSTFENPVPVGSVLYLKATVAYTEPLVRTVNGEESSKRTPYTKVHVRVDSKVR DVEHATKNPTGVFHYTFLVPNEVQVMPTKYAEYMLWLDARRRAHDLDPIIASRFTEDE TSARMDGVTE TSTA_071620 MWDMSLQNVWHLRRVADTASKACMVCYKPSTSVLITPDNKDFFY ICPAHLKDRGFCSPIVDAEQDAKKKRDEELAREIEKVTKEYEEKQKRKKEKEKEKDKE KNSKDDDKDKKEEKSKKKEDEKDEDVEKEKNDKINDLRKAAETKQSDNNSPRIFELHK NFYQMRINRLRNIELAKRNAERLRDPTSFPSVPKGDL TSTA_071630 MTLNQIEGHNLYIGGVISLRNKAALQKANITHVVSVLRMHPDEN LTEGFQHLKIEVDDVDDEDLLQYFATANAFIQAGLDAGGGVLVHCAMGKSRSAAICIA YLLHRQPKNLDPESALELVRKTRAIAEPNEDFMKQLWLYYEMGCPDDVTNDPAYLRWQ SHRQIELSAACGKAPEIDVVRFEDELQPDSDGAEGGKLTEIRCRKCRRILATTPFINP HDKDSKTPSKQSTEGVDCAHIFLHPLTWMRPCLFPAQDSSQTSRSSSAADGLAGTATG DAPLSGRLTCPNPKCEANVGKFAWQGLRCSCGKWVVPAIGVARARVDVTERKVTDSSG SVSALSSTSTKNNYNSINNRLGAMGIRLPPHMRGIAHDSNDQSPRNNL TSTA_071640 MDFSRFSPHEENPPTQSYSSRGPMRIPTKTIEDFAPPPLPPPQR INDLENGHDAGWLHANGMGRPDMTKLAPINPSSSLFGGHRAPEPIPRIERMSLDDSAG RSSSFSHTKIELPRRETSSFQSSYATHFSEPILQGEQNFSRKCVMSSSDNYDRHLLSK IGKPHSPPRTSSILGNDFRSGSLGTSFQPSSLYDSSYSLGNYAVSPGGLSPRSKASWK DTSDYRSPSVESNAPSTSIDYDSRRRTGALTPQSEDTFSFFPRPGRPYQEQSVFPDVE CEDDNHMSHSDYLSGQHGMKRRASSPPREPILHKAPSNGDLASRRTSAHHFTNHVSPT SRHLPSHNSISSLSSASWRTSTSFSSSAGLSAGTSASSYDRMSTGGQSPKSDGDHYHD KAVVNQPSPNGSVGGFSTLKTPYYNGDSKPTVSNRKISFQSGISGPRGGNSKLGGLYI CDCCPKKPKKFETQEELRTHELEKQYTCQYCSNRFKNKNEAERHQNSLHLRRHSWSCA ALANFQAAFHPSTSPVTQTSAGPTHDTCGYCGEEFPNNPEPDWDQRFEHLTTMHKFGE CNNVKKFFRADHFRQHLKHSHAGTPGKWTNILENACMKEEPQAESRLGSISEKGCKRS ELGDAPLTSSTIDEVMDES TSTA_071650 MLFSRYVLPAAVLSIGVNAFYPYIRPSTAVPSLTSRLVERFYPF HLRGSSSSGDDATHDELLTLPLKKRSTVNKRENKYTIVHGNPATGPNSATVNEDGNDF SYFFEMDFGSNNQSMWILFDTGGTNSWVFGTNCTVPACEMHNTFGQNDSTTLQTSGNT FSVGYGSGTVSGLLSSDTVKLANFSFQLTFGLVNNASKDFVDYPMDGILGLGRSKSST TGTSTAMEAIDNAKLLPSNIVGISLQRNEDGAKDGEVTFGGVDASKFEGDITYTSVIS TTDRWEIPLGDAVVAGTRLNVTGKSAIIDTGTSYIFLPPADASALHALIPGSALSGQE YTFPCSTNTTVQFTFSGVTYSVSPKDYIGSTTENGGTTCFSNIIAVQTFGPDDWLLGD VFMKNVYSVFDFDQNRIGFAARNGTAATISTASPVSAAASSGNAGTTTSPANTTTQTA SATHTGAYTGAAASFSLPSLVKLTTSVIFLGLYFLSNL TSTA_071660 MGANASTQAPAPSTSTEESKCPVDHKTREIWLQQHQGGSAGGPP HPLPPSAVSSNNGATTNEDKSKLQRQLSNDREVSSIPRAFDGPNTSTSDNRQTPSPYA VSSTASHATPSNAESETGHDAATGNWIYPSERQFFDALVRKSNVPGSTQSAKELATSV ASIIPIHNAVNEKAWQEILKWEKSSPSSDPGSSKCGGPRLYAFRGLGTESQFVSPRAR LNGLMGYQLPFDRHDWVVERCGGERVEYVIDFYQGKSAPLNPQESSGGIMGATGPGKL SFYLDVRPKLNSYEGWRMRFNRYLCMITGPGVSRQVNLTLNTLPSLTGVTENNK TSTA_071670 MTDSNVFRATTTAPVNIAVIKYWGKRDATLNLPTNSSLSVTLSQ RSLRTVTTASCSPNYPATEGDTLTLNGKPENIQASKRTLACLSSLRALRKELESADPS LPKLSSYPLRVVSENNFPTAAGLASSAAGFAALVRAIADLYELPQSPTELSRIARQGS GSACRSLQGGYVAWRAGVKEDGSDSLAEEIAPASHWPEMRALILVVSAEKKDVPSTTG MQTTVSTSPLFATRAEHIVPRRMTGMEEAITKRDFAAFADLTMRDSNNFHAVCLDSEP PIFYLNDVSRAAIRLVNDINRLAGKTVAAYTFDAGPNAVIYYLDEVSELVGGTFKTIL GGELEGWEGPFGDRLKGVSAGVDLNSLDSRAIEVLKTGISRVILTGVGEGPQKIDTHL VSEKGDILSLEK TSTA_071680 MRNLKNVRLVEVELQTKLPLTATAWDTASDSIICTFGPEEGNQV IELRRKRHDVVYTSPASQEDFETIASWDAPSPLPDLPYDQVLSLQYFADNLTAILVLQ GGDIIIVREDPQPGEDKIEILGSVDVGISAAAWSPDEELLAITTRANTLLYMTREFEN VAEITFSPDDLKLSRHVSVGWGKKETQFQGKRAKALRDPTMPEKVDEGKFSTFDDSRT TISWRGDGTFVAVNSVESGVRRVTRVYSREGTLDSVSEPVDGLEGALSWRPSGNLIAG IQRLDDRIDVVFFERNGLRHGQFTLRLSEEERQTWGSDIYLSWNIDSTVLAVYFKDRI QLWTMGNYHYYLKQEILLHGESKKFVRWHQEKSLRLIAVSSNLLMDSEWVFDVAHGST TSPDDYGAVGVIDGRILKLTPLRLAGVPPPMSHCEINVDSNIVDVAFSHSGARIAVLT TDNFSIFSWPLKTRSVPSPLLESSHPLPQTANSRPRQIAFLNDSEIFILIHDGAPTRR IERTKLDTRESSVSYVIAADEQIQSIFPNIRYDKLWISKSSLKAQGTTYLQGILSANN LHISSFDAAPAVEAQWAASTYISDDQDILVSMTRTGALYANRRLLAKNCTSFILTPAH IIFTTTQHLLKFVHITNVEDMEVPADTPETDERCRSIERGGRIVTVTPSNFAVTLQMP RGNLETIYPRALVLAGIRSFIDAKDYRLAYLACRSQMVDMNILHDYAPQQFMENVPLF ITQIKRADYIDEFLSRLKEEDVSQTLYKDTLKLSKAEADTAAKSAVKPTANGTLKPLK DSKINRICDAFLVALKSKMDTNLQNLVTAHVCKFPPDLDAGLQLVADLRVRSPAQAEE AIEHMCFLTDAHHLYKNTLGLYDLELTLLVAQQAQMDPREYLPFLRKLQTLPELRRQY EIDNYLGRSAKALKHLHALEAFDELKQYAVKHSLYREALDLYKYQAEQLREMTRLYAD YLYEQSNYQEAAIAYESLGIYDEAYKSYQIAHSWRESLYCALMVPLSQTELETHANNL ITTLVEEDKDYLSAAQIQADHLKNYPAAATLFCRASRFADATRILAINGLQDRIPELV DNGLAEAMGSTTDFLADCKAQLNAQVPRIGELREKRLTDPLAFFGGDPEAAAAGGVDI PDNVSLAPTDASTAAGRTMFTRYTGGTNMSRRTSKTRRREERKRAAGRKGTVYEEEYL VNSVRRLIERVNSTFDEINSLIKGLLRRGMRERAVAVEKALQEVLGLCSSAIKEIFDQ EKEDQQQKQTEDATDQGAGEQTYSAAGGDAVFLESIYAGQKRVAPVMKEFKKLALLG TSTA_071690 MIAASAARARLANSIVARRAFSTTRTQLASPYHYAEGPRSNIPF NPKTKYFFFRYWAFMVTGFGAPFAIALWQTYKTRP TSTA_071700 MDDLFDVFEDKPGAYVSDDEAAKPRPKKDKSKKRQANGDVKAKQ QDSQPKDDEVLPDAPAATTTTNGVYHLGEENGQPEAKRQRLQDVPAAVVADSFETEQE REVAASAGLQSTQDTGAVVLSHQVRHQVALPPNYPYVPISQHVPPEEPARTWPFTLDP FQQTAVHSIQREESVLVSAHTSAGKTVVAEYAIAQSLKKNQRVIYTSPIKALSNQKYR EFAAEFGDVGLMTGDVTINPTATCLVMTTEILRSMLYRGSEIMREVGWVVFDEIHYMR DATRGVVWEETIILLPDKVRYVFLSATIPNAMQFAEWIVYLHKQPCHVVYTDFRPTPL QHYFFPAGADGIHLVVDEKGVFREDNFQKAMSSIAENKGDDPANALANRKGKGKDKKF NKGGKKDQSDIFKIVKMIMLRSYNPVIVFSFSKRECEANALAMSKLAFNDDSEKEMVS KVFNSAIEMLSEEDRKLKQIQNLLPLLRRGIGIHHGGLLPILKETIEILFQEGLLKVL FATETFSIGLNMPAKTVVFTSVRKFDGIDTRWVTPSEFIQMSGRAGRRGLDERGIVIM MVGEEMEPAVAKEIVRGEQDRLNSAFHLGYNMILNLMRVEGISPDYMLEKCFYQFQNT ASVAGLEKELAELETKRVNMNIPDEATIQDYYELRKQLSKYTDDMQEVISHPDYCLPF LQPGRLVHIKHQGNDFGWGAVVNYKQRRSKDPKEILSAQESYIVDVLLEVAEGSATGT RTHTGLPPGVRPPKEGEKSHMQVVPVLLRCLQSISHVRIFLPKEVQTVDSRASVKRSL EEIKKRFPDGLALLDPIENMNIKDISFKKLMRKVEVLESRLLANPLHNSPRLPELYDQ YSEKVELGVQIKAIKKKISDAMSIIQLDELKCRKRVLRRLDFINKEEVVQLKARVACE ISTGDELMLSELLFNSFFNTLTPEQCAAVLSCFVFEEKAKDTPELTREELIKPLKEIQ AQARVIAKISMESKLAINEEEYVQSFHWELMDVIYEWAHGMSFAGICQMTDVYEGSLI RVFRRLEELLRQMGEAAKVMGNEELEQKFETSLTKVRRDIVAAQSLYL TSTA_071710 MNSLVATPPVPPHFYEHSRFSPSRSMSTPAQQSSNRKRKAEDDG NDVDIRMSASPTNSPAFTPRPLPNRQMKRSRPNVSGRPLSLPRLLETLDTDALRSVLQ AVCQRHPELGDEVVHTAPRPSVSSTLQVLRNYQSTLQTSIPLGSGEYLSDYAYNRVKQ HLFNLLDALSDFTPHFLPPNESQTSVSLTYLDGATDIIHSLPKWQTPRHNIGRDSAYE EICKAWVLVIREAAKRGGGIQLQYGGWDQKLAKHNETSGGKLQDAINELQNSLGWMGS SALPGVGAAGTQGGDQTSIREQLLSGTYGMGMPLKVGPW TSTA_071720 MEASPLPTVLVPENPQPSNLQGNIEADDRNSSDSDSAFHGSIGD ESYTSSISTSVRNYKYENGRRYHAFREGQHVLPNDENEQARMDLAHHIYLMLTGGKLY LSPIHDPQRVLDLGTGTGIWAIDFADEHPNASVLGNDLSPIQPSWVPPNLCFEVDDYE SEWAYSTKFDFIHGREMEGMVQDFDRLFTQCYKNLKPGGWAEFQTIELNCFCDDDSRQ KAAAWVRWSENLHRAARTFGKNMRTVRTWSDKMRNAGFQNVQGVVFPLPFNTWPKDPK LKELGRYQQLHMFEGVTSYSLRLYTNVLKWPKEEVEALLGEVRKILKDRSCHIYTLVH FVYGQKPSEQED TSTA_071730 MESYRPSSHSPFRSRRSHPALNHISLAPLTPRFPIDDLPEQDDY FQSAHGQSTSYLSSSSVPNTPPILSHSRASSRTRHHRRSKSSNHTLSDTDLRSLGARR GIHHQQAQTGASKSGSHTPGSSSSTGRRKSQDSEWLLRAGLALTSSTREEKGQSWLVK RESSTSLVAEAEPDVIRITRHHRRGRSTPFRSARSSAVSTPAALSRRPSRSREHSRYA SRADFGMTSMDVESGGASRHSRKSSMGSYHATLPDFVDARIRAEIASINGRNLEDYDD DYEDNLSGSSFYDSESESDDEMDEREFQRLTRERGFGLGTWVDQFVSWTLFGIEEEVS TSPAPASAEESSRHAIVAFEPASATIVKGESIDDDSDGVVDRDEATGPVERAGDKGGW ADATWFLRIARKAII TSTA_071740 MASSLNVGAVRSGLAPRFTQAKPRSSILRRTYSSATATTPPVSP FAPRHFLSIADLTPAEFTALVRNASSHKKTIKSGSVPRNLLGALSGKTVAMMFSKRST RTRVSTEGAVAQLGGQPMFLGKDDIQLGVNESLYDTALVVSSMVSCIVARVNKHQDVA DLAKHSTVPVINALCDSFHPLQTIADFLTIHENFTPRSTTGLSSLGMEGLKIAWVGDA NNVLFDMAIAAAKMGVDLAVATPKGYEIPSTMVEIIKQAGAGVKSPGQLIQTNVPEEA VKDADILVTDTWVSMGQEEEAVKRMKAFEGFQITSELAKRGGAKKNWKFMHCLPRHPE EVSDEVFYSHRSLVFPEAENRLWAAISALEGFVVNKGKIEGTKGLMKSLSSLQHRKVY TAGGGILVDGIDLRVEARSFVYPSYTLDMAFGNNLRRTFSLDISGVTGVSIGVFHENE IIFRRSIGYRDLEQKLPATSDTIDPIRSLSKAFTATIYGSLVAKGVVDWDSLIRDVLL EFPSGHPEVENLTNVTGLLSHWTGIAGGDSLYFHVQPLLSNTELVAAYASPPQVGPFR SKDWSAVANTWPLYFERSHLIASGSIWSSIDDLLTFYREILNDAAQKMNGATDAEETQ SPLRQLSTILTGHTFFPPQRESLNERTLGLGWIQTQLPSKLGFIGLTPQIMKSMPTIG YRFTAHHLPSGSREQSTILQHKIYLPATASESLTEFVGEHSYAARIVVKMAEGARKLQ FHGLPEEDFDLKHPHGNLIY TSTA_071750 MADDSTAPLLSRASTDSRDTSYSHKQHQQQRPDSPSFQLSSEST PLLRHEDAYGISYGTENRRRSSASVSSNPLWPIEDPSKRPRYRQWPIVIAITTLLAAV ILTLVFGFAAPSAVKQYAQEAAVFKPQRISLDSATSEGISIRVQGEFSLDGSRVRQPL VRGTGRFATWIAKEVETGKTEVDVYLPEYDNALLGRAFLPAIKVDIREGHSNSIDIVA GLQSGDVAGIRQVADDWMNGRLARLRVQGTADVNIRSGILKFGSQAITADLALTEKDI PALPSFSIDKLNVHENPWNPKDPGIAVEVAVSISNDYALSVVIPPLGFDILLPNCIPT DPHILVAKVTTETVNVQPAAPAIVDVSGLVRRLPSELTRVCPGKKDSPLDLIISAYLK EHKTVIYVRGGNPPSASTPGWMVDLLKSVTIPVPVTGHDFGQLIKNFSMTDVHFSLPD PVAEPNSPEAQPKISAMVKVMVELPEEMNFDVDIPHVRANVGVYYEDDKFGILDLSEW QPSNASRIDDPDSTRPLLLVSFEIKDGPLQVTDNDVFSQVVQSILFGNDPVELDLQAL VDGEIATTLGQFIIHDIPAQGSINVKPPFGSRPIGSLIKPRVESFEILHTTQSTISLQ ATVNFSNPTPYSASIPYIDCLMLYNGTALAHVTGRSLSVVPGDNIGVVFEALWSPLAA GADIGVIAGRELLSNYISGLNTTVTLQAHEGSLPGLPDLGKALSGISVNVSVPKLGTP GDGQGDDDDDEGSPHFIKDATLHLWSSTAVFTLTSPLRHSTLYIDKIDATAFYNHTEP IGRIDYELPFAVPPGDSETPRLPVALDLGGVGYDAVRRALGGTLMMDAKAKVGVTLSE YSTTVFYQGRGIGAKVRI TSTA_071760 MAFRRALAKPVAAAPAISASTTTSAAKSSATSFFARNGRRSTSS STSALAYKAMHRRSPLKLPVSDNAPQWDAPAAVSSILYETPLPSTNPPKRHILNCLVQ NEPGVLSRVSGILAARGFNIDSLVVCNTEVADLSRMTIVLRGLDGVVEQARRQLDDLV PVWAVLDYTEAPLVQRELLLAKISTLGPEAFEELLKHHREMTSDDAAGAENGEAGVTI EDSHFHPRNIPASQALRHKHEHLDAITRLTHQFGGKVLDISTNNCIVEVSAKPSRIDS FLKLVGPFGILESTRTGLMALTRSPLTEVTEEVEKEAADVVDASTLPPG TSTA_071770 MADDERRAKRSRFDQTEPEPRRQSRFDRRSRSPASRHPDSTRQR SPLSREPRSPGAEGSARSSAAPDPTAAAAAAAAKINAQLQAKKGIQHVDVPPIRSSGS PAAKTGVSADGATKLDNDVYIADGDYIKDIDVNGLRNRYALTRGSTQRMIKEETGADV TTRGSYYPDRSMATPSNPPLYLHVTSTTREGLDKAVAKIEELMKQELPNLVDERRFRR REQPEVERDEFGRRKWPEERIPIDLEPIPGFNLRAQVVGQGGAYVKHIQQKTGCKVQI KGRGSGFREHGTDRESDEPMYLHVAGPDPNQVQVAKELCEDLLSNVREQYQRFKENPP QHGYGGYGQRGDRHYGGGHGGGGYGGGYGGGGYQQHNQHHQQSPSATASMSPTGAATP AESTAGTTNTADYAAQYSQYYNGQDPYAAYGGYQNYVAYYQYYQQWAQQQQQGGAPGA PGAPDATGAAPPPPPASEVPPPPPPGAGSGSPPPPPPPGGGSYNAVPPPPGL TSTA_071780 MVALFRRTSLALLAASVASASPLLETSTLVARGTIDSDKVVGFP QTVPSGITGEVYLAYKPYLKVVNGCVPFPAVDAEGNTNAGLKPTGASDGQCSSSTGQV YSRAASYNGNYAVMYSWYMPKDEPSDGLGHRHDWEGVIVWLASGTSTSASNILAVCPS AHGKWDCSTDGYTLSGTQPLIKYESIWPLDHSCGLTTTVGGLQPLISWEELPAVAQQA LDTTDFGAGNVPFNDNNFLSNLGQATF TSTA_071790 MFVPYTGPSNQRNPKARRAANAFKAARGASRAKGGHGTLQWVQV PDSSSEDSSESSTNETPSSLVIRASKSSSSRAAEPAPLPKFVLGGQKFYPYHGMGGKG PLTTTALSRYFDILLPDDCKAQGIDVSRGAAYGGGLLKWIAQHDGVLHGLTAFALCSL ETVGKTDAIYQAILHHRHKILEDVHRRLERRQVDDVLIQAICLLIPVDDYLGYVEYGP VHRSGLSNIVKIRGGFRQVGNSDMAFGNLLQTSMLVVMSMIDFHVQTRIAPMFLPDER PMASISLSSEMQKRIFALPSGFQTLVHAGILSTNVLVIVESYEAWLLQVKDVELKDRA TWRPQLLSELNNVEKCVVVTLVCLADDISSLGFHAAAPIFRKPKQRSEALTDVPELWA DPALVDCVIWMSTVISTPRNSRSIDPDFRKEVLKRSIRGRDFALDWEQIQRKLRRFFY AEERAGDWEKAWNLALGEPWLFE TSTA_071800 MFIPYTVPSSEKDRNTRRMINTHVAANASVKRRSCKKAINDGSQ ESTEFTKGLNWFHIQSHPTTTPSHNQQQESATDEEKTLEHTASDLVVAREFCCPSFSG GRFYPIKDQGSDSRGQLFLNALSYYFDVLLPYDSKVAGLNNAERYGYAAKLLDRVLQY KEVLHSRAAFSLCILHHNNGSRLVHQAILSHRQHTLKALRQKLSSQQVDDALLDSLCT MISVDEYLGFSEYRAVHLKGLQDIMQVRKTRNANQQLTLSPAPMPTAEESAITMVVNM NRLMVEFHLQMNVGFYRKMATIPTPTPETLARFSDPALEMRAMNLPPGFRDLICSALL TANILNLLDDFAAWFFKGMGTEAAYRETWRYSYFEPANGLENCISTALVCLADDLSAL GSHPCAVISGRRTNVQRC TSTA_071810 MACEDTSKELPFPSWGSDSAYDYPSLLDEAVESLEIASPTSTEN GSQKFDSPPEAGATKPVCKSRKRGRPRLIRDDRREADLKERRKDQLRLAQRAYRSRKE DQITMLNKKVADLEQKLFLLRNLYLNTCATSMNSDVLREWPTNSKSPQDSLELLTPNS GIDEASIAAYGGHAKSIPSIPVGESYPLFEMNNPLRPEMSPIGLLDMPYLLFNDNNYV GGTPAWRVRYSDHSNDIQNNADYLLGLPM TSTA_071820 MPDTPLSLPKLNMGNDAVISQVQTDPPPKYLDMSTGVSNPALEH FIYIPQPPLYEYGATVYTHIAHRSWPSEFPPQLEYDCVGVQRPTEGLTERRKRQNRLA QRRYRVRQEQRAVLLNDKVAGLEQRIQSIRELCLDVLKNSGLLGYYSSDTLLSTLQSL LSLTDPERLRLENHNPYFDLGVQSLADLLHREARCAAPEGQLVACASVSSKSDAA TSTA_071830 MPAPEELGVELSIASTLGYDDTERALEENSPAKSNSLRTTVTAQ DWIGPNDPENPQNWSTPKKIYHVLVPTIFAFVVSLGTSIISPAIDSMADALHASSEVA LLSFSSYVLGLAFGPLLGSPMSEAFGRRAVYLLSIPISLLFTLGVGFAQNIETVIITR FFAGAFGGPVLAIGAGTNADLFIQEQRSTMTAVFSLAPFCATGIGPVIGAYVNAYKDW RWVEWTLIFFLVASYFFALFEEETYKKTILQRRAKKLNIAPPPTVSGIASLRHTVTVV LLKPIHMLFTEPIVTSFSVYISFNFSVLFSFLAAVPLVFRNVYGFTPEHQNLTFIGIF FGCVLALPTQLALDQGLYQKRQRKSFTEGSGAIAIAPEHRLYGAMLGSIGLPVGIFWF AWSSRSDIHWIVPIIALVPFAWGNLLVFISAVLYTVDVYQAVNGASAVAANGLLRYIM AAAFPLFTIQMYEGLGHEWASSLLGFATLALLPVPWALYRWGPMIRRRSSYDTIKA TSTA_071840 MTTNMIEYTISGKPGPIKATRSIAPIPKPGANEVLIKVIATDSN PKDWKAFRNHEEGRGINQGDDISGIVESVGSSVWEFAPGDRVAAFHRMFQPHGSYAQY AIAPASTTFRLPANTSFEAGATLPLASMTAALALYQNLSLPLPWNPAKTETPILIYGG ASAVGAYALKFAKFSGLSPIITVAGNGIDFVKSLNAADHIIDYRNGNVVEAIQAALEG RKLHHAFDAVSYNRSYENIVAVLQASRGGQIDMVDPPSDDSAIPNRDSWNWPEGIKFT RTFVSSAYGTPHKYRDETQAAEDHEFAYVFYRYISRLLAEEKLKPHPFEVLPKGLESV AEGIQLLYDRKVSAQKLVYRIADTPNLV TSTA_071850 MVNDHNHLWVDIDFTLRRVFGKTSFRPLQREVISAVIDGHDVFL QAATSFGKSLCFQLPAVVSHGVTLVVSPLLSLMVDQVAALEAYGIPVATINGTTPLSE RKAIIEDILSGHPKIRLLYVTPEFCCTETFRRNLKRIHAQGELTRVAIDEAHCISEWG HDFRPAYKELSWFRETFKNPLVPITALTATATPKVRNDIVKLLGLDKDSLKWFHTPSA RPNIHYEVKYVEEIDDDCTSADEERVHDLLTWLDTVRKRREARMNPDANGESNEPRPK LPPISGIIYVPLRSVADVLARILNKSGRNIRAVAYHAGLPASDRKRIQKMWASKTPPS TQLTGPCPYFSIVVATNAFGMGIDNPHVRFVIHWAPPRSFEGLVQESGRAGRDGRAAV SIIYYNRNERDRVLERVKADGRPERTSNRFAPQTAGAKKRSLEARLESFNKVIAFCES TDRCRHEIIREFSGDLELEILMSSQTTRSNQTSSSPCDFACDVCKYGTAEITQKKTEM LKASAELEAMGAYSADMHTFMYYWMTYFVRR TSTA_071860 MHSQRELNRHGRNKYGRIVQRRPAVAAVADVFIQSLVLAGFCPD HAPKQRAYPVHNQFSSIRYRAFGTSANYSNNKQSPSHPSIGLPTHSFNPRAAHIQSQP LSTYAAKSEIDDRDPRPVGPFSSIRVNNKVSASTERAPEPMILGSDDRRTMRQMKESI ESSPHNASAHISKTRHTVITSAYRCDRRRQVEHPFTFKYHEKWMTHHNGRVKPKWPKN HIGHRLKEPTAASAIQSFMSAVRSSSKSNQYVFGLYKRLPSPGLKLLSFKQRSRLLYR FAHPPDRRRCNARYFLTLFKDMIDAGFKLSRSLCTSAIYFTSHKEPKLNKRDLMDAIA IWHRMETIYDLKADNVVFELLFRIASLSGHFGVGDRLIQEMKNRKLQLSLQGYLSILY SYGKRGDTEGIRKAFQELVSSGKVVNTTVINCLISSLLNAGDFDTAEQIYSRMMQDHA RTTGSDMTPVYVPSMSADFHAWRSRNNTLTKVFEAYLAVRTKEKCEHGRQLHIPFLPD SRTFSIMLRFHCLHTGDLMAIRRLLSDMEKVFENPPNVIVYYFLFRGFALHSSAKGWT EVRLLELWKAFKSVLYDSYTQLDHFENSRLPLKPVNWENPLKSLRPPQQGSQSYSQAS IDGQIQTGSKITKENTVGEDKKHGNEFLMALFDQLTKNGRLRQLHQHWIYDKKKRRRI YNAMFLSRELNVVILKAFGSHCGVRTLFRVYSDIEKLMYITVYF TSTA_071870 MGKYNLTALRVRQTALRQRANGKIDKLPEWVDIVGDIPPAQVVV RHQPIQHEYLRQRVRTVPGTSKLEVYFESINQRRTNRNKKPSKLFQPLKIKYEEDQLR KEFFRDHPWELARPRIVLEKSGKDFENYDWSRIQQPGKRLDGESVVQRQLWLLNNVPD MTKTAAYDIARREFYRLRLRQEIEQRVAAEEAEATGAVFGPRMLDISMELEGKVYEDW KVWAKTQAQIMDQKTAAFVGAPEVAIPPDDSKVSVMEAEVEVEAVA TSTA_071880 MLRVEDTVKLSHPLRSQTSMEDDMTIATTTPGSSFSSASSTQSA PSSIDDEPEYIKQKAKAAREANSEVRKATLKQLTPEETIELTRQAVENGIQDTKRSLA GSEAVSDVVRPKLTIDLGHSCIGQIPEGVVDIIKDEVERLSLSNNQLLHIPYRFAECA HLRYLNIRANNFREFPKGIYKLPLLEILDLSRNKISRIPDEVRKLTSLRVFSIMQNRL DDLPSGVADMNKLQILKVSGNFLKYPLRRVLENKESEVAPLPMSTNEKEALVTAELKR FLKTRRQSTTPEPESGSEASEPMPTLDTPKPVKRGGNSRFPVIPSTSDGSSDPRSPNL SRPPPIPMRSHQRLASGQSSFQPGFSRPAIAPVPGANERNRSNSEGIIPLSTRNKRMG LISRRTDLGTLEEMRPYRNSHLRGLSHGSLLRTNSSSGRNGSASSSPGSPHGERRRAR DGPSRRMSSLPEHKEETALTPIVTGAKGILFSLFQIHSHMSTLINVIKGDDARRHSLE IVFYNASTHVEQLNEALETIVDMDPDNRDFSRLSSDVKRECVTCLMAYTHVGAQLRQG ARRIVEQGDPRYVRSLMLVIYGSLLELRNACISLGASSLATRKERKTLRNKPADLGGE QVQILSDGLPAVAVTPTRESTQPTRRFRSDTMIQHPQSGPISSTPNMGWQITTASPSA TTPSSTTMMFGSRSRSNSRAATALSSTAVSSLATPRSGEAFPPIPNMPGTRINPVTGL DEFVEEQIFEKIFKQLTAAYNAALHALPSARHQFSRCLEIAETTREPEEIRIMWNNLI RRCNACFESSEALGQKLLTMKVKEPGGGARNQKDFWQLCKVFMQSFVDLVTDMREVRN MQLLPPDIVTILRPVQKASREAGRLIETSPWSYFADVPAAPHIPYVYGPPLQTSQHHP SSSAPAPYLSSSSRLPGASPQSSAMPATPLSAALGPAVQATIPSTPASAYSDRFFAGD VFQRADTLLSMQNQAPYLYRR TSTA_071890 MDLQKPKKSRPDTHDQDDSSDYRVNGYGPAGVMDNDDTDPRQEL EHEVTVKMDTKRDMSNPSSLQPLVQPPRLMKLSLRRRVSRITAARIPKGIPLDSRQKT RLRYADMVPPVTKETLSELDLERIMQNIQLRVDANFESGLHFLLDLNGEKGRQKKIMT DEYWEALSIEIMMYTYINMNRPRTSEIDMVHVPDMMALMKDTDLFQPRLPIMFETLHG ILMTLVPEKDHPSVSQNLDISHLMQQVHKGVLDLVSLSKWLAELLKMHCAPMRDHLAD EMAAEIGMGSTQADVGMLVSGLRKLFSMLEMMKLDVANHQLGAFQIILIENTIPFLHD YFSRRIISREFKVDETKAWYQGLQQRIPHSLKEGVNQSFYPLSVLLYGLSEHILQPDE QVKLPEPFDFDTARLWRLRSEVQKIIHVHVCWSILESLLQSLDSKRSYSSQMHASFVM RVAALLEKDNDSKSEPSSLGSRLPNDSSIAMEMARTICAVIGQMDDVSDDILKQVEDA IRKSFADDSTQLQLVRNCVHRQLLQATCEVAQRYVNMTPLEICESQRQHAYLQSSSLD FSFIATKLAHIGVLHWRVWAPLVYVSQPPIVYELSAEP TSTA_071900 MSSEYLLAVNPDKPLFTDAEQDTASVPKNCFIRSFLSRIKTHRF KYIAPGLEAPHDASQFAARQKFTGLPRARDKDHSRTVPFIDGSDFHEEIKQLFFVLDD PVPYSDTDPIPTGLFSEPVDQEILEIAEDGFRLLPF TSTA_071910 MNLILLISNVVAPILSGVAITTIGYYTPFMLLASIITPISYGLV STFHPDTPHPVWTGYQILAGFGIGFGFGVQQPLVAVQVVLDISDVLTGTTLIVFMQVL GGALFVSVDENVFSNKLVQYIVEYASGVNPAVVLGAGAIGIKQVVDSANLPGVLLAYN SVTTQTFIVGAAMAGEEHWDVIQAAI TSTA_071920 MVEFMRISYIATLFYAPMALFVKVALLTLLARVFKPYRKWVLAI NIILGIVLAYYIPAFIIKIRICNPISSYWYGTDRGGSCLDQGRVILADSVMSVVTDLA ILILPLPLTWSLHMPLEKKLKVVGLLSAGGLATGFSLYRLVMIVQDGQSSDQTMVFTR VVLTGNAEGAVALICACLPALNILVSQIPKSSNASNSQGFQFSKIRRSRNDTTRKDHE DHEDGSGFCSDERTLISNIDASRSLPDSIDADGHMGTGMGIMKSVAVSQSVVVDKR TSTA_071930 MHDRLQSKLFQPLVIANGKIKLNHRVVLAPLTRNRGVPLNSVSA PENPNRIWYPGDLMVEYYRQRTTKGGLLISEGVPPSLESNGMPGVPGLFTEEQAEGWR KVIDAVHEKGGVIYCQLWHAGRATIPQMTGSPPVSASASVWDSPDECYSHIPVGETKP VRYADHPPIELSKEHIKKTIGDYCRAAQTAVRIGFDGVEIHAGNGYLPEQFLSSNINK RTDEYGGSPEKRCRFVLELMDEIAKAIGEENLAIRLSPFGLFNQARSEQRVETWTYLC KSLKQTHPSLSYVSFIEPRYEQIFSYKEKDKFLQSWGLSDVSLDGFRQIFGDTPFFSA GGWDDTNSWGVIESGKYDALLYGRYFISNPDLVTRLQKGIPLAPYDRNRFYGPFEDDN AIGYTDYPGAEETARSST TSTA_071940 MRLALPNLSKLPSTGVETYLTSLKATQLKNLARETGIPSSGRKD ELRHRISEELLTSILLHENKNHSTSEHKSKTKERKKSGKELSILSIDMGIQNLAFAHL IVRPLGEHAPGTGAGVDRGLIMLNAWHRINVQELRSCAPATQTTDTEIRNDEIEEESI PQTETTTNKNFEPDSLSYNAYVLLSSLLQAYKPTHILIERQRFRSGGQSAVLEWSLRV GVFEGMLHAVLCTMREVSRLLHDTHSDIYGLDGVVDGLKVYAISPARIKQFWDEGKSE SLLDNEEEGRKGKGQNQRGVKTFKMDIVGDLLSASTKTGKSLSCWDLAVNTDKGEKGL GVSDVVDAYLRRWDPQAVDSQPTGLKGRKKSMSATTEKAHKIDKMDDLADCLLQGVTW LEWQARRARIVRGEFLIHN TSTA_071950 MFFTKISLAAIAVLTAVSEARHHEYGLLHPKRSLTTTVSAPTVT VYPIPLSTAPVSAVVSSASATETDLTLTYTLGTGTSTQVVTTTIHRTQTDTLYVTATA APGGNNQVVGSSSEATTTVFSTSTSTSTVTVLAATSTAAGSGPGASGNGNGNGGQGCS GQATVTVTEKETVTVTAIPDATGGLNTQVISETGSSAPSKTATTAPTQPTETTTLKVP PSPSGPNGGHGNTSVAPYPTNVPGQGQVTSSGFLTKTVPLHTDRPRPTGYF TSTA_071960 MANNSLNLLRSRYASSLKEFISSPRTFEVLDRVPTESHERRPAQ NAHSLFILDSSFNPPTAAHLEIASTALEGSPQSSRLLLLLATQNADKPSKPASFEDRL VMMNLFAQELRTHLQSSLPSIPAADLPEVDIGVTKKPYFVDKAAAIEQSGEYPENLEQ VHLTGYDTLIRILNPKYYPPTHTLQPLEPFLSRHRLRVTTRPDDEWGDRKEQEEYLRH LAQGGREREGGKREWAERIQLVPGRKIGEVPVSSTKARNAAQNKDALLHSLVPAADLY QAE TSTA_071970 MANSDGTEQAKKSVYEIARSWGENPLPPTLLATLITAQHMRPFQ LLPMSFVPVLLFTSYTNVNGFKKDSAGISAAWSGLYLLLASRRKQAFMKKWGPRGIIR GASMGLALTNLVAGGLTYTLGTQEEEED TSTA_071980 MPSATASGADSSSGSARSREHNSGNQDRKYTPEQKAAVLRVRRC SPTAFYEILAVEKTATDGEIKKAYRKLSLLTHPDKNGYEGADEAFKMVSRAFQILSDA DKKSRYDKFGGDPDNRFSASGAGAGAGPSGAGTPFSGFGGGFPQGRPGGAMFEEEISP EELFNRFFGGGGFGPMGGGGFGGPQFVFNMGGGPGFRVHQFGGPGVRRRPREANAHTQ PASPGWASLTQLLPLILLFVFPLLSSLFSGGSTTPTGPSYRFDGPVSPHTMHRITPSL KLDYYINPRDVDDFSTRKFRDLDVRVEDEYVNKIRYECEEEVQERERRMQAAQGWLFP DVEKMKEARAMELKACRRFDDLKKRRRF TSTA_071990 MSESMSDADKIRNKRLAKLGGPSTSTTSSTSSPIESSSPQRPSP DLSSQQQQLSQPEISQDQSDARSSESVQGKRIKITPSSATPNVPSPSASPAPPKQRAA PKAEESLETFEDRTLRALFNITLDENQQKNIHGNKLTFLPGVLGELKDEGSEIRLSTG VLDQAILEAASNTGRDTPLDYLLPCWKRVRRLIKGFRKSSDNDPRFAVISEAKRLCIS YCVFAVTMPEMFGQTPTGRSPLIPNLLLDAEDDRGVDLDFLSEVVKLFEEQDDLKPTI VSTVEQMSQELSAKTMNDDYKPYVTALRNLVHNAVIGATIAESPRFLDVADAASFEVN TLLGPWFRLSPLQAPVTTTYFSSPKTRDQGFILNSQRSLRMTQQLLSSDLLDIINHLI RASKEAREKVLDWFAAAINLNHKRRAIQVDPKTVSSDGFMFNITTCLDQLCEPFMDAA FTKIDRIDAHYLHRNPRVQMRDETKINADQRTSDEFYAQKVDGTSNFISEIFFLTVAA HHYGSESLTSKLEQLEKDLRHMETQINKFELERHKWIHNPIQLRTFEEALKKYKDRLD LGFSLKYSLQGVLFDTLWQTRSMQFMRYVIVWILRLVSGTDFPKQKLTLPLPEEPREI FKCLPEYFIDDIVSNFKFIMWSMPQIITTAQGDELVMLCIAFLESSQYIKNPYLKAGL ISILFRGTWPRPGGARGILVDLLNSLPFANEYLLHAVMKFYIEVEHTGTHTQFFDKFN IRYEIFQIIKCIWGNPAYRNQLSDQANENLDFFVRFVNLLLNDVTFVLDEAFTAFITI HDTQELLNREGNTMEQAVRQEKEEALSAAQRQAKSYMQLTNETVAMLKLFTEALADSF TMPEIVQRLADMLDYNLDAMVGPKSSNLHVGNLQEYGFNPRGLLSEIIDVYINLMNKE NFIVAVARDGRSYKPQNFEKAAEIIRKRGLKSEEELAKLIELSKRIKQAKEADEQAEE DLGEIPDEFLGMSLAFLCIGDTFTDNMEDPLIYTLMEDPVILPNSKVTIDRATIRSHL LSDPHDPFNRAPLKIEDVIPNTDLKKQIADFKEERKAAKLQSLKQDVMDTSA TSTA_071990 MSESMSDADKIRNKRLAKLGGPSTSTTSSTSSPIESSSPQRPSP DLSSQQQQLSQPEISQDQSDARSSESVQGKRIKITPSSATPNVPSPSASPAPPKQRAA PKAEESLETFEDRTLRALFNITLDENQQKNIHGNKLTFLPGVLGELKDEGSEIRLSTG VLDQAILEAASNTGRDTPLDYLLPCWKRVRRLIKGFRKSSDNDPRFAVISEAKRLCIS YCVFAVTMPEMFGQTPTGRSPLIPNLLLDAEDDRGVDLDFLSEVVKLFEEQDDLKPTI VSTVEQMSQELSAKTMNDDYKPYVTALRNLVHNAVIGATIAESPRFLDVADAASFEVN TLLGPWFRLSPLQAPVTTTYFSSPKTRDQGFILNSQRSLRMTQQLLSSDLLDIINHLI RASKEAREKVLDWFAAAINLNHKRRAIQVDPKTVSSDGFMFNITTCLDQLCEPFMDAA FTKIDRIDAHYLHRNPRVQMRDETKINADQRTSDEFYAQKVDGTSNFISEIFFLTVAA HHYGSESLTSKLEQLEKDLRHMETQINKFELERHKWIHNPIQLRTFEEALKKYKDRLD LGFSLKYSLQGVLFDTLWQTRSMQFMRYVIVWILRLVSGTDFPKQKLTLPLPEEPREI FKCLPEYFIDDIVSNFKFIMWSMPQIITTAQGDELVMLCIAFLESSQYIKNPYLKAGL ISILFRGTWPRPGGARGILVDLLNSLPFANEYLLHAVMKFYIEVEHTGTHTQFFDKFN IRYEIFQIIKCIWGNPAYRNQLSDQANENLDFFVRFVNLLLNDVTFVLDEAFTAFITI HDTQELLNREGNTMEQAVRQEKEEALSAAQRQAKSYMQLTNETVAMLKLFTEALADSF TMPEIVQRLADMLDYNLDAMVGPKSSNLHVGNLQEYGFNPRGLLSEIIDVYINLMNKE NFIVAVARDGRSYKPQNFEKAAEIIRKRGLKSEEELAKLIELSKRIKQAKEADEQAEE DLGEIPDEFLDPLIYTLMEDPVILPNSKVTIDRATIRSHLLSDPHDPFNRAPLKIEDV IPNTDLKKQIADFKEERKAAKLQSLKQDVMDTSA TSTA_071990 MSESMSDADKIRNKRLAKLGGPSTSTTSSTSSPIESSSPQRPSP DLSSQQQQLSQPEISQDQSDARSSESVQGKRIKITPSSATPNVPSPSASPAPPKQRAA PKAEESLETFEDRTLRALFNITLDENQQKNIHGNKLTFLPGVLGELKDEGSEIRLSTG VLDQAILEAASNTGRDTPLDYLLPCWKRVRRLIKGFRKSSDNDPRFAVISEAKRLCIS YCVFAVTMPEMFGQTPTGRSPLIPNLLLDAEDDRGVDLDFLSEVVKLFEEQDDLKPTI VSTVEQMSQELSAKTMNDDYKPYVTALRNLVHNAVIGATIAESPRFLDVADAASFEVN TLLGPWFRLSPLQAPVTTTYFSSPKTRDQGFILNSQRSLRMTQQLLSSDLLDIINHLI RASKEAREKVLDWFAAAINLNHKRRAIQVDPKTVSSDGFMFNITTCLDQLCEPFMDAA FTKIDRIDAHYLHRNPRVQMRDETKINADQRTSDEFYAQKVDGTSNFISEIFFLTVAA HHYGSESLTSKLEQLEKDLRHMETQINKFELERHKWIHNPIQLRTFEEALKKYKDRLD LGFSLKYSLQGVLFDTLWQTRSMQFMRYVIVWILRLVSGTDFPKQKLTLPLPEEPREI FKCLPEYFIDDIVSNFKFIMWSMPQIITTAQGDELVMLCIAFLESSQYIKNPYLKAGL ISILFRGTWPRPGGARGILVDLLNSLPFANEYLLHAVMKFYIEVEHTGTHTQFFDKFN IRYEIFQIIKCIWGNPAYRNQLSDQANENLDFFVRFVNLLLNDVTFVLDEAFTAFITI HDTQELLNREGNTMEQAVRQEKEEALSAAQRQAKSYMQLTNETVAMLKLFTEALADSF TMPEIVQRLADMLDYNLDAMVGPKSSNLHVGNLQEYGFNPRGLLSEIIDVYINLMNKE NFIVAVARDGRSYKPQNFEKAAEIIRKRGLKSEEELAKLIELSKRIKQAKEADEQAEE DLGEIPDEFLDPLIYTLMEDPVILPNSKVTIDRATIRSHLLSDPHDPFNRAPLKIEDV IPSKSPTPPQPL TSTA_072000 MANFDSSKWFPAATVVQWLLNYHPAGKFSLPNSVLNLNGRFAWC VMEVVGPINMIYILSTLPAQVNFSFTDLPLWNKLAAALYVIHYFNRSIMNPLFVAPSI SPVRLEVFLLAFIFNWFNSSCIAGWIAGYDLTQRLGYTPLPASSTILHQCLPYIGLAT FAYGMIGNIRAERTLWSLRREEAQRRASKKLDSKDGECEKNIYHKVYVIPPAKGLFRW VLYPHYALEWLEWLGFVLVGTAVYPAHAFLPAISNPGLPAPSVAPAPWLRPFAMLAEH FRLPFPGPALVFVLNNVFTMLPQARRGWRWYNTNFGKDAVAGRSAVIPGVPFL TSTA_072010 MAFLKGRLRRKSSERSRATSIDVATKNHKSESSPSICASVADTG NLESINIEVEQLFQQATDTDTDHPGRSKTEPSVFLKIMKRKGSPTKPTGLKEGLRRSF SHRERPQPSTESKSSDRVQNSSPDFVSEMGYDSDALFIPSPRFSEQSWDSAHPCSPIQ MERTMTSLNQVLANDLRSQPNLHQDNETIALPVIEPPKLNDLSILKRRRKIEFGDEQS SRSYTLRLSSITPSDESGRSESSIAAIENRRKKSRFNELFHLGLKDDISSQQPRKVSI GWMSGGKRCGYGYSFVEKEEATNASCTADVPPDDSSESLSSGTEDWQSTRDVVISDDS QDNDEGSESKVEISSQVKDEESAPKPERISSPSQRLRWTIFPSHTKAERNLSMNLDNG IIVRDFCPKASPDRPQLEQNGEEKKHHLISHAIRQGFHDTFLWVIGLRKREIARYHAG LEVHAARSHECMDPPFETTVPYWDNVPKEELGDYHIEAWRAAKRREKKRSGGKLGKFR VSMGHSADAAAKEARKRPTSSCSSSKKVCESPLSGPETGWECWDTERY TSTA_072020 MAAADVRDMLDLPADGQPRPHKKQKVVEKRPEGITRELYALLGE KAPPISLTENKYKLKKSNRRAAPWRMTEFTNEARRDGLVLRHWQRRTDTNKTLKPLDD TAMDVDEHATDGAQQSTQPYMFAKYNVKAQVPKRYTDDQYQRHLQSDDWSREETDYLM NLVEEYDLRWVIIADRYDFQPEISENTEANATALVTAKSVRTMEQMKARYYTVAANML ALEHPPSEMSEAEFALHEKMMKFDPERERVRKELAALQLNRTADEVREEGILLEELKR IVTNEQNFIAERRELYARLDVPYHVSNTTMYQSSQGLSQLLSTLLQADKSKKRRSILG PDGVPSPAGQTPSQTLPSGARDGQIDTPTAGPSNKRGSAAAASAAATPSQPTVRTLTK EEEQKYGVQHHDRVPPGVHFRNDKATKLTQAKSNIQTQKLAAALTELDIPLRLLMPTE KVCKEFEKLIHQVNTLLDARKVAEKVDSEIRVLEAAREERLRKEREANPNAANTSATN GGSTEQAEKQIKLEAVDDQPRNQEEGAPIEQRKDGTNNTTETAPETKGSLSHKRSASV LSAQSDKSSKRQRK TSTA_072030 MSATRTSPSWLLTLFTVFTVLSAQARALHVYMEGQQRKCFFEEL PKDTLVVGTYKAEILNRESNIWVQDSSLQVLITVEETFDNDHRVVSQRTPSNGRFTFT AADAGQHRICFTPDSQSQGWLSSAPTVKLALDVAIGATSKIETEDSGKISDITQRVKD LNSRLEDIRREQVFQREREAEFRDQSEATNSRVVRWTLIQLAVLSITCAWQLSHLRSF FIKQKLT TSTA_072040 MATDRGRKGRPHPLQTPTAPASVYTDSSAAVSPALSSFSSSSRL QNKVFSSSVSSLLPSPSMGITMERTGSLPIQLADVKEEQNRDIEDDYFGFDQVMFEPY EMTSDVYDLNDNPTYYSETESPKRLRSESLSSRGLSRFGSGIQSMSSRWASMARSSEG TYVTDILDDELRSRANSAASSTSQITSLSQDALPMARNSQDQARHSGHSLGAISIEKA SSVAFDEEDEVGAKASTPLLPPVWTEYPFTTPSSEVASPLQSPSVADVFDEDTVPAMT SSRTSIHRSPPLSSKPSVASLTTRTRGMTLRTTISGEGAPPLVLTEGDDEWSFKLGHA NFTIHPEPYIPETSDATTFRQFRDDWDLARTNYAKHLVRTGEHYGETSMIYKFTKEKW EAIEEEWKENLETIVTNDVKFGAILGLTKSNMSPAETIKIPRLHDNEKFPELGDEEIV GPMSVAPAIHSAIRCKSPKRRNVFRFLHGLVSRPAPGITATRA TSTA_072050 MKRLSSSGGVSPPPLRRKVESTTTKTAVASFFTPISEKKPEKIS WRITNKSLIAGKYTSGPEDNAQTQPGKRRKVAAFDLDSTLIATASGNRFARDASDWKW WNNKVPGKLKQLNADGYQIIILSNQKKISLQTELKGGRSESKSLTNFKEKVLAVMRQL DLPLSIYAATQYDEYRKPRTGMWKEFLDDYDLDVDDRLDLEESFFVGDAAGRPGDHSC VDRDFAANVSIKFHTPEEFFLDASPEQVIREFDPLAYIDVDPDAPVISTFSKQHPLEL VIFCGSPGAGKSTFYWKYLKPLGYERVNQDFLKTRQKCIKVATDHLSAGHSVAVDNTN ANIETRKYWIDLAKEFSIPIRCIYFTSPPHLCRHNDAVRASNPSLNPESRTLLPGMAF ADFARRFEEPTLAEGFEDITRVDFRFEGDEESKRIWGQFWI TSTA_072060 MHEFLLFAPVPVQQHHELLQQLSGLTAMQPRHRLERCLLFKANR KATRINTRLGGGSQDVQGAEIQRLFKMLNGSTYYVRVVGVVNRTDFGASADDYDHESQ FWRMEWRDIPEAGIRSGVTSRLIANAALPQGDIVKVMETWGYTFVNEYVVEGDVFIYN DTTLFLHRVLNYPEDSQTAQTPRTTLPPLKDLTLLDRSGSYLLQASITVQDGVSSESA TQRLYGLRDQLRTAVKLEQADRLSLDTRVK TSTA_072070 MASLHSHRKDAVQAIQEITGYTFNDQSLLSEAVEAADFRNKDGN KDLALPGGAILKVFIIMEGRQRHQNRKTIASNANLAKKAVELGLKDYIQNNPAQGNLI SQGPLATAMEAIIGAIYIDSDRDYSVLSEVITRMGLGWPR TSTA_072080 MRTTAVLKNAARTPLIKFLGKRTTPASIDHTPHAHPASPTQTLP DSFASYRAKAQQHGPLNTPGRASSQSYGAIGGTPGATLGPVEPRKGEFFDRQELPSRF HRLPWSELEIEAIETGGASLYN TSTA_072090 MIARSIYHMPHSLRSLTPDPTPKFSPPTQSLDLKSIKRRAMGSR PLSLHIPAGSFPPARPTLDEVLSNTAPYPYTLSAFMAYLSQNHCLETLEFTMEAKRYR DSYRSVARMLGQSMVPADAPQTQQLITLWRRLISAYIAPGAPREINLSSAARDPLLAN ANPMMAPPPELLDPAVRIMHDLMEESIFIHFVNSQTFGPQIPSKMESPYIEDRPHRLR RAASRSQRSSPGVSRDDSTYHHRMSSSARVAALVKTGSHLSGYSSSGDSGSPVFTDDS LSSSPTGIDPMTPPTTPPGSAHNYSPRNRSDTTWKKMGAKLGFKKRPGSSSRDGRWEE TSTA_072090 MIARSIYHMPHSLRSLTPDPTPKFSPPTQSLDLKSIKRRAMGSR PLSLHIPAGSFPPARPTLDEVLSNTAPYPYTLSAFMAYLSQNHCLETLEFTMEAKRYR DSYRSVARMLGQSMVPADAPQTQQLITLWRRLISAYIAPGAPREINLSSAARDPLLAN ANPMMAPPPELLDPAVRIMHDLMEESIFIHFVNSQTFGPQIPSKMESPYIEDRPHRLR RAASRSQRSSPGVSRDDSTYHHRMSSSARVAALVKTGSHLSGYSSSGDSGSPVFTDDS LSSSPTGIDPMTPPTTPPGSAHNYSPRNRSDTTWKKMGAKLGFKKRPGSSSRDGRWEE TSTA_072090 MIARSIYHMPHSLRSLTPDPTPKFSPPTQSLDLKSIKRRAMGSR PLSLHIPAGSFPPARPTLDEVLSNTAPYPYTLSAFMAYLSQNHCLETLEFTMEAKRYR DSYRSVARMLGQSMVPADAPQTQQLITLWRRLISAYIAPGAPREINLSSAARDPLLAN ANPMMAPPPELLDPAVRIMHDLMEESIFIHFVNSQTFGPQIPSKMESPYIEDRPHRLR RAASRSQRSSPGVSRDDSTYHHRMSSSARVAALVKTGSHLSGYSSSGDSGSPVFTDDS LSSSPTGIDPMTPPTTPPGSAHNYSPRNRSDTTWKKMGAKLGFKKRPGSSSRDGRWEE TSTA_072100 MTASVRVKSQIATQRMKELHTQCTVMVVHDLHQLISQLIAEKMG ISDNNVTLVLVASSIGCALARLYAQKDPGTAAGLLFLDSVLANSDCVSIFPDPDTEGF DPAVLPAGITHEMLCQSREATHDAPSILSDGPIMQGPENEHGPYVRITQLERSMGPLL APTSGHFLQRIILRLWPQELNEILSQVL TSTA_072110 MEFYFQNAKRRFEVPIYYELYDLLHDAAERKEDFADIDEDVASA VRESIKKYMKYYTFMDISDTYYTALILDPRVKGDLLLYELDDEDTGRKILQALRGNLH EKYPDTTN TSTA_072120 MALYALFNKKMRSKTISLLCYPFDFLKRRGKAWLFLFKGPSIIQ EGYDKTKGAPFEILAPDARYLFVSSAEHIKAIDAAPDSVLSLQAAAKQMLQPKYTMHN FNWFDQRGLEGTPLVRALRTLLTNHVPDILPDIRRAMVTKFDQMLQSCPEIDGGHLAP LYSMIISVVAYSNALAFFGEELAQNQEFMKSAVDFIEKTLLVAEIMRLLPCFMAPKIG TFLANKFKAHLVINDNLVAVTEERLRERDMKRFGHEIRTHKDCIQWVMECSPKQKPWS AQRIVHELMALWFGSVHVLSTTICFAIHDLCLHPEYIEPLRAECAEPGAMDLLDSFIK ESMRTTPVESMSTRRQALQPFELPDGHKIQVGDWICTPLRAMMRDSANYPDPLKFDGF RFVDTERLNALKNMPSSLGSDPEMRMVGSGKGSRLTDVIDWQVWGTGRMACPGRYYAA AIMRTVVEIFITEYDIQLSNPEASRWVSWRTFIYPRSSTEVVLRRRI TSTA_072130 MADLSNVALAPNPNGSPPNFVNPPSLESTVLAVGVVFIAISGTL ILIRLATNYKYTGKLTIDDCEGLSNIPSCELLISFVVMISDSQSNTSHERRRREAYLG CSCQYSNQIIHQGKMPKQFKKDGVIDLKKRQFVQQMLIAPTLWATKASVLALYLRNFR IIQWMRVSCWILLVVLFLFYGSNIAIAAVYCIPRNGEAWDSTAFERCASPITSTVVIG VFAVVADLIIFMMPLPIIFRLRLTQQKKIGLGIVFSVGLLTVITSIVSLVFRVRVFLG HDPIWAGITLAITT TSTA_072140 MFENFNLFHVPSLFVATAVTFGGLIPFFNAEYAIVEFGLPQRIA NSKPAQSVMILSSARGTAIGIALFTFYFQGKLVEFDTVLTILGAYVGLVDGYVCLRED VPNKAVFRTASGLAIAAWGWFSMTITWRQWRSADEQKGYKPEALQGEDKRLHCVTTDS ARLDGVHNPLCNKFSIIAGSLLLESEAEIMAQPYKDGGGEDTPQHTQEDVRDFHLPWR VRCVFIILCLLSFMSAIDATIVTTSLQTVTQEIGGQKQYVWIVNAFMFATTVPQPFYG QIANIFGRRHPMIVAISLFALGSGISGGATSAGMLIAGRTIQGLGAAGLYVLSDIIIC DLVPPRHRGPYLSAVLSTAAIGTTIGPIIGGALAEAHWRWIFWINLPVSAVGLLEIIF LLRVKHENTSRIWRHAVSRVDILGNLIFIPSMFAIFFGLIMGGEQYPWNSWHIIIPLV LGVLGCVIFALHQASSICKEPSTPPRLFKHSTSAAGYIIIFLAAVILQAISYFLPTYF QAVKGTSPLMSGVDFLPFALAIIPFGGITGWFMSKTGLYIPIHWMGFILTATGAGLLS TLNQASSRGAWIGYQILVSGGTGMIFTATLPSTLAPLSESDVAVATGTYSFIRSFGLV WGATVASVVFNSQIDAHLGLIAATDSGNPQTIRDALAYGKAYSYASGGFITSLPDETQ HQVVDVYVKALRVVWLVVTAVACLGFVCVIFEKPVELRKEHDTQYGLVEDTKYTNKEG IIVNSSIDINTVEQGLSAAKGGQE TSTA_072160 MGKGIYSFITSTVHHVPTLPRSGANAADTLGLNHLSLRQSFSVR LALAVLLIAQFSFGFDQQGYSSTQAMASFKNQFGSYNAKMKKYTLDTLHILGAGRRYG GRMCMFCMSIRAIICATIPHHPEDVRPDFGWSCAKLIGIPTDIYIGMELSVVSIFQSE IVPSHACGFVVGTYQSSSYRKAYMIPYGLFYLVPTPVVTLIWFIPEENSSFLDIFRGS NLRRTLVVCGTNFFLQSTGQISTSLYGALFVSDLGTVSPFIVTVIIAISNLTASGIAM ILTDRIGRRALILIGASIQVTSQMTMGSLGNANPFTKSEKAGVVAMMVTRLWIQCWLG SRCTYSQCRISQQSGSRYDLSNCFGPQHCRVSAFIFASIAFVSIGIRTSFQMLLGGHW RKSTSCLRATPHCGSLQKLNFGVKETILRINKHEIQIL TSTA_072170 MASSSSNVVGVHYRVGKKIGEGSFGVIFEGTNLLNSQQVAIKFE PRKSDAPQLRDEYRTYKILVGCPGIPNVYYFGQEGLHNILVIDLLGPSLEDLFDHCNR RFSIKTVVMVAKQMLSRVQTIHEKNLIYRDIKPDNFLIGRPGTKAANVIHVVDFGMAK QYRDPKTKQHIPYRERKSLSGTARYMSINTHLGREQSRRDDLEALGHVFMYFLRGGLP WQGLKAATNKQKYEKIGEKKQTTAVKDLCEGYPEEFNKYLTYVRNLGFEDTPDYDYLR DLFTQALKNTGEVEDGEYDWMKLNNGRGWEYKPYASQHHPHGTAQMQNTSARDLHSTQ ARQPSRPGITADRLNAAQPPPPSPAKPGAGKTRDRQSASGGLQGKRQSALGQEISTPT ASTQAQFQNSNANLPGRIATPNQGTTSAQQMSGLQGANEPEPTFMQKAMRALCCG TSTA_072180 MAFAAINSSRNASTLPVIESTVNQQLPPTHVNYAIIDNAFATDS SLRKRVYDAISASPQHGALFQDIAQYTYRLLQSQSQQQRSQSISDEPAVKKRKLEGNT GAGGAISSTGILHDLKADSPLQFYVQDVSFAVPQRKKLTLEMTAAGGYLRARNQTSKE IEFGIPVKNIQHILCLPVPEKAQRQTNFCIIPKYGDGVTPVLENASVPDQMVFTIADG PAKAAFTGAGQRVESDENGEVLLRRWLNDTVGHTKVIRPDDREFVSATPEAHRKGEKA YHVKAHRGSKDGYLFFLSTGILFGFKKPLVFFSFDNIESVSYTSVLQRTFNLNISTRV DETQEPQEFEFSMIDQADYAGIDAYIKNHQLQDASLAEARRAKKYNVNGGKAAVDGEQ NGTEATGAARGGEEEEEEESELQKAQRELEDQEDEEEEDYDPGSEGDSDGSGSSSEED DDEDEDEDGEEDDEDEDGDQDLVKQELGSEAEDVDEKEL TSTA_072190 MQKVIQRTTLARNQAARKARIIKEKQERIDVVRYLREREQYQQA QLDAQKQAAKTRHEDWYKGPLAPRRDSGLEASTYGAVDAQGTFLPRIPKHLRRPFINI APGDRVVLLKGPDQGKICEVSTVDSENESLRCRDLNQADYRVPSYLKDENSAPITTDS LPISVDDVRLVCTLEDNGRQYEVVAKHVHGSGPFLTRSNASTPRHTRYISGENIRIPW PEENKIDQTTDEDGDTSRKEVEYETWTPSLNTAPFQSSVMDELRNKYSKYRTRHDPAW VEARKLEDLRAEFLKSRTLLTPVGEYKAKLAEQKAATRQSKKDENGNYIMDKATSDFI AQFISKNGAGKGQPERALK TSTA_072200 MAEIRRKLVIVGDGACGKTCLLIVFSKGTFPEVYVPTVFENYVA DVEVDGRHVELALWDTAGQEDYDRLRPLSYPDSHVILICFAVDSPDSLDNVQEKWISE VLHFCQGLPIILVGCKVDLRNDQKTIDELNKTSQRPVTSEQGEEVRKKIGAYKYLECS ARTGQGVKEVFETATRAALLTKSHKPKKPCSIM TSTA_072210 MNDNETLSVIPAQLGFLTIYNPSLGTTDETLQDQIVFYYSAASR SSNGGNASSNDSSSEQHNNKDETNERLRKIGLAQGMVNFAKNFSNGRPVDSVETEKSR IVLDELESDWWILASIDFTRISSPSAANASSETSTSIEYSSREIYPPQSLIQQLRRAH SIFLLHHSDSLESLYGRVGREPFCKLLGRFWNRFVRHWLVLLNGNPAVDLFNGVKLAV GGELGIGVGEEEWGSGEREVLEDFVSRTNGLVDLVVSRFGDAPTQPPTSPVKGTSGKE QAELRVWLGSDQTPRPSDGVIFSGVGAISRRSLVRISHWMEWIYRYGEAAYGVDENPS SGRSRRRRRPKPKATTTLTPTQPTGPKKTNSKTESQSGRKSPGIPLPLVVGTPPPVQN TTSGDQTPEDSGISAEMVMKYLTLGYGSVWKIPGISPNASSEATGSAKGGTENGGSQD NKPKKNDGDASQGKFIIGLRDDLEEEVSDDDNPDESVFFLPQNQEDNNRRTLLRTVQV EAVESASYNNGQVLTVQRQPFMFTFLFDLRTPSLTLPSFYRSIHHQLGPLQRPLLSST SPLNVARRIYFADCDAGEKKRASSAISSPIHDLVYDPSNLTVRSSIPNIPEPGVNHTS SSPSSLLGSSDRQPQWSRLEALNVHSQFLSTYIETRSRPLEMERTCKTSRGWWLIWVR IRDEPSASKQLPPPQQQQQQGQATAADRIQQHLNPSKEAFLIRKASDALSSSSGGSGA RHSHESSSGIRFLRDLSGASSSGAAATGGGGNDVSLGSGKLTEGLGFDAHRYIESLLS LNR TSTA_072220 MSSYRYSAIYILRNQLQCSRTIGRRWYSDKSIDPLRILFCGSDE FSVASLEALRAEQLRSPQLIKSIDVVCRPGKRVGRGLKQIREVPIKTTASKLDLRIHE LDTFKGWTPDGPIDLIIAVSFGLFVPSRLLTAAKYGGVNVHPSLLPDLRGPAPIHHTL LSGRRTTGITLQTLHHKHFDHGIILDQTPAPGIPVPNLEYCTVPELLGFLAPKGAEML INGIRNRVFVPPLKEVNSQVNKLNSKSPIHAAKVTPEDRHIKWKEWTWTDINRRQRVL SSLWNKALIPAQKPSADDPKNFQWKRVIFDEIEGISQDSVPKSQWLSLLPGVPFIPKP TESNSRDSALYVYTADGKLLRLNKLKVEGGKFNNALIAAQKAHMFSPKSFRATDAEFS LFYNPLC TSTA_072230 MGYSLKNRNVLVTAGSRGLGALIAEKFASEGANVAINYVSNEAR AKETESKITSQYAVKTTVIQGDLGVKEDCVRVVKTAIEVLGGLDVVISNAGWTKFAKF ADLDALDESEWDKCWSVNVKGHMHVFREALPTFNANPDGGVFIITASIAGLMPSGSSM AYSVTKAASLHLTKCLAKSQGHKVRVNAVCPGLLLTEWGSQFSEEYVENHINQTALKR VAELDDTADLYISLAKNASITGQSLAVGESHFVHLFEERQTDG TSTA_072240 MGDNKMPPADAVTVPADSYRETPYLEANVSKNGGEVVIDEKTSL HRGLKSRHTAMIALGGALGSGLIIGTGSALASAGPAPILICYSVVGFVVWIMLTGLCE MGTYLPIPEGFTGYASRFVDPALGFALGWSYWLKYSISTPNQLTAIALVLQYWVPADK LNPGVFIAIFYVVIILINYFGIRFFGEFEFWLSSAKVLTLVGVILLSLILACGGGPDH DAKGFRYWHKPGAFKEFDADGALGRFLGVWNTMGTAAFAYLSTEFIGVTVGEAQNPRE SIPRAARLIFYRIIFLYVLSVFFVGMLVPYNSKELAFATKQSTSAAASPFVVAIVLAG IKVLPGILNACILIFVFSASVSDLYISSRTLYGLAHEGKAPRFLAKTDRRGVPVPALA AFAVLALLAFMNVSSDSKEVFGYFVNCLTVFGLIVWCCIILSHIKFVQACKTQDIPAQ SLHYKAPLGTWGSWFALAFCVLVALTKNFKVFIHTKSTGNFDYKNFIVGYIAFPVFLF AFVYYKVVHKTKSVPASEADLYSGLAEVELHEKEWAEAQRQDELREKSMATKFYRRYI SWLF TSTA_072250 MAPLFQRRLRCFYCGQRSASKKTIGLREWRCEHCEAGNYLDENG EITDPPITVTDNPAILNNTYQSPFESAGFDQTGLFCRKCIRNQHMLVSALAAYLPDTD DPLYFAYEQQLPYYRQKMEDNYPQVCEKCEQKVNERIRQTGYEAKADHLRRTMERSKM SRAAQKARRRNWRSMLTMAGALGYWTSVAGQLLSDLIGTCALWDSIAGGRWPVVMTFL SFVQELVSYIGYSSQDLAGIALVMGALSIWWNPKIHHKVEGLNGRILRLNQYYECQLI VMVARFAVWAWLKDSSNMEPSLPSAVHAFMILFMSVATIFSQRLVHYDTRPLVLWSNI TPVVSPQRQKIVPQTVRTTKPQNNFFQQDMFQSFPLEKLGNPRDSPTSNAARLATPPL EPEDSMDWTPSVAQEIRPRFVTSKPEVAPEPIPHKSIFQGALPAAPRPPAWQLRNPII TQKPPVVPVTNVFQTRPTSQHIIGNEHNDTSRTPDAFFAPPKFFAPSDYHATTGLESL FDQTFTIRSPEDEMDEATTSPSKVKEVRKEHPPPTLLYSSIRLFLLSLSILAWSIDWF RLVPIPHDAVLMGGLILNILFTGFGLLASLNQPFIAIPRVVYSLFKFVMNVVLALCLS YNFSITFYQGGNLELCVTSLTALLAVEEALALFSTMPKSRIRKQTQTARKEADTKNRP PPTPHHTAEDQFNLDNQYSSPPQISPTLSYISHHPASVYSQTSSFSQSQPSSLGYGLY QRDMRRNHLYDDGSDASEISGGDSDAETTISSYTNKSIRNMNPFESDDRSYRTPRAPG LGSGLQGLSLDDSPVSKRVTRSQTTRRTGMEFERSRPSRRLR TSTA_072260 MSTWGDYFRVTTYGESHCRSVGCIVDGCPPGMALTEDDIQPQMT RRRPGQSALTTPRNEKDRVEIQSGTECGYTLGTPIAMIVRNEDQRPKDYGGSTMDLYP RPSHADYTYLEKYGVKASSGGGRSSARETIGRVAAGAIAEKYLKLAHNVEIVSFVSSV GNEHLFPPSAEHPSYSTNPEFLKLIETIDRETVDKFAPVRCPSTEASERMTKVIETFR DNHDSIGGTVMCVIRNVPIGLGEPAFDKLEAKLGHAMMSIPATKGFEIGSGFGGCEVP GSIHNDPFVAAPEVSENKPGAGIANKSRLTTSSNNSGGIQGGISNGATIYFRTAFKPP ATIGQAQTTTTYALEEGILEAKGRHDPCVVPRAVPIVEAMAALVVMDALLAQKAREAS RSLLPPLPKGLPIKPETSK TSTA_072270 MLLPVRHSSCVLSIQFRAHSRFATTRTITTLASSAFAEIISRSS SKHQIYQSISSDPFVNLSIEQYLLQKTPEDSNVLFLYVNRPCVVVGRNQNPWLETNLK ALQKHDTDIPRSGSSVRNVLYVRRHSGGGSVFHDEGNLNYSVICPRDVFTRDRHAEMV ARALQKIGAVNAMVNERHDIVLAQQPKEFAKQKDATGIEDPFEESTPQALKVSGSAFK LTRNRALHHGTCLLDSPNINNLGAFLRSPARPYIHAKGVSSVRSPVGNVSAGLVSSAE PFSMQRTILSIMDEFSRLYGSGHEVRDCASRLNMTKSEFNAGDDWVAGAVGEVQLHEI PEIQNTFEMMKSTDFKFLQTPEFTFSTFTTEEDPRLRPPLPSFLPPLTRISIRAKKGI ILEASISTSNDEAIAQKQALHSSEILADRTLHEIDAQAWRQIIDSIVGIDYDGQQQQQ QPMEEVVDHLTEFLCEKFGV TSTA_072280 MPPGSFLSYALFLSTMWPVMMAQGTIPYFPTHVFAPAQGSNDSD LVYVLQPTSDQKAVQLLSLNLTTINSASPQYTVLYDDVYFASDSEAYVPMLNSNGSIT VFTGNCHASQYAVPRLWSFQPAHNSSTGNGTWSSVEVNGASTNLTGPGYLAGGFAFNS SNDTSPEYYTFGGMCPFSSENQPDWTSNANYSNIMTALTVPNTSSNFNYIVQQTESSN SPIAEAGYTVTPLQKTSSTTSGGRIVQQESFLFIGGHTETAFLNMSTLALFSLPQASW SYISIGANLGSGRTDLVFRDTAQIEPRSGHSAVMSSDGSKIIVFGGWVGDTSTPADPQ LAILEVSEDYGGSGEWTWSTPVPTGSGPGSGSGMFGHATTMLPGDVMMILGGYIIPAS STSKRSSSAGLESSSQIYLYNLTSNSWMPSYTAPVPSNTDKSSHGGALSSSGEKAGLG IGVSVAVLALICLGYIYWSRKRWSHRRRRDQELRKLALGAERSNIWGEPGVESSYRSP SDSALRNSILENVYFSGPSHQYSAVPAPDGNTTEAERNGLLHDVPSPSRGLRRSLSGR PQRVQSAGRYEDSRTGYGAGTIHPIDEREEFETPPEEVFDRRPESGSSESANNRMSDP FIDPPSPTRAYLVAFHENSLRPQEADGASVHSRKSSPDKTERTHSDLSESSRSGISEL SIQRSNFGSQRRSIHLFQPPIPTLEPSNSNHDDSGSGRSSPEKPSLHTGRSANESTGM RWPFERSGTVDSLSTSASHHRRSPLEGDTLLGSAPEWSTPPESPSRLSDRENRSNNSN NNNSLTWMGSIRKTLSNARKVVLSGYGNSSLAEEHQASPAMFEITSPVDFDDDDNLSL QISRRASSASVVAHHQRRQQGPRDWAVEGSSRHSGISQSTSSPRRTHHTTDADDNDHE NGHLTVPPGPDDDHHSGGGGGSSIHTDEDDWDVELAAEGRLVQITYTIPKERLRVVNA GAGDRVIGDDVSDVVSRTSEEER TSTA_072290 MGQFERRSSHAGLEDVERTSETHDQDRFLTGLEQQPAQSQHPRR GEPTETRHTGLNGPTTSSTSESSLSFANRMRASKQGLKHSGSRGDSHHPMHFMPQSSN NSRASSAKTSSSSLHTMSEDTVADPRPEPSSGGLSRSSLVRRLSQQLELSFGQSLVPK TQPVNTTTDFPVYPDQSYAVLQSQIHPPPYQPFLRGRSSYPSPTENIRPYDHAFTTAG ARTVGNTPVSTPGLFAGRSARTSPSVGSDDEGYTFSPYLHPTHLQPPKETHTAEVERD LQTGNKLINDYEVLEELGRGEHGKVKLGRHLRTKQPVAIKIVQRYSKRRRLGKLGNPE DKVKKEVAILKKARHPNVVSLLEVIDDPNRQKVYIVLEYVENGEIKWRKKGVREIVQM DKRRIEREKNGFPDSPFFEEEGQQVVRSLMNQRRRRELARERHRAQAQNNHRHLIPAW SLEHGGESDDESNPELALARSISQNLTQHQDRMDGVDHHGSPSQSYNSSASEFDRHMR ESVLAAVEGSMYGAYSDYPLERRYSTASSLFGYSSSEADHFSDDDDLSYVPCLTIEEA RNAFRDAVLGLEYLHYQGIIHRDIKPANLLVTAKGQVKISDFGVSYLGRPIRDEDEEQ ISETDAAELDDARGELSKTVGTPAFYAPELCYSGDDYVDASGKGPKITGAIDIWSLGV TLYGMIFGRLPFVSDDEYSLFQSIGKNDLFIPKKRLKPVEVDSSKISADPRHGVMNSN KRLEDEFVYEDIDPMLDDLLRRLLTKDPQNRITIKGIKTHAWVLQDLKEDPADWVQKT DPQSKGNRIEVSKEEVTSAVSKVPFVDRVKSLAKWLNPRREGRSHPKRPSSATGSSVE STSSRDSSGASGGRRASLRGDEDILRPHRAVREEHPLSQSVTASPDNTPGRPSYFDQA PASDVAEETPRGHVTERLSSIMSTTASTKTVRPMPSTRSFTPPVTVEAEAVTEQHAVS TPNIAGIFTGATHRVDKVTHSDDYHHHPQSIDVAACETDGHAEPSLAWSNASATGQVE VPIATTWEQHDRPHSQGNDFAGSPSSAGHRRNRSEQVPPEVVDHQSFHHAKAALLRQA ADHTQPDEKRDTDDATPVENNERSIEPSPTTSTHHLPSDGGLITSPPSAATISTTSVD ECASEMSQSVSHPSLPSIISGASSLSGDGLHTHIEEREKELDATPYVSPILRTGDTIT PRNIKAQRSATEEGYDYDCDDEDVESEDEGIVFGRKKPST TSTA_072300 MENHGQYANRGRSPSASVHSRNVSPSPHHSPYHNPNAAGLMLNS SSLMTTTTTTSYPSSSLAPDSDNPDLYNAFLSHTSTSADNTNNLVAQNEQFSSSFAAS FADQLDQSSTHQEGNYSSLLNPNPNEYDFSQYGVAAGDNNAGIQSAFDSSYLLDQQQQ QQQQQHNTQNAQLMGQGDMSQMGSPNNLLSPEQHHSSPGQSHTSPPISSGPFYSPRHS RSASLDPMSAAYMSNHNQPQDWQNMLENHAFQSHRRAPSEHSEVSSVSHSPYTGHHES FDALDGASPSLGAQNDPVLYDNTLAMDSFTLSEQQQQQQGLSPHHSPYVAPQVLPQDV NSDAFLLSGQQNMTQFPTLSHDIYTGQPGDAMLAGMQAPDMSGVDLNQVNNMVPPPSI NVEFAPPSRVPSFGPNGENDFDALSPPSRGSRGRSKSDPFGRPPSVGRPHSQSVSSTS SLDPAVGSSPRSLSPFGPMGGSRSNPGSRGVSPASRSAIRRQSTSSIERKVILDLADP QRPGATPGESKRTQKHPATFQCNLCPKRFTRAYNLRSHLRTHTDERPFVCTVCGKAFA RQHDRKRHEGLHSGEKKFVCRGDLASGGQWGCGRRFARADALGRHFRSEAGRACIKAL LDEEAIERSRAFMEQQHQQQQQHLQHVPQPMMIPGLDNGQGAFTLPAALLAQYPALQT LQWDQIATTSGNDDVSDISARNSFDASSGGEFGFDDDDLSISSFTAASNQGAIYGGGG GSGSHPGSSPNFGLDATTDPNYTGQEWS TSTA_072310 MAFLSTTLIITLLVPVVTFFLIPAVVGYILKLVLRSIGWSLENK TNGRRELILSQVRIEEEHYVAKQSTISSASASAGPEDDDWEKVDGTVPSVAGNGQPLG EDWEGIIGFFHPFCNAGGGGERVLWAAVKATQERWPKAVCAIYTGDHEVTKAAILERV ETRFNIKLHAPTVVLLYLSTRKYVLSSMYPHFTLLGQSLGSLVVAFDAFNLLVPDIYI DTMGYAFTIALCKYLFPSVPTGAYVHYPTISTDMLTSLDDDTGIKGLNSGAGSGWKGT AKRRYWHLFARLYGWVGSKVDVVMTNSSWTSAHINSLWGPSRKRLVAHKDATVVFPPT AVKELHSSIEVNDSTESSREPNILYIAQFRPEKNHALILRAFARFLNKHREKSTAEKT PKLILIGSVRHSSPDETHIYHLRLLAHELHIRDQTTFICDASWPTILSYLCTCSIGTN AMWNEHFGIGVVEYQAAGLISVVHDSGGPREDIVINLNGEGETGFRASTEKEFAAAFE DALALPKGEKIAMRSRARRSALRFTEEEFARKWVVEIEKLVLLRKK TSTA_072320 MRPPLTPRWFQLRRPVTSSILFHRIRHFHATRPAPLVAESVTLA SDFLHGVHGVTGLPWAASIPLAAVCVRMVVAFPLLVWSRVTNRKMADIAPLLLCLRNH YQQAVKAQAMENKLYMRPREAERQLRAHLKERTALLYKEWGISRTLGFLPLLQLPVWL ALMEGVRNIGQSMNLPGVEPALANGGAFWFPDLLAGDTTGILPLALTLSIMANVRLGF TTKTFAELSDYKTPEMTRHLFLKVVKEFLTFMSVYIGFTAYMTGMPAGMMLYWIASTN TATLQSKFLDFLFASKRLQILPQMHVRVLKPGEKPPPIKSLE TSTA_072330 MRRAVSQGLQAFRTVRYTTAWRAGGRPASRAYFSSGQALALHKR HTPYIPASLHASMHVRNFSLAFLSTLVASGAWYAYKGTQQQSTFLNGTTNLQTRGLAD TTAETATGETSTTTRRALLVENDQFYTAVLSGDQPLAKQSDDSDRRVLEMLTPEQATQ KLRKNDESYIVSRGQGVVRYDITQVPSNSPIEDDHAEKIVEVPQATSGTQDGSPKSDW MFWGVFDGHSGWTTSAKLRNVLISYVARELNSTYKAAATDPSVKTPSPEAIDQAIKQG FVRLDNDIVYESVDKVMKSNSRLVAAEILAPALSGSCALLAFYDSQTQDLRIACAGDS RAVLGRRGPSGKWVATALSEDQTGGTPSEIERLRKEHPGEQYVVRNGRILGQLEPSRS FGDAFYKWKRETQEKIKSRFFGRTPHPMLKTPPYVTAEPVITRTKIDPKNGDFVVLAT DGLWEMLTNEEVVGLVGQWLETQRFADDGKRSWLQGLFGSEPKQLPVEKATETKTAGQ RPPIRQQQYEISGAAERFVVEDKNAATHLVRNAMGGKDRDMVSALLTLPSPYSRRYRD DITVEVIFFGESIDDGSVTINKEATASAESAKPRL TSTA_072340 MSSRALRKLQKQRELEAQLQVPEDQESDEEVTPAPSKKKLNAFD LLNAAEEDDEDGQDSEAEIESNETHTPQVKAPPATAEVGSAKKNKKKKKKKSTQTAPQ KLASEPEKPATEEELDDIDRALRELAVKNGTDAVLGENQHMLSSAREGSFAKSPSELL AIDPKSLNAINEMRKLFGNVVLESFDDQDTGSGRRRERQREMIDLGKALSGRYSPASR GQSLAGVKMRKNILMHGKDEWPRTTSGGLGMELVQKLPDGSSQYRLVHNKVYKDVQLQ FDMCVESMDPQRLIHLLQYNPYHISTLLQVSEIAKHQGDHAVSADLLERSLFNIGRAA HSSFNTQLQEGKARLDFMLTENRELWLTVWRYIANLGMKGTWRTAYEWAKLVLSLDIN DPYCMRLLIDHLALRGRQYEHFISLCTETVFKEDWESYPNIQCSLSLAYLRLNKPKEA RQQLRAAMSCYPWIFNRLAQELDVQPIPKRIWGKMPSAQSHELLAELYISRAKDLWNT PEVLALLVEIAETLSGDVVSIEPPEITLDIARHVVLSDIPSVTTHLPTRFTTGRISAS DPLPPYDSEAYRQQTNPVPSYISQIPEAAQPQWLRNLLGRVNPEGFEHADDDDDHSEE GEDNNPELGPPYVLGGSDEDDDLANAFPPPPESDQGEIRRWLLGIGIPPLQAFVRQHG IDPGNWDDVESTPVRNYVDALIRVYPFEDREELLYGPIQNILGEMVVDLLEWQGQMRP EYWEHPSN TSTA_072350 MSNFISSLAEDLSQNVPLTFREAASGVLGSVSLTCWIFLLVPQL IENYRFGSAEGLSMAFLFVWFVGDVTNLIGGLWAQLVPVVLAIAVYFCIADGVLISQC LYYNMRNARREQRRNSVESTLDSPTPTTPLLGRRFSDELSIPGSGRRRRSSASQRDLQ QRRASHPDSTLAKIVEESESGAKAWLKNVLSVVAIAVIGAGGWAIAWQMGVWKPTPAN HDGEGAPIAPGAQILGYFSAICYLGARLPQIYKNYSEKSCEGLSLLFFVLSLMGNLTY GAGILAHSTEKEYVLKNLPWLIGSLGTMVEDITIFIQFRIYARPTLDS TSTA_072360 MSTAELAVSYAALILADDGVEITADKLNTLIKTANVPEVEPIWA QLFARALEGKDVKELLTNVGSGGGAAAAAPAAGGAAAGGDAAAEEKKEEKEEEKEESD EDMGFGLFD TSTA_072370 MDSPAVPVPLDPREQPILERLLRTRDALLLLKQDKSSYIKSRDV LPLYEEVIGQVEGLNAVRKNQDRDRRVPHSRLDYVLDDCFQLISLLFLTVGRNNEAPA TYSLSTTVLRLLHHLEEAGFYSAKDLESISQTLDSMRETLGRGKDTHSPHLLELLESR LVECQKIFDKLRKGLEHLSPELVKYHETLVSILRSTSAANTRSKFSSSEILDLQEGLK EIQNSTKDGNFVGSDGQILEGQENVKRLWERCWRWTEIVLERKGRIDERFQEQYDRLF EIRNQLERLSMTQAWSLRETDLFQYQRKLDRIDEARVNGNFLDANNQPADLHTQRTLL YLIRRSYAYIYALLIASEPVSEALLPIYNQLQTLRRCLLEVKDSGGVSNARELYPYSM KLNSIDNMRIDGKFYIGNDIPEGQGSVNALLAECYELAYELRAAVDEDKEDREES TSTA_072380 MTAAEHNYDIHNKEFLAIVDSLKKWRVELIGLQRQEQFKILSNH IALQYFITAKRLMTGKLDENTLADAFTRRKGPERERQDHCERLMLPKEWLGPSEVRNS LEDALPEETELFDSEKWRCVPELSVTDVVVNIA TSTA_072390 MSLEELEAARECIIDNLNKGFIESSNAPFTSPMIMAEKPGGGLR FCVDYRKLNKLTKKDRYPFPLIEEIFKRLSRARIFTKLDIRQCFHRIRMHTDSKDLTT FRCRYGTYKYKIKPFVNPNGHATLQRLVHDLFIDDLDQFLIAFIDGLLIYSENELEHE IHVQRVLKRLRSTGLQAALHKCEFHVTETKFLGFILAPDGIKVDQGKVEIIINWTVST TVFGCEVVFRILWILPEVHTKPYQNREINVLFDWTKECQEAFEELKKRLVSAPVSCHY RPGLPTGLEADAPDGIVAAVPSQLQEDGQ TSTA_072400 MQRAMIAKRNNREKGWPDFVKACSLTANRLEQISLNEDRVKGRA ANPHGTTPLLSTRASIPITTAASPLNNNSQYHSGNRLTKKARALLIKEGKCFKCKMLG HIGKDCPNTPNRSTELKELEKLIGREKDSLTISVQVAKNTHSISAKALTDTGANGLAF MDIHFAILLSEFLQVRTHRMELDCAIRGYDRKEASPITHAIVFI TSTA_072410 MRISEATAHEAFTERKMYVTGDYPIIAQSPNGESVITGNADLVV CYKSGIWPLTSMSERTTDTYQGPAQAVTYMVGAHQKSVSLGPSDATETTAYGIVSGIE LQFMKLNENVG TSTA_072420 MRISESSFFTFASFLFGAISTGFGINSFLRPNHALSFFHFDDLR TSGDQQLVDYLMFIYGARDIFMGVTALGAVTIASGAVAVVDGFVCKLHGIGEWDHWGY SPMIIVTGLVLMGVLDW TSTA_072430 MVRQLVWQRAVATHKVSCLTPTYRRTLATAASSSRMPPYPKILR NFEEVRRVLGSQRALTLAEKILYSHLDNVEESLLSSTNNGRDIRGQANLKLKPDRVAM QDASAQMALLQFMSCGLPSTAVPASIHCDHMIVGERGADTDLPASIQGNKEVFDFLES AAKRYGIEFWPPGAGIIHQNVLENYSAPGLMMLGTDSHTPNAGGLGAIAIGVGGADAV DALVDAPWELKAPKILGVRLEGRLSGWTSPKDIILHLAGKLTVRGGTGFVIEYHGPGV DTLSCTGMATICNMGAEVGATTSLFPFSPNHVPYLEATNRAAVAAAAAEIAAAGSQSL LRADSKAEYDQLITIDLATLEPHINGPFTPDLSVPLSKFAETVKENKWPETFGAGLIG SCTNSSYEDMTRAEDLVKQASAAGLKPRADFFVTPGSEQIRATLERDQTLSTFSAAGG TVLANACGPCIGQWKRTDGVAKGEDNAILTSYNRNFPGRNDGNRRTMNFLASPDIVTA MSYSGSTTFNPMTDSIPTPDGGSFRFQPPVGHSLPSAGFEEGNPAFQPSAAVPDPSVE VVVSPTSDRLAILEPFAPFPSRELTGLKVLYKVKGQCTTDTISAAGPWLKYKGHLPNI SANTLIGAVNAETGETNVAYDESGNHHSIPELAEQWKSQGSEWLVVAEDNYGEGSARE HAALQPRYLGGRIIVAKSFARIHETNLKKQGVVPLTFADRADYDRIGACDQVDTVGLY DLLKAGGQGEVSLRVTKHKTGDTFTIPVKHTLSKDQCGFILAGSALNLLAKRGQ TSTA_072440 MSQATIRPAFAGRHEPRISYGAPFPEATASQAESYFHASKVYVI CSGSLSRNTDALDRLKRALGSKFAGVRIGMKPHTLWSEVVEIINDAKSVGADLLVTLG AGSLTDAAKIVSFALANDVTTFDGLYSLTTNVNKDSNEPAGKKSAIKGSVIPIISIPT SLSAGEYSDFAGGTNDKTHRKHSFQKPLRGPRLIILDPELTATTPDSIWLSTGIRAVD HCVETLCSTVSYEETDELAQKGLGMLVPGLLRSKHDKKDYEARLNCQLGSVDAMAACT HPERVVELGASHGIGHQLGPLGVGHGETSCILLPAVCKYNASKSANNNRQARVLKFLL DQPTVQEVVKKYNINPESADLGDVLDGIIRELGMPRSLKDVGVGRDQLDKLAEHSLED RWCKTNPVPLEEKGQVLEILEMVVE TSTA_072450 MPSDYKTNWPGAMADFKPRNILIIGATGNIGRFITQSIVSARSE FDRIAILTSAPAAGSDKEKFIEELKSKNVEIIIGDINNETNVVNAYKGIDTVIFALGR GALLPQIQLIKLAASPGSSVKWIFPSEYGTDIKYGPSSASEPTHQAKLKIRAYIEEDD GIKKSGLKYTYVVTGPYPEMYFKGPAGYPQAGSWDVKSKTAYLLEKDNKISFTTMKDT GDLVLAALRHPSASFNKALKVNSYTVTPAEIQAEFERQTGGGWTVHETSIDGVKEFEK TAWETGRPDAAIYTLRRIWAEGGTLYEKRDNDVIGNPELLTLKDTVAWYIKTST TSTA_072460 MNHNLTVSALRLLKSLLPSRIEDAVIIITASIPKIRPVLLVAYK RLRRHRLWNKLTSSDRLKFFTRRHDRNNGAGHISIRSHRVSTDDHEESSILHRLRKPN TCSSIYSRKSKPTTHHSSRQNNHESFEQSSSSQKTKHHRRHLSSTSGATKSSLGSHPL SRFTTATTGVDSIDFSNADTNPSAPFCPSGLANDFWPISSPQLTCQTVISAGDDPPPP PSPFASFLLPQLPPTAVTPSNSLTPTNGSGRSSRNSRRECSRAAAESGFTTTNSSIIF LPVNNIAGPYPILQTNHFSIEYEDENDSNQQQQQDLALIWADAQLADIATTSSRRYNE DDAEALQLHDVGCILNESRLNQP TSTA_072470 MSLLAPEIHAALSQLLAALTTPDNTVRAQAEEQLNNEWVQNRPD VLLMGLAEQLQGAEDAATRSFAAVLFRRIATRNTKDPRTGETKECFSNLSPEQRVAIR EKLVGCLSSETLPDVRNKIGDAIAEIARQYTDNGDSWPELLGVLFQASQSSEAGLREA AFRIFSTTPSIIEKQHQEAVLNVFSRGFKDDHVAVRLAAMEAFSALFRSIPKKQHAGF FSLAPDLLNILPPLKEADEEEELSKAFLSLVELAEYSPKMFKNLFNNLVKFSISVIAD KELSDLVRQNALELLATFAEYSPNMCKKDPNYATDMVTQCLSLMTDVGADDDDAREWG ATEDLELEESDLNHVAGEQTMDRLANKLGGDIVLPATFAWIPRMMSSSAWRDRHAALM AISAISEGCRDLMISELDHVLALVVPALQDPHPRVRYAGCNALGQMSTDFAGIMQEKY HAVVLNNIIPVLDSQEPRVQAHAAAALVNFCEEAEKSILEPYLGDLLQHLLQLLRTDK RFVQEQALSTIATIADSAEAAFTQYYETLMPLLFKVLQEEQSKEYRLLRAKAMECATL IALAVGKEKMGQDAINLVNLLGAIQQSITDADDPQSQYLLHCWGRMCRVLGQDFVPFQ SAVVPPLLTLAAAKADIQLLEDDEQAEMVEQDEGWELIPLKGKLIGIRTSLLEDKNTA IELLAVYAQVLEGSFEPYVAECLEKVAVPGLAFFFHDPVRVSSAKLIPHLLNAYKKAH GVQSPGFAGLWNNVAGKIIEVLSAEPAIDTLAEMFQCFYESVEVVGKNCLTQEHMQAF IDSVQSSLEDYQTRVKERAQEEAEAEDNDEENMSIAYAIEDDQALLSDMNKAFHAIFK NQGSSFLPSWQRLMLIYDAFISSSDPTQRQWATCIMDDVLEFCGPDSWAFKDHILQPL INGLQDSNGPNRQAASYGVGIAAQKGGPAFAEFVAASIPSLFQVTQHPHARTEEHVFA TENASASIAKILRFNNEKVQNPQEIVANWLNTLPITNDEEAAPYAYSFLAELIDQQNP AVLSNADKAFGYIVQALDNETLQGETAKRVADAAKRLVQATGINAEAILAGVNPDNQE TVRKYFQ TSTA_072480 MSAAGTYRGTPNKTIGRGKMPDFDYNSSPGSHIPRPKPESAISQ SDVGSSATAASRQRQNQSKRDEAIRRKMEADLNKKRHHVQRPRHNRKAPPGTVLALKP SQALQIKPNTTVSEAAQLMAAKREDCVLVTDDDDRIAGIFTAKDLAFRVVGAGLKARE VTIAEIMTKNPLCARTDTSATDALDLMVRKGFRHLPVMDENQDISGILDITKCFYDAM EKLERAYSSSRKLYDALEGVQSELGSSQPQQIIQYVEALRQKMSGPTLESVLDGMPPT TVSVRTSVKEAAALMKEHHTTALLVQDQGSITGIFTSKDVVLRVIAPGLDPATCSVVR VMTPHPDFAPTDMSIQAALRKMHDGHYLNLPVMNEAGEIVGMVDVLKLTYATLEQINS MNTGDDEGPAWNKFWLSMDHESDSMVSGGGSHRPHTPHRSVLSPDPSKPGFDRGDSVL PNESASHHGDDMHSELLDHHVDDVNTPFAFKFKAPSGRVHRVNVIISEGLSALVASVV AKLGQESEAVGGVPSVEEGKLSSTGFALSYLDNEGDTVSITTDQDLSDAVHQARKLHH EKVDLFIHDPSQPPIPTTVEPQPVLSKPPTPPESVLKERAPKHIEEDEEEDEEKYSRS TRASKQQIPQRLPEEQLIAGVPNDLLLPGAIVTLAAVIAGVFILSRASSR TSTA_072490 MVKKRASNGRNKKGRGHVKPIRCSNCSRCTPKDKAIKRFTIRNM VESAAIRDISDASVFTDYAVPKMYLKLQYCVSCAIHGKIVRVRSREGRRNRAPPPRIR YNKDGKKLNPQQAAKTA TSTA_072500 MDAIPPSYEHAIMKDALAIIADYIPSADLCSASLVSRRWHAIFN PHLWGNPASHFGTENDAVYVALTRFRRTLPHARPEVRNLTHTIHLPPALSEIYGGPRA SWLKDLLDYLPRLQSLIVSKLPFFDHNATISLKVPSDAQIYPPYNLRLLLAEGEPNTT SIGLATALSRFPLLIYLDLSYTSPAKDRIVLSVLSELPDLRVLKLRGIGLKDADAEFV ANAIGTRVRLLDVRNNVLTDRSVRSLLQACFIPKGTSQITHNGDEYYLNHHPSLLQSP SLDNDFLDFLTRPLTGRSIFEHLPKAGITHLYISDNRLTVEGVAALLASKRLHLLDTG SVDTAQTLRADQPLLAPPGSRTDFNTVPGAEKLVPILGAYAKDGLTYLRVNHAIVTKD APATSEAAITELLPELPADELDIHHFHAEMDTSHVIYEMPAGNELLCELEDTSISSSS VNRSTSVVKSNQYPDEPLLPRRGSVFAAEVVSTETTTDDKELLQYDHCHSDECSLSTE RSRKIRDLLFKRPKTEVLPLKHGKSKTFPYLHPSHIPHVQTLILTDVPSHVSSSSPIL SSLIRFITACSDEMLLAKLRARADYSFPPGRDRVKAEQQHAKSLFALECLVLEITPAV RNAAPPRALSPWKPQQHDRTGWQSTTGDFDSERLWSAATNDFSFFGNEECGVPEPHEE IATTILNERVRLVSDDDSIHSSVPELEGSNTSGPKQPTPRSSVPTMHNNSTSIVSNEP EVDLVSALASFRRSKKAEFERLKESNRHSPSTSSPCPSPFDSHGALPLHVEGYWPGEV KVIRNPTPKGRSGVVDIYGNYFEKGYLYP TSTA_072510 METSQKSIRVMHYIGEFLDLDARSFTNIAEFIALIQAIREGLSR IDPTFSVSDFHINILFLSKLQAHPKWNEWARHMLRDPRINVSDSSRSMAFHELAEMAI QHEKDMTVERTRTKQVRSTEDVPNGYHTGRLQNYTQEEINSFVIRQMRNQNEQQHAAN NYQRHSKRPSQEEINEFVVRQMREEQERKTRARSKSEPRPNNAPSAAAMMAANHGTTK CDKCGERNYPGQQKCHHIKTKDTKKPPTVEVPRANFVPKKVEFVKRTADGLPTYRTGF ALT TSTA_072520 MVAYVVCRWPFEWRNSAIREKMESTRPQFYPNTLRLSDISKTSL ESVLQDLRLAVKNSVDIISRNCKRPTDASAYGNVYGGIPGIVLTLLRLERQKPSIQQK AEQNSQTNYPSQDYFQLASDLIMQTPSDIEQIDGRLSPLASEVGQSFMRILAYCEQLN LQPEADTSPDPEDFRIFNQAVERATLHGPVYTFKGFSLGGDELIFGRAGLLWSLLTLK THANLTPRATEELLTTRLSTAIQSIPKLVNAIIDGGKQGSQEFVKLYDSKEALPLMWQ WMEGYYALGAMHGTTGILTMLLSCDLSHEQEQDIADTITSLCRICIEKGGHFPMSIPD RPDALTRPSPFVQLCHGPPGLLVLLGVVYEKMSFVERFWRPEWDVAIRLGTKKIWEEG LLSKGGNVCHGLTGNAWSLLSLHNIYEYQGPALREAKRKRMADLEKYERLSTEKEETL NGDYFLSRALPFMMLARESPPYSQEINIKTTFDFRAPDRPYSYGEGLAGQMCAWAETC AVIKARLRKMELGSGSNGNVSFDEDEQFRGSLAQQLGFFGLVINGPKSL TSTA_072530 MPGVHEDIGSEVIPNPQEYAGTICPRQFTLRDRVTVATLVPFIS VAQVPHGLLRYLSDQLNKEIEKGDTYTMIDPIPLEKFAPWWFSNIGAVMLLGDIQDVH DVNIMEHNGTDWTKVCLGSFNIRPNYPGRSSHVCNGMFLVTDASRNKGVGKLMGEGFL EWAPRLGYTYCVFNLVYESNIASCRMWDSLGFKRIGRVPGAGHIKSYPGQPVDGIIYG RDLSIEGDESVTQERFDKIRYYLKHAKYPRGADRAEKSRLRSAATHYKLVGGEDGEPE RLMLKDKEVVSDPQQQYEIARRVHLEHHGGINKTTATVALKYHWVRIKETVSRVIRDC PKCKEASKAPPILNGGRTSEPPVIKPISEIETAVTNSLISHSEHLMADATHVHASPFT QTHTTEVHTMADDSMSDYTHLPLDPQMIDMNTHLSRFQHHHGMATGYDQSHGLPHAVF EDDLRGHHHNDYQAMVNDESDSEALQRDALNLVNTQLTDSQHEQEMLSRYVDRSDDEL DFT TSTA_072530 MPGVHEDIGSEVIPNPQEYAGTICPRQFTLRDRVTVATLVPFIS VAQVPHGLLRYLSDQLNKEIEKGDTYTMIDPIPLEKFAPWWFSNIGAVMLLGDIQDVH DVNIMEHNGTDWTKVCLGSFNIRPNYPGRSSHVCNGMFLVTDASRNKGVGKLMGEGFL EWAPRLGYTYCVFNLVYESNIASCRMWDSLGFKRIGRVPGAGHIKSYPGQPVDGIIYG RDLSIEGDESVTQERFDKIRYYLKHAKYPRGADRAEKSRLRSAATHYKLVGGEDGEPE RLMLKDKEVVSDPQQQYEIARRVHLEHHGGINKTTATVALKYHWVRIKETVSRVIRDC PKCKEASKAPPILNGGRTSEPPVIKPISEIETAVTNSLISHSEHLMADATHVHASPFT QTHTTEVHTMADDSMSDYTHLPLDPQMIDMNTHLSRFQHHHGMATGYDQSHGLPHAVF EDDLRGHHHNDYQAMVNDESDSEALQRDALNLVNTQLTDSQHEQEMLSRYVDRSDDEL DFT TSTA_072540 MAFAGQTPTIIVLKEGTDASQGKGQIISNINACVAVQSTIKSTL GPYGGDLLLVDSNGKQTITNDGATVMKLLDIVHPAARILTDIARSQDAEVGDGTTSVV VLAGEILKEVRDSVEQGVSTQTIIKGLRRASVMAVNKIKEISVDMLEASGSEEKKIET LRRLAGTAMNSKLIKRNSDFFTKMVVDAVLSLDQEDLNERLIGIKKVHGGALQDSLFV DGVAFKKTFSYAGFEQQPKSFKNPKIVCLNVELELKSEKDNAEVRVEQVSEYQAIVDA EWQIIYNKLEALYKTGAKVVLSKLPIGDLATQYFADRDIFCAGRVASEDMERVCQATG ASVQSTCSDIQERHLGTCGAFEERQIGGERFNFFSQCPAAKTCTLVLRGGAEQFIAEV ERSLHDAIMIVKRAIRNPTIVAGGGACEMELSGYLHGFADRNVPHKQQAVVKAFAKAL EVIPRQLCDNGGFDATDILNRLRTEHRKGNVWAGVDFDHEGIRDNMEAFVWEPSLVKV NAIQAAVEAACLILSVDETIKNEESAQPQAPQRGLPPGAAQRALRGGRGRGMPRR TSTA_072550 MSYYPPPGPPQYGGGGYPPPQQYSPNGYGPPPQQGYPPPQNYGG YPGQGQQHYHHTPPPQHGGYGYHTPSPQPYNNGGYDRPPPQAPGYGPPPSHSPHPSHG GGYGRQAYGQPPPPPSEAVAFGHGAPQGYNFRYSQCTGKRKALLIGINYFGQKGQLRG CINDVKNMSSYLNQAFGYAREDMVLLTDDQQNPMSQPTKANILRAMHWLVKDAQPNDS LFFHYSGHGGQTPDLDGDEDDGYDEVIYPVDFRVAGHLTDDEMHRIMVKPLQPGVRLT AIFDSCHSGSALDLPYIYSTQGVLKEPNLAKEAGAGLLSIVSSYARGDMSGMVSSAMG LIKKATKGDDAYQKTRQTKTSPADVIMWSGSKDEQTSQDATINGQATGAMSWAFINAL KKNPHQSYVQLLNSIRDELAEKYSQKPQLSCSHPLDTNLLYVM TSTA_072550 MSYYPPPGPPQYGGGGYPPPQQYSPNGYGPPPQQGYPPPQNYGG YPGQGQQHYHHTPPPQHGGYGYHTPSPQPYNNGGYDRPPPQAPGYGPPPSHSPHPSHG GGYGRQAYGQPPPPPSEAVAFGHGAPQGYNFRYSQCTGKRKALLIGINYFGQKGQLRG CINDVKNMSSYLNQAFGYAREDMVLLTDDQQNPMSQPTKANILRAMHWLVKDAQPNDS LFFHYSGHGGQTPDLDGDEDDGYDEVIYPVDFRVAGHLTDDEMHRIMVKPLQPGVRLT AIFDSCHSGSALDLPYIYSTQGVLKEPNLAKEAGAGLLSIVSSYARGDMSGMVSSAMG LIKKATKGDDAYQKTRQTKTSPADVIMWSGSKDEQTSQDATINGQATGAMSWAFINAL KKNPHQSYVQLLNSIRDELAEKYSQKPQLSCSHPLGTIIRPFLP TSTA_072560 MVRHKKDNFARGGKKSGPPRHRPSPRAGTQDENDTTEGGATRPP FKAACWDLGHCDPKRCSGKRLMNFGLMRELQIGHKHSGVVISPNAKRVLSPADRDLLE QYGAAVVECSWVRVKEVPWSRIGGKCERLLPYLIAANSVNYGRPWRLNCVEALAACFY ICGHEDWAEEVLKHFSYGEAFININSQLLKRYAACSNEEDIKRTEEEWLNKIEREYNE SRAEREAGGADDMWHRGNTNRLEIPDSDDNQDEDEDGEGEEEEEEEDKDPFAISDDSD DEEEMAEIRRKILNSKSFQNPTSESSSQEPQPQPASSTKTPSQSQNKKQLPIDSDSES GSAEVSDDEDDEAFDNIINATPVTDRTGILAAKKQRKVNDSLTASFSRTVISAPKKW TSTA_072570 MAARDRFGSFADPSLSPLQRAIHNACEPTNYEPNLALNLEVADL INQKKGNAPREAAIAIVHLINSRNQNVALLALALLDICVKNCGYPFHLQISTKEFLNE LVRRFPERPPVRPSRVQHRILESIEEWRQTICQTSRYKEDLGFIRDMHRLLLYKGYHF PEVRHEDAAVLNPSDTLRSAEEMEEEERAAQSAKLQELIRRGTPHDLQEANRLMKVMA GYDTRNKTDYRAKAAEEVSYIQQKAKILEEMLQSIQPGDKISAGDVFEELANALQSAH PKIQKMCDEESDDTEAVEKLKQINDSIQRTIQRYKLVKAGDFEGASRIPKGTLGTTTG VGKNANNELSLIDFDPEPSPNGQEQAATSSLEDDLLGLSFQDRSAPGPVNLGFDAPIA ASSGVPSAPSQTQGAAGSGFQRNYDILSSLSGSQQTSQSSTPAPVISQATKPTSPPPA VDPFASLISASSMSSSPMVSNQGVSSSSAIVDLLGSGPSSSTTGSIATTAPTDDEWSF TSALPTENTLPATNRVRVLESSLVVDFEARRKPGEPRTIQIMALFSNKTSQPLTELHF QVAVERAYHLKLQPQTGRDISPLQSVGVKQEMLIENVDAGKGNSVKVRFKVSYRVGGK ATEEQGMVPQLGIS TSTA_072580 MGSLVADLDLDVSPVQRSKEENQERAFVAASRRKDRSLDARLES ANRASMLHKKRTGKALHITKEIVEKEAMYEEVDERYQEKRLSILKAHTTQLEAQFHRH LMATMAMNNNARHQQHQARISPNGGIQKMRNSSVGSASDYFGQLNSNNLHIQAAAPVS PTTSSSNVPSPTSPVIDMYGNTSSSSSDTSSFAQTPASGCFVSTPMACPQYVDTGLMS PSVSPRNSTYQQCLLNPMQSVHMRSASTAQVTTPAPAPYPRQRFASYPDAFMLQTPQE VVPQLSAASYVNFRASSEPSSDLLATPSPTGPLMTTTVQENGLLPSPALSPPSMTVGS SQDTSCDNTQYSTQAAAAPPPPPAQVQFNDTELFKQQPLLGSSEDPDPDFNEFVNFAT NMEDQWQYAMGGNAPFEEFMNLENLDCELAHSGLYR TSTA_072590 MADQPSHAGQDPPPNESAISSPRTSTDSRSSNPNTTRQQPTPIR LSHISPSPTTSHRQSFGESLRSTPQSPRSRRQPSLTQAAIQGLIDNPPARNPADPKFL GRDWRDISIGELIKPTDLRFVEVDTGIEEATNTLIDNDTTVLLVRETANDKCPVGTFG YNDLNAYLLLVVGLAKPTESQLTSFQEVAQKAREGSKIPLRDIRDLVPREPLTTLPHT ANLMKAVETFGGGVHRIVVLKEGTNEAVGIMSQSRLVKFLWENGRSFPIIDQLYPQPL KDLRLGSQQVVSINGDKPLYHALQLMNDEGISSLAVVDNHANVVGNISTVDVKLLTKS TSLPLLHNTCIHFISVILSTRGLVEGKDSFPVFYVTPTSTLAHTVAKMVATKSHRLWM TDPQSPSSSGPPTPALSASHFSPNPPTVNNPPNPPSSSAGGPPPPNYTYPHHPIPPQA YPSSSPGLAPSPAIPASALPGARLSGRLVGVVSLTDILFCYATISGLSPDDPAVTRNR RRRSSSSSLSTRKSGDVARELFRGGSSTSADRGI TSTA_072600 MATKLGFVKNIAWETESPFLDVDYEAWNTYGVSTLKHLATKRAL SDQRNLDQGHFRHIPWPIAEELWQYLTRSGKRTLYMWKIFCTAYPVEFRTLSQHCDFQ VRNPQYPLSGYVNLVKSSSQNWATILNIWTEFARVPELVELADLTNLVSLEVNTSTCP EIPEGHDQEVASLNDRILRTWSDLAEAAGAFKHLRVLRLYQQRALTEQAFVYLSKLPS LEYCVLAMCDRMTPKSALKLAKSQGWIVMEDASEQTIFQFSLTSNNLDGRTKWHSRQK GMVSSSLPSDIPMLEFTIGQRYEKLRDRDVIIMQRKHVSKGNKRSLVDTVPSENDRAQ KQTRKTVMKQRGKDLSGMLAEFI TSTA_072610 MSSHGSTACLNVILRNTAASGCKQSGWNRILDIVPSILGYLDII IQDMADIKTYTEEVVRRRSHSVEKKTSRKHLHRILRIIGFHTETTTVHPIKPGQHVVW LFDNVAYQPVHRSNQDQTWEAEMVACVFSRERRNMGQYVARVADFVGLDGKFGEDPII RRRIIQRLRSLLYVVAPSRTLPVDMPLPNGTVRRFHLGPTNQNGVLTQTVSLPQMGIV DRSVVTSELVGSRGQVRMQTRFAAPEGWLLISDIDDSIKVTLTPETVGILRTTFVTDP EPIIGMPELYQLIERELNPTWIYLSASPYNLYKFLRNFLHLFYPPGTLLLRQATWKNL GEFIKSYNTGTGTYKMRQMDKIHSWLPARKVICVGDSTQSDPEIYAEMYRRHAGWIKA IFIRKVTNIPHMEKKNSSSRFQRAFEGVPHDVWRIFERPSGLYESIAKLNYEPSARQV RV TSTA_072630 MTSNTMSEQTRVPKYMQALHLPSSFTNKATLGGPSSLKYETERP VPRPGTNQYLIKVRTVCVSIDELQQTYTSSASGQGSGSNRDSAMFLERPTADGIDKIH GLIPGREFCGEVISTPREDHASSTGPAFKVGDEVIGVLGNIEGRDGAAADYTLATENE LAYKPANLSAAEAVTIPHAALIAWQGLFGYATLDPDDGPCNKPADGPLRVLVTNAAQS DVGRYVMLLLKCQALFPHHVMGLMGGAGLGELERSTWVVATGKKDEHEYLLKELGADE VTASTDIAAAFREKGWKPVDIVFDCVGAGGGLFREVHSPVVVKDHGHVLTPRRPQSGH PEKPSEWDQRTEVMTRNLTSEVIDVKPNKTQLGKIVQLVEKGVLKPDVSYQIEDLLRG QQALVYAEKAGEQKVVLRVDPAGKFNMKYSDNNMSKIHVHRRENEM TSTA_072620 MASAGGLTRRRGGRVGGEDNEEGSRVSSPVPNNRTAVDARTPET SYTDGENGHKIAFDPRDISENEERTRMPKLTLMDEVVLLGLKDKQGYLSFWNENISYA LRGCIVIELAFRGRISMQKDPARRRFPLADRVIEVIDDTLTGEVLLDEALKMMKSSEK MSVSTWIDLMSGETWNLMKIGYQLKQVRERIMKGLVDKGVLRTEKRNFLLFDMATHPV ADSGAKEELHKRVRNVCSSRTVILTPNQWLPEDVEFRFLRTISMVCAAYAANVLENAL VTMSHESRERAFAQVDELLAEYSQWPFARKSGAGQSIGANLAQAVNDEINKSKDRELQ LEIVAACLSVFTRLDSLL TSTA_072620 MASAGGLTRRRGGRVGGEDNEEGSRVSSPVPNNRTAVDARTPET SYTDGENGHKIAFDPRDISENEERTRMPKLTLMDEVVLLGLKDKQGYLSFWNENISYA LRGCIVIELAFRGRISMQKDPARRRFPLADRVIEVIDDTLTGEVLLDEALKMMKSSEK MSVSTWIDLMSGETWNLMKIGYQLKQVRERIMKGLVDKGVLRTEKRNFLLFDMATHPV ADSGAKEELHKRVRNVCSSRTVILTPNQWLPEDVEFRFLRTISMVCAAYAANVLENAL VTMSHESRERAFAQVDELLAEYSQWPFARKSGAGQSIGANLAQAVNDEINKSKDRELQ LEIVAACLSVFTRLDSLL TSTA_072640 MFRSAIVRSLRASVPRTVRAAAAPRIQITPAVRPSQFVPVFNTQ TPRFYSAPAGLSKDEVEGRIVNLLKNFDKVSDASKINGASHFTNDLGLDSLDVVEVVM AVEEEFSIEIPDKDADAIHSVEQAVNYILSQPDAH TSTA_072650 MASPQSIARLVASRRHFVAVPSTTLRAVAAANFSSSAYRAATPS GPPPSGFRLPPPKRWDQGEESSLDKASKYFLMAELFRGMYVVLEQFFRPPYTIFYPFE KGPISPRFRGEHALRRYPSGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDI DMTKCIYCGYCQESCPVDAIVESPNAEYATETREELLYNKEKLLANGDKWEPELAAVI RADAPYR TSTA_072660 MADIEERLRSHSDAFSGLMSLIPAKYYYEEDHSDQWQRKKQTKE EAKNAKLAKLDPDAAKSAKDVMDENARKRKREDGIEEDNQSQSAESELGSELPKEGLK RGDLKSKKQKQIDAAEKDNKDRNAEAEERRKLKAEKKAEKKAAQREKKKAKEAAKADK KKNVDEQSQPAAKPSTTTQKSSADEKSDKEPPVKDHNVDQEELDVVEGFDLETKNSAL EETSSTTSSNPDSSNFEMSNNSSGTSSTSSIIPPSEAPSQQATKPTLKPLKTTPEELR QRLQKRLDELRAARRADGLNGKPARTRQELIEARRRKAEERKQHKKELRRKAKEEEEL KKDEEMQRRFSPGGSGSLLASPRSPAESFTSNSNTNFAFGRIAFPDGQHADPTLSRLL DDKSKHGPRDPAAALKAAEAKKTRLSSLDEQKRAEIEEKDMWLNAKKRAHGERVRDDT SLLKKALKRKESAKKKSEREWKERLEGVAKGKEMRQQRREENIRKRKEDRGNAGGKKA GGGNNNKGKSKARPGFEGSFRAKNGDGSGKKK TSTA_072670 MSDYNNQYNNQQYGHQGGGYYNDQQGGYPPQHGGYGQEQYGQQQ PQYGQQPQYGQEGQQYNNHQQGYGQQEYNQQGGYGQQPAYGEQGHQGGSAYYDQNNQS GYGQGQQHQYGQEGQQYGERQGYDNQSAPGGAQDGERGLGGALAGGLAGGFAGHKANH GILGTIGGAIMGSIAEDFIKDKKKDHNNQQGGQGGFDFFRK TSTA_072680 MIIRQLGLRQGRNVSLIRQGLVRFSSTETTTTTTTITAEQTLPL SGNGESSSTIVQSVQASRTSTSVSPALKSRKAFLQAARQRQKLKSIGTTISQTYDPNT LLKNPPKPAEVTLELLLASQSHLGHTTSRWNPQNSRYIFGIREGVHIISLDVTAAYLR RAAKVVEEVARRGGLILFAGTRPGQKECVVRAAEMARGYHVFERWIPGSLTNGQQILG NCEIKVVNALDEELPDYKQYLTERPVLKPDLVICLNPIENEVLLHECGLNNIPTIGII DTDADPTRVTYPIPANDDSLRCVQLIAGALGRAGEEGQRLRLLEAQQGRFTYTPVGER DVKRSSEGQE TSTA_072690 MPQREFVPKKYKLREQDGTNSTLALRSVGGKQSFDFTFEAIRPN LFRVRFTSEAHPLPPYPSIPEPTRDHPLPSSFTSTNSSSATTEIDGVTATVQWENTPV VSLSWSDSKHVLHRDLPGRSYVADSSGVAHYSVHDREALHVGLGEKPAPMDLTNRNFI LSASDTFGYDVYRTDPLYKYIPLLIKANSDGVVAIFSSSHSRGTWSVGSELDGLYGAY KVYRQDYGGLEEYFIVGKTLKDVVRTYAELAGFPLLPPRWAYGYISGGYRYTMVDNQA LLDFAAKLKHHEIPCSAHQMSSGYSFSDTTPSLRTVFTWHPKRFPDPKKWTSQMHAAG LRLLSNIKPFVLSSHPDFNKLKDANALFIDPDTNLPGYMDVWSAGGGQGAEGCHIDFT SKYGFNWWVEGVQKLANAGVDGMWNDNNEYTLPNDDWKLKLDNEHAKVEKRAEVPNSV GLWGRNMHTELMGKASHDALVALRPNLRPFVLTRSATPGTLRYAASSWSGDDVTSWEN LKGANALSLNAGFSLLHCYGHDIGGFEGPQPSPELLLRWVQVGIYSPRFAINCFKTSP ADNLLGDVIEPWMYPEITPRIRHTIKRRYEILPYIYSLALESHLYASPPQRWIGWGYE SDPEVWASKKLKEGEEQFWFGDTLLVGGVYEPGVTVAKVYLPRKSDGSFDYGYVNLNA PYQHLASGQWVEIESKWQESIPLLARIGGAIPIGKSVVTRTPGEEKADVAAAGVDEID DYRGVEIFPPKRTSHGHVFSTTWLEDDGYTQNAEVSSFTVRYSSTAEKVSVEFERGKD NKYVPAWKDLDVVLPMGDERHVVSENLGKPLEYVGIDARGRRVYRLKTV TSTA_072700 MALEEFDKVEQELSQGWVRTAKDLFAGASGGITQVLLGQPFDIV KVRLQTTSQYSSALDCAKQIFKNEGPLAFYKGTLTPLIGIGACVSVQFGAFHQARRYF EEENLKKSPLSPGLSYTQYYLAGAFAGVTNSVISGPIEHVRIRLQAQPHGAGRLYNGP MDCVRKLSAHNGVLRGLYRGEVVTILREAQAYGMWFLAFEYLMNQDAKRNNIKREDIS SLKVATYGGLAGEALWIFSYPFDVVKSKMQTDGFGTEQKYKSMTDCFKKTLAAEGYAG FWKGLGPTLLRAMPVSAGTFATVELVMRAMG TSTA_072710 MHRRVCRRICRCPSFDSGYPTPATTRRSCRDFHLSSSYLQSDSQ TTRGTGENEGESTSSQATPRPLFKPKFGGAWGAKLSTPKASGLTAAELASRNALKAAT PAPPPPPPSELPKSEIESVLVTQKPVFRRTADWICPQCLYNCFGKHPFCPKCRTPNPN PPLHPLPEPKHDPQIRKVNPRKLGEDIINSWRKDNVQPRNEGSSVNMRKITFQPPERR LSIEETEELVKDEKQPEKASPEKEEAKTAESEPKTAKEGKQEVNEFDKWLVSLEELKK AEELQKAQKAQRSEKAKDARRGRSRDSEEEEFDPEERRQRREKRKQKKKPAEVVEPQR SPLYLPEFISVSNLADVLGVRQAEFIDHLEEIGFEGVIHSHVLDAETAGLIAEEYNFE PIFETSEQDLVAAPEPEDKSHLPQRPPVVTIMGHVDHGKTTLLDWLRKSSVAASEHGG ITQHIGAFSVVMPSGKSITFLDTPGHAAFLDMRRRGADLTDIVVLVVAADDSVKPQTI EAIKHAKQANVPMIVAINKMDKDNVNPEKVKQDLARHSVDVEDYGGDVQAIPVSGKTG LGMLDLEEAIVTLSELLDHRADVEGNAEGWVVEATTKKAGRVATVLVKRGTVRAGDIL VAGTTWTRIKTLKNEAGTVIEEATPGMPVEIDGWREQPEAGSEVLQAPSEQRAKDVVD YRLERSDIKKLGQDTAAINVSRRETLEQRRRSADDETVEETETSTGPKLVNFIIKADV SGSVEAVVNSVSGIGNNEVLANILRSGVGQVSEFDIKHAATSEASIINFNAAVDPMIS RMAEAQGVRLMNHNIIYELIDDVKAKLSEHLPPNITYRVTGEAEITQQFEITVKGRNK NLIAGCKVRNGVISRGKKVRVLRDKEIIYEGSLTSLRNVKKDVTEMRKDTECGLSFQD WVGFQIGDHVQSYEEVVEKRYLH TSTA_072720 MPVPDKKPSFFDKPNLFAHIYTTYASRVIQEYVASDRKDKINRT KIEKDIIRLTNEDPNLPILHKVSCTSMVLHTNTFEPFWADQRLAYSLPAKSLRRLTPQ YNLIDELPCPSDDDTLSTLENFTDKKGKPRHKSAADTTARRGRGRPKAAPAKMNGKGK AGTGKAGTVAMKAPKSQAARPSQSGADNNKSKANGNNPNAPTTPVQAQPLRTASGKLI STKTARESFLECMAQGSSMKICGPSSDEESTTTDDDSDSEA TSTA_072730 MSDGGNNFEVFRECVSGVIVQKSQGGRKNSGKRRLKGKKRGDIT KTSKDEQSSGGLEKQDPEELAEFIDFIVSEVFHALPETVQTLSYSKIQNDASLASRYS DATELTDSDMETLTATIPVSVSESLSVYGILPESMDITAAFLRPVLTDYITSVTAAPP AWATTKTEACEICERDWIPLSYHHLIPREVHTKALKRGWHEEFMLNSVAWLCRACHNF VHKMASNEELAREYYTIDRILERDDVQDWAKWVGRVRWKAR TSTA_072740 MAQSGSLIAFDLYGTLLSTESISKRLSEILGSQETGTQVAASWR KYQLEYSWRLTCMESYDDFYNITRNALRHALSEAAVRLEEDQIDQLMDEYDHLSTFPD VTPALQNLTKRPDIKTVIFSNGTKQMVSNSVFRSQDLSPHASLFTDLVTVEDVKAYKP APAVYEHLAVSTGTQMGDIWLISANPFDIVGAGDYGLKTAWIDRNGRGWEDAAVPRLR PRIIGKSLDEVIKAILDDLSK TSTA_072750 MSVVAILPFNPAYSGWNNIDIGLKVEEDSIRSVSVRYSVAVRTS WSNMLATFDRVISDSKSSQTGFISLSGVSATWMSLHQLVALLPIKVMSSAMRQCRGKS LKIVTIYLSWPLPISYGTPVLCDLGEARLGTDQQQGDIMPDIYRAPEVILNLSRDYKV DIWNGGMTWDLFEHRHLFKARNPDRELDDSHHPAEMHVVLGKPPTDFLSRSEHSSRYW DKDRKWKGMAPHPDRGLETREERLQGDEKKDFLRFLRRMLCWLPEERATAKELIHDTW LMHGLFN TSTA_072760 MLGSPKLRNATWLHPLRGIAYFISHPFLWPLLRSRLLPIFLLSG FIYTLLFFFAYLPQVAFLAIFQGHSAWVNGAFLVLGEGAAIVALLFEAFFVDETLVDV FDAVLINEGFEQLVNKERVIHPDGVTPVKKLGKPTTSAVYAPFSFRQIVEFIFLLPLN FVPVAGVPMFLVLAGYRAGPFHHWRYFQLLEFTKDKRKRFIRSRQLKYTAFGTVALIL QLIPMFSMLFLLTSAAGSALWAAEMEKHEAFLEAHRPEREEHLYHDDPA TSTA_072770 MDGKPRLQKACDACNIRKVKCDEGGPPCKSCISLDIPCTFDRPK KRRGPPNKHAEALKKQKAQPTTPPATVTPALAPAAVPTPISIPPPLAPVTVPTTATAT GTLTTDTTVLDQNILPLSGESICSLPTLQLLVDDFFLFIHPLIPIPHEPTFREAFARR EDLTNGRFLALLAAVIGSLVASFPRRPRLHLRTDSAGSRYSNSMAFAKRCHDIAVQAR GLGYLDTSTTVEDAAISYHLSLCSSYVYNHQRSRMYLGECMTILRVYGLYKPSRQGGA DLGGITAGSPISEPHGHLGDITETTENYLVQEQGRRLFYICLAGLQTLHQLGSADGRT YIPPETPTNRYPPFPLEVDDEFITSNQVTAQPVGVVSQLTGFNANVRIFQCYNPLLAL EIAFNDMQLVSWERQRQLIWESLQKAKKVTAELPPELSLNYPQELLNLSSPSIIGGWP STFGESITTRDQERRRIQYEIQKVNIYISSLSTRSYLVEKYWILFEGHIRLQKAKAAS AETPSNVAAPFDSQYMTSDTTTSAPDAEFHAQTDQIGQMMRQERGLVIKDLLCLLKSV QEIHIEPNGASFTHKVRQIASTLLNLPQEMSTTLPPTTTTTVDPVTGTPTATETTPSG PQPLSTSDAENYLRTFLNILVRLEGVGVTGSDPIQSINTVTDNLNMKPDDLDMNLNST AAATTTLLNADMPMEGNNNINDAAANNSNNIIATTALLNDPLVSAAAAYPSPNHNEAF LLPMSYTGDGMSGQEEEELRQWANLKEFQKSFVEDGGLLYST TSTA_072780 MKIQTSFPTLLMLLSAISDVSSGKPLFIPHLRGRDAQQSGSSSA VKENSVEPVSSVAANTLSAPTTLPTTAPSTTAGASHPPETTTTTTGLLPATSTGASQP TTHAESTAASGTTVPSAATGTGTIGASSQPTTHAESTATSGTTVLSSHVGTGTTGAAD NTTPGAAPTTTFPASTAASSAVSAVTSTTPLTPHSDPHPTTPLSSTVASTFMTTSSPP PTSEHTSTGSSSAVIKASSASAIPAHSEATMTTSPTRKPDRGSITSLSTPLPQPSKGA SSKATTLTSLPSASAVSSHSSSSHHPHHPTVDASPTTTTTTTTPTRTPDRGSITSLST PLPPPSSKASSATTTPTSLSSAAAAPSHSSSSHHPHHPTIDGSPTTRTFGSNTAGTIP GASSVVNPTTSPFSPHTAGASSLAGSSAASITTTAASSVISTDVPDTTYAAPSSTDSY PVTSQWSIPSATSTVKPLSQGGVPPATPTSSGLAVLGTSGLSTVIDSSTVPAAVSAYE PSTTSATYRAPKFSSTAVVPTYGPSTTTAYSAPAVPTTVASSTSQPSEAARSSYSYSP AIVPTSTSPENPAGSTFGPSMTSAFSVPTVNPTTHSSTSIPENTVKPVPGVDISTVIT SAISIPSVVIASSTSTTFVPAGVPTTAYGQDTSIDSAPSPEEVPVTAVTTTGTSAEPT TTVMISLTSTVYATVTGKRTTITPSLSAAYAQNTVAIAKNPFAMVTNAPPTSTNLNAV QETPTVTVTTTTTVWKTFTPPPITLTQFVTKIGPQVTVTQMITMTVTPKPTVSPSPSP SMSAHQHNVINI TSTA_072790 MARWGFMIALLVSASIGLLFLLQVIAGIDLGKSAPHYRTHRPIL QQASRADDSIFLLGAGKADITGPVVEVALNGYANLSQIGTGLRQRIYSRAFIIADPND TNNTFIYLVLDAQSGDTAVRHGVLQGLAKLGAEYARYGEHNIALSGTHAHSGPGAWMN YLLPQIPTFGFDPQSYQALVDGALLSIQRAHESLTSGRLSYGTIDIPDGNTNRSPYAY LANPEEERAQYEYNTDKTMSMLRFDRTSDSKTFAVLTFFSVHGTSLYENNTLTAGDNK GVAAYLFERSAAKDNRFANGFIAGFSQSSVGDTSPNVLGAYCEDTGLPCRFEDSTCNG QTELCRGRGPYFSENDAGSKSCFEIGRRQYTAAKQLYDQLDTDGTQILGSSSVSSFHV YHDFTGYEFPSPFNGTTLSTCYAALGFSFAAGTTDGPGQFDFTQNGTGPAESNPVWYV ARAFIHQPSAEQQACQAPKEILLDAGAVSLPYAWAPNIVDIQLLRIGQLIIIVSPSEV TTMSGRRWKNAILKASSDVLGITNPLVVLGSPANTYAHYVATEEEYSVQRYEGASTLY GPNELAGYINLTLTYLPYLGSEATVAQLPPIPAGPNPPINTNNSLNFIPGVVYDSAPI GKSFGDVTATSPNTTTYGAGDTISVTFVGANPRNNLRQEGTFGAVEWLNPASNKWQTV RTDADWNLIYQWERTNTVLGYSDVVLSWQIEDSYYAIDDPNPVQSGSYRLHYYGDSKS VLGVVSSFEGVSSAFTVKI TSTA_072800 MPRDQLEPWDSEIIDTYMHALGALGHLKLTVDPVRLVGFLGLLP TKSSHLLLERQPRALVIFAHYFTFMAGYTGSWMIGATPQKEITGLASIIPEDWQPLMQ WPLSASKMDMNTSQYLSGRDENMDEVCEH TSTA_072810 MEGTGDSSANTDDTPPGRARPRPKKLYHKKSKTGCATCRSRRVK CFYDRIKIVVGVSQGEQNQDKIRGTTTDLLSPLVTSRPSKHLLELRLIHHFATQTADT LPTSADPNLAKVWREKCPELALKHPALLDTICAAAALHIALTEPSSDLFDAHCHCMDS ALRGHRRDISNVNIINADAVWFTSSLLRIILFARLQDRNTELYQLPEELLSVTATIKP GGREYFSDGLVPRSNIGAMVEPWHQ TSTA_072820 MPLINEYHSSLPYIDGEPGEQARATAQKLISAELSPEHASTLHP AIPALPETRFSPLIEQELSRKEAGLPLTGGIDLSRYEAPEAPEGPSKTQDEASKKLQE WKDTLRKAYISSSHLSIRHNNLSLLEEYGKNAWLIGNSQLEEILRNVEKELAETKEAV ENVHKERKLAQESGHGELSGLEDTWRRGVGSVLEVEVAAEHLRQQILEQRRQQAQQSR TSTA_072830 MASTYEKGSEESGVTKQLCRWLETFTLDDVPEDVRLRAKYLILD GLACGIVGSHLPWTEKAASTFFEMEPTGTSIVWGYSDKKVSPLSAALLNSTAVQSFEL DDWHLSAPLHSNSIILPALFAAASHREVGQSVKTDGKTFLVSLIAGYETGPRVGLGLH GAHMLTRGWHSGAVFGPSASAASVSKLLQLPAGSIEDALGIACTQAGGLMSAQFGSEV KRMQHGFAARSGLLGALLAQKGYTGIKNVYEEKFGGFLIMFGQGSVKQPSYLPEEISK ELGETWQSRLIRVKDHASMAGTHCTVDCVRALQDKYPEKLSDLRAITSIKVDMTEAAF HHGGFDVKRPVAATGAQMSAAYTAATQLVDGQVLPNEFRHDRLDRDIVWELVEKIKCF HARDLGEDRYTQRVTITLNDGTVLSETLHAPRSVSPGLTNEEILEKWRRLTQGLIEEE RRDEIEKMVLDIENVDDVAVLNQLMAAHFLNPIA TSTA_072840 MSSNPKSTHGGSGDDDHDNSEKWSQDEKMTHNQHCQQHERQQSL GSSDSESFYEIQPVRSERQVHPEIRGTGDGLLTPQISRISHNSLARRVTTVGTTGTTD PLFEVDWDDENDPENPWNWSLAYTAMCIAFLSYNTLVIVLFSTSYTSGTSQIAKEFGI SETIVTLGLTFYLLGLALGSVVMAPLSEIYGRKPVTVASMVIFNVMIIPVAVAKSMAI IIVFRFIGAIAGSVMISSAPGMVADIIPAQKRALAFSIWSIGPINGPVLGPIIGGFVT QYLGWRWACWISLMLGGVALAFSCIMKETYAPVILRKKAARLRKDTDDPRWWCRYDYK VPLKETMKSNLSRPLIMAVLEPICIFWNLYIGIVYGILYLCFTAYPIVFREIRGWSLG VSGLAFLGIGIGTLLTIACEPFYRRLIQSHKKDPETGEVYPEAMVSAVCIGSILIPIG ELWFAWTCSPASIPWPAPIAAGIFFGAGNTAVFIYSSNYLTFSYGIYAASALAGNSLI RSILGGIMPLIATYMYNSLGPNWSGTLLGLLEVACIPIPFIFWKYGYKIRQKSIFIRS MQEDQRRLKGKRENRNATSAISPEEAVEGVVEFQDIEKGGAQVKVNA TSTA_072850 MNGPIPTRLVFLHELSSLPPHTKVRFLGCVTRYDTVRGRLELEH NFPPLSRKDKKRSSSIASRRVSVDICHVLNTITGGQLQVGTWLNIFGYIRLEMQSKKD EDCHTPEDANGSQIYVEAVMISDAGALRVAEYEQSLADMQAINRRLGRDT TSTA_072860 MWSWFGGQSAQKRRDAPKEAILKLREQLDMLQKREKHLENQIVE QEAIAKKNVTTNKNAAKAALRRKKMHEKNLEQTQNQVMQLEQQVYSIETANINQETLY AMDSAGNAMKRMHTGLTMEKVDSMMETLREQQALADDIAQVITTTPFGEQVDDDELES ELADLEQETIDERMLKTGTVPVSDQLNRLPAAANGELKGKAKQTEEEDEEAELEKLRA EMAMG TSTA_072870 MATDVAKGIDLVDNTKSQQPSEQDLSRSETRSSYFQLKQKLTRD SVRNELQKRKYAKWQRERLGAPDPESRSQSLSRTTTKNTTPGTPMPSKGFDNVDILNI APVQTEATNILKTEENPEASSELEVLYENQRGWFLFGIPFYSSRSLLQFDPPAWVDKE YRVSPVNITNTQVPDPSWEWAWPTWYVDMSDDVDEEGWQYSFSFIPKSGWHGTHPWFH SYVRRRRWVRLRVKKKYARLKNGVDQTDFRMAHMLNEDYFTIHSQNLNSVDPSIAPPT AADVPSSSFSRQWVGDSPERHVEDSIENIPALLEAMKNAIVDRKKIEALRKFLAQGGE ELYYLPDKVPEMLSLFVFQTSRWQFLKLLHDALDDANSLETDSNAGKKEIDAQDRRRN NILRTIDAVKKEVSDIGVFDISKENLESLYDSELHEAHRSSRGKGKERSWNEIKGIPK AAGIGKEGHIY TSTA_072880 MSTTTTYTHRTYLQARGSMISTSTTSCLRCGNLSTFLDNVAVPA SNEPLQFLYPSFAKGILLGCQASESRARFSSTRRSKLRGNHKKFQQPYTSVNAKSRRW LTQGSHLATNGNVGDVEVSPRAETSYPELYRDADLDRFDDGGRRTDRLRTRANEHETG PRIEPEVDKILNHRSSNEPMFDEGTINGNVEYGHMENEVFNAGEYALTTPMMQSEVPP PDDRKLLTLMRKRDMALAAMTAYANTPGESKKLRYRQFIALKPKNKHKRRPHWTELMA RLERMHHETIVEPIKGTRKEILLREETVAFFSGTTRSMENIWYVRIRNGCKVHVLDSI ESEGIYRKVVLTGTKRVIELVEKQLQQFDEQIRQSDVPPVVPSMLALRQQGLTPPLVR RWWFSPSDHDNRMIVSKPVSGTVVTSVRAFNSLVEQWVYYEPPVKGRWHTSLVKDELV ALFTRPENKLYFSSNALHLALNFLTEHEFLNSVRKVFYHAKTVATANTFNVFLQASAK RQDPWFYRYVLTEMEKSGVQPNGHTWMTLLKSLVSPGPRKELMKRMKEFGLLEDTRVL HDMIEHDISSLVSDSLEEGNDIQTFLESLERDYGSDIITTNLLNQILNAVYLRRDLKL TADILKSFERFGIAPNARTFTAALSIFFRIGPAVGIMTPYLREHEYLLHHRHYDMLFL DAIASNAINACRVIWRYASMRGETSSTMRYIVLSSLLGKRSARHVTNPEHINHHIGSL VIGLNYPQTQPTTRAASLVPPQFQTNPLIYLLHNRDNMPASEKINLVKALMEDDVEGG PQHIPLEPFTVMLDAAVRLDSSPEYSWDRPEGGGHPGMKKLPTIEILKRIIDVPIE TSTA_072890 MASLTDNSTTKSPSTLRYIQYDPSREIEYLTAMRQLISKDLSEP YSIYVYRYFLYQWGDLCFLAMDSNDKLVGVVVSKLEPHRGGPLRGYIAMLAVREEYRG QGIATRLVRMAIDKMIERNADEVALETEVVNTAAMKLYERLGFLRSKRLHRYYLNGNS AYRLVLYLKEGVGSIGANYDYPVPIPGAPPQTTTPQIPAGGSVV TSTA_072900 MPDLRRRAVKQPSRDENGDNNDTKEASLSDAETKTKTSRKAHKH GTNAERDKKQSLSPIVRLKRYITKPRGKRRHSFVFLLGGLFGIFIALFFANQNEVISL DALMDLNLDSLIDVMPTGFLKDAKEITKHERDTVSYDSFSVGLQLKSEGIQAKHPVVM IPGVISTGLESWGTETESRQYFRKRLWGSWSMMRALVMDKASWKNHIMLDKETGLDPR NIKLRAAQGFDATDFFITGYWIWNKILENLATIGYDPTNAFSAAYDWRLSYINLETRD QYFSRLRSHIEMTVHTRKEKITLISHSMGSQVVMHFFKWVENEQHGNGGKNWVNRHID SWVNISGCMLGAVKGLTAVLSGEMRDTAQLNSFAVYGLERFLSKEERAEIFRAMPGIS SMLPKGGDAVWGNGTWAPDDKPDNTLSYGNFIRFRETNSSWTSRNLTMENSLTYLFNQ SEDWYRNQVHNNYSQGVAHTRAEVEANENDPRKWLNPLESRLPLAPDMKIYCFYGVGK PTERAYFYQEENDPLTRLNVSIDTTVTEPDSTLNGPDHGVVMGEGDGTVSLLSMGYMC SRGWRIKRYNPSGIKITVHEMLHEPDSFSTRGGPNTADHVDILGRASLNDLLLRVAGG KGDLIEEHYVSNIREYAERVKIYDDDDDDDN TSTA_072910 MMNPTISVPSWKDRQKSQFSKVQLPTMPWRSFKLLMPHRMRRKL RSKLRSRVSPATSIASLQTSFSPKDTLRSLQSYRWSVYDFQYLLLAIIGIFSLCIIQS PDPFGKTFVASLLLLSLLLPITRQFFLPFLPIAAWLIFFYACQFVPSEYRPGVWVRVL PALENILYGANISNILSAHQSVVLDVLAWLPYGICHYGAPFVCSAIMFIFGPPGTVPV FAKTFGYISLTAVAIQLVFPCSPPWYENTYGLAPADYSIKGDPAGLARIDALFGIDLY TSGFHASPVVFGAFPSLHAADSTVAALFMSHVFPKLKPLFVTYTLWMWWATMYLSHHY AVDLVCGGILAAVAFYFAKARFLPRVQPGKRFRWDYDYIERGNGSDEEGYDLAHFKGH MHADSDEWTVGSSSSVSSGSLSPTEDQHLWEGETLASISDIESGR TSTA_072920 MPPIRTRNTQNSSNQEGSILLAISDLENGRIRSIRQAVQIYDVP FTTLQRRLTGTQHRGERHANDHILTQYEEESLLKWILDLDKRGLPPRTSLVQDMADLL LSQHGNKHVSERWVYRFVDRHPEVNLRFSRRHNYEQAKCEDIQIIREHFNRVREVIQE YGILSEDIYNFDETGFAMGLCASAKVITGSDRYGRPNLLQPGNHEWVTAIEAVNSAGW ALPSYVIFKGTTYHQQGWFETLPQDWRLDISNNGWTTDEIGIRWLQKHFIPHTTSRTK GRYRMLILDGHGSHLTPRFDQICTENNIIPPLDVSCFAVLKRQYGQLVEQRVRLGFNH IEKYDFLTAFPEARTMAYTAENIQNGFKATGLVPFDPDHIYQKLTAQLRTPTAPPSRP SNSQSSCLQTSQNPRQFKRQMTTTEKRINEHTTRSLEVIDRAIKQLSRAHEMSINELL IVRKEVLNLRAANEKEMQKRKRSRAQISHEGSLPVQEARELITNRDMASQPIPVAPVE SEPQASQPRVRARPKCSGCGIIGHKINRCPNRTTS TSTA_072930 MAHGGAWTDEHQLYLVVMKLATRYSWRAIAALFQVRFNSAATSK DCESKFNKDLKKTKMFKVLNNFFANGEVPEEGKDEERRFLAIGLLLLGETAEEMRRR TSTA_072940 MSASLAPECNEIKEKYDTCFLKWYSEKYLRGNSKDECADLFKKY NSCLMKALKERGIDTMMEEAKQGSKESDAEHLKR TSTA_072950 MDNSADFSSFQDKVTTALIRSSKTVQKIGKEDIDFHRTSNSEFA ENLDEQSSRLLSLTSSLLKVATDGTDIKPPALEDEDSLEDNWRGIVDVIDNLLEKADA CLDEFTGIIKKLSPPQQDRIREASSRQQEKKFPTIYDYGPSKIPKPQLLFRTAPNNHD TSPFRPLLKSKPHAIVPLEQSLQLVGTDKKPAFYPNPYEKEIRESTYPKSTYVVAPPV EFGPVETTQAVWVDTPEGVAEMVEELKKAKEIAVDLEHHDVHTYYGLVSLMQISTRDK DWVVDTLQPWREDLQRLNEVFTDPNILKVFHGSTMDIVWLQRDLGLYVVSLFDTYHAA VALGFPKRSLKFLLEKYAHYEADKKYQMADWRLRPLTDEMLKYARADTHYLLYIYDCL RNELLEKSTPKRNQIDYVLERSKTEALQRYERPVYDTLGGQGAGGWYDLLSRNSGQFT KEQFAVFKAVHEWRDRVAREEDEGLQCVFPRHVLFRVAVAMPVDKHTLFKTLSPVTLI VKDRVTELLDIIKKAKIEGATGPELRDVIKPRKTVEEATASLPLLDKTPAMITVVRAD SSQFWGTALQPQGTVAVPLNYKVAASCEALRLSVPIPPMPVTVSEVRQMIHDTTPAAM SVEPSPMNTPTTKTDKQSDIFTVKEVAPRRKRKVTETNDSDETSSSGSSESESESESE SESESEEEIQIHQPPTKKSRQRPKEEDTVPFDYNTATSVLHSEDMAAAAAAANLPNQK KYFNPYAKALNAPSGVRKQKKETSGRTFTFR TSTA_072960 MSVATMLQPASRASRASTSSSSSFQPVSRQNTMSSHDTRSLRAS KRMSVTAFYLSMSAKDKDLEISDDLARAQKHLRELKAKISSQSKKNFVLEKDVRYLDS RIALLIQNRMALEEQNEISSRLEESLESSQVGYFPNDEKTQKYGNLLFLLQSEPKHIA HLCRLVSMSEIDSLLQTVMFVIYGNQYESREEHLLLTMFQSVLTYQFDNTPEYSSLLR QNTPVSRMMTTYTRRGPGQSYLKNILADQINSLIELQDVDLEINPLKVYESMVQQIEE DTGRLPDYLPKSVTAEVAAENEQVQAIIEPRLKMLTDIANAFLTTIIDGLEKAPYGIR WICKQIRSLSRRKYPDAQDQTICTLIGGFFFLRFINPAIVTPRSYMLIDSVPSDKPRR TLTLIAKMLQNLANKPSYAKEPYMAKLQPFIHQNKERVNKFMLDLCEVQDFYESLEMD NYVALTKRDLELQISLNEVYATHSLLERHSAALAASDQHSHLQSILQELGPAPPQLPR KDNRQINLPLFSKWETSVDDLTAALDITQEEIYFMEAKSTFVQILRALPEGSKVLQRP LRLDRIAEAAATLKNDAVMVRKGIRTMELLSQLQEMGVIDRSDEFGLLRDEVEQELVH LGSLKEKVLEETKQLDEVFRTIRDHNAYLVGQLETYKSYLHNVRSQSEGKSRKQQKNQ ELGPYKFTHQQLEKEGVIRKSNVPENRRANIFFMFRSPLPGTFVISLHYKGRARGLLE LDLKLDDLLEMQKDNQEDLDLEYVQFNVSKVLTLLNKRFARRKGW TSTA_072970 MAEKPQNFDPKYDDYDFPTTSPEARSGHPGHTTEEQDAKIFQLR ALLEQEGYTERLDTLTLLRFLRARKFNVEAAKAMFVECEKWRKEFGTDELVRTFDYTE KPQVFAYYPQYYHKTDKDGRPVYIEKLGKIDLNAMYKITTAERMLQNLVCEYEKLADP RLPACSRQAGKLLETCCTIMDLKGVGITSVPSVYGYVRQASAISQNYYPERLGKLYLI NAPWGFSSVFSAVKGFLDPVTVDKIKVLGSNYQSELFAQVPKENLPKEFGGTCECEGG CELSDAGPWQDPQWTRPPKWAAPAATGEAAAPAGETTGA TSTA_072980 MQSEHYRKIELQSPADLTYLYTNAIALSRQKLDLHFPPSASYSQ NGNQLDPMKERVKELVDDFIRQTYTYASDSLSINGLEFDSASLSAAAAASKSKGSALP FPATFSAPDETVEYEPYDGKLASRVSSLYAQLESLTTTVAQLRRDAPRKTARMYAGNL KKILDREDEEFQRQQQQQEEEEQGKRKRRRIDNDKDGDDTNIHEEEEEEEEGINPEYR LDIPFGTAAERERWHSGEIAEIYTDTLRTLLRLQGEELAGTEADTEDSDKKAISTTVA TAERAERAVEVVEKMV TSTA_072990 MSSLQTMTAPAVGHNRPPDPGIDIASSSTTRTNDAIVDHKSDDL SRHDFRDPDVNANAGTDRNTNVASRDREEPMNTDVASSKQNGSALHDRSPAEANSEEK LDTVADNGRDGNERKETQEEQRQIKEEKQEAQLEEQPAEKETSTKDVSDMTTVKEDPT DKHNQLPLQDQEKQSAATSLLAQLLGSLSAQTGPSPATPEYLPRTPLPEPLTDASTPA APNEDISLPVINGQTEEVGTQPGTDGQAVTKQEDKLTEVQDHPVSPTDKPFNDMQIDT AATRIPDMVTGVMEDPLSKTSHDHSALFAPFDADGSIKNGLDSLQQHDLFANAFLSHE INMSGLPDVSYSQYDVATSIAGSEPRIQAFAKLEFDDGHFYVNTYSFILGRDVRAARA AFQREVQARQAMRPSRNKSSSGGNTSHTPNRVKRDGSAYVGSVVSDRGGIMGFDPDVP HHISQISRRSSVSSQPDSVLHATPAQLQTNHTDYNALAMQSLYDGNADAKPVDMLALL PSPDACPTIPIHPPATADGSSAGHKGISRKHVKIAYNFDKNFFEMEVMGRNGAFIGAD WLAPGQVRPLHSGDYIQIGGVRIRFLLPDVPIGETGADRLEEPLPEGDGTATARETTE VTGEVDAMEEDSDESQEGTSKPRVTKLILKTKSQADSANADASADANGESSQPKRRGP GRPPKDGIMSKRERAEIAREQKLAAKREANGGVTPPPPVNRPKLPTKQPRKEDSVEEV AAAVTPATPAKPAAEKKSVKRKQPDGSVVDVPVESIEGDEQPQIVPEQQPPQPVVEYA KPPPPKKRKPSRSPSPDYPPESAYTPDQLQKPPYNYAVLIFDALTESRTPMTLKQIYR ALKLKYPYFRFKCETEGWTSSVRHNLNGNRQLFEHAERDGKGASVEKEKKRRPSPPPP ASHIHHPPQHYMPPPNHTYPNQSLQNQQFQFSAIPPNTFNAPAPQPSTYQRPAPPPPA QTSAPNPFKNISLPPALTQQAPAKYVSPYPCALPPEILQLQQESQPKPAMDQRQSGSV TPHPHQPMLHQSQPPPPQHQPQHQTQPQHNQPHQPQQHPPPQYTPNGPSYPPPANSQI HHYPPPPGQGPPPSPSQQPPQPYQPQPQPPQQHQLYQPPPGGPPLTDNNNVNNKNNVS GNSTTNTIPEESSLSFLERANKAIDDFEAVLMEDYDDKNYIREVLKSARARALGHADK SSFADGEPKDEAVLLDVLRNLIGSLKDE TSTA_073000 MPPAKPPKKKPSSTQPKPQPTPPDWPPLRPLVPSTDLYIEPLLT NQIYIIRNFFTASLCKSYVSFFTSSSSAIDLVTTPLKPKSKDHAVRVNDRFQVQDAGF AEMLWRGTCLRDVVYERRGIDERGVEEEEEENERIWGGRVLGLNPNIRIYRYTPGQFF AQHYDESNTLQFPDHTPNKPVPARTTWTLLIYLTTTEGGETVFYPEDGSAPISVTPEV GMALLHRHGEHCLLHEGKEVRKGEKWVIRSDLVVR TSTA_073010 MNMIFLLAVSIGISICTALAIYRLFFSPLAGIPGPKIAAITAWY EFYWDCVQQGHYLFKIGQMHQKYGPIVRINPWEVHIQDPSYWDTIYTNNKIDKDAWFY RAFGDNRGTVGTGPWDLHRRRRAAMAKFFSAANVARLEPKVLARVQKLLDRVEEGRQC LRSGDFAVLPISHAFRCFATDVISDYAAPHTRDFLSTPDFSATFNQVLRDFSEIMLWH RHFPVVFPILGAMPRWLISMMDPTGAQIAVLDNQASLLKQAQTVIATKGNPPEKSLPT VLDAIYTSDVIGPEEKTLPRMMAETQALLGAGTETTGNTLSNFVYHVLSNKSILDKLM TELEEASPKSQSSDLLDSRTLEKLPYLQACIREALRLGMGVVGRLPRINPIAPMTYAT PSPSESTPPKTYTFPPGTVLSMSIIDMHLNSDIFPDPRTFRPERWIDSPEPHLRQMEK CFVPFGKGTRGCLGIELAKEELTLMTGNLFRRFGRHMELFGTSGRDVSIVHDYFAPFG PKDSKGVRVVVR TSTA_073020 MAQYTWRHASNSNPDNPIPTDHPFNNVNNNEPLLVDTTTIAPTG YFYHRDDNDDNDSALGDDKASSTTSLNESIFNYQYENGRRYHAFRAGAYPLPNDEMEQ DRMDMQHHIYLLLFGGELYRAPIGRNGQSDIGKALDIGCGTGLWAIDFADSHPETQVI ATDLSYIQPTWVPPNLRFEIDDAESEWHFHEENIKFDYIHIRSMAGSIADWPRLLQRA YDHLSPGGWIELTEFETWASTDDNSLPLNSAYHQYQVLLCEAAASFGKEMNIAPHIHQ LILNAGFVHVHKEVQKCPLSPWPKDRRLKELAMYMNLQMMESIEPYGLALFSRVLKWD TPRIYALLDGVRMDLRNLNYHMYSRVHCVYGQKPYN TSTA_073030 MASSSSLPSSNPFGPGSSFAKISEEEEYDVTSPTDPSFRRSHTT SQSPFSSEAGPDEDEDENNNNTQINRNIFASAYQDSGEGLSSADLSAALSNAGGPGQG MPNNYAMGRRTSVSAESLNPTSSGADSWTPPFHPKTDEQLSRLKKAVSGNFLFSHLDD EHLKTVLDALVEKPIPAKGIKVITQGDAGDYFYIVEEGTFGVFINPSGAAQPGPDGLG TQVGSIGPGGSFGELALMYNAPRAATIVSLDPKSTLWALDRITFRRILMDSAFQRRRM YEAFLEEVPLLSSLKPYERSKIADALDTIKYAAGSTIIREGDPGDSFYLLESGEAEAV KAGTTVKEYSRGGYFGELALLDEKPRAASVIAKTDVKVARLGRDGFKRLLGPVEQIMR RTEYDVDPAGTPTTIEWLCSIYSIDCAMGIFVLIPPGDLHLVDA TSTA_073040 MGSYVFRWPREATEVYVTGTFDDWGKTVRLEKNGDVFEKEVHLP TIDGKIQYKFVVDGSWVTDSDARQESDGHNNINNVLLPEDLKSAAKPNTEVDSLTGGN TAAAVMAGVVPEATTAQLAGEVPKENNASSVPGGFPETPATEAANELSVNPIPATNGI GNPVKLTPGEPVPDPSTLSGNTVESTVRTDKEGYEADASNPVFLNKEEQAKADPLSVP PVSTGGLIPESSLPMNPPETAETPGVTIQSVHPTSTTAALAAEVPLESQKNAEVASDV PKVVKESLTKAHEAPEAAANAEAVEEKAEVEKELQNKVGLADAPAVPASDVPEVVKES LEKAHQDPEAAASEAAVEEKKEVEQELQQKVHPTEQAGEPAPITSAATTATAPTVEST DVSPQTSPPATAAATSAPSTTTQTQPTVTTGVASGTTTAESKPVAGAPQDNSKEKKKK HRASGFFQKLKEKLK TSTA_073050 MANSIIPLIILLLVVALFSAIGFAVYTIAQDVSSNTRAKMEKRN ISFSKEGMKVSVKEKRDEEYKDQTQSVLVKVWNNTSFPAYKSRLWDNGASGKEDDVKR R TSTA_073060 MILTIISGTTTIYLLYKLLSFIYYYVLALRTGFPLLLSPIFAKS ILWQILAPAVLPSIRGKLPLWIVIRLELVVNGWEFRWRHGEAQRFVGGDTFVVVCPDG LYMWCSDPVLGSVILQRRKDFIQGDVVKQFIGIFGSNVLQVWQRQRRIIAPHLSEGIM ATVWKESCLQARDMIAYLVEHPGGETLGGLRSVAINVLGSAAYGYSQSWSPGIAYGMT EEGNWGSGRIAYFKTIALVADQFIAAVLIPSWIKKLPFMPKWLRVIDRQMANVPRYVK EIFDAELQKKTTEIPEGKDKHNNNVLDMLLQYSQKKTTNGLYLTEDEMSGNLWVFTAA GFDTTANTMGYAVILLAAYPEYQEWMREELCGLDADISPEEYEKCFALCPRVLAVMFE TLRLFTPVLHSTRTVNGIQQLAGRKGTHILSSPMDVFVCAQIMHCDTTLWGPDAAEFN PKRWFDKQTNLLIKPPKDTFLPWSGGPRVCPGMKMSQVEFVATIATLFRQSRCEVLTL TGKEEEGRKRLMDMMDDSISKLTLQVRNGQEVKLRWVV TSTA_073070 MSFSSIKALLAQYSPVSESSFSDAEKEAQIPLGQDKDLELSSNG DTPAPSSRPEKKSWVDARVISDAIIGLSDGMTVPFALTAGLSTLEDTKVVVFGGLAEL IAGAISMGLGGYLGAKSEEESYRATLKQTREQTLSDFDVTTTIISDIFAPYDLPAQTV SDLTKHLVDSPHLPNFLMNFHHTLPEPSGSRAVTCALTIALGYFVGGFVPLVPYFFVG PEDAFSALKWSIAVMAVALFVFGYGKTCFVAGWKGRDNVKAGVYGGVQMVIVGGVAAG AAMGLVKLFQVLAR TSTA_073080 MHPFSVLTLGIFVAGYITARWDLVTRLYELAIFAWDHGVVTRTA KGFVLLSAILFIIIIPLNCLASKEIDLHPRVVQQASLSWFIWKDDNMIIGDGLLRVFW PNDIPRSSSPGVIIGWRNSELDLFVITVLEDVEPKSVDNALRTGILYRNCPHPIAQLF VLCGKSAMHVLGSTNPTEPPTTFDASHLSVTTKPSHKVPRVFCPPETNISVQVILFNR PHPTRMQYMSLEPISLALADKQMNDGKGVSFTPIDADEEQEKARSRKLIEKLKWHTVV RHVPSQKEVALPIILNQVNCAFEVGQLIGKNSRLIGTRGKRSMSVSERVVESATTIWD FFVDMFWQWLWPVLTRCFVFGLVCHRAVAELVLRLLEWRARPDAAALKDISATAQQVD IRLQQFCYWPIQYVKLRQRKDNWESVTTSHPDYIRFYNSLWLVANDVIIGIALGSYII DNAQWVASQIDYLITDWTVEGLQRTISWLMGWPAGLKLNNELAAFLGDLFLWVIEHWA ACIANLQPYLPHLIYIIGCSSFAGASMPIALFSDLLSIITIHIYSFYIASARIFNWQL SIIISLFHLFRGKKRNVLRNRIDSCDYDLDQLLLGTILFTVLFFLLPTVIVFYIAFAS ARMLIISLKAALDTWLAFLNHFPLFALMLRAKDPGRLPGGIYFSLREEVIKPSSKEKG AEAIRISYIHLESTPLSLRAMFDQYFQLGHRLRKHYLSPSVILCLVTGRFVPPIHRRN LYSMQYSMLPAHRAGMFEVWKRLTEKKSVSTSSSGSRGMNGGIGNGLVKVPSNYMSNG GGRRSHR TSTA_073090 MSSRPELKVDDEVGFIRFFRSLPSKDAINESNPTTIRLFDRGDW YTAHGTDAEFIARTVYKTTSVLRTLGRSDSGGLPSVTLSVTVFRNFLREALFRLNKRI EIWVSAESGRGQWKLGKQASPGNLQDVEEELGGAGGSAMDSAPIILAVKVSARSSEAK NIGVCFADASVRELGVSEFLDNDVYSNFESLVIQLGVKECVIQLDSSKKDAELAKLRA IADTCGIAITERPAADFGTRDIEQDLTRLLRDERSAATLPQTELKLAMGAAASLIKYL GVMSDSTNFGQYQLYQHDLSQYMKLDASALRALNLMPGPRDGAKNMSLYGLLNHCKTP VGSRLLAQWLKQPLMDQVEIEKRHQLVEAFVVDTELRQTMQEEHLRAIPDLYRLAKRF QRSQANLEDVVRVYQVAIRLPGFIRSFENIMDEQYQTPLDDQYTTKLRNMSNSLANLE EMVETTVDLDALDNHEFIIKPEFDDSLRVIRKKLDKLRYDMDVEHRRVAKDLNQDMEK KLFMENHRVHGWCFRLTRNEAGCIRNKREYQECSTQKNGVYFTTRTMQELRREHDQLS SNYNRTQSGLVQEVVNVAASYCPVLEQLAGTLAHLDVIVSFAHVSVHAPTAYTRPKMH PRGTGNTILKEARHPCMEMQDDISFITNDVSLLRDVSSFLIITGPNMGGKSTYIRQIG VIALMAQIGCFVPCTEAELTIFDCILARVGASDSQLKGVSTFMAEMLETSNILKSATS ESLIIIDELGRGTSTYDGFGLAWAISEHIVREIGCFGLFATHFHELTALADRYPKAVK NLHVVAFIGDAKEGESSKKREVTLLYRVEPGVCDQSFGIHVAELVRFPEKVVNMARQK AEELEDFTTATSDNTEKKEASALDGYSQEEVEEGSALLKSMLLKWKAEIEAPGKENLT AGEKRQIMRDLVAADNNLQSNKVFQGIKNL TSTA_073100 MSSLIAARDTYVLRRRFDMPHGNGTIDAWAVSSSQGIIIISFYT IMMKAIVTYFWMAVVLFGVGLFLQRHSPHDSDSSATTTTIGLWNFRHSPQQVIYFMIR RAFAVRTSRDLWYPLIWFAVAAVALAASLVVPILKTQSLKIGNVAPVNPKSVFVPYYF DPNSDMLETAQQMVLAVPAAMRAVGAAGTIPANTVFISNATSSSENGTVFQLDYRYGI SGSDFGLQKTPDLWLNVSGSCITDYTWYQYEDESGGDVYHMFNDPSNVQVVYPSLDHG PAFATAYVDARDGYISISNISYALLVSSVGRQSLSNSTDPWYATENIVNNNPPWLYQV KNERPPLSCREETVWSYRGHTNDTLHLRELTDNRLSKPVYIALSQNLYNPMVTLMTDR IGRSSLASSTTYVQSGFDAKQCSVIADMTRLINTSYIATRNILSDSTTMSVQGRGDLL NVFSAFSANNSGSDEFVISSPDIITLSVKALIIVPTVFVGVIVLLVVLAFLPDPWRSS KELNATRLHALKRQNAKTKTRDLRESKGNENGRQDDRRDANENDGGNVGKEVHNIVTS INA TSTA_073110 MASFAFILCTLAILWQRVLAVAVFEALQSSNAGLFAQWVEQDQG LASLYSSSKVKTVFAPVDDAFRAYNQTGHLSQLRRVLVRQAGLPSEAGLQHVSGNLNH LNEQSPDSNRTQPVVGLPSSSNGASGVSSRQKRQSNNSTTQTLRFYSGLGNSVSVIHA NIPYDGGLIHTLDGFFTLPQSWDDTFAYTNTTSFSSGLNRTGLASIISNPKGATIFST PNEVYDRSIQQNITTASLTSTLSNHVIPNFLGYTPNLANGTILTTQSGNNLTVKIIDG EWFINNAKIIGSDQICSYGVAHIVDSIIETSTSPSVPAYTGMAATHKKVEKAGVIASI AYAFLAWLL TSTA_073120 MADIAETVSQPQDSDKPSESKSSESIAQNIASAALQGGEILAAQ QQLVYIPQQQQQVQQQSTQATQTMTIMNNQFVPVSTSPVQVPTSAASPVPVVLPQVQI SVHSQPTAVSAPGSIVQKQASVLKEEKKNDAVNKLEKPELSSAQIAAVKPHDPKKPLT TSTQLQTNANTNPNTATMQSRDRGCGLCTAIKKLFQGMTEAENNDNKKDDNQTNTANN GTQDNNTGGQSLGNNTMKMVMQAVMPAPGPAAQNTTSYSSPLTANDNNTTTNASNDQG VNAIATNMTIPNTVQVSSGDTSASPNLFYMIPSSGQPQTEYLMTVQQPLTVVGSPQVA VVPAVDSEVTTNQAIMDGTNMDSGGFNEEEEGYLVDDEDDDGTNDYVD TSTA_073130 MANPSVTEHDGSSELPKFFCRKRTELQERPSGGRCLFDFVEDSD NTDSKRARLSSDEPTDEAISVHEVLDMISPSDLKAIVKELLVDKVAGPVLKRKLLATT DNPRNTPARKHRNSASVYVPIHQKKDQEIINEAYKMRGLECGSAWAHALQKYLPCIKE LAECGPLVDSL TSTA_073140 MSTATRPRNRARSHLPRSQSQRAGIQRFTRTSKPGALPSSKSLL LLDGGKVIDDCNSPSCKLTASPSKKRKLNEIASTTTNDDATSAAEVVAPSTQALPDLS VEDTPSKTLKFSDLSFSTPRSSRTRSTTTRSKSTSTRPLPKPPSFKKSASIPNITETT TTIKRPAALSEFLDLHSCFLKALSLHFAHNDYSASADLRELLPSIEKIWKKRKVVPKD LQRIVYVWDEEYEGNENGEKKEEKTNSSRSNLRFRIANYGLGKVCIERLDVLGSGSQG IFDENVFHERFVHIMERKWQDQGESRRDGKKDEMELPFQLDKLPLAPIQDCLNPCNTF RKGQQRLQDLKGGVIKVKTAMLKAASLASTASGSVIPIARDPTADRRKGLFERIKNKE LLQSKLGPAPTKEQLLRRSAAQRAEDVARVLALLRPSYTMTTNNSAVVAQKKPFSWHS LIQTVRDSLRNPIPAEEIGACLDILAQKDVAGDWIEVVTIGSLKSVVLMSGRDVSPKE IGIKYPATVEPIFAFEASVCVWLLHGVSVCLAQAH TSTA_073150 MVPPPLKKPGKVILIFEDKEKAKAWERDMVLWEEDAKRGNVTNK PYLSLVTV TSTA_073160 MSKSRLPLYLGLTAAGAGGYYLYKSGGDVDGAAQRAKADAEKAR AKLPGTNEPAAKGEEIGARATAKLDEIVDSAHAKAKDANSRFGEGAKESLEKIERIRQ DTAKQMGTTVDKLDRKVEEKAAEAKKSITSWFGGK TSTA_073170 MRVSPATPQCPNQQDEPSRLVGFVVNGKPSNSRIKELVEENERL RVSLALSQSQPGCDDGPASSLTRDDNPPPHETSNLMSRDCIEREQQPEFDQSALSASN EDVRSARSSSRKAVRSSRRNPTPRNVQNSLSFTEARNTQSNDAEPRYHGPTSTLFDDA VGDRRIQHSVPIAPRLPPAWVQKGLMAEATCQRHMETINYSQKKLDFDGIDPGLGMHL LDLHWNRQHHAFLITYRPAFMRDMACNGPYYSKLLLNAIYFGASKFSSRPEVRREPKD VRTAGWLYRERVRELLGGALDRSDITTIQALLVMASSLFALGDERSAAWLYAGTAFRM IIDLGMHVDGSRLPNNRRFSDEDLEIRRRVFWGAFVVDKIQSLYQGRPVSLQELECSV SIQFMDHYEELEHWKPFAYSETQKYPGSPAYSVSTFTQLCKLSLIMNRILNKVYGERS SKRTPSELAQDLKSLHSDLDQWCTGLPAHLTYDPADTSKPIPPPHVLSLLAMYSVLRI LLHRPFVSDGDLHSSPSNAINSFLVCATAAKEIVRILRAYDSAFSIQRAPYLISYATY VSATIHVRIAAQREPGSEAHICLAICLDVFKKNQETNWAVRRANGIIHNLMKRMNVSI GESDIKASTMEQHFGNDDGQENNSPISTREQPLTHLAITDDRNKGLENNPRMNGPNDD LILSPSNTRTNAQVTSDLDIDAIIQSFIREQEIYAPSNYQGAENTSITYEASPLGLTR INNEWSSTPGAFMSNEMGVQPPSLTGQLLQPPGDNSYGTEYAHQSYQNQFSANDMLFG FNSSEMEGNGWELDDTMV TSTA_073180 MAQIKIDKELMAKESTKEYEKAQNMWREFCSYKGKDPIASVYDL EPLKDFVHHLAYGIEGRYDDEAAGQGSVKVFLRETVFPTRGNKRVKRRRKHARKNHFI HLGRQLWENDYHEYTKTIIRVSLWAQMLVYVFSSARLCEYLEGVSRANSRRGLYCKIS RYQIRVIRNELSESELVFQVVKDAKGMTNAPEKRPEHELYEGLSTSSQYLLLNPMLPI LAMLVASDRLRDYCTSKAVLAISAPPPDEVHVLEWKDPDCPLFEGLDDPSPILCTK TSTA_073190 MGGGKSFLRLRGTQLRTAMVLLVVAPSFILFGYNNGSTGGIATL ESFVHQFPSIDTVNTTGAQKSHNATIKGVVTGSYDLGAVIGSLLCIGYSDRIGRLRTV LIGLLLSIIGLVLEASAFGVAQFTIGRLVIGAAIGTISSAVPVWQSECSTTAHRGAFV VLEGLCISSGITLSEWVSFGCSFSSNKSVQWRLPLIFPVTFSLFVIPCLFLMPESPRW LARKGRMEEARRVLAALENESEDSPKVRQEMAEIERSLSLVTGSLKELARNGEERVLH RTLLAACGQMFQQMCGISALVFYTSTIFEDLGFKGDKAKVLGACLTTFQTLCAIIPLF LIDRYGRRKLFMITGTGLAICTAVIAGTGGQPKGSSAANAAVVFVFLFDLFYPIGFLG QTFLYATELAPLRLRVPITAVANATQWLCQFVVAQITPPGTTHLGSRYWIIFAVLNAS FVPIVYFLFPETNGRSLEEMDIIFRQTNNIFDVVQKARSYSVPPPETPGESDSVDREV GGLKPTVMQKEQV TSTA_073200 MPTAYSPVSIETQKPRVPSQLIVKATGTALDLSRQKRYRLKSVL MRSGTSRGLFLHRHDLPPSPSDWDSVLIGAMGSRYNDPRQLEGVGGATSTTSKVVIVA KSDRPGIDVEYTFAQVTVGQEKVDMTGNCGNMASGVAAFALEEGLITAAPGQMEISVR IFNTNTKSMLIETIQLDGSGHFLEEGDYRIGGVKGTGSKIRVSFVKPGGSMTGSTFPT GNREDELLISPSSSNLSKEPFTVKATLIDVSNPFIFVDSSSLPPEYYALGQDSEASLE IIEAIRRGASVKFGFASDTQAAGLRKGTPKIAIVSTPVVPNSNLTSNDTPDISVLAYS MGKVHPSVQLTGAVCLGAAANLKGTVVERLRRKAGSNSDGAVTAYDEQLISGKKGGLV VISHNSGTIDVDVDVTANDEVESITVFRTARRVFEGTIFVSG TSTA_073210 MVSTPPEKSNIRPRIYLRDFNATLICSVALLFFSALSYGFSDQS FASTQATFAFKKQFGDYKPKEKTYALPALYLSLLNSLKAGTQLVGVIIGNFISKHYGR RWCIFVMSLYSLGSVSVIVSGTSRGQMLAGRSIHYIYLGMQLAVIPTFLAEISPTHLR GGTGALYWLSIKCGGLLVTGIVRATSTNKSNIAWQLPIGLILTFPFLVAALVWFIPES PRWLLLVDRHDEALAALTRLRQTRAEKRNKVPISTEILVEFTGLTGTVEGIKTARCEK GGSRIRQFFSIFNRSNFRRTFIVVFLLFFQQSTGQSFASQYSTLFVQALNTVNPFSVT LGTNAVDIGGILCCILLADRLGRKPVLIISAVLQTAALLTMGGLGTTDPSTSADSDSI KAGIVAMLLLFSFGWSFGYAPLAYVVAAELPSPYLREYTLNVAYTVKLTMEFVVSFTY SYLEDPDKANLGGKLGFIYGSIAFLALIFSILFVPETKNIELEEMDERFGVAADNSIL EKGTTEDPIDVKR TSTA_073220 MSQVQTLFLEAQLISAISVVPPREDTNTSPPLDHQRHQEAQDAS IGGELGTAPSQLVFEAATVAQVTQTRKLSIIVLLVMANVVQMTVNFAGVAGGSTLVDA MGAELAYGSWIAASYALTQGTFVLPSGRLGDVYGYRLLVLLGGSWLSVCTLACGFCSN IFAFLTLRALSGLGGAFIMPNAVAMISSTIPPGRARNLSLGIFGASAPIGGYLGALFL GAFLEKTEYKWFFVFLSFMGFAVFLSLGILSPSEKPVDRGGKIDYIGSALGTSSLIIF NFVWNQAPRVGWSTPYEIVLLILSMVLFGAFIIWEKEYTAFPMMPLDIFKAPSFAVLL IVVLFNYMAVGTLIWYQVLWLQTVWHWSPLKFAVGWTPFVVCAVGATYLAAWMIPRMA AQWILAIGTITILISNALMATVPLHQSYWAQIFPSVVLFSFCPDLVYTAGQIIASNSV RRNQQGIAGSLIGTLNLYGNSLGLGFASTIEIQIAQRSGSAIAGYRAALYFGVAISAV ALVLDIIFVRRVKDDHEGWREEDRELSDQFELTERGETTGVYRRNAVETTQHSSS TSTA_073230 MDCIQTVFIRGCLQSRIHRTCIVYSALLNARRTPVKMHAASQLM GWIREYLGPKRHIFSSRLPTVPYPPPEWLLAQRALTEELPTPPDPLHKRNINNIKGSA CTQINFTDTLAGAQSFCGAKKKKEVKKARKYPTTPRQD TSTA_073240 MEYELEHRSSHSSRTTHPGNGIDSLPLAIIHNDGNETSGIIEQQ SLHPADTGTHAYLFLLSSFILEALIWGFAYTFGIFQQYYSTHPPFDSDKSNIAVIGTC SMGIIYIISPLVFGLLLGFPKLKRYSSALGLVLMIPALLGSSFANTTRQLLVSQGIVY AIGASFAYAPLILFMEEWFVKKRGFAFGIMWAGTGVSGVVLPLLMQWLMDTYGFRTTL RVWSAVLLVCIGPLLYFVKPRIPIAQSSSTRPFNLRFLRNGSFMIFQLGNIIQALGFF LPTIYLPTYATNTLGVNGVVSSLTVILFNLASVFGCIIMGAMVDRYHATTCILFSTIG STISVFLIWGFSISLTPLLIFCVVYGIFAGSFSSTWPAIMREVKNRESSVEPAIVFAF LAAGRGIGNVASGPLSDGLLQGYPFQGVLGGAYGSGYGSLIVFTGVSALLGGLSVLAR PLRLV TSTA_073250 MTSFLPVNRTSTPNSMKMEDVKATTPRPGSSDSRSSAAKTQSSQ SQSSSHHRRAPSTASTGDETTVAEDEPDRDQSDNEHDNEENAPPSKKKKGQRFFCTDF PPCTLSFTRSEHLARHIRKHTGERPFQCHCSRRFSRLDNLRQHAQTVHVNEDIPGDSL AATGTRFQRQIRTDRVRPPQGRARAGTTGSQGGHSRGHSRNLSTSSIASTASSLSQAP DLRRRPPPLIMANDGSARAQLSLGAMAEPITPPSQIARSVPGPSPGHGYPTFSAGGGI GSHYASPISAPHQPGYWDARGHARRLSVPSGPNPFTNHETYPPPYVTSVPPPHATYTP GSSVYASPTSSNFAPSRDEGMTPSEAELRRRTWHPSTYQPRPATSGLVKYEESANTLR PAFGAGITDGQTTRLPGIESFDKVIQGRPLTPPLRKTSPMQIDTPSRGPPYTPGFAGQ VPSSRPPPAMSGLGHRRAQPSWDLHYNLTGLNLGNGSSNPSYKEVTTPWGQQVLGEIH SVGSRPLSSGQPYTSTPRQEPSTPQNTQRYSFQTATSSTPGSHVTRTSPEDSSSSEGV ATPSTVSMDYHPAIVHSNGHVEPAVAHEAPNCAPTQQNGYTPSNRPGIFENPSAGGAN GMGRLEALVAVATSEGKSAARLF TSTA_073260 MPATLDSSAVWLITGCSSGLGKSFAQAVYNLGHNVVVTARNTST LSYLPDDSPRVLKIKLDVTSKESIINAVNSTVEKYGRLDVVINNAGYGLLGDSESIPE EDARMEIETLFWGPVFLMQEAVRVFREVNKPRHNVGGTIVNISSMGGTITVGGNAFYH AGKFALEGFSKAMAQEMKPEWNIRFLLVGPGGVRTNFMNTPNSGLKLAPRHPAYNTGD DPLSLLLGYTNSPDAPKTWSDPDKCARLLVDTVLGTTGKELPRMLLMGADSVQYVKLE LEKQLKEIEDWTVESKSVSPGSADLSFIG TSTA_073270 METGINDSSFVVITGPPQKDKHKRISQVRSVIRKSQLRRDGHLQ PRKELQVSRARAQILNKRKLLDAPERQLATLKLDLSWLSVSEAVGNRLKYFFHQYIES SAHLHPFFHSQIPLTILHAPLMSTKLVHATAWDDLSGPNPEISNLTLLQRDIADNMLL DSILEATGPTTDAESSHVALIALLSMLSFEMINGDRIAYKRHKTNINRLLDMRGGLDG LEESFRITLLAIYQLESVIKGFADSTTPSYLRYTTENGSQIISQPSDFKLMTFHRGQT RLWEGQNEISQRRQLIRIQWQFLCDNLIALASIPFRVDTGPPIDQILLYAILLFVTSS LPTYHPVIEGYINKLQPLLQKSNVLELYHGPLPGALIWCLIIGARKSHFPQESGVGGI QKWFFMQLMRIACPAALDNPLDVARNIDLILAGMEGVEYLATGPYDSS TSTA_073280 MATSEASYIDYDTFLDPDFSASSFANTLVTNTNNINDTQVDLST PLSRVLFDVQEIDTHIHNLTTKSALPLLEHTKDRSQSSQRILTQVEEQVSSLADGYQR LEKEVLRKWTSAEEARIAAQNSLQTLRLARAVARCITLGRQLEGQIAEVTGRSTTGQK DDHRAMVRAAGTILMLRQMFSAIGEGEEGQGLDRVKVIRTLRSDLVIPAENTTKARAQ QVINRFSISSLMVDGTRASNGSQSPPPAAGVPSTYAQTEEAKSRLFSAITTLYLLSPT PKTGVSAEDFQPELLLSTLQGYIHNSLTSSLSSLARGLASLPTLDRALLEVSGRCQDI GALETLLASLKRPSHPLLLPSTNQAADKNNSNLLKPLLSVLDTSSLPSYYWRSLASAL PARVQEIMSRGGAAARSLKSSRDRLRSDLRECVLRGSQLPSNVAKGRVINPASGNGAV VEGNWEREAAVMVSSVMGALGR TSTA_073290 MSSTITQTQSIPSRVVGVSDTPAADLLPSVGRAENDTKFQSLVR GDRAGTLKLRGIPIHKDAYAKRKWMKEHMAAAFRFFGKQNFSEGISGHISMRDPVLKD HFWINPFGKHFSLMKASDLVLVDSEGYVCEGGNQEVINEAGFMIHSEIHKARPDVIAA AHTHGIYGKTWSAFGRNIEMISQDACNFYGKISVYDDHGGIALAQEEGRQIAKALGDN MACILQNHGLLTVGNTVDEAAFLFYSLDKACHSQLMAEAAAANGIPKMIISDEVAAYT ASSVQNPHNLYTEFQPEFELIVEESNGRVLE TSTA_073300 MPARRRPPRPGALTELPPLKIVRKILLLQALYYVCITALVIFTT LVAGTVFSLDLIFGWDSLRGDTTVGWMLSLVWILNSFICVIFLLIFVSRSKLIPDFAL TIHFIHLLIVFFYTHSVPRNLLWWSLQLASAALMTFVGIWACQRRELAPITFGLGLGL GGPDQSGSGSGDGGAAAQSNEIGGSSQQPNSPDLENGMPGFSRGRRGRNREEYEMVPM KEAEGHTA TSTA_073310 MSWFGFGSSNAGPKSAKASDGGRIAPNRSSREVCYNGRDLFFSC LDRNDILDAIKHDSEVRKKCGKEIAEFESACSKAWVKYFKEKRVMEYNRDQTIARIQK ADAEKAAEEAKAR TSTA_073320 MTSNPPDEAIHFRGKTLTPESPRPLHIPEPSNIPVLENQMDPIF NDTSTYEKLSAYQDDERPSQLELDSEIYKSTNEDENRLREVLLSVAQASETANVPSTL GAQSAAAHLPLSDESNVAPYHQMSEPISADPVNNSTTALESSLVNPGTGDIPSKNAAA ETGVDFQDLLDNTSPSASTAPSGPVVTAITSSPADNPGFQEPQQSVLPPKQDHNYALS AGLPPRPPTQEVPNLNPNYLSTENNSEYYPVGAQTSNMSLQISQPQVQDQYSDVSRFA PGVVPGTTSGSNGLRPPPVASFQQPNTVQDVSAQPNHKSLRPERYGARSGNSPDDEAF WGSEVQKIYDEFLREERVYVTEGLWDRFPYGSRLFVGNLPSERVTKRDLFHVFHKYGK LAQISIKQAYGFIQFLDADSCHRALQAEQGGVVRGRKIHLEISKPQKSTRPGANHPES ARQSLTRRSRSPPDYTRGGPSNSRGARNQGSDRHDRPYETKRGSFGDFRDEHGPRRRD DYRPPRSPSPRSFRNRDSGFLSRNRTPDRFERRDRRRSRSPYSRDRRHRSPSPRGRSG YDGESESASSRRAPRDVPDLQILAADDVDMSFAYHVENSFKTRGLRTNILVLSRIPMD VAIQRQMEEGVFAVIKLTRSQQFSGKVTLLIFDRTTGASSKPRSIEYPDLDLHVAVEM TVQMHTLRRAGTAPNFYPHNPSYPLPLPLPNQFPQPIPLVQPGTGALPQTNQIANLIQ GLDGPALQSLLNTLQQAQSVPQAAVPSMPMATNGSHQVDLASLLSNAHRQQTLATAQN QGSQSRAPNPFGLPQLSNGPDPNLLALLAKGSANGNSIQGGQAPVGPHMQNIVNQLAK WKQ TSTA_073330 MAPAKESKKRKAVTRDVEPDTSIVSGDELNNLDLSDGAHDLSDE SDSEVEIINEFSDEEDDSESDIDSDEVPSDIEDKTGEVSSNTKRPSQTRDEDDFDEPN YRVEVDANGNERYVYDEINPDDNSDYSDADDNANTIGNIPLSFYDQYPHIGYDINGKK IMRPAKGEALDALLDSIEVPKGWTGLTDPSTGRPLELTQDELELLRKVQMNEIPEEGY NPYEPTVEWFTSKQEIMPLSAAPEPKRRFVPSKHEAKRVMKIVRAIREGRILPYKPPA EEDEADDGLIRYDIWADESPRPDHIMNVPAPKLPPPGYEESYHPPPEYLPDKKERKAW EEADEEDREREYLPTDYGALRKVPGYENFVKEKFERCLDLYLAPRVRRSKLNIDPESL LPKLPSPDELKPFPTACATLFRGHTGRVRSVSVDPTGQWLASGGDDGTVRVWEILTGR QLWSAKLSDDEPINVVRWRPGQDAVILCIACGDDLHLAVPQIVNPELEKASLELLDAG WGYAANKSASKNGDTESKSTPTNWIRPSAEFAESGVCITIPLRYVAKSVSWHRRGDYF VTVCPGSSTPAHQAIAIHTLSKHVTQNPFRRRLKGGGPPQAAHFHPSKPILFVANQRT IRAYDLSRQLLVKILQPGARWISSFDIHPHSSSTSGGDNLIVGSYDRRLLWHDLDLSA HPYKTLRYHSKAIRAVKFHPSSRYPLFADASDDGSLQIFHGSVTGDMLSNASIVPLKV LRGHKITGELGILDLDWHPTQPWCVSAGADGTCRLWC TSTA_073340 MPYRSKGRPSLSHSLDKEIYQIVRKIADEQQAETGKTRLTTYSI YDTIRKSNSSLNRKPKKLLEDSIERVLEVVQSDLRGDDESDSVNGDFEGLENEKVDQI ESNGLNRSIVSAWSTSAKPSPAPRKGNESSAVEGSAQSTGAKRRQNAGESVPKRRKAD HTIDKSPPTHVSLSDLGGMDEVLQELGDLIILPMTRPQIFTSSKVQPPRGVLLHGPPG CGKTMLANAFAADLGVPFISISAPSVVSGMSGESEKALREHFDEAKKVAPCLIFIDEI DAITPKRESAQREMEKRIVAQLLTCMDDLALEKTNGKPVIVLAATNRPDSLDPALRRG GRFDKEINLTVPSEPVREQILRTLTRKMQLADDLDFQTLAKRTAGFVGADLNDLVSTA GAAAIKRYLELLKANTGEEMDIEGETEQDLTEKVKEIRRLIKHAKEAPMGQETNPVLV SNADFFTALPKIQPSSKREGFATIPDTTWADIGALGGIRDELVTAVVDPIKNPEIYAK VGITAPTGVLLWGPPGCGKTLLAKAVANESRANFISIKGPELLNKYVGESERAVRQVF VRARSSIPCVIFFDELDALVPRRDDALSESSARVVNTLLTELDGLGSNRQGIYIIAAT NRPDIIDPAMLRPGRLETLLFVNLPGPSERAEILETLVRNLPIEFNDQMRTLAESCEG YSGADIGSLLRRAGYSAIKRRDMIRFEDFVAAKEHIRPSVTDMRKYERLRRDWSSGAV TSTA_073350 MGNASSSNKISAQDRAILNLKIQRDKLHDYQKRIITLTDRETEI AKECLAKNDKKRALLALRRKKYQETLLAKTDAQLAQLEQLTSQVEFALVQKDVLFGLQ QGTQVLQAINKEMGGLAAVEKLMGETEEARAYQEEISQMLAGQMSNQDEDEVEDELEA LQREVEGTVEMPNAPTAALPGMTEEQKKEQARTRAKARAQERAAMLAA TSTA_073360 MSGHHHHDHGHGDHCHDEGHDHSNDITPALQSLLYSQIQFDMVS TLNESVPRAGTAVLQKSWAERLIEQPELESDADEQLLMHIPFAGQVKLHSLLIYTAPT PCAPKTVKLFKNRNDLDFSMASDLKPTQILEIPQPVTGTDVFDLPLNRALWNTTTSIT LFFEDNWGEEETTRIGYLGFKGQFMALNREPVSVMYEAAANPSDHVAIQGVQGIGGRI MPGQ TSTA_073370 MADELNLSSTFIPALYKPSSLLSIARYREKLLYLIEAFPVTIVV GQTGSGKTTQLPQFLDQAGWTADGKVIGVTQPRRVAATTVARRVADEMRCKLGEEVGY SIRFEDVTSAATRIKFLTDGLLLREALVDPLLSRYSVIMVDEAHERSISTDILLGILK KIMKKRPELRIIISSATLQAEQFLRFFCGDKAIIEGQGEELGGDVGRIISLEGRMYPV DILFLESPAEEYVERAIKTVFDIHSQEDEGDILIFLTGREEIEQTVQMISERAAMLHP KAKSLLPLPLYAGLTTEQQMYIFEPTPENTRKVVVSTNIAEASVTIDGVVFVIDCGFV KIRAYNPKTGIETLTATPISKASATQRAGRAGRTKPGKCFRLYTQHSYEALPDMGIPE IQRSNLAPVVMQLKALGIDNVVRFDFLSPPPAELMTRALELLYSLGAVDDYAKLTKPT GYRMAELGVEPMLAKVLLSASSFNCLSEILSIAAMLSLQGSLWVQHDGGKDETEGARR KFAVEEGDHMTYLNVYQAFITNGKKDSKWCRDNLLNYKSLTRAVSVRAQLKRYLERFG LEVDSNPSISSASTDINKAEQIRRCLTTGYFAHAAKMQPDGSFRTVSGGLTLHTHPSS LMFNRKADWVIFHEILETGEKTFIRDITKIERSWLVEYAPEYYRLS TSTA_073380 MADEGKVQTPTKYSGGINTSNPESPTTARPLDFDDEPQETGILS TPSNPETSAEVPPPKPPRPVDPRKQAEETLKEAFPSIDASVVKAVLTASGYNVERAFH ALLGMTDPSAQEELPPPPPAKPPRPSYPATSTTQQQLREDELYARRLAEHFGDSQPRG RQGSGYGSEHSEERERNFFDDDLPVIRDNIRKGFLETQSKVNAWVQNFKKKLDGDEDE EETASGYLQSHGETQTYGRTRRSGDSARRSGDRERYDADPQVLGDDFSALELRDSEAP PPRPARPLANIRPANTSQERRKVSFQDGPPEEIDNLYDAPKTTKTPPPTGGKSSKWQP LSTTSHSPIGENDPFSLGDSEDERENKPKDGPAGEDPLKKLTSEAIESEVGSNSKDAS KKADDK TSTA_073390 MEVIFFRETHLIQQALFLISTVHSHHSQDLPSLNSDTLANKSPR KKKMEVCLEIADLHSSSRSEALEILTKIARIEKRTFPTNEAFEFDIKLWKKSNTRVLY ATTTKAHSDNDNGGPRGDNVVVVAYLVYVRHRNIALLHKLCVVDAYRRKGIGERLLVH AIKERLVNNEPGCEYIQLWVDKARVPARSLYTKCGFEEKEEVPDYYAKGRTGILYTYL EVEHIMAFSTTMASKLAVMLLLMLANIVDAIPTPNYPINSQLPPVARVSQPFNFTFSG GTFINSGTGLQYSLSNAPSWLKVDTTSQTLYGTPSTNDAGAPQFLLVAADQSGSASMS VTLVVSSESGPRTGKSLLPQLAKSGSTSGPATVFMHPGQAFTINFDPKEIFANTQANT VYYATSAPYNAPLPSWISFDPSNNLKFTGNSPSNPSSVPQSFSFNLIASDAAGFSAAM VTFEIVVSQHILSFGQTAQTLNFTRGQPFSTPHFIDDLSLDNHSVTAKNLSHFSVDGP SWLKLDNDTLSLSGIVPNDADNQNITITVGDIYDDNAKLELYLRVSQLFAKGVESCNA TIGEKFSYSFDKSLLSDDSVQLRVDLGAASSWAHYDLATKMISGDVPKDLTPQTFPIK LTATQGSIEETRNLNLSVFRSGKTGVVSDNQTSSGTNHGTSRRKAGIIAAAVLLPVAL LTAAGIFIFVCCRRRRSRIDGTKHKDEENPAGDTHSGPVTHPEKNMETEVAEMSRSLS NSSGSSNDSAPPQLELDPLWETASLEDEQQQRHAQSPSVSVQPQNFVMDWDNSIMGTE KIQASPNVSPTRSTSVSQTSPFTRRSSRRYSKREPLKSIQARSIKRDSIMSSKSKRYS RRLSGISATGLPVRLSGAGHGAGGFGPLRTDVVGGSWYTTQVSLQSDDTSIENLATMF PRPPHVRNRDGSLSMRHRDYQKRASMRSNRPLSTEPPEPDSLEAFIQGRARNRNSGNP YFSARMNSSGSTGYRALEKARRSSSIAETTVSTSTFADDRQQHPVRPVSTISASIYED DNRNSVIQARPMSQISEVGAFPVNRNRTSQGLVQRYTEAIAELPRFWSQTSMRSAKPF ESGESLTGSDDYYNLIDELENPEGGRQWYRVNSQTQQQSNTEEVTKADSIRQSAELSP ISDAGGSRVRRMSLLRTGCQDSSPTAVRHWRLANTLERRPSIEGSDSLQPTANSSFRG DLAFV TSTA_073400 MGQTLSEPITEKTSAEGQDDCVLYGVSAMQGWRITMEDAHAAIL DLHAKYINKSSEPTSPDQRLSFFGVYDGHGGDKVALFAGEKVHQIVAKQEAFAKGNIE QALKDGFLATDRAILDDPRYEEEVSGCTASVGVISRDKIWVANAGDSRTVLGVKGRAK PLSFDHKPQNEGEKARISAAGGFVDFGRVNGNLALSRAIGDFEFKKSAELSPEQQIVT AYPDVTTHEITEDDEFLVIACDGIWDCQSSQAVVEFVRRGIAAKQDLYRICENMMDNC LSSNSETGGVGCDNMTMIIIGLLQGKTKEEWYNTIAERVAKGDGPVAPPEYAEFRGPG VRPGARHQFDDSPDDYDLESDNGRRAFGVQSGRIILLGDGTEVHTDQDDEELFDSTEE EKNQNQVRASTANAGHDARRSHREDTPGPELTHRNAIQNTETSQTGQQVSASPSAINA DTPTEIKSEENKAASKPTDS TSTA_073400 MGQTLSEPITEKTSAEGQDDCVLYGVSAMQGWRITMEDAHAAIL DLHAKYINKSSEPTSPDQRLSFFGVYDGHGGDKVALFAGEKVHQIVAKQEAFAKGNIE QALKDGFLATDRAILDDPRYEEEVSGCTASVGVISRDKIWVANAGDSRTVLGVKGRAK PLSFDHKPQNEGEKARISAAGGFVDFGRVNGNLALSRAIGDFEFKKSAELSPEQQIVT AYPDVTTHEITEDDEFLVIACDGIWDCQSSQAVVEFVRRGIAAKQDLYRICENMMDNC LSSNSETGGVGCDNMTMIIIGLLQGKTKEEWYNTIAERVAKGDGPVAPPEYAEFRGPG VRPGARHQFDDSPDDYDLESDNGRRAFGVQSGRIILLGDGTEVHTDQDDEELFDSTEE EKNQNQNTETSQTGQQVSASPSAINADTPTEIKSEENKAASKPTDS TSTA_073410 MSSPSSSSGKRKRTASIAHNNNHNNNTIPNTLKPAELLQPSSRD ASGEEGDDSTAPTDERPLSRHKKTPSLTIDPNAHPSKRARTRSGADTTSKSENHHEDP GEPSETTDASADIETRSKRKASTQVVAANGDVDDKDAFVPLRAGLQDPVGYHTNPPPT GRAVRVYADGVFDLFHLGHMRQLEQAKKAFPEVYLIVGVTGDEETHKRKGLTVLSGAE RAETVRHCKWVDEVIPNCPWIVTPEFLEEHRIDYVAHDDLPYQADEGDDIYAPIKAQG KFLVTQRTEGVSTTGIITKIVRDYDKYIARQFKRGASRQELNVSWLKKNELEIKRHVN ELRESIRNNWNMTGQELSKEFRQFWGNSRSNSPSRTSIKNGVDMHSPRSSFSISKTHL SRGLDSPTGRPESPGPAGRNEDFATGYSLGLIGGVRAWMSRTRTPYLSGPTSPVASDD DRDAVSTFESGDERRRPSLLRGDTL TSTA_073420 MRHFDAWILRDPYSIWHYYSSGRRWQESVRDMIQSRQICSPSID MDIDSTDKKKVINPLARSISSQPDCVFLQKLPPEIRLMIYGFVFGDEAVHLVQLKGKI RHVRCCRYKSAFETASHRHCCPETLARWRSPTSGPIQWTPIWQPMTGEGSLAGNHSSI YSHTHNDHLWQLFWSRVQSLEGLETLYLNLDLGRFMGNTNTTVLAGGNNRKISLNVTE QWLQPLMAVRGLKDFDLCVTARCDASAKDTLAQQIGAEAVVLRDVLREVMRLPRGHRH GQRSSTSSDVPVSFLRDLSSQFLSCGMETYPKGNVQRPQLLITAA TSTA_073430 MKMGDVSQSDESAHPKIRQGELASTTEIEVNRTETISKPDEPLQ YGEPASTYLDSTGPAADTLFGDNLSLCAGNVSNGQTYGQIPLQTRLSSTPEAQLASDP SQPVSRWKRSVVYRAWAQSKGMLMVILSQFFGSSMNVMTQLLERDGSHGKAMHPFQIL FTRMIITVTASFFYMWYTKVPNPFGSRGIRGLLALRASGGFFGVFGMYFSLLYMPLSE ATVLTFLSPIVACYACSFLMPNEPFTRKQQLAGLISLLGVVLIARPFSGGKIESLVTE ISPLAGDGGNSTLTETVGGELSDNDMADGVSAIHHLMAVGFGIVGVFGAACAYVTIRL IGPRAHPLVSVTYFSGYTATVSLIAMIAIPSVSFRLPGNLTEWALLLGLGATGFTMQY LLTAGLAYQPPAIGGKQAQKGNGTRATSMLYTQMLFALFYDKVVMDSSPSAISWAGSG LILGSALYVGVVRDNSSNNNTARSAAQDNAQGQGRVSEDRTQTGPVKDTISSADIRDV EEGRGLLTGSDDIDAEVEASSSSSS TSTA_073440 MAPPRKRGRGSFRGSSRGARKPGNARGGRNSFQTSRIDEKREIE SSDEENQFNGFEDDVSGDDEPVQDEEIVDSSSEDEEATTERPYNSLLQLLNANSETKH ARKRRKLDRAGSKSQDIRDVEIQASKEVEEQDVLENQEASEEEDNAEADKAGESEDED EDASDPFETHIASLDEAETTKRVNEIKSDKWRSIKSSLPENFRLIYNIPDGEGGSWSM PSAVKTTRSLKLKKKLVSRAAEILPSFEGVAQNIASLVFNYSDVLFAGRTPSNAAQMR DLLSLHSLNHVLKTRDRVIKNNARLSRDTNEDIEVRDQGFTRPKVLVILPTRQACVRF VESISKIYQPEQQENRKRFMDEYHAEDNESWASKPDDFRDLFGGNDDDMFRIGLKFTR KTIKYYSQFYNSDIILASPLGLRTIMDKEDEKKRDHDFLSSIEIAIVDHSDGLLMQNW EHVEYICEHLNLQPKEAHGCDFSRVRTWYLDDRARYIRQTIVLTSFLTPEINSLFSQH MQNIAGKSKILPQYNGAITEVALPITVKQTFSRFDSTFALKDPDLRFKYFTTAILPAL ARSVTGKGEGNGAGTLIFIPSYLDFVRVRNFFATSSQATNISFGAISEYTEQSEMSRA RSHFMNGRLSVLLYTERAHHFRRYNIRGVKHIIFYGLPENPIFFREIVQYLGQDPGVL AGTAGAENLDVRAVFSKYDAFKLERIVGTQRAGNMLKEKGGDTFRFV TSTA_073450 MAETDSFLHLARPLGPVTVGSAPTTAPLNVVIQPQAIFSILDHS LRRNADQDRVIGTLLGTRSEDGTEVEIRSCFAVGHTETTDQVEVDMEYQKQMLALHLK ANPKEVLVGWYATSSELNTFSALIQNFYGQSDGTWPHPAVHLTVSTEPGKDIETRAYI SAPVGVTVERAADSAAFIPVPHEIRYGETEKNGLEAIANARDAEDRQTNIFTDIEALE RAIEEVIGMIDRVSKYVEAVIDEEAPASTALGQFLLNALALAPKVEPADIERDFNNHI QDVLVVSYLANTIRTQMELSNRLATAQLTLGGAESANAGESGQRNQRGGKGGRGGREG HQQRNQERNTEEVRA TSTA_073450 MAETDSFLHLARPLGPVTVGSAPTTAPLNVVIQPQAIFSILDHS LRRNADQDRVIGTLLGTRSEDGTEVEIRSCFAVGHTETTDQVEVDMEYQKQMLALHLK ANPKEVLVGWYATSSELNTFSALIQNFYGQSDGTWPHPAVHLTVSTEPGKDIETRAYI SAPVGVTVERAADSAAFIPVPHEIRYGETEKNGLEAIANARDAEDRQTNIFTDIEALE RAIEEVIGMIDRVSKYVEAVIDEEAPASTALGQFLLNALALAPKVEPADIERDLYVFP VYSHIYMSWTTC TSTA_073450 MEYQKQMLALHLKANPKEVLVGWYATSSELNTFSALIQNFYGQS DGTWPHPAVHLTVSTEPGKDIETRAYISAPVGVTVERAADSAAFIPVPHEIRYGETEK NGLEAIANARDAEDRQTNIFTDIEALERAIEEVIGMIDRVSKYVEAVIDEEAPASTAL GQFLLNALALAPKVEPADIERDFNNHIQDVLVVSYLANTIRTQMELSNRLATAQLTLG GAESANAGESGQRNQRGGKGGRGGREGHQQRNQERNTEEVRA TSTA_073460 MAEPRARAARHKGQMNFAGDLRTLLSGYGDRDAHPYCPPGPLPE TVRVLDEIVTDFILEMCHEAAAYASYARRQKIKVDDFRFALRRDPHKLGRVQQLLQMD RELKDARKIFDHDDDQVGTAGRKVAEELDVSVDGTVATGTGNKKAKGKGKRNAGRRGS DATDENALKKRKVDG TSTA_073470 MQDDNSQSNSLAIRRIEVDKVRLTKYPSRSTEKVNPKRQNAALR LRDKKFVWVNLNGKKSGQEDHSPLKFYTQEDEGVPLKVRDSVRRAEIALRRQGRFITK EAFEHDIKIRLSSMFSVEGVPRSEGKLEAWHPPAMIDTGTHQLFNSLKEKGVEGFKEL WEVYGERAKSRQWPLIALQILSTTPALMPDFLIATDSAPYPPFSMVSDCMLYLHYFHK EVDPRARRAALLQCMDPERWPVILLPQRGVRLYAMSAGQQNAYRAMDIMRSRDSQISA YTLLAFMNVCTQAGDVTRALECLRAIAAIEDRQGLSMNSEAILRHCCKLLELDEVVEE DGLRNFKILPQVLKLGVTPCLEMMNVVLSNAFDTGDPHLGLDMLDYMKGQGMEFSSYT YVILLSDAVKRMDRERVDTLLQEINLRPELKDNQYVASKVFHSHYIFGAKHAYRSDNP GRHFTDLMDVYCRYFDPTPLRELGIIPQRFNTVDIPRDTQPSRVILMIMMAAYLRCNP RNTATIRLYKRFCELVAQRHPVITPLVELAQVYNEFIISFRPFGADLKECVSIVEDMV QSEPFELGDRIITPAKPNAYTWNTLMSVFVSHRDVDGVASVREIMRQSGVPLNAVTWN VIINGTVRNQDIPATVAAMREMDEHGFSPDNFTVKALRLARDPERLQAAIEALDQETE KLMEEEMKLLERQNEELLDQGLKRLAIAMKKH TSTA_073490 MAESSPSILDLSDRPESPSQLIGRRRNRLVNIIKQNDLPRLRQF IASCSPEDVIAPGTPYLEDTLFNAASYGSPEALHILLEAYTAAPEVVKRFNPKFRLLL DACENRLPLGTVDLHQRDDSGATPILSAAGSLMYLDRDADEVEDEGLPWAEWVRDRIA KSHQLIYLLLDRGCSATDVVPPLPNDLSSWGSQVQESVLGLAVSRANGPLIQRLIDSG ADIYLKHQHFHHARVPFQFRTTKDHTYDVTALHLASLFYHPDAVRLLLDHQPYKDNTN PDLTSSCDSDGRLPLHWVASGPGGFNCRLLDKQLRITETLRLLLDHDSAGINRADNTG STPLHYAGLSHAMCGCSQHAELAIRTLLEYGADPRIPDGSGCTILHLLGYHSHQGDPV ETTLLDLILSHGVNINHAEKNGKTALHVFARNLRQVSAAKFLIEHGADFRARNTLRET PFHAAARGFLNDHVRRDGRDKEVTTENKIRLQDEMMRVLQEAAREDTAMLMSQPNAEG KTPQDLLEETRNWWQGRE TSTA_073500 MLVNRGVIDSMPTSSTAQCFAPKDATYQSSPYAATYRSAPYVSI YAPTYAPTMYGSAPYAVYQSSPYTIPPESHIATPLDSTYQSYTAHKRENMEWWQDFDP ADRPREDLEDYVVYKLVQYAKSERSFRFPSVEFRKKIVLEWYDKDFYRWTDKHWDLVD PIFEKLMNTGFDYAFIPLVNPLPSSLYLGDWVNQLIWVYKDIYESSSRPWISPIFCAL DPPISRAPDLPVSRSATAEYTDNPVTHGAADSPVPIVPAAAESTIVDSHVPEASGSTK ILAPETYIDNMGPQVRKALKSAKTLTPEISLELPLPLRLRGTFEPLSPQGSSTHFPTR FYALIPVFIDSRTPDPYVLTHGFRELPEDLVVDLVVDPVVDPVVDTVLAPTPEARQDD ILIRNTVPKPTRGAVYESVVDIVVDPVLAPLPEACQGDIAAAPKYTRGAYITPVRDMA PKPTRGAIRGYFANTPKPPPPPEPPPERYILICFFVSHT TSTA_073510 MRFCTTIAALLTIGLAAAHSRRAACAAQNILDACLATEQAQLQA CGVNDWSCLCEQSNNVLTCYNNCPGDDGHFGAQQRMTSYCNAAKAYSPSSISSSAVSS ATSAHASESSGGSASSTASGASATETGPSSSGHSSSSSTSASGSLFTPHSAAASIAAG MVVQGGVVAALALGLGLIW TSTA_073520 MGSNLPAQPNLRLTIIAADGLYKRDVFRFPDPFAVATIGGEQTQ TTTVIKKTLNPYWNESFDLRVNEDSILAIQIFDQKKFKKKDQGFLGVINVRIGDAIDL QMGGDEMLTRDLKKSNDNLVVHGKLIINLSTNLSTPAPNGPNRPQQPPSNLSGLVPQV QTPPTTQSQSFADGATPGSIAASSTNSFTPTRASSNARPTSGLSAPSEPQANGQATQG SRTNLSSFEDNLGRLPAGWERREDNLGRTYYVDHNTRTTTWTRPSANYSESAQRSQRE ANMQMERRAHQSRMLPEDRTGASSPNLPEAQQPTTPPSGASNASNAANAASMMATGAT TAGTGELPAGWEQRTTPEGRPYFVDHNTRTTTWVDPRRQQYIRMYGQNASGGNTTFQQ QPVSQLGPLPSGWEMRLTNTARVYFVDHNTKTTTWDDPRLPSSLDQGVPQYKRDFRRK LIYFRSQPALRIMSGQCHIKVRRSNIFEDSYAEIMRQSASDLKKRLMIKFDGEDGLDY GGLSREYFFLLSHEMFNPFYCLFEYSAHDNYTLQINPHSGINPEHLNYFKFIGRVVGL AIFHRRFLDSFFIGAFYKMMLRKKVTLQDMEGVDEDFHRNLTWTLENDIEGIVELTFS VDDEKFGERETIDLIPDGRNIPVTNENKHKYVELVTEWKIQKRVEEQFNAFISGFNEL IPQDLVNVFDERELELLIGGIADIDVDDWKKHTDYRGYQESDEVIQNFWKIIRSWDAE QKSRLLQFATGTSRIPVNGFKDLQGSDGPRRFTIEKSGDPNALPKSHTCFNRLDLPPY KSNEVLQQKLSIAVEETLGFGQE TSTA_073530 MATEATDIPEEQLNAQTQGVEDEEDIAAMKKRVAEMESEAAKLR EMQQTLDAESESLREDKEDIDARSIFVGNVDYGASPEEIQAHFQSCGSINRVTILLDK FTGHPKGYAYVEFAEPSLVAQALVLNESVFRGRNLKVTPKRTNVPGMARGRGRGRGGR GFGRGGFPHRGAYRGGGYRGRGRGYAPY TSTA_073550 MTSRLVLVIGDLFIPDRAPDIPARFKKLLTPGKIGQILCLGNLT DRDTFEFFRQIAPDLQLVKGDFDVDSPNLPLSKVVTHGSLRIGFTHGHTIIPPGDADA LLIAARQMDVDVLLWGGTHRFEAFEMEGRFFINPGSATGAFSTGYFPDGQEPIPSFCL MDVQGDVLVLYVYQLKTDANGAETVAVEKVSFRKQGVAAS TSTA_073560 MNSEERNSKQVLYEYGVTRSPEPPEVNRSAVADYRISRGQRSPW PPTRPPAKLASHSGRGNGNGNSGSNPNARLSLIARHFDQRLPLPELNTPFSSERLSVE PDDLEYNPIQRTPPPPQIDSDILPPITQYDNDRKNKMSSQPAHSTLLIPGPIEFDDAV LQSMSHYAESHVGPAFVRTFGESLTLLRKLFQTTDPASQPFIISGSGTLGWDIVASNL IERGEEALVLHTGYFGDSFAAALETYGAKATQLKAPIGDRPSLAEIEEALKQKQYKLI TITHVDTSTGVLSDIKAVSELVHRVSPDTLVVVDGVCSVGCEEIDFDAWGLDVVLTAS QKAIGCPPGLSILMLSGRAINVFKTRKTPPASYYASIANWQPIMQNYEAGKPSYFATP PTQLVHALHTTLSSITSRPMSERFAIHAKTSDKVKAAVAALGLTQLATKPENQAHAMT AIRLPEGVTAPEILPGLLKRGVVFAGGLHKAIAPTYIRFGHMGVSVTDPNRKDIDNAI AALQETYAEVKKAKGL TSTA_073570 MNSLNILSSRVIGQVPSSTTRNRSHSQSEASPTHLRNDLAKTRS YSEQQFQYTLPKDEKDPYTHESLVPEYSYDGSIEYDEKSPLIHQLQKTGAVPGPNRFF LIAQKLFDAIYETIQTILSAIAAPGVYIAQTFRDDDGHYSPIVPFRKVTRYVALRNRE SENSRPKKTASSHRLKGESEKSGSRRNGASARRLRSSPSQSSIASSTSESDGDRDRRS STDKETRGNKNRLSEKDYLSTDSNNNTPRRSIRIKLHNDESLKRQKKRSQPADVRQDS AAGESPSSGALITAESLKSPISQSASHHRLTKYPHAPAPPRPLVPLRQPSYTMRTTGR QAATRVPQKTLVLDLDETLIHSLAKGGRMSSGHMVEVKLAAPVSVAGETTPAVGPQHP ILYYVHKRPHCDEFLRKVCKWYRLVIFTASVQEYADPVIDWLEQERKFFQARYYRQHC TLRHDAFIKDLSSVEPDLSKVMILDNSPTSYIFHEDNAIPIKGWISDPTDSGLLNLIP MLEALQYVTDVRAFLALRRGEAES TSTA_073580 MAPNSAVLTPGLSSFLKSLKTNPIETSIDNLVSLLKRRQIRLSR SCAIATAYLLLRVVSAFRTTDATKLIERVQSVGRRLMVAQPRELVVGNIVRRVLGLIR DEAEDERDADFFLASEAGSDSRPHTPHGAESHSPPRSHIADDLSLPVHDKDLLSLRQE GSERSSSRPPLSSTPSQAPPVISMFSLLQHPEAESPQPSSPGTVSPSGRYQGQGHHKD IRAEVLDGIGEIIDELGQVDDQIAGYAPEHIHSNEIILTYTSSTTVQKFLLKAAAKRK FTVIHAESFPNNHEATHARVSGNTDNDDQSLTAENFTKPLTALGITVIVIPDSAVFAI MSRVNKVILGTHSVLANGGLVAAAGTRVIARAAKVHQTPVVVVSGIYKLSPVYPFDID SLIEYGDASNVIPYEDGDLVDKIDVENPLYDYVPAELVDLYITNLGGHAPSYLYRIVS DHYRKEDISF TSTA_073590 MGKGKPRGLNAARKLKDHRRDQRWADLSFKKRLLGTAYKSSPFG GSSHAKGIVLEKVGVEAKQPNSAIRKCVKVQLIKNGKKVTAFVPNDGCLNFVDENDEV LLAGFGRKGKAKGDIPGVRFKVVKVSGVGLSALWKEKKEKPRS TSTA_073600 MPNGWSLDVEKSKSSMFFRQVKMWICALSEVRATISERTIIMSL ASRPKPTSRVSSQRLPPPSLFQGPPSRNESSNSLHGLVPGNQGPASAQRPGLQRSRSR MSTISPSGNRNLSPFLSRTQSPRETDSAEIQWQEMQNTLGEVELSAFKGEHVFGEEHS RALEELRLKQLKLAQTWTRNEADEVDENESRDTNGQSDQKSSGVHRDHGSTTTIDGAA TYKALEAETEKDLQQARKRRLANDQYFDRVNNGVLDVVASLEEVAQAMRTVRKESEDI WNDTASESLNVTGDSTTG TSTA_073610 MGFMAGFFGGFTLTASVLYLSVQVHRSTRLAQRDAIREQVEILN DISSPLGAYYRRFAEDDDLQKSRIVPPPKKPSAEELLKQQWNKEVKALAQKTFTLGWQ DVTNTANEVTRVISELVKRQ TSTA_073620 MAGGAAKYRHLSRKSSHRQALLRNLVTSLIKHESITTTWPKAKE AQRLAEKLITLGKRNTEHARRRAQSIFYTPHELLPKLFGPLRDRYAERQGGYTRVLRV EPKKDDQAPSAILELVDGPKDMRFAITAKAIARQREQGIQTLNELTALNVKKVTRYRK GGVEALEKEIERMSLEGKKKTEKREKGNDEEEGKR TSTA_073630 MASQASGSNLNRPSFAKVVAMPALAKSQNPSVNPDEPEHQMNTD FENPQVTPASQPDLEEQHNAPLDDYIQGIEDGVERLSMNEKHELEFSQPSSEGLQREA SFDDDQTHLSNSSTKPTSFDSKSMASVTTFAMDEKDSLRPDDSASVQAADEDDSLSGP ASGAPNSLTSSEAGGRVYRDNLKDGILQRQRGVLPMPIQRFPDGDIGITGAIPPDSVA NNFIISNQPDFVPGKSLNGYALDPDEKLLEAMGTPKDRLFILQLEEMIRDFIQDSKEQ SLELPPSNAFGRLLAHKLGDYYHLTHFVDNNVTSVRLHRTPFCRLPTPLSVLRPVESH NTPPPNAPAMKIMRRNEISGDRNLAGENGTSSSGPSKPVSEAGVDGGNEEDRGGSSAG ATPAKDRSTMTREEREAKYQEARERIFRDFPESKSVDSSGGDNSANISRSSSTSGRKK NFRQKTPHDDSFEARSQFNAYYPGMSYAANTASVPMNNGTAYGQSPYMVSPNASSPNM NYPQGTPTANVYQNNVSQYQMNTTPMGQTHTWQGPAASQQSPYQGYTALNQPPTMMGQ QAQSGPIPAMNNYAVPNPAATYAPNASTPWTGQPYQNNYPQSVQRNAHWPSYPSHPMG NNTNPYSYGQQMPNQHYSTPQNQNAHQMMGNFNRTMFNPQTRSFVPTGNPTAGRYNPK GVNNAGGVAYNNPQSNMQRQKWSGQGHMESSSQSMAYVPPHPNNRANGNATSPSSMPR ATQPLTNDSITKWGTPAHLPPKPPPSEVPSGLDNKSRNLPASSTTSFPNNATSPHTQA GGGPLVISGSGNNNIPKSSPD TSTA_073640 MNRYRNVPGQRGPTKATASTLCQKCLKRDNYECKSTAQERPYVS RPSRTQQLQNPDLVPKLKNESMTDLTTRTNGLADEIIAKQERERGRKRDLDDEPYAQS SKRPRSMSSRSSISVSTISTGRSRSGSPGYRGKYKDEEQEQNSPASTINRSRQRKRRY SGDSVSTSSPSPERPSRDCSLDTEERNTRRKRREYSPPERGRKRDSSTRGSRRAYQSS QSRSQERSRIAGGRQSMTPITQQQRQQRRRSPHPRDRPTQRREEPQRDRSLSPFSKRL ALTQAMNMGR TSTA_073650 MASKSSIRTHTSQPPPSQNRHVSFNLPVYSYTKGTQWGFNQLIP AHPGIAQMDNVTLTLANHLAYLDGDAGILRSLLMRAGRSQRRWSLEGKPQDVSPMDTG IASDLVEVLSSAERLQTAVNQLCVQGAVVLCMYPGGEGRDVLRMDAGTRAKYKLNSVT NSHHTMLQALLLVCHAFPADAYLDDDYHELGSAFTPQLQHVMQYYDNLQALGMLSNEV KQTIAYTLLHSFQFSSTAGKWDVIERAEKIAASLAMPDKCLDMMILSRKTYLDRSTVA PEEFDRCSGFSMESGKLNALCGKVFLTRSNMYLRNSADIDMAFSFLQEIRPIDWDNIS ILEELILQERAIAWGRLLRFQGQFQEARSLLEAVYNARQYPEDVISAGELNCDLISHL AATHCELGDPEKADFLVSTKLESILEKYNTSNPNSKPRKGDMLKLRLAQAEAALQQGE HWRAADMYRELNRYIWATRGHRNSIRSVCRMHMGLARVQQLQSDWPTSLKYWERAMRM YDKRPDSQDFGTVVTYAAIAYVHIQMGNEDDAIPFFIKGGELLKVTGHQHWYTGLGTG WFDEIWDHTESQTSMSTNNASLEAAATERKARLAKLAALKRKQPEPEPLTETFASKDQ ELLQEDDSTENNNITKQYLSGRNYDAETRGPKLGFEQAPTEGAITLEQQASELARKAA EQAQREEEEAAEQPIDLFKLQPKKPNWDLKRHLDEKMRVLNVRTENAIARLVRQRIEN AQREERAKKGGRGSGDGEGGEEVGIEGDALVEGIHVREQEAAEERDEEDLL TSTA_073650 MASKSSIRTHTSQPPPSQNRHVSFNLPVYSYTKGTQWGFNQLIP AHPGIAQMDNVTLTLANHLAYLDGDAGILRSLLMRAGRSQRRWSLEGKPQDVSPMDTG IASDLVEVLSSAERLQTAVNQLCVQGAVVLCMYPGGEGRDVLRMDAGTRAKYKLNSVT NSHHTMLQALLLVCHAFPADAYLDDDYHELGSAFTPQLQHVMQYYDNLQALGMLSNEV KQTIAYTLLHSFQFSSTAGKWDVIERAEKIAASLAMPDKCLDMMILSRKTYLDRSTVA PEEFDRCSGFSMESGKLNALCGKVFLTRSNMYLRNSADIDMAFSFLQEIRPIDWDNIS ILEELILQERAIAWGRLLRFQGQFQEARSLLEAVYNARQYPEDVISAGELNCDLISHL AATHCELGDPEKADFLVSTKLESILEKYNTSNPNSKPRKGDMLKLRLAQAEAALQQGE HWRAADMYRELNRYIWATRGHRNSIRSVCRMHMGLARVQQLQSDWPTSLKYWERAMRM YDKRPDSQDFGTVVTYAAIAYVHIQMGNEDDAIPFFIKGGELLKVTGHQHWYTGLGTG WFDEIWDHVTAVLSPCWRA TSTA_073660 MEEETPTYPSFAPWDITPTTFTQLLSLYPATLKESYKRKLVAVA ARKHRKHPERLNREDPVFDRQTSEYVKLDEWRYSTLPRVLREREEGKKDIKEKKKDAM HLKQEESYDSLFMHKEELVQLMEWKLKHGRYRPALAGMIKTNKPDVVRKTTCEAFKAF LDRPPIRETLDETFPKKSQDILMKPLRAVGTATASLILAVATEGKKNEIPFYSDDMYW WLCLDLFPGSEKNRYNYKKATKRTRDDGRLDVKYNMEEYRELYEEVFKLRDRLNNDDS NRQFSCADVERVAYVLRNFDVSGFPNAAEILLQYQVTVDEARKEFEQNKRGKKKSRDD DESEEEFILGVEPSESKKRKAGFGETGRGKKKKKI TSTA_073670 MALSHGVFRLLPWTSSLGVNKIAPEMLVSTAPHKSPAMGSAPLQ LLKRKRTDNHEIFTVIDEPHAKRRLESSNQLPLTELNGINTASLPTPPSHDEASPDSA SSAPIKTSIEPMSTSSQSDIHEKENPSKAAATIDMNALRQSLDAQLSLEVLLKHNELR LIDQEIAKCQVALEQLRRCAEIPYPGSSVQGLSQAVSDGSGHAVPYSGTGPPPVSPAP WGVADGPYSRHYSKWLLPDPRFDGGESPDLPPTPNTASESSRYTRGTFTENGYVAGKS RAQRGSMSTRLQSLPNGYPVVKEKAGPMVIKRKSDNKQVKLVCLDCRRFDFSSTQGFI NHCRIAHSRTFASHDAAAEACGEPVDVDEAGAIIGGGGGGSGGGTTPNEPPIVSNPGS VHPLVRTAHTVTPTPLPIATPVSKVAKPKKNHTRTPSSPFKASPATPHLSCLLSDLGS KLNLDEIVADAKTPVDLSEFMSEGESDDEGDSEGTKVSPGARLPARTTMPQASASQRP TSSKRHETSQALDSTPSKVSASVQYPQAFLPSALQLEESGGLIHMDDNLSPVTVESHQ APSLVSDNEDDEYGAASDSDNFSSRSSDDGHDDDEDDDDIEVEDDEASTTSKKTTHEV TTFKRSQEKKRERVLPPAIVSLNPGGKRQNPPKSKKAGNPKKGN TSTA_073680 MSSQPLLQTVPGKRIALPTRVEPKVFFANERTFLSWLNFTVILG GLAIGLLNFGDRVGRISAGLFTIIAMAAMVYALFTFHWRAQSIRKRGQSGFDDRFGPS LLAVALLAAVIVNFVLRIREANRA TSTA_073680 MSSQPLLQTVPGKRIALPTRVEPKVFFANERTFLSWLNFTVILG GLAIGLLNFGDRVGRISAGLFTIIAMAAMVYALFTFHWRAQSIRKRGQSGFDDRFGPS LLAVALLAAVIVNFVLRIREANRA TSTA_073690 MAVEPTPTSSFPLPPSELSKIATEACDGALSKASGYDHTQVATW NSTIINSVLKALIAATTPEQSSTTTTTTSTPTTTPTTPPYRFTVNSTIVQQGVIDKAA AGSSGEIGKRGMHSASGAYWDVNRDGMWTFKYPGAEERGLDVVVSVTWFAMG TSTA_073690 MAVEPTPTSSFPLPPSELSKIATEACDGALSKASGYDHTQVATW NSTIINSVLKALIAATTPEQSSTTTTTTSTPTTTPTTPPYRFTVNSTIVQQGVIDKAA AGSSGEIGKRGMHSASGAYWDVNRDGMWTFKYPGAEERGLDVVVSVTWFAMG TSTA_073700 MSVILCTAGYDHTIRFWEALSGICSRTLQHPDSQVNRLCITPDK RYLAAAGHTNVKLFDIKSSNPNPVMTFEGHTNNITGVAFHCEGKWMVTSSEDGTVKVW DTRSGSLQRNYVHKAPVNDVVIHPNQGELISGDRAGTVRVWDLGESVCTHQLIPEDDV AVSSVSVASDGSLLCAGNKRGNVYIWRMIQDTEVTRIVPIAMFQAHRDYLTRVLLSPD VKHLATCSADHTAKIWNLDQAYPPAVAAAAEAAASRPSNTNTTNTNGAATPSPNPPSN GVSSNGVTASPQPQPSRSTSTDQAASDFSMVSSNHNLTLLPSIQNQQQQQQQPNPFPT QPDGPPIDPSTGTPFLETTLSGHQRWVWDCAFSADSAYLVTVSSDHYARLWELASGTI IRQYSGHHRGAVCVALNDYSEPR TSTA_073710 MASEEKRIHSSRRHSTVVWHRLLRSLIYLLAFVFLLLVVIGNVS NKSVLRETYFLKLDTTNVIPQSIPNAVFINSIARSLGLHDFYQVGLWNYCEGYDDTGI THCSNPETLYWFDPVSILLNELLAGATIALPTEITDALKIARVASHWMFSLFITATVL SFIAIFLAPFATSNRPPQSISPDEHVNEAVHPHRRPTFILFRALPMAIFTFIIALFTI VASVVATVMFTIFANVFMNNTADLNIKAYLGKPMLAFMWTASGLTLLGFIIQVASCCC ACCGGRKARKQLKAQASRRKEQSLSSGGSESDPSTRRRFRWGKRST TSTA_073720 MRSLWIVATLLTGALASTTTTASTTTSSATDTAAIVTLSGTVDP LSLEGATGSVTYPSVTTTITLSTPKDSKTSTGTGTRSGNVTDAYTTTSGTVTMLVGSQ GTSTLAPNATALRNSTATTSTTPLPTNTQPCNGYVEFCARNYSNITYVAAHNSPFDRK GNIASNQQYSVTTQLNDGIRMLQFQAHLQNGTIRLCHTSCDLLNVGPLEEYLTTVTRW LNNNPYEVITILMGNYDLVGVGNFTAPIINSGLSRYVYTPPKIPMSLNDWPVLSELIL TQKRVIIFMDYNANQTEVPYILDEFTQMWETPFSPTDPAFPCTVQRPPNLSPESAKQI LYMANHNLNVEISFSGLDLLIPNTAVLNETNGVSGYRSLGLMANSCTTTWGRPPNFLL VDYYNEGSSPGSVFEVAANMNNVTYNGHCCGSNTSGALRLQTPDAVWMFVVAALSVLL CMN TSTA_073730 MEIFPRGNDALNVNPPVGVTEALSQHGSDWLWAVTAIYIVAFFF TLIPCFTTPESDRVFHYLLTMTLLVGSVTYFAQASDLGWISLEDSNTTRQIFYARYIN WAVLFPSWALALGLLSGVSWTTIILNIFCAWYWVLTYLVCALVSTDYKWGFFTFGTFA YIILAMSTLNESREAAERLGIVRDYMTLSVIVNVLWICYPIAFGLSDGGNVIRVTGGF VFFGVLDVLLGPVACSLFVVMTRKWDYKKLNLAISEARYPLPETAKEPALIEGARTEA TSTA_073740 MLACLAMYLAITNNKTDMQVEQIITMLPSNPEILVLYREFYCRV LLTVEIAQPGEVLSSFPFSQDWSTSVYTPVQDPTLLHFDSYVNNSTGVTWASCPDNGP DELPAVVEPAYASFVEYRKLTPRQRAQLLMRWCTQMCEAREDLAQLLTHETAKPLAES YAELDAKPTASKATVFLAAAPGCRIFTIKQLIGVAIALVPWNFPVAMVLRTAGAALAA GCTLLVKPSPETPITVLALAHLAEKVRFGPGVCNVLTTGQKSLFHGLDKGEQVDCGPM LTRTENVTLELGGNCPFIIFDDVNFDQAISQLTVLKWRHAGQVCITANQIFVKSGIYQ KFTQMLKERVSSTLVVGHGQLLEESFASIASQCKFETKEEAMGLANDSSMGLASYVFT QDVNRLWRMFENLEAGMASLNTGNQSASETPFGGIKQSGYGKESGKNVAGNEFLVTKS ATLTLEGHF TSTA_073750 MNNVPSSPPPGFLLDPATPSESVAQPKGKRRRTTRDAPQKRATR ACDQCRRLKEKCEGGVPCTRCVRFKRICRFKDSMQTDCEIANTAEMLERMAYMERILK RQLGVASLDTRSLASLANAIAESEDDEVDTASLSDEAQLSIEDEVCTIFPIEDTTTHY SGEFSYFNFSMRLKSVIEDRIAGSITERTGAQDQDWNYWRAKHLLPASNTISVALSCL PPRQVADFLISIFFTYAETHHFYVEKAWLLRKVDALYTYPWQFGMKDVAVISIILTIL AIGTQYAYLDSPGRKTAESNTGSVFSEDELGALFYREAIRFLPEIIESSSLESVQACL LFAAYSLPIDAGGLGYIYINITIRLAMQNGMHRKYTGDELGAAMVETRNRVWWTTYVL ERKISIFHGRPLAMWRSDIDTQLPKDWKDITHAPTFSYMAVSISLTQWLEDFFHEMSQ LRNCQKQNLYKIFSHLMMKKNELEEWWAMLPSEVLDGRSQSSTEFRSAMHVQLEYCLL RMFLGRPFLLSRVESSPEHTCSEDGRERRSPTERIQKQKSDRKGLVDCCIGSAKQVLD ICRTLRYNEPGLARASYIEYSSCRAALLVLIAYSIQNQSNQSRQHLRDGIDMIREMST SGDSARSEVRLIEMLERAVVRLHVFNPQRHRDGETTFSDQMTSVSGYESLKHWEAVRK GSSRTFDGESRDYSNASQLLAPPRTVSARTFDNHTTYREPQQGLMVGVLNQSRDGTTP TVPTDRDPNLNLLRPFYSPAELALFGARDMATSNSTMHPEAQVLDQFLAMSDPGFFPS LGLGGGNGLGSMGLATDSTRAGDA TSTA_073760 MASKPFPVANGLTSFWRTEPSSLDNHRSTQDLPDHSDIVVIGAG YAGASTTYHILDQCDSSPKPSIVILEARQACSGATGRNGGHMKPDLYSSIGTLAARFG VEAAAEVAAFEAKHVSAIKSLIDQEEISCDLEISKAIDAQLDESHNAKLLAGYNELIA GGSEATKGAEYVSGREAETFSGVKGAKGAFTYQTGRLWPYKLITELLRRAIAKGVNLQ THTPVLNVSKVRDADGHWTVETPRGSIKTKYVVFATNAYTSSIAPEYHGKIIPSRGIC SRIVVPSPPKQPLQASYLIRFNSWQYDYLIPRPDGSIIVGGARPTFFHDLESWYGNTD DSKMIEAASHYFDNYMQRHFHGWESTGAYTDRVWTGIMGYTTDSLPHVGQVPQKQGQF ILAGFNGHGMPQVFLSAKGIANMIVRGVEFEDTGVPRLFKTTQERLDSKENRILDAPY AAGKPRPRL TSTA_073770 MADPKKCELTVTLDQEASRTEGGVIETRIWEDEGWKRGLSSRAV VMLSLGGGIGLGLWIGTGTALESAGPAGCIIAYGLVVIAIYIEFLSIGEMTCYKPIHG GYIRQCMEYVDKAAAFAMGMNLWFGWVMTIPSEIIACINVLQYWKSTTHFPMVGYISI FVAVSAIPNLFAVRKYGYVEIFMTSIKIFSIISTMIFLFLMASGALPATHGALVFHYW KTPGGFNNGFKGICKAMLQAAFSCPSAGWVAVTAGEMKDPRRTVKRSVNPLFWRMFMF YWVNIWLVGMCVPYNSPNLANKGTLASPFIIAIREGGAPAFADLINAMILITVLSASI TSFYVASRCLTHMSDLSIIHHAFGAKDAAGRPWVSLLCSGILGGGLTYLNLDSTSKQV YTWFSSLVGVATFCNWLLIYVSHIRFREGLKAQNIDHKSLPFRCRGAPYVQYFGVLLI ICFLGAQLYFAIFPFTGHPSAKNFFSAYITVPLFILDYFLYKFWFKTKIVAPKEMDFS PAVYFDAIDRDEQEEERENPSPKPTIVERIWALRTIIV TSTA_073780 MAAKKHVVFDVVGTCVSFDAYSGRIDSVIGERLRSHCINTKHFG FSWQTAAELEYTFLSVAESYRPYKEVLKATFYRTLWMAGIEDPRSFASDAERDQCIEG YSLLRLRQGTQECFDTLKRAGFTVWCLTTGDTQRVRGYFERAGVHLPLENFISCDAAH MWDASAAAKAGFRAAYCTVYEKEPCFEIFDTKVEVIADSLPAVAEKIVATYS TSTA_073790 MSTETRRRQNGQPQACEPCRKSKIRCDHKSPNCSRCVLRNLNCV YHPAPMTKHKSSSTNHNPVRFVTPRTTITARSFGTESSLPSVADPESLELSASYDVVE RNPLFQKEVDRHQTTRFSAVFFENQESFIPVIRNVTNSNCETGREPDMTAGSRMELAI NTLMTFPTARTCDMLMTGLHHIYDIWLSPTMIQQCLEQVWREYRNCLGVHRTRESVSK MANDLFLNDKKLHPISSENSDASFDRAGWVNWFSGTYLRWEMIGILFSWAGIAFKHKP EWDSVFKLPEQQTRNCNAAADKMRECANACVRLCEYRFEVSDIMVICMKNSSKLQSLI INDESDRIRVDFGTVGSAFITAGLHRLPSLDKVTPFSQYRACIASSMYYLDKCESLFN ARPPMLSRRYCQYPLPLDLCEEDLYGGREKLAAALARLDSNGWNTDGRIYTTTWLRAL SMLSPIREGILELSLSVVDMPFTKLEVESLIIQLRQIVASYPSHIQYHRNSEWPLEPT LGLHSRSAHEMYIITRIQLDVLQCHFLLQRLLVSRQFNSGQELFDVAQETISIIVSLW QNRDQLQELSYAFNWITVSYGMPCAGILCIELLRACNLAPPSPATDSSMTAAVHSLVQ VSRSEVVQNLTMFGAVLDWIRPTNNNAQLSRKFKKVLQRIIDTVFDSLGPLQDAQARP RLNEHQTRRQYGLQDQQSRGNIYTTSEQDLDPAQATIDDMDWLNTVDWTQGGWLEQTL TTAMDRAFPVKSLPSTARCLPVEDSTVPFWHRDIHELHDHRTTEELPASSDVVIIGAG YAGISTAYHLVKEEAGDSKLSVTILEARGVCSGATGRNGGHLRPDMYSPMPKFIDRAG IESALEVTEFEVAHLKAIKSLVEREKIDCDFTLTRSIDVWCNEGDAMKSMGKYDMLVS RNLEYIKDVFFVLGKDAEGISGVKGAKACASFTAATLWPYKLILHLTKLILETGLVNL QTHTPVMAVTRQTSGGFIITTPRGATFARKVVYANNAYVSRLLPQYSQAIVPCKGLCT HISVPEGTRSPLLNNSYIVREREGIVSYLIPRADGSIVVGGANLKYYPFLEQWYDNVD DSTLIEEVKDYYDGYMQRNFHGWEETGAKVDKSWTGVMGYSWDSLPHVGALPGESDQY VLAGFNGHGMPVVYLSALGIAKMIRDGVDFKDTGVPRLFQTTLERLNKIENGPAGGDI LARDS TSTA_073800 MDTPSNENSKTMDVSAKDEKSTPPDMEAPIQLADKSTGEFEEVK ALRQGLHQRHIQMIALAGTIGTGLFLGSGRAIARSGPLGAFLGYLVMGSVAGIVTLAV GEMGTLVPLNGGIVRYSEFFVDPALAFANGYNLVYSYLVSIPAEIVAASVLVQFWSDL SSAIWITIFGLLMLCTALVFVRVYGELEFFFSMLKILLILGINIMALVITCGGGPNHE SIGFRYWHNPGPLVQYLGIDGSLGRFLGVWTSFNNAIYSFSGIETITVAAGETRSPRR AIPQATKRIFIRIFLFYIISIFMVGLVVPSNDPGLDHSSGTASTSPFVIAATRAGIKV VPSIINAVVITSAWSSGNSNLLGGSRVLVGLAMNGRAPKFFTRLNKFSVPWIAISLYG LFMCLGYMSLSSTASTVFDWLQDLVSITTLTNWLTILVTYLRFYYGCKKQGISRKSLP WAAPMQPYISWAALVLLTILLITGGYSTFIKGHWDNETFVSSYINIPLFLILYFGYKY ICKTKIVALEDIPIQPFIDVANRNIEPPPKPKKGLNKLNILWE TSTA_073810 MASPKKHIVFDVVGTCVSFDAFFDSIEQAIGPRLLAHNVTSKFF GFAWMQTAELEHTMLRMSQREKPYAEMFKALFYRVLYMAGIDNPRSFATDEERDMCHA GYSRLELRPDCKEMIEKLRDNGFTVWCLTTGDAQRVGGYFKRAGFDMPAENLVSCHDF LKHGAPDSYPLEFFKPSMSSYKHMLEKFAPTDQKWFAAAHMWDVSAAVKAGFRGAYCS IYEKESCIEVYDTKMDAMADSLSKMADKIIAVSSHT TSTA_073820 MFEKPLVLVTGGTGFLATCVIAFLFWRGYRVRTTVRSLQHGEEI KKRLHEAEISKEQISSLEVVEANLLSDDGWIDAMKDVQYVQHVASPFPGGLPKHEDEL IRPVREGTFRVLRHADDAGSVIRVVLTSSAAANGLSRYEFTSCGELLDGNILGLPRIG FSVVARSRVSMLDIAKILKKNLGSEAYKVPTLALPNVLIRIGVIFLPISHLIVPN TSTA_073830 MSQAISQTVKQWTVIGQVGLDSLKFSEEELVSTLGDNQVLVKIQ GASLNFRDITIAQGKYPWSVKPNVIPGSDGAGTVLATGKHVTRFQPGDKVITMLIQQH IAGSITRETMKYGIGASVDGTLRSIGAFDEQGLVRMPEGLSFVEAATLSCAGLTAWNA LFGLPGKLLTAGQWVLTQGTGGVSIFAVQFAKAVGARVIATTSSPEKAKLLKRLGADH IINYREVVDWGTKARELTGGDGVDMVVEVAGPSTMRQSVESVKLDGLISVVGFVGGEG DGMPSLLDTWMRLFTARGLWVGSRTQMEEMCRAIEANLDQLRPVVDRVFPLEQAKEAY RYMLIGNYQGKIGIEIA TSTA_073840 MALQGLGTYLCFAPKFETNYDTTDYSFIMGSVDMSLIKDENDQM AEQIRVSSVWSGPGPAAYDFRTDTITTPTFSMLQAIAQTTLMDDCYQEDPTTTEFEKF MAELTGKEDSLLVMSGTMGNQIALRSLLTQPPHAILCHHRSHILTSEAGGCSSLSQAH MQPCIPAKGQYLTLEDIKQTAVISNNIHVSPTRVISLENTLGGVITPLEEICRISAFA RSNGIKMHLDGARLWEVVAAGAGSLTDFLECFDTAQICFSKGLAAPIGSIIVGPKEIL DHCRWVRKSIGGGIRQAGIICAAARVAVEENFGKGPNGEGGRLRETHKKAKTIEDMWL SRGGTVSRPVETNMVWLDLSYSGITADELIRVGKEEGVKLMSGRIVVHYQIAKGAIVR LEKVFDRLLSVKEKRNRIAQEAQFEYR TSTA_073850 MADYLLSQRASQQVGEKWVYNLIQRRPEIESKFSRKYNYERAKC EDPKIIQEYFDRTGFAMGFCVTAKVITGSDRYARPKLLQPGNRGWVTAIEAVGSIEWA LPSYAIFKAKKYTRLGWLRISMLAIMDGQQIRLD TSTA_073860 MADYLLSQRASQQVGEKWVYNLIQRRPEIESKFSRKYNYERAKC EDPKIIQEYFDRTGFAMGFCVTAKVITGSDRYARPKLLQPGNRGWVTAIEAVGSIEWA LPSLVEDLNVSDNGWTTDKIGLEWLKTHFIPLTDGRTLGKYRMLILDGHGSHLTPEFD RICTENNIIPVCMPPHSSHRLQPLDVGCFAV TSTA_073870 MVDILPLSSFPSYMHLLPAVQTCNITNLPENYFLKYYLYHALTW PQLSFVAVVRSRKQQQKDGRGSVDYDYPKVVGYVLAKMEEEPSDGVAHGHITSLSVMR THRRLGIAERLMRMSQRAMAESHRAHYVSLHVRVSNTAALRLYRDTLGFEVEKIESKY YADDEDAYAMRRDLTPLWLDWSEDKTEEKTAAENGDGHADEGEAVGELGGKEKEEKKI RVKVGRGLGVGDLVERNEAASR TSTA_073880 MDHNHPDDAPPPYSAVDPLQLQQHVDNNGHEGAHTSPPLETGFS SLNIQSLSPTFPSIAPAETSTISTTDSGLLSEHSAPTLATQFASAAGYFTERSQPLPV TGDIKREILVHHITIYARSHGKDFPRRPRCWNARASEINQHDWDIFLNFLFPSHLNPA ASSHRLHRQLRAEIERDRKDRAQETDGQRSARINEVITEWNDYFFASRGVHVTWKYVA DLEEGPPSSLCPNCYPQATDISRNRGGLARNDSMNAPIPVSTEQQSRSRTIKRRPVPQ NSWGSESSSQTSSAGTEISPVTVSGGILSQGRNQARMAFPERYQSSVPWRSNPMSWAA QMSSIAQQYAARISNQAQDYSRAIEESALARSRQVEMYSRRMEDSALIRGRQLEAMAL AKGQMIEQAGDRIANWASNMGRRVVNPLPGPLPTDHSYDQSTYGLTNGNLNNRQRPRS RRQSTASDTSISSISSIDTVSSVSDLEPDDLTSIRSQLSTLDDYHHHELYDAAVSLRS QLEAMKKSRCTRRIRSYHSRWETPEEAANRENRRQTMKRESKLLREKFHEVERRAKRE VRDMQKARRETKEREWQERLRAGSLLMSMQSSQSLSRQSRGFPENTEMYKDAQMQAPS ASMNRTISEPIHQVAGESSNIPPPSPSIISSQSTASITPATPIDPHEAAKAWTEAQRQ RVKEIQKANKERIKEIEKSYKEREKQQRKAMKVLAKRKTTTTPTTPFTILHSSSSASL ETGTIEGSAMRHAVNDVAVQGQGSSTSSRGNRSWMELRFSKWITEGMSGFLVVWNVVQ EPILAKYK TSTA_073890 MDFLKSAVASAMAKGSSLPFSLGDRVDIDSSLWTLHNATKRDDG SNCTVFTFDINANKSRLSLAKNAMRKFRTLRHPGVLRVIDTIETESHIYIVTERVMPL SWIVKRKSINEETAKWGLYTVASTLKFINADASSVHGAIRVSSIYTSESGEWRLGGFD ILSSMNDDDAVIYTYGSLVPDSARYAPPEINKGGWEIIKRNPLAAVDSYGLGILVYEV FNGNFTSPDQVGKTTNIPPSMHQSYRRLCAANPKLRLSAANFVEQGKKIGGFFETPLI RLTDDIESLGLKDDAEREEFLNELDAISEDFPEDFFKMKILPELLKSVEFGGGGPKAL TAVVKIGTKLTDDEYSSRLTPVIVRLFANPDRAMRVSLLDNLPLIIDRLPQKLVTDKI FPQMTSGFTDVAPVVREQTVKAVLTVIGKLSDRVINGELLRFLARTANDEQPGIRTNT TICLGKIAKNLSPGTRSKVLIAAFSRSVRDPFVHARNAGLLAFAATIDSFSEDDCASK IMPALCPALLDKEKLIRDQANKTLDLYLQRVRKHGSTMADTVLPPPNTVDPASTNNPN AARIGTSNDTSWAGWAISSFTNKLTAAKGEIEPTSTLTTTELTRPSSVPQPSITPSMT EPARHAASTLRAEAPALARATSDTAAQTTTDTLKPLDDDDGDWGADAWDQGDETATKA DEDQFFDAGSSPAPTSPATTSISAAVPYDDGGEPDFAGWLAAQSKAKAKKPLPKGLGD SKMSTAKQQVPIRSAPVSRSASSRPSPKPAKVIDTTPKDEGEEDGWGDAW TSTA_073900 MASTANSVSAANRASGNNLNPSSQNTSRQSLRTNTSFKGADANR RQSASPGDGAQRRSSSQKAWTQGINPITQKVSSQANGNHTQSKSTPSPKPTLPKDTTN TSDQHAHSRLVFLMTSFVGLSATIATKSGDKFSGIFSSSTLDANNASILLKMVRPVTQ AEPRANGLSDTTTPFVGTGPDHSMNFKMDEIADIVVNNVTTAEVAAKDTNGPQAGFRT DTDISGSLAMRERHLQRWEPSATDPSVDMSLEESGTTGWDQFEANERLFGATTSYDEN IYTTQINRNDPLYKKKQAEAARIAREIEGTATDNPHLREERGHAIEGEGHDEEERYSG VRRDATYLPLQTGQPNKYTPPARRQQAAQQTATTSAAAADPAIISAQLAKPEAVSEAP IPSKPAVVPTITLEKQTTAPVPSSDQKAAAPTTATSPLKRPGATENATSNVETEVLDH FRQFANNEKMRMQERRRNQASHDRTVKLNELMKFSQNFKLATPVPKDLVPILAKDPSK QEEIIERAQRQADEKAAAKTAAQPAAPTTEQKPSSISRTTAPRSEAGPANIPPSAPAD RQTHRGRQAYPPQGPQFGMGGRFQPQTFHGGRGNLSSRLADNFRQQKNSLGTVPAPLP IHDPRMPPSGPSGDKSQSQTPTSTKFNAQASEFRPNPAASTFTPGGPASTVASASPHL SSRGRSVSRASTPSAFFGNKKPLPAAERPSLKTQCSPIERLKKEGAEQKDKDFTFNGG VPPAYRTAPTWDVAPGNEEKTYKDMFKSSRPAISPQSRTASNPHIPHPLHHPFNPQQN TPGIHATGPLHGPPHLHHQHPNSHFDDPHRMQLSASTSHMFPSPRLQQAYPSPMVPHA QLAYGQPMPQFYGGQQPGHMRQYPGAPQFVNPQNGMGAPMMVQQPSSGPYTGIPQGMN GHYNPQMQMYSPNPSYAYPHHGGPPQPHSGYPSPSRGAPMMMHQGSQPGQPPQPVMYM NQGQQGQPVYAPQQSGGHVPPRGYPQQPQFNSGSHHYPPHQHRGPGNNYSPMQQAPPP HMQGQPPAAPANTTEAADDAK TSTA_073910 MEQAKNVTIIRSYSPARETRDPHLPASLLPGRHNISEEDLGPLA KTTTDGPINSFVYRSHQAVSTTSRENLLHLQTVHRVRLPETAQISLMQSSHSLSRSTQ RPSRADSPSTVSSSNGASMASQPSGPRSSSGSAQSHASRSGVPGKQISQIEASVTHLL VATKQLLETLTQWSRRSATENEVSDVYVRLGYEFNLACRAFGAIGVDTSDLGPVPDLL RTILEDTLSQDASPQSLDRYLPRHATEASQFGSQAGSEPGPSQAADEATPASPQKRPS SARRYGSSGSLEDKPPVPRDHANGSFSEREASRRDAERTLTSPSMSSMMTGSTLQEQS LQNGKPSYSTPPPPPPPPPKQDDALGALQRSGELERRASRRFSAYQIQKHLGTSSNGV PVLPTQTVPMPNRGRDVRESLNAVRLRGSYVGRQKSANRQESSPSRTTYQRSTSVIPE DIQPSRSKQAAPASEAPTNDNDSPVSKAPTDKLRSAVEIDGPDISTTNGSIVFPQPGQ GLPVSNDVEPVKSQPSDTAPMTPKAQDEPAIALGTPPQTQHFIPEQSPSPGKELTLFL QYKSKIKKYVIPEGSTGLTIGRLQLAFIEKFAWNTQQNGVDLPEIYIQDPISGVRHEL EDLSDVKDRSVLVLNVEVLDEVKRHFDDGIGSIRRLIEGVKNAVDSQETAMQRVSERQ LDAAKEMARLAATPQIALPPNSDTNSKGKSPVPRDANRVAEIQSLRRDIAVLRQTYSN FSSDIAASMSKIRAKAGGVKTAAAAVATPSFEGDAGRARINSGKKELSDESERLVGRV DDLQDLVEDLRKDVVSRGVRPLPRQLEAVSKDISTVTKEVKKMQDFLKREKPIWTKIW EKELQLVCEERDQLTMQEDLAADLEDDLEKAAQTFALVEQATRQQNVQAPDGGPVLRS TSRTLAIDQGVDPVKAKDGVLGEVRALQPNHESRLEAIERAEKARQKELESRRVGLFQ KELGQFVEEGKLKKSGGFEETERLRRAKDERIRKEVWERQQARAAAMEEAEKVEKEEP QATSPEDTKESDQSPDGEAATAQEESAQPDGEAAAAETSTPNEVENGTTEEIPAESNV V TSTA_073920 MSDDEGEKFYFDYWGWDNTVLSSSSTKEVGNSTLTELVAPQPAI LLHTSTDMDYLLDKKNEYARSARRALVMLLGRDFQCPTGALVSTYTVTRQSTTFISSS TVSTAPTVPTVSTTSSAPTTSTSVSSISSSVETSTTVTPVPPVRPTTSSQTTITGTAS SSSSGISTCPTGFYACSAVYEGGCCRTGRNCDTTSCPATSSTTLINTDGITIAVPTGS AATTASERSGSCATGWFSCAVSVGGGCCPIGYVCGSDCTASSSGIATATVVKGQPGGG GSGIAEIAGERASAKDALQSLHIPGRSTSSTTPNSIFLLRPLLVFIYLFLLLLAWVVD ITSSDNTATMSSSTEKPLPFAYQFAAGAIAGVSEILVMYPLDVVKTRIQLQSGAGTGE EAYKSMLDCFSKIIRNEGASRLYRGITAPILMEAPKRATKFAANDSWGSFYRNLFGVE KANQSLAVLTGATAGATESFVVVPFELVKIRLQDKAQAHKYNGMIDVVSKIVKEEGPL ALYNGLEATLWRHILWNAGYFGCIYQVREQMPTPEPGNKSAKVINDLVAGAIGGTAGT ILNTPMDVVKSRIQNSPKVAGSVPKYNWAWPSVATVAREEGFAALYKGFLPKVLRLGP GGGILLVVFTGVMDFFRTLRKD TSTA_073930 MSSSGLGNFGKLPPEIRFMIWSDFVPSGHERSKTDLSILRASKQ LHAEVLSYLHETISTLAIVVRAEFDELHQLVDSSTHLSFEIWSGGALLRRNGDDGDDV ESEMERLARASRWKFSSARDALERGFEFLPLDKLSAIMCIDAPDPDDEGQLICLCLRM RQVALLLKSLRKPISHVGVRLGEPRAWRRKKGERVEEEEEESEDSDDDNDDDDDDDDS DDDDDNGEEDDSEGGEEEMDEEEDEQQEGVVEEQMEEDTDEKEDEEAKLQFSLEDMFL PDLAFIQTDIQAVLFPLYSLENVDLFLFNTADAPLLFPTVSPDAFDCVPAIILGWNDM YHYWLHTRLDCAEGDTAFTVRLDRFAMWQEEKYDDLFLEMLKRYPIQLDVLDENGLDG VRRRYVYAHTLRYFISRRTLEDLPRDIDLRTIAPNIPPRHWVNIFPGGLLPLEIDEFS PYAGHDFWFEFEDYASDWHSRQMSLQFRQEEQEDPSANLPGMDAFAKAVSDAQNEVEL LMDDDPFTVERTIEKFGIRRSDW TSTA_073940 MANQQFDNHFGNMNGNDAVDPSDLTMQNGMFMPYSFGSQQNMSA SFNMGNSGIDTDELLDLDLNGQNGLPRADGMNFMHDQRQNQGLSMSHQSQMTQMYSQT PDGAPMHSPFLQNGFNYDQFQLPNQQGTPSHLSAPNHFDHSFLNGKTRPSLQTMDRSN SDRTPMTPKTPAIGALNLNTPESGSFPSQPIRAANLQHRHQKTLSNQWDGTPGSAQSF IDSPIASPNLHSHHAGISEILKSGKHASLPAKVDNPSAQALESQEAKRRRRRASHNMV ERRRRDNINERIQDLSHLVPQHRLEDDKVRKQLLNNSTLSPPGSSMSPPNATSLLAGG NGRRATAGNITMGLPIEEKEKGPNKGDILNGAVGWMRDLMWALHVKMQQEAELAEVIS SLGGTWPFEQTEDEKRMRTELLDAMEKNDPNTFTYSRAPGSGLRVPKHTNIAGEAVQN GAGYSPQSLSPAFNSGGSGTNSGGAGQPAFWNNSNNIFKEEEEYTMDM TSTA_073950 MASASFRENMNSLGWSRRDQDTPVRTDSSTPMLSRLRSLNPFGS GEGYLRLPTQEPGAPLPASSRREEEESFFALSRWDRMLVFGGCNLGAAVCFLLCFVMW PVLITKPRKFAILWSVGSTLFLASWAVLMGPIVYIRHLLSGPRLPFTAAYFGSIALTL YFAVGLHQSILTLFAAIFQLVALVWYLVSYFPMGSTGLQFMGRFGVQRVAGWVSG TSTA_073950 MASASFRENMNSLGWSRRDQDTPVRTDSSTPMLSRLRSLNPFGS GEGYLRLPTQEPGAPLPASSRREEEESFFALSRWDRMLVFGGCNLGAAVCFLLCFVMW PVLITKPRKFAILWSVGSTLFLASWAVLMGPIVYIRHLLSGPRLPFTAAYFGSIALTL YFAVGLHQSILTLFAAIFQLVALVWYLVSYFPMGSTGLQFMGRFGVQRVAGWVSG TSTA_073960 MVEQDPHEVRQLVQTLEAASKRNRSESKKTFGCKKSTFVVAGAD DIKVHSWRFMDWDYKRSDLPTYARGLFTTKLKDGRDEICIRGYDKFFNIDEVNDTRWR NVETNTRGPYELSVKENGCIIFISGLEDGTLLVCSKHSTGSRGDTPLSHAQAGEQWVE RHLSKVGKTVKELALKLRQMNVTAVGELCDDRFEEHVLAYDEDAAGIYLHGLNYNLPE FTTMSGSEVHRFADEWGFKKAEFVVMDNINEVKDFLDKCTETGSWNGRDTEGFVIRCQ LGNSKSGEYRDWFFKYKFEEPYLMYRQWREATKAVIAGRVPNIRKHKKITEEYLIYAR RQLAKDPKIGKLYNQNHGIIAMRQGFLDERGLKGSEIIAMEREGDDVEPAAKNFVVVP VASIGCGKTTVALALAKLFDWGHVQNDNLGQQKNKAKKFALMVTNSLAAHAAVIADRN NHQKRERKQIIDDVTEIVPDAKFIALHYVHEPKGLLLDDIRRVTRERVLTRGDNHQTI RAGSKDTGEIIGIMEGFLQRFEAIDTDREPDMYFHEVIDLDVADSSRENLEKIVNRLH SVYPNLVKRVPDSAELDAAIASALEDYHVQTDLSWGNSKKAEKKMEKAAKKNDNHASA AATQNKSQDPIVAVKNLEYFGILVPSSDIQQLLTTIFNDNTNGSAERNKLYHHLKNSR RIQPSFHVTLIHRAHSKEQPQIWEQYTDLYISRMNERVSQCLPINPPTKLADARVRVE RLVWNDAIMAFVVRILASDEKNTTNGQEKLPSSWPCVNTVPHITVGTANPEVKPKQSN DLLQKWLQVGSGGDTGIWEVEVPGVKVLEGSVLPVMMRGK TSTA_073970 MSHPNLYILAADNPSAVLSHLRSDSSRASCQDEHGYSLLHAAAS YGHIDLLRALVQEFHVDPNLTDEDNETCLFVTEQLEIAKCLVEELGVDAKHKNDEGFT AQEMIESEGSFMDIAAYLGEATGNTRSEAQLQPPPPLPHNISVNLGTMSQQDVDGEAG EVDPEFRRRIAELAAREDFHTEAGQNQLRALVTDALRGVGAQTQDRDSSTLR TSTA_073980 MAESVPSRTRSLGIVHVQPTGHATTRAGGDAGTAESGQTPTSPA HVRRRATTLVSPRAAAPAMKDYLSEGTSLRLRRTTTSRRSGEAVRSLTHRTSLAERTQ GNFTMAGPDETSQLHLTHQPFIQPGYTELNPAYDQPANAKPVWSLAKPLPRVVRPGMV PTKEELLQNRANPQLPAENSQNIGIDVDPNDLEKGQIPKTADPRKMAAQVTDARVQRE TNFINTILNGDTASVGPSSRISRQSSGRARRPSKWEVPVEELPPVEEHESQKDHDVES RTDVSDESLHSLRPPPEESGQEPHPDVKPGDEAGIEFPALEDTVYPEDLHPLLQSLVE EEIHNNHTVWSVIRTHHREALAESLGVFVQLTLGFCGDLAVTLAASGNPNTTDWLWGF ATMAAIYICGGISGAHLNPAITIMLWFYRGFPKRKMPEYFLAQFVGAFCAALVSYGVY YRAIKNYTGPELDVVNSLVTSQREDFVDAATAFFNEFLGMAILSIIILALGDDQNAPP GGGMNALIIGLVIVCMDMCFAFQTGAAFNASRDFGPRLALLALGYGSQLFTNSYWFYG PFAASVTGSFLGAFLYDFMIFTGGESPVNYPLDRTKRSLKKSRAKWSRRLHLTPKEAK KGANQ TSTA_073990 MLPVTEKAPVLIVGGSLVGLSAAVFLTHHGIRPILIERHAGSAA HPKALGFRSRTMEMYRSVGLKDKIPLARPDFKLVRTRVHSLAGKWYEDSLWIEEKKKS DSSENEKKTREYDHLADYAWTIGADITQTDLETVLRDFATRNGADIRYSTELIRFKQD ANGVSAIVKPRQSGGAEYEIRADYMIAADGNRSSVRESLSIQRHGRGYMQTIRSILFE APALKEYRDKGPSQFTIDQPDMKAFLTYYKHGRWALMFTDDVQRDEGSYKEAIYKAVG RTDFDLKIITTGEWETTALIADSFQSNRIFLAGDSAHTLPPNRGGYGVNTGIADVWNL AWKLAAVIQGQAKPGLLDTYETERRSVALLRHDQIFCRQDWKIHAPEGAKPVEPLDDI AVELGELYCSKAIFSEHQAVDNQCTAKRPDEWNGQPGTRAAHWWLDGTSSTSIDFYGK DWVLLTESEQWKDAAEKLNESLPGSAVKIRCIQLGVDEKFSDLNGFKKIMGITSTGAS LIRPDGIIAWRAMEIPKSTLPDMTLDVILRQVMAQVAFAEGGSNTGKGVF TSTA_074000 MSLYPYKTLFRRRAPQLNHWYVRIYKDGAKRHCREKVDESSSEA DDVVFSDVNGAQETDLTSDDNDDNDNDNDNNDNNVNHAQDHSDLADFLANNEHPPEYY IDQLKNFDETIYNQEDYSSET TSTA_074010 MAQSYSPQPSARWSSSSFQPPVDSETPPPPPPKPDSHEASRRGT PLTGGLAAPPSQSQISHGAIQSPRNFPSEYNPAVNQATQRYGSETPQALAEPPRLEDE WLPDIVKNKTTSELQSVLSNPALLSALSTLHPSYPASQQVLQSHLDSNKALAQHVLEL ESRVASLRATTESLLLNHQSLEVSWRKKQTEMDAALEPWSPKALYQRLTAAVAEQEAI CHAVEESFLESDHHMSRASDKEVTDWVRRIRTEGAKLESRKEARARWDEGRVGGWR TSTA_074020 MPGTRYKLNTGAEIPALGFGTWQDADAQVDAVKEAIKAGYRHID TAKVYGTEEPVGRAIKESGIPRNELFVTTKLWNHEHHPNDVPKALDGSLKDLKMDYVD LFLIHWPVAWKPGNDLFPKENGKPAVADIDFVDTYKAMENLLQTGKVKAIGVSNFSKA QMEHLLKNTSVVPAVHQLECHPWLQQTEFVNWHREKGIHITHYSPFGNQNEIYSGPKH LGKLIESPELNEIGKKYGKTGAQVALAWGITQGHSVLPKSKTPKRIQDNLQGDFKLSD EDMKKIKSIDKKLRFNDSSADFGMEFFGDLDGKNSV TSTA_074030 MPMHLEGSCQCGGIEFELQSHTPVPYQLCACGICRKVAGYNGSV NIGGLANTLKIKKGKELIKKYNAIKNRGKPDEQKCSSERSFCSNCSTMLWLWDHHWPE LIHPFAPAIDTELPVPDEMVCIMDSSKPAYVRWPEGKKVVREKYNDVSLEEWHKKHNL FYD TSTA_074040 MSFGAPGGAAANFKPTPPERGSFPLDHDGECKHLIQNYLKCLKS QGGVNNEDCRKLAKGYLGCRMDKNLMAPDEFENLGLEFKEKSTGDDTTVKKT TSTA_074050 MSSIARPPEPWLVAIILIVQSRGGPRCVFHTPANPDLEKLLGPN FRRGRGGNDFASDEESQSSSDEEDEDPTGAGGTATGGSSAGGGGRPSNANVVDDNNST TASSAGGDSQRPPSLNSGKARKKASDLEDSADLTGIGRGHYSAVFDSVFGLPSDVWEK LLSPTRAWHKRRFEIGINDLAFVGWPVFVRDDGYWRKKSRRRKRKKPKSAEKRHKDGD ANSDIEGAPDGTQSSREDDNTDKTDVEDNADSQTGSPESDKDTMSMFNVVFVMAPPVL EYSIHLQEMYEHVIKKFSKALKYEQDHSDYVWKEAQHILQVKERARERDVSAENLHDE LVSKSSLAAAIHSVFDKISKSDIAFVSLKSRSADFQIPPTTSVADLPPSNDTSLAGMW LTTANTVNADHGAELEMPNQVLAKHFALLLLESEAGIMEALKGSELAPALLHYIRNSR PNKSFAQISALSGIPLDTIHDLAQHLVYWRRARAIPPLHQRDTYIVSPNCDLSQLKKA TQSYAATFPTLPSLPKMLSALSGTPRPYATFIPSKDHKETYFAILAWLLRGGWVTQLR TFSRVKVTPEIKFAVEQKMRKEQLEKYLASGGNASSSSSSLDRAYGDSSSTRYHDDAA STRSSSSIHSQTSGQLTPLAQRHGSDEDHFQLSDSYMERNSHLNMSSLIMFPHRASPL ESRWLDEIMSRFPDAPPRPTSSTATNPNEGDDSVVTDSLKTYWPKFVKYFNGTDALEK IAVREGMKRKVVWQLLQRWGLGQSTMPGMDAREQVLVSVRHW TSTA_074060 MATTAIKPEVSTFSYAQAAKGLAASSTSQNAKTTDQSGKSTQDS EVTKSSGPEHTANSVSAESSRLSEKAESIADHESKSATTGSTKNAVSGASSPSFGTAS TSTLAKEDEIPTITNGDSHWENKSQASATADKSNTNNDKDEKKDDSAKPADKNLPLKE LKAAPPPAVNVWQQRKEAQEAKAKASAAMLKSANTATKAIPSKPASPALDTTKAANKK KTANDSQADASSSVNKDRKRVENGKLREEESDIAPPPVADSTAWPTPQTALGEEKRKA QEKNDKSEKVEKTPAPRGKEKWTPVQYVPTAVFNTPLPSGARRGGRASRGGREGGRGG AHSSNSTANNSTTAPGDAKSAATQPNQAQPKQHASLPDRGRNEGGLARANSLPAQARK PVTTEANAQQGEQRRFPPNSERPRVDTRPKATEENNAAPNGTAYPPVDAAAKTYREPR SAKAPEFIPAHKASDHSPRSGSSPSDAQGNGRFVPNHERRFDNTKSVDTSRDVNGFVP RDREFKDYTREKGEYQRDHQKERGESRPERGRGTYRGRGNHSYNSPHNQHFQNSQVPQ HPFVPKSFSAGDRQRSQHQNFQNGTQPQHVNHRLSLRSPPMPNSAGLYSAYPVPDINT MYPSYHVAPGPMSAIPYQPYMEPFNLFTLIQMQLEYYFSVDNLCKDLYLRKHMDSQGF VRLSFIAGFKRIRNLTEDFEMLRHCGRQLRNAEYILSEDGLDLLRPREKWEHWVLPMD QRDSSAQNDGNAAAALHPIEGNNFAAPVANGVNHNDYQGAPNGLPNGVHEQADPQTTL SSAAPEFTPYVAVGDHNENPNGTAQ TSTA_074070 MEITSRSASRLFQNSNYTPTRLLIQAASRGNNLSTYNALSRRSL NNSTRSLAQPESSLLSFATGGSSSSPSSAPQTYFSHRNTLPANTIIRFVPQQTAWIVE RMGKFHRILEPGLAILIPFIDRIAYVKSLKESAIEIPSQNAITADNVTLELDGVLYTR VFDAYKASYGVEDAEYAISQLAQTTMRSEIGQLTLDHVLKERATLNTNITQAINEAAQ DWGVVCLRYEIRDIHAPEGVVAAMHRQVTAERSKRAEILDSEGQRQSAINIAEGRKQS VILASEALRAEQINRASGEAEAILLRAEATAKGIEAVAKAIRDGQENAQSAISLSVAE KYVEAFGNLAKEGTAVVVPGNVGDIGGMIASALSVYSKVSEGQSKALAAKALGVPETR EGNPEHSTNKDAETTSEKAGETAKSSSERSQVAESVLDGFDQTAQQKR TSTA_074080 MHIIKPVWLTHGGERKDFEVYSCDVSPDGKRLVTAAGDGYVRIW STEAIYNAADPAYADKPKQLASMSNHSGTIHTVRFSPNGKYLASGADDKIVCVYSLDA NPPSHATTFGSDEAPPVENWRTIRRLIGHDNDVQDLGWSYDSSILVSVGLDSKVVVWS GHTFEKLKTLSVHQSHVKGITFDPANKYFATASDDRTVKIFRFNSPAPNATAHDQLNN FVLETNIVTPFKSSPLTAYFRRCSWSPDGLHIAAANAVNGPVSSVAIINRGSWDSDIN LIGHEAPVEVCAFSPRLYSAYPVKSGTEHHNLVTVIACAGGDKSLSVWITSNPRPIVV AQDISAKSLSDLAWSPDGTCLFATALDGTILAVRFEEAELGYPMSLEENERSLTKFGT RRGAGIAETTDGLLLEEKSKAGEIKGVEGRMGALMGDGHDSTEATVNGTTTITSTTTM VTNGTSEKQKTQTNGTTSEQEKESEKEKDKPDPYQAKLERLKQRPTYTKEGKKRIAPL LVSGAGASESSLPQSRLVASSTVSQVGSDAPQSILDLSKPFDGLPKGGLQALLFGNKR KLAQIEGDEDNQVEKRVASISQNGSMPILSNTTAGLMPAITGPAVTGQQTTPGFIRPA VVNPCMAISQLRLAVPKIRPQILQALDKNGNPTEPSGDSKQSRIDLVFEARNPPPGTL TGRSTDRDPVRISLSRGDQPLWQDFLPKSVLLATGNTGFWSVACEDGSIYIWTPAGRR LINALVLEAQAVILESKGPWLLCITAVGMCYVWNVKTVSSPHPPVSLQPVLDAATHAQ TGQAILAPAITGARINSEGRIVVGLTNGEGYIYSSAMYTWQRVAEPWWAVGSQYWNTT DASVSNLQAMGSQNGEESKKKKISAGIIPFLERNTTTETLLRGRAYFLQRLIKVLLSR EGYESFESSVSIAHLENRMAAALSLGAKEEFRLYLSMYAKRIGAEGLRGKVEELLKAL VGGIFDSDDDEGTSEAVQRAVSATEKDGRNWSENSDTLCGWPRDVLLKEVIIALGKHR DLQRVTVPYAKLLGVVDEEGADSEAMETS TSTA_074090 MLQAAQACHACRDRKKRCDKALPECSECRRRDVQCQYSQKVEER GMVESLEQKLMSLERSMRVTERGTVNTDKQQHLPVFQLERDIQYQVSYPVSKRWYFPM LLHYRFHSMVPTIKNLSPESAAYKLRTSWVTGYLNNPAMFHGILYAASANLDLINGEH DNPVTSFHRAEAIRLVQETISVLQSYDDLPLAVLAATWALAHVARLNGSTSEAHLHEV GLAQMIRLKGLDPDVGFGGALSFLIMLSDIWNAVINEEDATLEFVNERQSPYIAQPRR SLLSNALQYAPAGELLSKDIMLLLHMVDDSQDKCYTNDMTVAEEPSYTSVPVSTQNQP PNDHEYIITPLLQAESLASHQREDYISECCCLAAIIYYQVLSTNTPFLSPKNESIANQ LFISLQHSDMEVWMEKAPELHIWACYVGAFASVNRGQRVSFLARSKTSVAVLSPDRIL RFQDGVGHLLCLSRSLRQ TSTA_074090 MTKGFHSMVPTIKNLSPESAAYKLRTSWVTGYLNNPAMFHGILY AASANLDLINGEHDNPVTSFHRAEAIRLVQETISVLQSYDDLPLAVLAATWALAHVAR LNGSTSEAHLHEVGLAQMIRLKGLDPDVGFGGALSFLIMLSDIWNAVINEEDATLEFV NERQSPYIAQPRRSLLSNALQYAPAGELLSKDIMLLLHMVDDSQDKCYTNDMTVAEEP SYTSVPVSTQNQPPNDHEYIITPLLQAESLASHQREDYISECCCLAAIIYYQVLSTNT PFLSPKNESIANQLFISLQHSDMEVWMEKAPELHIWACYVGAFASVNRGQRVSFLARS KTSVAVLSPDRILRFQDGVGHLLCLSRSLRQ TSTA_074090 MTKGFHSMVPTIKNLSPESAAYKLRTSWVTGYLNNPAMFHGILY AASANLDLINGEHDNPVTSFHRAEAIRLVQETISVLQSYDDLPLAVLAATWALAHVAR LNGSTSEAHLHEVGLAQMIRLKGLDPDVGFGGALSFLIMLSDIWNAVINEEDATLEFV NERQSPYIAQPRRSLLSNALQYAPAGELLSKDIMLLLHMVDDSQDKCYTNDMTVAEEP SYTSVPVSTQNQPPNDHEYIITPLLQAESLASHQREDYISECCCLAAIIYYQVLSTNT PFLSPKNESIANQLFISLQHSDMEVWMEKAPELHIWACYVGAFASVNRGQRVSFLARS KTSVAVLSPDRILRFQDGVGHLLCLSRSLRQ TSTA_074100 MKALLLTATLTPYPTDCSHLSAPHVSGAKVLSINATERWNYSVP ATPPFLFSPVQGLNICDVTVLLTHTGALHRQVTVKVWLPLQDWNGKFQANGGSGYAAG ELDLTLGPTVKEGYSSASTDAGVGLNPLSPEAWALNKDGSVDFDALIDFSYRSVHDMA IVGKELTRQFYGTKPKYSYWNGCSTGGRQGMVAAQRYPDLFDGILVGAPAINWAKYVV AEQWPQVVMQQEQTFLSACELKFFSDAAINACDEMDGVKDGIITDPENCSYDPFQSVG QVIQCDGHCITITNSSATVIRKTLYGPLDPFGRQLWYGLNPGAPLDSLVNSTTNLNGT RVGNSFFVNDQWIRYFLARDPNYNTTQIDYDTFLDFFWQSYTEYDGIIGTDDADLSSF NHHGGKLLMWQGLSDQLIFPGGTINYRDRVEHRMGGANRTNDFFRLFLAPGVDHCAVG TTVGATPDDPFLELANWVEQGQAPETIPATVNDGTEAKRIICAYPQVATYCGGDTKSA TSYKCV TSTA_074110 MRLKTFSLFLWPFWLVFAPAATTKAVDAGNTSLPWHLTPLKYAN TSTLLNVAYYEAGPPNGSAVILVHGFPYSIDAFASVVPLLTGKGYRVIVPYLRGYGET SFLYPDTPRSAEQAALGSDLIALMDAIHIDKAIFAGYDWGTVVVNVAAALWPERCNGH VAANSYLIQNRSTAWVPLDPDSEALRWYYYVFLTARGAAGLASNPKAWARSLWQKNSI GWNFSEEYLDLTATAFENTDYVDIVLNFYRNRLLYAPGDPKYSALALRLDVQPPISAR SVTFDPQNSVVFPPTNGTATAKYFTGSRCHYVLPNVGENVPYQAPKMFANAIFAVDNL PLHGTDVISTRNSQREAAASICHHVLPFIN TSTA_074120 MVFDQLRGRRLSLVVGLVGAMGFMLQGYDQAVANGLLTLNSFVR TFPAIDVIHATGAEKSHKSTIQGTTIAIYEVGCALGALSCAYLGDKLGRRRTIFLAGC IACVGIIIQASPFTLAQFIVARVITGLGVGGFTAVVPMYISESSRAENRGRMVLLEGF FAIGGIVVASWLEFGLYYVQDNDVSWRFPIAFQLIFAIIVTSCILFLPESPRWLVSKN RVEEAAVVLGRLEDTDGDSEAVALGLDTIQHSLQDESGSERSHNPFAFNETRNFHRTC LAIGVNILAQMSGINIITFYSDTIFQTDLGYTGTTSRIISGCLQIWQFICAGIAVYLI DRVGRRRLLISAALGMAISQACLAGLSSVITTNKSAASASLLFYFLAFTFFPIGLFLV PFMYAAEIAPLQTRGKVTAMSASANWLFNFLIAEVTPTGFDNIGWKYYLIYLCINASS FVIFCLFYPETKGRSLEEIDEIFIRSKSPFETVRIAKELPFQIDVLATADEKILDEHI EKV TSTA_074130 MGVPTNESNAGKRIRHFVGVESSKQLAFIDDLHRLGLSRTVDLP ELIVVGDQNTGKSSVLQAITEISFPVESALCTRFPIKISFRQTPGTSTSVQAEIIPGR KTQDDDEFLERIKDFRFTSDELSANAMNQIIKEATERIFGDDNGAGQTLSDAILRIER SGPNEMHWTIVDLPGLVQNRGESSLASKRAMTNGKSGIHTDNAKIAKDLVRSFLENER NIVLWVVDDTDIERHKTLELFEEIPGLQSRTIGVLTKCDRKQETSDNWMVKLLRNEPS TKNHLEQGWFGLRNRKPIEADISDQERDQNEADLFEKLEWAGVRKSQTGIKALMDHID KERRSRIQESMPRIIGEIRDNLRNCEAELENLGEVRDNTSAERLYVLRFCNELQKMAD SALRARYQDIPSDDPRAMLRFQVTERLRRFQEELGDLNRIDPRVGFTGWEIDCTLNQL TNLSPNPENWMKPIATSNGENIYKAIYCESRVCQGTNLPGTISPEVEEKIFRKQSAHW RDIAFDLVNDIKNLVEESHDVFLRIAIPDSRTRGEMMATISKTREAWDAEIDAALEEL IDDQQKRPTMTLQPYFLMESQRFNNDLESQVEQSRRNAGVRGTPGKPPEAQGVEGIVG PEHRRAQMPLEVTQIFHLRKRLEVYYGIAMNRFVDNVAMQVVERHVLGPNSPILTIST KRLVDLSDEELHRIAGEDESVTRQRARLNKDRSSYKQALAQWDQVRYF TSTA_074140 MGPKKDQVVEKIPLGRPGNNLKSGIVGLANVGKSTLFQAITKCS LGNPANFPYATIDPEEARVIVPDARYDWLCEHYKPKSRVPANLTVYDIAGLTRGASTG AGLGNAFLSHIRAVDAIFQVVRCFDDAEIIHVEGDVNPVRDLNIISEELRLKDIEFVE KALENLAKQTRRGGQSLEMKKLKEEEATVAKVLQHLKDGKDVRKNDWTPKEVEVINPL FLLTAKPVVYLVNLSEKDYLRQKNKHLPKIAEWVKEHASGDPIIPLSICFEERLTRFE TEAEAEEECKKLGTKSALPKIIVTMRNALQLGSFFTCGSDEVRQWTIRKGTKAPQAAG VIHTDFEKTFIQAIVFNFETLKEYGDEAAVKAAGKIMTKGKEYVVEDGDILLIKAGAA KG TSTA_074150 MFKKDFQAGSRSKVKSSVQRGLRSKLIETYPGFEPYIDEIMPKK ASLEAVKLPDRVTLYTIDSNPLFYQPFDGPPIPHLKLIHAFPDVVPKIQIDRGAIRFV LSGAALMAPGLTSPGGRLPDKDNALNVGDVVAVSAEGKTEVCMVGPLKMGTEEMKEKG KGVVMDAGHYLGDGLWKLNLD TSTA_074150 MPKKASLEAVKLPDRVTLYTIDSNPLFYQPFDGPPIPHLKLIHA FPDVVPKIQIDRGAIRFVLSGAALMAPGLTSPGGRLPDKDNALNVGDVVAVSAEGKTE VCMVGPLKMGTEEMKEKGKGVVMDAGHYLGDGLWKLNLD TSTA_074160 MYWLNGVPRVYAVNGPSIPLTSSHDQETTANDLSEDEGIQHEKP AVLPEDQQPAAGWPEEVINGLCNSRNGQLFATMTDSSISVWQTKPTVVVATVARSQTS LKAYGPNVSLLLHPDSTILVAQTQNGYLLTYTVASDPNTRVYQQQFDLSNPHRRQQLA RHFGSEEFNGIKDVSIRFRMAIKVESGIVGALALDNELVVATLKPAAIQCIRWTPDKN GTQTTSELLSRILNTPKKVTVTEMVYDRAMSLLIWVTSDGHAYTVQRKTDAPETDHFA KLFKAHCFHTPTGDTDKAIKAAVNARFSLLAVSCSNGEILVYTAKDYVGNVVLSHRLQ PPTSTNTTGDLTFMSYSPDGYCLFVGYENGWANWSVFGKPGGSSFTADRSMAEGNSEE WLAGVSMGCWIGGGSDIILSAQNDRRLWLLETARSALTGCYSPANLSRALLQTGTEII LYRGHDLPDLMTISGKDSLWHHAQYPPAYLHAQWPIRACVVSQDGRYVSIAGRRGLAH YSVSSGRWKTFEDSRVENSFAVRGGMCWYGHILIAAVESNGSYELRLYSRESPLTNNN ILHTEYLPSPAVFIGPSGEDSLLVYTYDNILYHYVINSTFGSVSLVPVGQIAFYGIVR APTRVRSISWILPEEQMRNGDPSQDVKVASVLLLVDGNLVLLQPSVSDSGDLKYDMRI VAQDVEYYVLMRDQLSFNFAPSVEEPVPSSPSAEVVMKAAESNLSLRDSLWMFCGRDL VAWSDVQEILQREDVPKPLPVPVDFYPLSVLLNKGIILGVESEMIQRRDITFTILKYS IRTHLFLPYFLRHSLANVDMPSALSLSHYYSHLSYFPHALEILLHHVLDEAVDGSSRV ELRDETRQPLLPSVISFLQASLPPDVYLDIVVQCTRKNEIRSWRTLFAHLPPPKDLFE QALKLRSLKTAAGYLLILQALDDEEDEEISKARTEDYAVRLLGLAASKNDWDLCAELA RFLIALDGSGGMLRRTIDRVGVRRSLAGQKPGNATEPGNRNMRGLGLTLGIPPVPSLL SPRGTGHRRRKGSDMSSIASDGTTSNLSPITSRTDDEGASVSENGSSGMDESYHVT TSTA_074170 MAPSESKKRLALAIIDFLGSSLKDGTLTAEDADSIEIAQNCIAE TFGVDPNNSAAMQDALGGQSLFSIYNVYEKLKGKTAGGAAAAGESKPTAQPKSNSTPE SDKLKSEGNAAMGRKEYDAAIDLYTKALALAPSNPIYLSNRAAAYSASGQHTKAAADA ELAVNVDPQYARAWSRLGLARYELGDAKGAVEAYEKGIEAEGNGGSDAMKRGLETSRK KVEEMKRAESAPPAEDVDNASGASRGGPGGMPDLSSLASILGGGGGAGGAGGMPDLGS LMSNPMFASVAQNLMSNPDMLNNLMSNPRLRQMAESFGNGGGMPDMSSIMSDPSLAEM ARNMMGGGAGRGAGNQ TSTA_074180 MIQSTRFGPAIAARTATFLPGRAYLSRTSNDHYRRLSTLLSDVR RTSQPSSFETTLLSSVLISRRDLHSTAIRNQEQKEQAHTNQKNAESEADGEKKQDGEG ESSQEEKKKDVPPPPPHGDKTPWQVFTETLRSEFKKSKEWEESTKALASSAHHFTESE SVKRARAAYEAASTTASSTTSSALKSTGRVIGQGAAWTWETPVVKGLRKGVSATGKGI EKVTRPVRETEAYKSVKEAIDDGSSSRYGGWIEKEERRRQRQQREENEAKSGRSPRVE HMEADPNAGTNLTVHKDSAWKESWRDFRDKSPVMQRLFSLKDTYNESENPLISTARSI SDRVAGFFAENETARVIKKFREMDPSFQLEPFLREMREYILPEVLDAYVKGDIETLKL WLSDAQFSVYSALAQQYTTLGMKSDGRILDIRGVDIANARMLEPGDIPVFVVTCRTQE VHVYRNAKTNELAAGMEDRVQLVTYAIGVTRIPEDVNNPETRGWRMIELQKAARDYI TSTA_074190 MRARLWNTFEQTVPWIARGSRQPLSQVEGLARFSTASRSYAPLD STRDSAVRRQRENDILRSSKPVVETSSNDSQGLEGVLRVLSAKNQAAGQKNATYIDGV RARQEQLYSTYGNRTPPYNLHVYAHKHNTIITLTRPNGNPLMSLGCGNIGFRKSHRSG YDPAYQLSSHVFAQIQEKGLLPEITGISLVFRGFGMGRDAFIKVLLGNEGRNVRPLVT RVTDSTRIKFGDWVKKMLWIFFLRKLSMQLLYKYGLWFE TSTA_074200 MHPLNPFLRAFFRSTVPGQCVPVENHVLLVPTTESLFGSRDRDS NAYYSDLVSSEEFLGSHVLRISNPPGPSHKPDASNARDSRAKAKQVTTVNGRTVIVKD NSVYSNKGFKSLCQAQILSDVLYHTPNHNSQPWLIYYISKPLIGTYDPGRIVPAVPSG NLRTAATEISNQNAGDTSSIQKRDIKSFAELLSQFPMIARQMQPGLDRLFREFGKELG KPLPPPPSESPASLNKTEQWEFGGNDPSQADRLPFNSEEYFVDDEDLMRRSLETAVTA AIDLFRLVDKQQLSLLGATTDLTGPLVERLIERYIAQQVHETLLFPRLCTFRRAEDVE LDTRIRQIEHIDVSQVGIAIEGGLSGKKELMNRLSRGVDEFRKMTDATCPQDMLDVLL ATIKAITIADGPDGSFDSSEKQASAFTVNADILVSMLLVVVIRSQVRRLQARLLYMQH FIFVDDVESGEMGYALSTLEAVLTYLVSDYAPLRKASMRNKRLWQATKTGNIKEVRSI LEPNENGNDEDFPLYGHNDFNLDTPNGEANNSPPLEQQSIRFVLTNGNSPEPHAETEL ESSPLEPPPLAHVFPFQTWTQPDSSNTAKRPRKRVSMDVSSISGSSVISLLSRTTTIA STFSGIEGDTSIETLSHTHDPAGDSVPMMAVESHQPGVLKYLLSLQEYYSIDVILEDT NRDGTTLLSAAVQHANRKVVDIITDFLLADADIHTISSYLEKEDVRGRTVAHYLFSAP YLMSSLGSLLPWQKKDKHGQTPLFAICRSYDHSNYQDMVNEALTVAQRAQDDGQPLRI GDHVDLKGNTLLHIVSDPAIITRILTECDCDPNATNEKKFTPLMVASKYGRVDLMRRL FADPRVDTHITESRGLTAIELAKDDEVRNRIDDMILFLNPAVNSGDATGRITTVVRSL FVEDATVRFILKSGAQAPLDTTAINGSTTYTITTCRRSFADFEHLARCLAVEHPASYI PSISEARSPFQIHSKPSRAILHDMQGRLDQFLRILLTHPTFATHEMLWEFFLMPELQP EMMIDRSQRKASVLYESIYDDYAPITPDGIRDTEQFVAHAQEVVRAVHANTRSVIRRG YSTQHAAFDFGDAAGLCASILSTFKPPSNAIPVSHIDTFAQYAAAMSTSSSDSSPLLQ FLTTITSIQSTTTAVLNALSRPGRLIYTLSSTNRNLSRSRSSLASSSLPRKFNLNLSV LEESRQKNIRELEQKINDSEAQASRLSREISWNKDVVVSELAGWTSWRENVGRDAIRA FVRATVVQERERGRRLERCLRNIKELPFSPSSAT TSTA_074210 MRVVTMLLLGASSVSAVPLFLRSPSTLISEITSRDTNDTNKSNN NNDDDKVPVAGIVIGSIIGIALILCLIAYLISRDNCITRRGWFKRRLEKKQYKKTQSS QNLSPQDDEEALTKRASFTSERESIMFSRSRASSLNIAVVEDVDHSQRRLSSQVYVLR DGRYVPVDQTESEQRRGLLSGGRTNEMTEVDIASSSVTYERAPSFTSIPVIVSPPLEN DTHTDQHRDYLSQPGGVLAFHEILPEDPRTHA TSTA_074220 MPLVVPGINSSLTGDKKNDWVDKLLGKKLTDSTSDEVSFAKKDL PSSHRVVKPGDMTTQDHQPDRLNVHVDDEGTVRDVRYG TSTA_074230 MQLIFAARRGRRVLHQVDLQQKATLSTRAPIAVSHVRRVFRLAD NRAAVPLTRNGTNTLKQLGAVSQRNQYATSTTTSSVAPGDTPAPKRRGRPPKSDGGET LKQRKPKKKKAKKVKKVRKLSEGALEKRREQRQAQKVKDTIKELIAEALKKEEPKLRS PIAWNLFVSEKLKGVTELNKGSALRDIAVQYRQLPDYQREALNQEAAKLGEENEKKLK EWIHSYTPLRIKQANAARKLLPKYMTKHEKSPIIKLSQIPDDRQVKRPVSAFLWFFKE RVATGEYRGLPVRDIAERVKADWANTTDSEKQVYLAKAEDDRKRYITEYRETYGEEPL FIKEGNSKQKAEGQVDL TSTA_074230 MQLIFAARRGRRVLHQVDLQQKATLSTRAPIAVSHVRRVFRLAD NRAAVPLTRNGTNTLKQLGAVSQRNQYATSTTTSSVAPGDTPAPKRRGRPPKSDGGET LKQRKPKKKKAKKVKKVRKLSEGALEKRREQRQAQKVKDTIKELIAEALKKEEPKLRS PIAWNLFVSEKLKGVTELNKGSALRDIAVQYRQLPDYQREALNQEAAKLGEENEKKLK EWIHSYTPLRIKQANAARKLLPKYMTKHEKSPIIKLSQIPDDRQVKRPVSAFLWFFKE RVATGEYRGLPVRDIAERVKADWANTTDSEKQVYLAKAEDDRKRYITEYRETYGEEPL FIKEGNSKQKAEGQVDL TSTA_074240 MEALDSRIALHPESSAQCHVSDIRKGQIGLVDTCCTGKNRTKIK KLEDSHHEQKRIDCKSSSFQLALFNAFALVNEVLSQNTEPAGYGTPPPSYTEAHDDLP PEYTLLPASAEAKPVESRSARPSNDRNKFPTGDIKTKPASSIDFESTHGFRQHGKKQK AKLAAKKAVGGASGDGGDGGKKNDETIEPPGGSGGGGSGSGGGDDGGDNGDRNSDGWG EVTSGKKKKKSKKEEEEEEERRKKEEEGAAAAGTATNNLSWADDVDGNNDDGWAGFTA VGKKDKKKKSSLDPTSGAFGESARNTFQDINLDDNAPQLDLSFEPAKGTSNGGGISFG GWGKDWNTGSKWGFGSIGNDASAGKDAPAKNESPWGLNDSKNKKATGSLGFDFGDLGT SNADVGTSKDAKDEGDGWSSFTPVGKNSKTKKNSIAEEPPATDDWGPWGSKKTVKKEE PASEAATGQTEDGWAAWTTKDKKKDAVKKTDTDDIWAAFKKNDKADIIDLDFPKETAP AADSEPVIDNVWAVPGKKDKKKAGKKGAEAEPAQSKAEDAWAAFETDTKKEPEEESGW GWGIANRKKDKSKDLIEDLSSSLQAPSAAAPPEKDWLSSWGIGGKKKEVAPEPEPVPE VEKPKNHEFWDGWDSWTTTQRKKKEKEAVKKGIPPRIEIPDPIPEPVAEPEPEPVEPE PTPAPSKNHEFWDGWQSWSPLMRRKKEREAVKKNIPPLIEDIAPEPEPEPEPEPEPTL EPPKNHEFWDGWQDWTPAARKKKEKEALRKGIPPLIEDIVPEPVLEPEPEPEPTPEAP KNHEFWDGWQDWTSVKRKKKEKEAVKLGIPPLIEDIAPEPEPVAEPVSLESEPTPEAP KNHEFWDGWQDWTPATRKKKEKEASRKGIPPLIEDIVPEPVLEPEPEPEPEPEPEPEP APPPLLKNHEFWDGWQDWTPSKRKKKEKDAAKLGIPPLIEDIAPEPVLEPEPIPEPEP DPEAAPELEPEPEPEQPRNHEFWTGWETWSSKDLKKKEKEAKTKGIPPLRKDLPPALE AEPEPEPELEAVPEPEPEPEQPRNHEFWTGWESWSAKELKKKEKEAKTKGIPALQKDI PPALEHEHELEPEPVPQPEPAPEPEPEPEQPRNHEFWTGWESWTAKELKKKEKEAKTK GIPALQKDLPPALEAEPEPETNPEPELKPAPELEPEPEPVAEQPKNHEFWTGWESWSA KELKKKEKEAKTKGIPALQKDLPRALDPEPEPAPEPAPEPEVIPEPEPELGFEQPRNH EFWTGWEGWSSKELKKKEKEAKLMGIPALQKDLPPALDPISEPEVIPEPEPEPEPEQP RNHEFWTGWEGWSSKERKKKDKEAKLMGIPPLQEDLPPALDPDAVQPEPELEPEPEPE PEQPRNHEFWTGWEGWSSKERKKKDKEAKLMGIPPLQEDLPPALDPDAVQPEPELEPE PEPEPEQPRNHEFWNGWETWNSKERKKKEKEAKMKGIPPLQENLNPALDPEPEPEQEP DPVPEPPRNHEFWDGWEDWSSKERKKKEKEANAKGIPPRQEIPAIEIPPEAPEVPQFS TVEPSAVVEEHKDDFWSVSNSWSLSERKQKQKEAKKKGISMPDEDLAPPPPAPTPPAQ GLTPEPHLDDLLDDDAWGGFTKSSKAKKASTALVEETPAKAVRGFWASFGTSATPKTK AKEEKPRGTEDLLIDIDGGAEAPAALLVDDIAAPTASPPKATSKATKPVGRLSVAERI EALERSKREKAKEKAREKEAKTDIVDNLLVDDPPPPPAPEPYEDPIAKKGSSKQKSSK SSSSKKAVPKDIPPEPVEEEISHESIPGSFPEPDPEPEPEPEPEPEPEPEPEPAPPPP EPSKKSKKSSSTKKSSTPAKTSKRESLLKSMEEKPVVEPVVEPVVEPVVEPPAAQEPV AEESISETAPPKPPTPPPEPSTKSSKKERTKVERTAGASSWGFWAAAPPPKKSSKKTD EPAPVKEERSKASRSKSDSTARHRIRESDNEVDMERSSTDKDRRSDSKRQSRSGMGFS NFIMGGPPPSRSKSVRKSGVQSRPSSRPSSRRLSVDVGNTGLPSPPPEDRPEISDKAA KLMGIKPSPSKREGGERRKRRSVRDPYALDVDEDIVMVNAEAEGEVSEKVKSRRSKSK RMSEAKPIEEDPVMVEAEQSPPSAEVVSGPDDIAFVEAPPKERRSRKSTPAPIAKKPE TGGLMGLFGSLRKPSKPEPQRRKSRSRRDEMTETEREEKRVKRERRRSVKPDTDAEGF TTGAGPVNVDTEVDDMEAMRIERRARRRAAEQKARELETQEAEERRARRERQREQRER ELREEMEREAQRREEKRARRAAREERRAREVQEAREAEARDVQMRPERRERRRTREAV EMSGGLSRHASKADRRRSERRSDDEYARHHRSGDERPRVSRRKTAPDHAEAPRGYGMP GKEKTSSWINSQVLDPPEAPPIVPTVLDMPPSNENGPSHSLSSDEEARRKIRRQARRR SKYPDMADEEVEEMRSRRRESRRVREGAKSSSGDGDFERENGMRRRDSTYEPPSRAPS TKRTSWFKKLTSL TSTA_074250 MHNSNTDIWLAGAIAAFTVDFIVYPLDTLKTRIQSPRYKELYTD AATGAVQRRFLFRGLYQGIWSVVVATIPSSGAFFTTYEGIKYILNSAATKNSDDSTIN NKLAFKHSLPTPVINGIASSAAECVSCFILTPAEVLKQNAQMVSSSDNNRSRGKSSTT LRRHPTMQVLSTFRAHPTHLWRGYGALVARNLPFTAMQFPLFEYLRERTQNKWHQKQQ TGHQEQTVTERALITALSAGLAGGVAAVVTTPIDVVKTRIMLNAATEEGEQNVSSASR RRGAVAVGKEVYRKEGMRGLFKGVFLRGGWTSLGLGLYLSAYESGRMYFEKRREDKDK TSTA_074260 MEKEAPLTAVQVEALVVMKIIKHSSQSFPTVATGSLVGLDVEGT LEITNTFPFPVVEVPPESHFDTTTPNAAAAAPRAKANTVYQAEMIRMLREVNIDAQNV GWYTSANMGNFINLNVIENQFFYQKELNERTVALVHDVSRSAQGSLSFRAFRLSPQFM TAFKENKFTSEQLQKSGLRYQDILVELPVKIHNSHLLTSFLHQLPSPLPLEPLDLPPS LSALKNGPTAQPNVLSPNFDNLSLSIDPFLEKNCDLLLDAIETHHSENNNFQYYQRSL AREQQKIAAWQAKRKAENASRATLKQAPLPEDEWQRLFKLPQEPSRLESMLNTRQVEQ YSRQIDGFVSSTTGKMFAVKGNLLPGEANE TSTA_074270 MAQPETFSYGSHELQEIHVYKAQNSPEDKDLLWVIYIHGGAWRD NTVLADSFSTTQTLLSKDPLIQKHVAAFASIDYRLSPHPNAHQDPNNTPKTQLRDAKH PEHIHDVQTALAFLQDKYGFGDRYILVGHSCGATLSFQVVMNKVQGANATTFPKPKAI AGVCGIYDLKLLRNDYRHIKIYEDFIKGAFGSDEELWDGVSPGKVTSADGVASGWENG RVAVLASSTGDLLINQPQLDAMLEVLKHWQTLAQGRDLVEINDLKEEHDDVWGKCEEL ACVIITTVQRLSEKSA TSTA_074280 MEDFNSETDSDYTSYWRDWFISSRGNEYFCEIDEEYLTDRFNLT GLNTEVQYYQYALDLITDVFDLDADDDLREQIEKSARHLYGLVHARYIVTTRGLAKML DKYKKGDFGKCPRVLCDQHPLLPMGQSDLPNQKTVKLYCAKCEDIYNPKSSRHASIDG AYFGTSFHNILFQVYPALVPEKSIQRYEPRIYGFKVHATAALARWQDARREEMKDRLA KLGMDPGFIESSEDENDESDDEVDDDKSEPLEEEAAPVADNASARMDTGI TSTA_074280 MDPRRAPVSIFNMVNDDDDVEPPPRINVPPHVVQSLHYLSLAFR PREEPKINFRRPFSPQFISSRGNEYFCEIDEEYLTDRFNLTGLNTEVQYYQYALDLIT DVFDLDADDDLREQIEKSARHLYGLVHARYIVTTRGLAKMLDKYKKGDFGKCPRVLCD QHPLLPMGQSDLPNQKTVKLYCAKCEDIYNPKSSRHASIDGAYFGTSFHNILFQVYPA LVPEKSIQRYEPRIYGFKVHATAALARWQDARREEMKDRLAKLGMDPGFIESSEDEND ESDDEVDDDKSEPLEEEAAPVADNASARMDTGI TSTA_074290 MAPASGHLLLPKIWRAARFAYEKAARALKAKLSEQSQQLQPKLQ PAYAHVGSRQPISRAAAIRQAQSRRFSTRAGGYIGSHLRQISRPAGSATPNSSVRQMV IRLTSQSPFNSALRPNLTGGTLGRTAGGYSIGAGRIGGARYFSHGPAAPAQVIQNVSV AMRGFWLSGQRARFDGIDPCTGEKRFKTVTPLQDKAQRRMVEVSRNTPGSYIDFQLSP TITSIGNLKNESLQSEGLMEMLSVDFARALKDLAAVLNDLQRLGTLGDLPVSLENKST LRVRFPGCDAEQVERLCDEVGVERGQVYQDEDFDHRTGAELALLFPFAPSIAPTPEAE LLIIHENPRASTKHDLDWRLMMSGKTSPRISHDTNQISFEDVEMFGDNPWISSPSGYS SIGVSELGDREFFAELSPPRAGESHSDYEGVQGIYKFLEQCDLAAGQRF TSTA_074300 MMFSVPRVFTFCSPCQLSAFHCQFPRIIAPNYQIHKMATTQNSK KTFTLNTGAKIPAIGLGTWQSKPNEVREAVKTALLAGYRHIDTALAYENEHEVGQGIK DSGVPREEIWVTTKLDNTWHHRVQDGINSSLSSLGLDYVDLYLIHWPSSTDPNDTSKH LPDWDFIKTWEEMQKLPATGKVRNIGVSNFGIKNLERLLSTPTTKIVPAVNQIELHPN NPSPKLVEYCAFKGIHCTGYSCLGSTNSPLYKDPTLLKMAEKKGKTPQQILLSWGIQK GWSVIPKSVNKERIEKNIQLDGWELTAEEVNELSNLKDRFKVCGDDWLPVKVFFGDDE TSTA_074310 MQASGVPSSSLNIERAPFMSSGFVSGGTIDEPNERDDEWRRTQQ ELEEERRRKAELGKQNDGKSLYEILQQNKMAKQEAFEESIRLKNQFRALDEDEVEFLD SLLESTRAQEAAVKKETAEQLAEYQRQREEAERALIEAGGQNSSGSATKPTGDEEQWV VAGRKRKRNRKDFLVPPKIRKSSFTADDASTVSDQKEKPATTIYKDVAKDSTPSASSK SAPKRIETVSDESPKGRATNTASSKDSTQHKVATGPSLGLVAYDSDEDSG TSTA_074320 MLIKMTTFTKIDDGSLPTIAVNSAARLSKINPNIYGGFTEHMGR CIYGGIYDPGNPLSDENGFRKDVLEALKELNIPVIRYPGGNFVATYHWLDGVGPKEKR PARPELAWLGTETNHFGTDEFLKWCEVLGTEPYICLNFGTAMAWVEYCNGTGNTYYAN LRRKNGREEPYNVRYWALGNEVYGPWQVEQTTKEAYAHKAFQWAKALKLLDPNLILIL CGESGTTSWDYYTLRECLKPTRAALSSNPTPLIDMHSIHMYTASKDHLQNATAPLAAE RAIEIASSLIDLARIENGVSPDDPRPSICFDEWNVWDPLRAEGRFGAEETYTLSDALA VGVFLNVFIRKSRDLGMACIAQSVNVISPLMTSPTGITKQTTWWPLLLFSKYMRGWTI SAHVSCGAYNGGTSPSWLRSVKETPWLDVSASLSDDGFVNVVTINVHEHKDFEAKVEG AKGPVNVFTVTGADVTVTNMKGKEEVGAKETTWDGQDHYVFPKHSLTLMRWKE TSTA_074330 MARRGDTRSPSPVGSTYSSSKRSRRDDDRYERDGRDEGRTHRRS RSPDRRYRDRDRDSYRRRDRNGYRDDHNYRPLKRDRSRDRDRDRRSDRDYRRRSRDRD KSRDRDNRNRRDDSRDRVRRHRDDSTDSRRRFRRESRDRSMNRDSAAGSREASKAPTP APAAQTDEEKKAERLAKLEAWKQKQAAERERKQRELAAAGGPRSILNEIDKKSGLAPA SPAPLSPSTPSSISTPAEYGGKFDPKVIAKKATGVASGSSLLGKDVIVPDSAKADGIT KANRLSVAPSKASAPLKASGNVGKFGFGNRTATDTEKPSAKRTLDFGEEESKRKKLEK LPSPPPEDTKDATGDAAAEDDDSDVDMENGTEEENAAAARAAAEKREGRLQGQQPTSE MESNGDVAMADASNANVEAAEHPEEEEIDPLDAFMSGLAEKNSFKKPSMTKSAKSKLQ QPEAIFGDEDDIDMSAVAHGDTDDFLAIANKAKKKKDIPTVDHTKTEYEPFRKSFYTE PLDLAQMTEEDVASLRLELDGIKVRGIDPPKPILKWSQCGLGVQTLDVISKLGYENPT SIQSQALPAIMSGRDVIGVAKTGSGKTISFLLPMFRHIKDQHPLENMEGPIGLIMTPT RELATQIHKDCKPFLKALNLRAVCAYGGAPIKDQIAELKRGAEIVVCTPGRMIDLLAA NAGRVTNLRRVTYVVLDEADRMFDMGFEPQVMKIMANIRPNKQTVLFSATFPRNMEAL ARKTLNKPVEIIVGGRSVVAPEITQIVEVRNENTKFLRLLELLGNLYSDEANEDARTL IFVDRQEAADSLLRELMRKGYPCMSIHGGKDQIDRDSTIADFKAGIFPVLIATSVAAR GLDVKQLKLVVNYDAPNHLEDYVHRAGRTGRAGNTGTAVTFLTEDQERYSVDIAKALK QSGQAVPEPVQKLVDSFLEKVKAGKEKASASGFGGKGLERLDQERDAARNRERKTYRT GEDGEEEEREDTKEKKGEEIFAKAASAIQSASAPTPGIPKGIDLDGKITVHKTEKPAG GKASNDPLDKVGSAVADINARLSRAGVMRSGVPIDNKGPDAGAFHATLEINDFPQKAR WAVTNRTNVAKILEATGTSITTKGNYYPSGKEPGPGDTPKLYILVEGDTELVVTNAMR ELMRLLKEATIAAADSEARAPTSGRYNVV TSTA_074340 MSSRGTGDFCLDCHWDAFDDITGKIPMLPSDQWQCEDIHHNGDA VCAVEDSCCQNDACSLNCSSVCDGFVDCDLGSTVCSDANCEETHCESTSPACFDRHCC DEDQTVDDTAKGLLQQTDFQWDPALFLPAMTDQQFDMPVNESQLMNQSTSRHQHCSTE NTFSDFQCHNTGHGSLTTGQQYSKECNNAWHSLFTNNQIDMSHLDMNIMLNNTPFYTP ESNSDLLNQHSDTTKMPCFQGDGRPSCSEAGFQHLGCYLRNSGDTKLVEFSKKQSQAR VHRHDHGQAHHRVAHYSRPRNPRKSISSQTISSFIDSPPSLDRAMSSALTSPTPALTE EVESHVCRWNHGPSMCNAIFNSCGDLQQHLITQHMQPIDGVKGYGYYCCWQGCHRPHE PFSQKSKLQGHFLTHSNYKNFTCSVCGKAFARQATLERHERSHRGEKPFKCKECGKAF TDSSELKTHSRTHTGEKPFKCTFPGCDFQTGDSSNMSSHKLTHSGRRHKCTYPGCAKS FTRPDQLKRHLKTTHKLDNTNHSMSPVLAVPTMIGTVN TSTA_074340 MSSRGTGDFCLDCHWDAFDDITGKIPMLPSDQWQCEDIHHNGDA VCAVEDSCCQNDACSLNCSSVCDGFVDCDLGSTVCSDANCEETHCESTSPACFDRHCC DEDQTVDDTAKGLLQQTDFQWDPALFLPAMTDQQFDMPVNESQLMNQSTSRHQHCSTE NTFSDFQCHNTGHGSLTTGQQYSKECNNAWHSLFTNNQIDMSHLDMNIMLNNTPFYTP ESNSDLLNQHSDTTKMPCFQGDGRPSCSEAGFQHLGCYLRNSGDTKLVEFSKKQSQAR VHRHDHGQAHHRVAHYSRPRNPRKSISSQTISSFIDSPPSLDRAMSSALTSPTPALTE EVESHVCRWNHGPSMCNAIFNSCGDLQQHLITQHMQPIDGVKGYGYYCCWQGCHRPHE PFSQKSKLQGHFLTHSNYKNFTCSVCGKAFARQATLERHERSHRGEKPFKCKECGKAF TDSSELKTHSRTHTGEKPFKCTFPGCDFQTGDSSNMSSHKLTHSGRRHKCTYPGCAKS FTRPDQLKRHLKTTHKLDNTNHSMSPVLAVPTMIGTVN TSTA_074340 MSSRGTGDFCLDCHWDAFDDITGKIPMLPSDQWQCEDIHHNGDA VCAVEDSCCQNDACSLNCSSVCDGFVDCDLGSTVCSDANCEETHCESTSPACFDRHCC DEDQTVDDTAKGLLQQTDFQWDPALFLPAMTDQQFDMPVNESQLMNQSTSRHQHCSTE NTFSDFQCHNTGHGSLTTGQQYSKECNNAWHSLFTNNQIDMSHLDMNIMLNNTPFYTP ESNSDLLNQHSDTTKMPCFQGDGRPSCSEAGFQHLGCYLRNSGDTKLVEFSKKQSQAR VHRHDHGQAHHRVAHYSRPRNPRKSISSQTISSFIDSPPSLDRAMSSALTSPTPALTE EVESHVCRWNHGPSMCNAIFNSCGDLQQHLITQHMQPIDGVKGYGYYCCWQGCHRPHE PFSQKSKLQGHFLTHSNCMIPSYLKRCFAS TSTA_074350 MGNVCSKSANKPDDPFSQPGRVLGSSAPPGQPSQPPRAPVPNNV TRGPGRTLGGASSSQDTADARSKAAEAAQKRAEALNTSSKGKLGSQLAAQKARTQAQT LNAASQAEVAARNADSAAETRQWN TSTA_074360 MARPLEGKFGIVTGGSRGIGQAIARNLASKGCSLLLNFTSESSR AKTEELAASLSESFGTQCTTIRADLTDAQKASEVIIDAAKKHFGDSPLRIDILINNAG VSQDRKLADSAKGPIDAEYFHWHYNINVLAPLLLTQACIPYLPNDRSGRIVNISSVSS SLGFEGQSVYGGTKAALEAMTRTWARELADRATVNAVNPGPVIGDMYFLTGEKFWKDI QGWQDNTPLSKVAADASDLEGLDAEKIRLIQEKMGGRRPAFTREIAGVVAMLCTEDAA WCTGSVVNANGGMKMTT TSTA_074370 MLKFVKRLGSRQDLRGWKEISNKSGANRDITSIASSDSSPSLGS HKPMTVTYEVPSDITYDVPATMKAQQLDAYNTPYVFRDSVPVPTPTHPYDLLIRVDAA SYCHTDYVLASGHMASVEQPVFPHAGCHEFSGTVVALPPNSAQSDFKIGDRVGVSCRP YHACGECAECVEEDSPDSDPKGYSVLCPTIKSQGIGIPGGFQEYVLVDARQLTIIPPG LSQVEAAPLMCAGITIYAAIKKTGLKPGQRIGIIGCGGGLGHLGLQYATAMGLKVYGV ENADRPLALARGLKNISGATIIDSRTTTAAEAIAHISTEDKRPIPGQFGLDAVIILPE SQKAFQYGVDMLKNHGKCVVVSFPPQGFHMTDIHYVGNVSGSIKQLREMTAFSAKHGI KAQIEVFPLEKLNDLVKAYLSGHGGKLVIDYHHTA TSTA_074380 MASLSSRSLSRLALHSRIGLNPITTVRSAVLRRYTSIIPDGSRT SSYTRPFSLQKSFRPPVTGTNLQHRGYADLKASGGKTEADLIVEELQELYDNAKDELE IATDSTNSATIYAASDRESARELLDQLLYVYKVYTEMTRSSSAGGPDLVSPLSQNEQS TTPNAQQANADRLDANAAKEASNPILDGTGEALSGNSYVGITPNYDPEYISVEVKEEV KERVGQRIRELKSAVERLEEMASHE TSTA_074390 MTAHTGHPGVEGLLAIDSDLNSDDEKREKKKMLRKELVTTGLAT VATIHAAHGVLKNLEAQKKRHEAVKSGAITEEQERKMQRKADLKNVASIGLAALGIKG AIGEWKEVQEQRKEHKRFEQQCKERHERRLQRRAHSAGGSNGYSRESRSRAASTASMG MNSGHDYGHHASHYYDGNPYGGGSVPVDV TSTA_074400 MSYYDSRDSRGYRVKRDRYSRPDGAYVEETYVDSRGGYPYDYDT RLIRRRGDSEESVVEEISRDFPPGEYYYGYPGPRRAATVREGARRARSVGRDPYYDGE YYRRDDYRPRRSRRSDGQRDRYERSTYSSSRSPSPRPRRRKSLGEEALGAIGGALGLK AARDRSRDRDHDSDRGRSRKYRSYSDSRSRSRGHRAKSEARIAQAMKAALAAGAAEAF RVRNTPGPWTGEKGRRVLTAAVSAGGVDGLIDRDPNKHGGRHVLESILAGMATNRLVN GARSNSRSRKDRGRSQSRGGIGDMAAAGILAAAGKQAYDRFSKSRGRDRSDSRDSRDS NRDSKKRSSSVSRAINKGLAALGLDDKNHNDRGRDRSRRSSD TSTA_074410 MPRPTDRSEILARLRKVIFDDGVIVGAGAGIGLSAKSVEQGGSD LIIIYNSGRFRMAGRGSLAGLMPYSDANAIVVDMANEILPIVEHTPVLAGVCGTDPFR NMPEFLGQLKELGFSGIQNFPTVGLIDGKFRQNLEETGMGYDKEVEMIRIAREMDFFT TPYVFNVDEAKRMVRAGADVLVAHVGLTTSGSIGAETALSLDDAVKIVQDIRDTAVRI NPDVIVLCHGGPIAEPKDAEYVLNRTKGVHGFFGASSMERLPVEIAIKENAQAFKSLK IERK TSTA_074420 MAYPRIAVLGTCDTKLAETLFLRDQIIRSGEADVLLLDVGRTPV QNNYINISNSELASRKSADGPSSLAQMSRTEYIKYMIECATSLVTELFQKGEIHGIVS ASGSSGTSLVTAVMRNALPVGFPKFMVSTMVSGDVSHLVEETDITLMYSVVDIAGTNA ILNQILTNAAAAITGAATAYCRNGGTTLANVAAKQRKKRVAITMFGVTTPCVDAIREH LESNYAHEVYVFHATGSGGKAMERLIREKQLDAVLDITTTEIADELVGGVLSAGPQRL TAAAKAGIPQVISVGACDMVNFGPRDSVPTEFSSPGNKRLLYEHNPAVTLMRTTQEEC TRIAEFIASKLRDQAVRPDLVKVILPTGGISMISTPSQPFYKPESDTALFDTLERHLE GSNIEVKRDDRAINDRGFALLAAELLVEVVRKKEAEEQSDNEFKCSYQYMYSIKEEKK MEMEMEMEMENMPWVI TSTA_074430 MPSILNNDDNPAFAVRRAVDTPTYKPPYESSYRKVEYAPTQPSW AAHHTHQLPTTSYPTPPSTYYTSDAGSSPYSSNHHQHLHHHHPPQHGALTYQHHRTPS IQSNSDLSSQSISSPSGAAFYNPPPTTETAKPAKKNKYPCPYAASHACTATFTTSGHA ARHGKKHTVCNKAFTRKDNMKQHCRTHRVSDNEAASPTTSEL TSTA_074440 MMDRLVRLLRKPGSKDAVEGIAPTQRIKREEEEGSENITIYVMT PKRAILDRWLDWVVRASGSKFVFCAILAGLLTWALLGIPYGRTDTWQVIISDVQAIIC YVFDSFLVRQQLNEYDNEIRAAAQVQSRLISHARMLNALKKEINEQRSVHGAFENSPL DIQERETALPEDTKFGRAIGLCARILGHLVTSILFWAGVIIWIGVGTLRNWSNDWQLY MNSASSALMVFVFSFLANIHERHSTYMKRCLDAIFCVDSRLELKLRELTDDNLQNQPV VIPPPRVNWIQRTIFYYADFVGTLAGIAILLTVVAIWVAVGPILKFNANWWLLIGTYA GLIGMNDAFVLRNMQARLSGYVDAEHEKIDKEDERLFNSIRLEIPTGKEHADNSLSSR VSRVMCRISAHELTVVAGFLLLVGLVIGASVMRWSLTGQLLCNVPPSIIESFFMIILI AGHNEEESRKVAELQSMYERRLRLLSFVKRFEALRDSSAHPPQKLAVDSTFLRSVSRE YEYLPSSIAFASDLRKRGRRLSVGHDSTVPTSD TSTA_074450 MPCPEPPSALDGRCSVVYNNTIYVYTPTALLSLPIKQGAKWNST DSGEPVTDAVCVVGSIDGSPDNQGLYVVGGTSNNSTYSGLQRYLFSERKWETVSLGFP YIQNRVNHSAIYLPGTASILVYAGSQTSNQDASTTTFEIPVKSPVLLNRPGNIAAGIK PTLLPWDDATAVYVGGTPTNTEVFLYHGDSGWGTSQISLAQGIPSDAGVAIQSNPDGS KFLEIFDMTVSPNNVTYVALLQTGGSPAYPGQPVNFTTSSSTKKRKRDWGNVPSYNGT LASSITRSSFSLAQSDDGLVIISGGSNTDPLTVFNQTQNSWLNTTKLFFSDEVSAGLQ QPLTPSSTTTAPTTSATATTTTAASASSTTTTAAAASGGSRIGTGVIIGATLGCLLGV AAILVILLIVLRHLHRRNGGSIGKSSRRYGSQDKDRLSFQDRGIEPLTMAAVPMARSN VPSAVDSLNMISGKFTNDPPSASLADKNQATTRSLVPPARSPLITIASSRIDQHMNDS LTPTNEPGHSRGDRSTDEGWGKYFQDGAAEGLTTDSPRTTMNSDISQVTKSDYRGSMW PHEVPERTTIALSALDGPRPLGQVPSGSPSAEHLPSYGGQHIHQAQAAHISTADSSSF ISDLEDEHDRHDGFSSGIPQSVHDGTSWVHQPYNLRPSSSVHESIVDARSHERRSSGI LHHNLDGYPPTNVNSDMSWLNLHADK TSTA_074460 MEISRGPPRVKNKAPAPIQISAEQLLREAVDRQEVGVQAPTQRF EDLEELHEYQGRKRKEFEDYVRRNRINMNNWMRYAQWELEQKEFRRARSIFERALDVD STSVVLWIRYIEAEMKTRNINHARNLLDRAVTILPRVDKLWYKYVYMEETLGNIPGTR QVFERWMSWEPDEGAWSAYIKLEKRYNEFDRARAIFQRFTIVHPEPRNWIKWARFEEE YGTSDLVREVYGLAIETLGDDFMDEKIFISYAKFEAKLKEYERARAIYKFALDRLPRS KSITLHQAYTTFEKQFGDREGVEDVILNKRRVQYEEQIRENPRNYDVWFDYARLEEAS GDADRIRDVYERAIAQIPPSQEKRHWRRYIYLWIFYALWEEMESKDIGRARQIYQECL KLIPHKNFTFAKIWLMKAQFEIRQMDLQAARKTLGQAIGMCPKDKLFRGYIDLERQLF EFNRCRTLYEKHIEWNASNSQAWIKFAELERGLEDLERARAIFELGIEQSTLDMPELV WKAYIDFEEYEGEYDRTRALYERLLEKTDHVKVWINYAKFEINIPEGEEDEEEQEERP VSEEAKRRARKIFERANKVMKDKDLKEERADLLNAWKAFEQAHGSAEDLATIEKQMPR RVKKRRKLDDDRYEEYMDYVFPADDASAANLSRLLQRAHAWKQQQQGQT TSTA_074470 MSAAEDLLRDFEDSDVEDLEENGAENEGQEMEIVPETTEQESVA VKNDFEVAMSAADELARLHKFLRDHYSVRFPELETLITNPINYAKTVAILGNGPLDNI KQLSTSSDNIVGAPLNTVLDGPSLMVVTVEGTSTRGREMSEAELKLVLDTCQNILKLD RERTARIESVQSRMTEIAPNLTALIGSQTAAQFLNQAGGLLELAKIPACNLAAQGSKK QEGLGFATNVGIRQQGFLYHSPIIQDIPNDVKRQAMRIVAAKMVLAARADVARSSPDG SMGEELKQQCFHRLDKLTEPPPNKGPRALPAPDDKPARKRGGRRARKAKEAVAMTEIR KAQNRVAFGREEQEAGYGTGDGTVGLGMLGQENDGRIRAAQIDQRTRARLSKSNKGWG AATPISGIASSLRAPGNATVLQAKGLRTSGVGTSINAAGTASSIAFTPVQGLELVDPK VQAELNRKRKAEEDRWFKSGTFTQVGSQNGQGANGGFKVPDVPASKKVNTGDGNMAPP PVPLRK TSTA_074480 MAAIDVETATTTVEPPRVTLRAPSSLFHHELVTKDHGLLQFHAA AALQLQFGTQRHELAATSDDRLIASPYNDPLNLLDLKTLETPYQLLAKALTIFKPIRD DYAVAPYVESFNWQAVVDFLRDLSIAEGYKWKRQRFYVVSFRSTLKPVIDSQRLHDLD YHSHQEAVASGGLLKYWFGAKNENHQNLATCVWRNREDARAGGTGPWHKQARAAGAVM YDKIEFKTLALVIGDDASSWEISDWMDQDA TSTA_074490 MAAPAHTFKVADISLAAFGRREIELAEVEMPGLMAIRAKYAADQ PLAGARIAGCLHMTIQTAVLIETLTALGAEVTWTSCNIFSTQDHAAAAIAASGVPVFA WKGETEEEYEWCLEQQLKAFKDGKKLNLILDDGGDLTSLVHKKYPEQLKDCYGVSEET TTGVHHLYRMLKEGKLLVPAINVNDSVTKSKFDNLYGCRESLIDGIKRATDVMIAGKV AVVAGYGDVGKGCAQALASMGARVIVTEIDPINALQAAVSGFQVTTMEEAAPHGQIFV TTTGCRDILVGRHFEVMKNDAIVCNIGHFDIEIDVAWLKANANSVQNIKPQVDRFLMP NGRHIILLAEGRLVNLGCATGHSSFVMSCSFSNQVLAQIALYKAGDAAFGAKYVEFGN EGKRPVGVYVLPKILDEQVAKLHLEHVNAKLSTLTPVQAEYLGLDAAGPFKSDIYRY TSTA_074500 MQLSAKKLAVYPIDDCYILAGSIPIKGPEFSREKRVTAIMVSVK ALFALYAISDIANSYPIHRHIDDFSSESHHLTPRSPNPRFKNPFDVDHYLADLDYKIP NNKDIQEWVKEARKKGALAAEGKLPDDGDGEEEDHDDDESDIDDDDDEDEDGHKHEHT TESSPRSNNNDNKDEEEEEEEDDDDDEVAQPVQGVQPSRPATTHGSAPSDIPSNTATV TVIPTSGPTHGNDDEDGIKNDVEVMQPAQNVQPSQPSTKGSSTPTTTLPSTATASPSP RPGNENGDNEDDLNEVMQPAQSAQQSQSADALDPSTSFTPSNTITGAGDATAPTQTVY VPVNVFPTRFPDIDEDENPSPSSTPLPIFPPPEDITKANLPPAAVPPSPQDATNTNVP EASEALVAQTTTTGIPDNIDAPAVNEESSNDDLDSTPTASYTNPQLPSYESQFAKIKH FLDLFTPTDESEKSYRK TSTA_074510 MPTLLGRQVGSTGYGLMRMTWNPSPPPKETCFETLNTALELGAN FWNGGEIYGTSNYNSCHLLQAYFEKYPQNADKVVLSIKGGTVKGGLQPDGSEENIRRS VDDCLKVLKGTKSIDIFECARQDPKTTVEQTVTILAKLVKEGKIGGIGLSEVDAETIR RAHKVHPIAAVEVELSLWSLDILENDIAKTCAELNIPVIAYSPLGRGAFTGEITKLTD IPEGDFRRHVPKFHDGNYENNLKLIQEVASLAKRKSVAPAQIALAWVRSLSDKPGLPT IIPIPGGTTKDKVIQNMQGVETLTDEEMAEVDALLKKHEVKGTRY TSTA_074520 MADSPGSPLSSIASDELTEDTKLDKDGHSPSVSSMPPSKRRRTG VASWDHHTPISSVQGDILPTSPSSPISSDTDGEIPNSPSLLALIGGGQDDDYSGQGGD QVSICRWDGCEAGDLGNMDNLVNHIHDDHIGTRQKKYSCEWIDCSRKGQTHASGYALR AHMRSHTREKPFYCALPECDRSFTRSDALAKHMRTVHETEALRPSDPVPKSQLLNNQQ NTATPINKVQRIKLKLNFGPREDTPSSTNGEGGTTGNVDGQEAELVPVPEFTPDLGFD AHELALPPSQLYRLLRRQVHWAEIETSKLKQQWQELEPKRKEAFFEKEAALTEVIDAE GRLSKIVYDAQREADKESGNAQNGAEEVVA TSTA_074530 MVYPSVTELSSGTEASKPLTNGYNGYDHTSSSDRASDQEDLAMS DPETATSNSSHVGTNNHTAADDANHRAYSPTENSSYDDSDLESRRGNHSRNGSSASSS SASSRDMKRKSPVNETDYIRSNPELYGLRRSGRSRTERRQALVDSEDDEEPKAPRSKR RRIINSQPPSKQSSRSVTRSSPSDSDTDEYGATSSRTARHRQLKAATVNVAAEVRFSS RNATKVANYNEDSDDMFEEDEEDPTPNGAATTQYYSGPGIDSVLDHRLKDGVDPGISD IDVTIRDCLYYIKWQDQSHYHATWESSDDLKYHSGFRRLENYFKNKVKTDLYLNNDPD VAPEEKEKWNLDRERDIESLEDYKKVERIIGHREGPEGTEYFVKWKRLNYDSCTWESD SLIKDIAIDELDKFLDRNDKVVTCDKREMQPKTRSPHVPITGSPSFLQNGQLKDFQVK GLNFLAYNWSRNQNVVLADEMGLGKTVQTIAFMNWLRHIRIQDGPFIVVVPLSTIPSW SETFDYWTPDVNYVVYTGSSAARQIIKDYELMKDGNPRKPKFNVLLTTFEYANMDFDF LRQFPWQFMAVDEAHRLKNRESNLYANLLDFRSPARLLITGTPIQNNLAELSALMDFL NPGLVEVEVDMDLSSEAASEKLAKLQNTLKPLMLRRTKSKVETDLPPKTEKIIRVELS DVQLEYYKNILTKNYAALNEGANGQKQSLLNIMMELKKASNHPFMFPNAEAKLLEGNT RREDLLRIMITSSGKMMLLDQLLAKLKRDGHRVLIFSQMVKMLDILGDYMRFRGYQYQ RLDGTISATNRRVAMEHFNAPDSSDFAFLLSTRAGGLGINLMTADTVILFDSDWNPQA DLQAMARAHRIGQTKPVSVYRLVSKDTIEEEVLERARNKLMLEFITIQRGLTEKDVLP GKHNRSVGEPTGTDEISRILKRRGQKMFEQTGNQKKLEQLDIDSVLANAEEHKTEQAE GLEADGGEDFLKSFEFVDVKVDEMSWDDIIPKEQLAEIKAEEKRKADEKYLRDVIDQN RPRKRSAPNDAFDEREERKAKRRARAQVNLETADGSESESQDPKRSLKEKELRHLIRA FLRYGDIEEREEDVVREARLVGRDRETVKAALQEIIDKASELVKEDRQKLEEMERSGK IPTKKEKKAVLFDHQGVKRINAHTIVERPEEMRILRTATKGLTDQTSFRVPEASKKAD YTCSWGAREDGMLCIGIVRHGYGAWPEIRDDPDLGLKDKFFLEEHRVDKKNERANAEE KGTKSPGAVHLVRRADYLISVLRDKSLNGHSVTARKAVDNHNRNKKLQNNQRRQSSIS ASPAPSSSRKARRESEKPRQRSQTNGPRDSIERANTPRAEPVKIKNLSAAGKVQKRVR VQNGVHGERSRRRSPSSTAPPASMEDLFFEPKAHLLAKLRDVRKNNPDKDQRASEMRR LILKIGNYIHHLLRIDNYPGLEDRLWEHVAKTCFPPGKATLSSIKTMYERVVANNRDT ATTAR TSTA_074540 MLRIAIVNSDKKCRQECKKSCPVVRTGKLCIEVTPESKIAFISE RLCIGCGICPKKCPFGAIHIINLPTNLETQVTHRYSANSFKLHRLPMPRPGQVLGLVG ANGTGKSTALKILSGKLKPNLGRYDDPPDWQEILKYFRGSELQNYFTKVLEDNLKAVV KPQYVDQIPRAVKGPVKEVGPLIEARSQMDNMEHIMDVLELKQVENRDINLLSGGELQ RFALALVCVQQADVYMFDEPSSYLDIRQRLAAARTIRELLRPDDYVIVVEHDLSVLDY LSDFVCVLYGRPAVYGVVTLPSSVREGINIFLDGHIPTENLRFREESLTFRIAEAGDD FLVDKGRAFHYPKMEKTMGNFHLSIDSGKFTDSEIIVLMGENGTGKTTFCKMLAGAEK PDGTISIPRMNISMKPQKITPKFQGTVRQLFFKRIKAAFLNPQFQTDVYKPLRIDDFI DQEVQNLSGGELQRVAIVLALGIPADIYLIDEPSAYLDAEQRITASRVIKRFIMNTKK TAFIVEHDFIMATYLADRVIVFDGKPSIDAHANEPESLLTGCNRFLKNLDVTFRRDPN SFRPRINKYQSQLDQEQKLNGNYFFLEEES TSTA_074550 MTPTSGMPGGSSLWQEARNADGRVYYYNVQTKATQWAKPVELMT PVERALSNQPWKEYTAEGGRKYWYNTQTKQSTWEMPEVYRNATAQARSPPTQQPIVGA PTFVAGGTTSFPPYGQHQRDRDRDDGDRSGLDRRAGFMGMETNSLVTAQQTDPEYSSF EEAESVFIKLLRRSNVQPDWTWEQAMRATIKDPQYRALKDPKDRRAAFDKYAAEVRMQ ERDRAKERFAKLRTDFYTMLKSHPEIKHYSRWKSIRPIIEGETIFRSTNDENERRQLF EEYIQDLKKAHVEQEAVTRKAAMDELVNILKALELEPYARWSEVQNALQANERIQNDD KFRTLSKSDILTAFENHIKSLERTFNDARQQHKAAKARRERHNREKYLELLKELRSQG NIKAGAKWMHIHPLIQDDPRYVAMLGQSGSTPLDLFWDIVEEEERALRGPRNDVLDVL DDKRYEVTPKTTYEEFASVMATDRRTANIDTDILQLIFQRVQEKAQRRSEDEKHAADR HQRRAVDALRSRIKHLEPPVRLGDTWEQVRPRVEKFEEYNALESDELRVTAFEKFMRR LKEKEEDAERDRDRDRDRASRRGDHHERSDRDPRNGSYRSDRRVRSSRTPELDPYEAD RRKAQADRERSYRKVSGLSPVRERRDDRDRIRDKERERDRDRDRRGLSHYDRERRSRE EERERLYRTRGDPRGSRDELDYGGDTRSTVSSDRRRRRDSDAESVASRSAKRYRRDER EKERSRDRSHRDRESARTAIEEEHNTEEKAVHSGSEEGEIEEG TSTA_074560 MAGPSVHGDFLRHAVLETVVPHNPNVDIEAALTSALEGGAGDLD SVLAAIPKRSLLFFDEAITVRVVLRLSNCSENALKAHLPRLELGVNALVYSPSGPGPE DPAPAKDVVFVGNVNNKEDPLVVVNVFEGDEGSGNHVYVIWKVDAFLHRPRIRIQHPC IAFSAAASLNAADTSDQSFHTDEYLPRTVPASANVLQALSSDPALKSTTPYLPASRLL RVIPAAQKEAPIYNLWQQSQHPIRIVPAASARIRCSKLNTFSSRPITIASLDLEVTPF LSCDVVFDKAEIILSDGQVENISGAPELQPPISLRPRDDVTLIYKLVPEYGPEAYFST TALVSTLDISLEGVIKLTEDCQPRIFMQWRTNVDFSIPLNPTFGGPSQVMQRNNRPAS LSMGSGQSGTSSTGAVNRSSYRERAHSFTQGGVTISFSGPVTVEIGKPFQWDVFIVNR SRAPRKFSMMAIPRRKRVDFRRHVARPSSSSVSSKKDKKDDELAEAVTDENIVHAMQK NAAGQDAELVSLSTDLRLGPLLPGTCHSTEIILLPLATGALHLEAVRLVDMNSNETID IRDLPDIVALK TSTA_074570 MSFNMLDRIDTTRRRVLNGLNRRYIYGRLPLLHTILFLIEMAVT MRLAAKFNSYYADKPVLTTMVTNAVLGGIADTVAQTITAFRMRSMNRSTDPDSGVISI EIQDFDKEKPPQWGELGYAKNRPAPFDFERLTRFMAYGFFMAPIQFQWFKFLSRAFPI TKTSATGPAFKRVAVDQLMFAPFGLFCFFTFMTIAEGGGRRQLMNKFRDVYLPTLKAN FILWPAVQVLNFRVVPIQFQIPFVSTVGIAWTAYLSLTNSAEEE TSTA_074580 MSLSNKLAITDVDVKDKRVLIRVDFNVPLDADKKVTNNQRIVGA LPTIKYAIDNGAKAVILMSHLGRPDGKANPKYSLQPVVPELEKLLGRSVIFTKDCVGP EVEETVNKASSGQVILLENLRFHAEEEGSYKDEQGNKVKADKAKVAEFRKGLTALGDV YINDAFGTAHRAHSSMVGVELPQKAAGFLVKKELDYFAQALENPKRPFLAILGGSKVS DKIQLIDNLLPKVDSLIITGGMAFTFKKTLENVKIGNSLFDEPGSKTVGDIIEKAKKN NVNVILPVDYVTASKFGADAEVGSATDAEGIPDGWLGLDVGPKSVESYKKAISEAKTI LWNGPPGVFELEPFASGTKATLDAAVQAAQSGSIVIIGGGDTATVAAKYKVEDKLSHV STGGGASLELLEGKDLPGVAALSSK TSTA_074590 MSDTSKAQAETSQPIQKKNPQVLEEDDEFEDFPVEDWPQEETEQ GQGSNANGTNTHLWEESWDDDDESEDFSKQLKEEMKKVEGAK TSTA_074600 MQRVGSWLYGRKPDNNPLPSSLELARQIQDPATLILNDDVDGAE TGLKEGDSSFHKTGKGVVGFLRALLGFEQEIMREAAERLSDAENSAYNDHQRVAHTAN APDAFRSQIYDVGTEYALCQAMAQIMIAVVGVLNESLTESLKGFYKMRKAYATLDAIV RMEERYLQSRRLPKTRTAPANLMTEKSPVSPKQRPSGVHISKSDQELHRNIAELKLAD DEIHSTPASARSSGSSTPVGETFTHDPEWADLFSHPIDAFIHSGASLCLGMLLAMLST LPPTFSRLLAIVGFRGDKERGLRMLWQATKAQSLIGAVAGLGILGYYNGFVRLLDIIP DTIKEDELGIEGYPMDRLKTLLNTMRTQFPRSQLWLLEEARMKSANRDVEGAVEILKN SEKSPLKQVEALQAFEKSMDSMFLHNYEDCAKFFLECVELNSWSPALYYYIAGSAYVV LYRHTSAVDPAAAQVFAEKARECIRKAPTVAGKKRLMARQLPFEVFVTRKIAKWEARA KGLKVDLVDAVGVDPIEEMNFFWNGYSRMGAEGLEDSLRKLEWSEQNVKNQEEDDKAI TQLLRAAILRALRKHTEAKEILKQKVLVHDRSVFKGQLRDNWVLPAAHFELAANVWME RPSYEPTHTSPWDPEPTHVGLSDKEIVAIEKKHVQECDEHLEKAAKWESYDLDTRIGL KVTAAKEAIRKWHASHPEVE TSTA_074610 MEILEPITTDSSGDSDSPASPRSSIPNDHRPRLNDLYNTQSYGE DSIPVPIVPAHTLELEKQTTANSTSSSRFNQRAQSVISRIRSREPGQVARFTHPLSHT KTGPDVLVDFDGLDDPYHPRNWGFKKKCITTVLYGLTTMGATLASAIYTPGTSQISKE FGVGSEVSLLGLTFLLLGFGFGPLLWAPLSELYGRRLAVMIPYFVAAIFSFGTATAKD IQTVLITRFFTGFFGSAPVTNTGGVLGDIWSPQERGAAIVAYAMAVVGGPTVGPIIGG AICQSYLRWRWTQYITGIYMMFILTLDVIFIDETYPPVLLVYKAQRLRHETGNWALHA KHEEWDVSIKEMANKYLIRPFALLSTPICFAIAIYASFVYGILYLNLAAFPIEFTDER GWNQVVGELPFLAMLVGIMLGACVNLLNQRFYISRFKKNNNRPVPEARLPPMMIGSVV FAAGMFIFAWTSDRKIHWIGPVIGAACMGLGFFTIFQAAINYLVDTFPLAAASAVAAN TCLRSIFAAAFPLFTDAMYNNLGIDWAASTLGFISIVLIPIPYLFYVFGRRLRARGKW SRASVYD TSTA_074620 MAKIYKETKVDVRPVEANAVVDIEIPTAENTQRRARISLSSGTA RDDTPIKDDEDFARRYLATQGTLYFRQRKIYPRTFLWRVVNDSTVLEIQSADIEKSIV DKHEANLTLRLDFQEAIIPSGVALADTEDHEVLNVFVLTTSRRLHTITLRPEFFRRVS SIDENIHDWCKSFIPSPLTFTNPHRLHACSTTELFIALDSGALLRLNRRTGDDGSHWS QITFDEKTWGASLRGYVPWPGLQRISYDGRSLDPMTPNAIATTSDQTYVFAVCLNHTL KVWNLATNKLVGTKDLLNRQLSKQHPDSGTYFLNPADSVFLRVFNAERALDGASRYYV ATYTPHEEGKFKFWAVRGGLTTPLTIEDLFPQAVFRPLDPDATGNMFWTVADFQIQPM EEGTRMELWVLWRNNGLYQLYTLHFNFNTLEKDWSTNWASTAWDTRRNEPAPALVVSD VVDPTEKWLEYLFHPDRYTVETLETALVSYQEALKPRTAINFLRRSAPLQERLCSTIT ASVSLRKYADDDMDYARYRTDTDQKWRQFWQIVEEVHSRRLEPLSLAYDAYSDLPWVI TTDTCAVIRECSATELLLHNSVLDLENELPMVDNRWLHRRPETELGNRYADSCHLIKV AADFRHRLPTAALRTFEEVLNSELFLEPLLSTTERLASFINQSDLSEHVSDDVFNGLC AAMNKQMNIYQLPKEPFHMILDTLFMRFIQKDSELSFTSFGAKILTNGSQETISHIRR LLHDLLILVVFVEGEISQEEGSTFDATELFPILITLIRECELLYWLSSHTRALPSRSQ HESTDVHGQSKTYGAVNTVLGDFFVVDIKPRPHVNCAQSYTLTKSISDVLSWVVHSGG VSFDDALVHIQCNLIANGNIELASDFLRFQPSNAWSNYIKGRLFVAKGEFDTAAIHFQ KAAYPLSSGRALGDLSEISSGLLDLLAADKFNNGLPKYFQHILSLFEKAGSFSHVADF ASLSLQALESDPLKTKDYPEYQDLRTDVLSRLFHASLRTCQFDKAYSALSRYTNLALQ KSALGLLIKAILSAYGSGTAGLQQLLHFPLWLTPNLSSYVDESLLSLARKQTSFSSSF DGDAYLWESSYTPDYHRILHAYRIARNDLRGAAELGYQTVQRLRHARDSPVAQRALIR RGKVDEEETKRMVEEDDLESKEIRHELLSLINLLASVDKAEAYILVDLDAVIPATAPA ISEAGTPGKRHADDDDVFMDDGSPLQSRSGSLAQRRRSSGALSMDSARLTASTNTNNH NNKNRASPRRVIVTLEQLRREYQSELDRVSRIQRGDWEFGVDGYEEGAMDESLLDF TSTA_074630 MTADVEHQQQEYDDGGLPGPGAPTPLSALEGVAGLTARDIKLIV DGGFHTVESVAYTPKRVLEQIKGISEQKATKILAEASKLVPMGFTTATEMHARRSELI SITTGSKQLDTLLAGGIETGSITEIFGEFRTGKSQLCHTLAVTCQLPFDMGGGEGKCL YIDTEGTFRPVRLLAVAQRYGLVGEEVLDNVAYARAYNSDHQLQLLNQASQMMCETRF SLLIVDSATALYRTDFNGRGELSNRQTHLAKFLRTLQRLADEFGIAVVITNQVVSQVD GGPSSMFNPDPKKPIGGNIIAHASTTRLSLKKGRGETRICKIYDSPCLPESDCLFAIR EDGIGDPSPKDLENE TSTA_074640 MTFWKNWESWEKMVFVNTRVFHGNHPIRDFKVTRKEHVLTTIAT RQAGVIITGGWVAWMNRRKLKLYNQASADEVNRQARVSGIPFGARALESGVHIDGIYT PGRYIPRQGLSRQTSVVSPVSNSPLTPLTPTVTRASSV TSTA_074650 MPPFRNILNRKPATSDTNDNNETTQDQQALESPRSAPLTFRNSR EEVPNEYKLSVVNDSGVYLPPSPPEKQSFWSRSSNKTPTTNHRNLVDENEPFSISRES FDSYRRSFDISAKSPVLYADNIQSRTSLDSRFSRLSERPSTKSQTTGAPQTMEEETFE DVRLADEDVKPKKKGLFARFGDNSSENTGNNNNRPSSSHLGFRLPGRKRGQSGQGAEL SNYKLEVPTTEV TSTA_074660 MSGTKRKVETADDRTGKRTKTKTNAAPTAAGSKKKYLPPAFQKK GITRGARKMNRDKKLRKQGEKQKSSKKGHRKNDEKHEEDDEEEDMDDMNSDISSDESD VSMDDDKNNIEDAEEGEEVEDDEEDEEEEPEKSNGAVDADKKSSSRESHAKQKVLAQE RRAAKPNADIIARSKKLWERLRRKSHVPLDERKKLVAELFEIITGRVNDFVFKHDSVR VIQTALKYASLEQRKMIARELQGHYRELAESRYAKFLLGKMLVHGDTDIRDMIVPEFY GHVKRLIRHPEASWILDDIYRTVATKQQKAIMLREWYGPEFAIFRDNDAEVTSELSKI LTDNPEKRPPIMHNLYELVNQLVQKKTTGFTMLHDAMLQYFLNTKPGSSEANEFIELV KGDEEGDLAKNMAFTKSGARLMSLCLAYSTAKDRKLLLRFYRDTIKLMAGDVNGHLVI LTAYEVIDDTKLSSKSIFPELLSQDAEESARHEELLLQINDITARIAVLYPFVGDTVK WLLPAGDEEILAEIFEIRKETSKKEPAIRRQELIKAASPSLLEFIGARAESLMETSFG CQFLSEVLFTADGDKSAALNAVAIAAKSKTEAQDSPFVGRMLKSLVQGGRYNSVEKKV EKVDPPLNFHALLYDNIKDDILAWATGPQTFVIVALAESNDFSQKNELLKVLKKNKKV LEKAAAGSKDSSDKTKKAGPSSSGAKLLLGKI TSTA_074670 METTFRNLGSTPVLIPNRFDTKQKTTTYRTDAPPEIPPSPTLTN PDMILPDDGERQSLTPSPPFQLAASNSQMLQNGLQPQNGNYSSNGSTYRAPRPRWTYE GHAHGRPLSDIGEEDSQASTSPYANRYTSQAHQSKSDADSDGSGSTISAGSQRQLNLN PEGLREDGNDSGFNSKRSSFASEGSIERARAQASDALTSGPSQQSEEETSSAILSSEA ERILENAKRRLTLMEGNLSRARSSIRLGQTPSPSPTSPGLLLPSRNLQPAGALYRSIS QTDRRVSLLRPRPVYTAQQEGGGGGGGGGGGHSRGRSETNLPSTAILKSPSLQPSRSM SALASSDSIHYDSRENTFPYNAASSNGSQESRQDSRQGDGHRRGYLSPGLSGSAGLGI STSKTKMSSMDDFNSAYPPDGPPSRAQSQLQVRDLKDQAAGLRTKVALLKVKTQEDNL RRRSLQSLRTPSPFTAAERWYSSALEHGNGTDNVHSNAGYGWVSPKTETKPDSNGQST SNGTDEFTTDIPLDLRTHKPTSKRSQHINDDFTIDNDDQSVLESHYEDAEEGDYDDGI DREQLNEILNEPFDDEDENDIFEDFPTGTAPEATPHEEREDAFDYENFYLHSALGTFS RNKMRRHSYGSTGSTETTRPAQDHRPSRHGRHGSSDSVSTFATFATATENAYDDVYDD DQEDALQAIDQIVDNWNDFEDEGGPTPVQSRRATVIDRSDESCYLEATRNRATNGPFT PTRKTLPVDRKLSTPTTPVDAFMSSLSSRSASTRPPSSLNTDDTRLLEQVFESLGKVC TELQELTMAASAIAGNNGLTINANGGTIPTAADPKYIRTLRRRLDAARRVLDGQLDSD A TSTA_074680 MGRKPNQLILEYFTRGPKLEDASNRYQHTCKACGEKFPKGRIDS LTTHLVKKCQALSMSARQSIVMRIHDLPALDDSDPNKAAMLAKIKAGKASDLPYSTRP AFDGLNVLAEASRRVGASDQTKRGRAIRFTQPMTVGGKTIMIDPALEAEAFHPPPSTD NNDELILAGVAAAANASLSATGERGPSASPSLQNNDSLPTDTNADERQSSSQLSLIAA SASEMASQSLAMGNELTDEQNVGSSWTDQQLSSTDQFLLDSLQGHDPTLAATQRAASY PRPIAMNPNTTSTKGFVNDFGAGAAPKPQKPKVRSRFSADRRKEVQEVRKRGACIRCR MLKKPCSGDSPCQTCASVESARLWKQPCIRTRLVEECELYGAGLHTTLAFHDVNSMQT QIKYESYVGRVEAAHFDDGPFYVTFSALIGQKAGMSPIDPQLQALVEDGQFQGSTQDM YMLDGETDDLPGKCEFYIKRLQSTFIENETSPIIKSTLTLAAHLADEKKDSLLDRVVE LWLVTHVLVDLELQWKLFVHPLLPPTPADTLAMPSEEGRVPIDRNANADSYNLICSQL RAAVEKRASLLSKNVMNELERRLLQRHHSSWFETYLVSVILLNCVERACWLFTSWENE NFVGRWPLDKRPQYYANQGDRFSEILHMLLRMRGLPPKTTINTETSLLKAVENSDPNA ILWFDTIQISPLYLEERQMAQFDPTNSRSLDLKYASKILLPPPAA TSTA_074700 MSINKDDFFRDLDSLGYASDDSQHESDLTSLMRHTNTAPAPATE LSPGPQMSTISEYQRTHSTISSTLATTKSTAGSQRLAKKQIEGATKRAAVSLQSEQPK CKKRRAPSAHVVPESEQIFKNLKFFFVPNNDISPARRLRIQRSQDYGAAWAQTWSTDI THVIVDKGLDYKEVLKVLTPEQLFADIAIVNQDYVSDCLVFKSILLVTQARFRVDGTP KTSGTDKPAHAMASIKPTGSLQEKQPQRHGRRSVTPSRSEDTRIDQMTPVAIQQEADA ASSSQSDQRKPDALDKLILKVKAAGDLPLDEADDEAALDVTIECGSEAESSQKATANP DSKVPTWQKNFACMEKHDGNGLNNNPNARTIDILQKMAVYYERTADNWRTTAYRKAIA ALRKQKSKICTKAEALAIPGIGQRLADKIEEIVSTDHLRRLDNINATPEDRALQLFLG VYGAGFAQASKWVAKGYRSLEDLENKAELTANQKIGVERYNDFKQRIPRAEVALHGNI IRAAVHALDPDMEAMVAGSYRRGAADCGDIDILITKSNGTIEYLRTLMIDNVVPKLME KGFLKASLATTSRGDGPKWHGASALPGTDLWRRIDLLFVPGPEIGAALIYFTGNDIFN RSLRLLARKKGMRLNQHGLYKDVLRGPNQVKLTDGTLLEGQDEKRIFEILGVPWRPPE HRIC TSTA_074710 MLAIETRFFSILRESRCLRCQTQAVWTSVRNKSTASAPKDKQEN VQPIELDRPIGLPYPPLPGQNTGIDHRSLSERRDDFVDYQKHLQRRKELAAHLAKPYF REWTNLKYNEGKTFRSNPRLFKHDKALFFPNLYGITLASPSKPKDTTDIIKGKISVVN LFSSLWAEQQVATFTKLENNPQLHEMLAASPSTTQLVDINLEENRLKAWLVRVFMGNL RRKLPREQHERYFLVQKGMTDAIKQAIGMLNSKVGYVYLVDDYGHIRWAGSGSADQSE KDYLNAGLLRLVEEKKKRLDDISGASATSSLKRRIVTTPKET TSTA_074720 MATSTGGGWAQLRQQARSLETQTESLFHTYSQYASMSQLPPKPS EEEQRVEGQIQSLLEKRESLIGQLTRLLDSEATLTSSALKQNNLARHREVLSEHRREL NRLSSSISEARDRANLLSNVRSDIDAYRSSNPHAAEAEYMLEERGRIDNSHNMMDRVL SQAYAVNESFGLQRETLASINRRIVGAAGQIPGVNSLIGKIGAKRRRDGIILGCFIGF CFLMLLFFR TSTA_074730 MSSAKVKTAQLWGKSKDDLTKQLDELKTELGQLRVQKISQGASS KLNRIHDLRKSIARILTVIKANQRAQLRLFYKGKKYLPLDLRPKQTRAIRRRLTKHEA SLITEKQRKKNIHFPQRKFAVKA TSTA_074740 MPLIMEEGLDVDDLFGDPNSLDLGLATAQPTKGLAQHLDEMRLL GCCRKIAWSRLGCIAYISQDGLQVIVRHLACNPTDGKWGLSDEYPQNQIAQLHLGQQL LHLCWNETGSDLAVLDASGRISILSIPTALNNLAISRQTVVDPPDDGAQVVGMMWMNS NRAVHAFHQAAKVDVRWGYSAFRRKPIGPFHPAGKPALICFTRNGIMRLLYQNPDQRW AEVSAELKTTNQSDSILTHAACLPSPNGILVATHSFSGKLSLFRVQIMWNPPQWDPAQ QRQAGVVHFPVPSIRISHCKTEVPGRVFSFSKDQADDLPNIVPGQSFSYQLTNLELVS GQSDNTGATTSAPWILAVYSSPINSAGHDTQQPASVMVRWQLETSTLNLHPVFEELVS KRANGQQRTKLEIRRLEDIHFDKHVVSIDHVEFGNVLAITHDDSSISIFDPRSMSQFT EGGDLNAVTSMAQAGFRFPVEAPGLNICFSPNGCLAVVLDTDWQAQLRIANYNFEPEG DLHDGKNSIGIIALSLAFCRGCAIEYNTDDLLVTISSQLSSEAQNVFVNEVYRALPIN CNFSVEQEKPMQNPYVPRCLSLQAALGFQSSYTPRGLPSSVPWAILHLRHASLLFAFF FSYSKAPKENETLDHDVLQMVYGNTKWALDFTQYLLDDLFALAKEFEPVLDDKEALAQ KVKTTSSLSLNLVLASMSRAFLHLVCRGLRGVHTSSIASPFAGDGRAYSKELYTLIDK APVRFNVYEKFLNGVESAIKNAYQAQGLGDNDRRTPEKDLLLNSRIPAIMIPVIVSLF RQTIPAVQAEIDQMSIYLTDYSWLGVCDDRRTEHYRRTRHVDIVKKVPLRGFNSNNNT IEPDITSPSRRRCVRCCEVTGDPSSPRSLLYFNLTLRLQLIRNCLCGGMWTFEAGPPT TTTASTALPTHGPRVG TSTA_074750 MAESASLPTLTMAMDHESSDYSYISDSSGISDLSSPPDSPQPPS ECYPSPPPTQDDQEKRARASSEPAKKRRRVGPPPRSTEHLDLTNPERLPYADQLPQIN LLTKTLRSHRKIVVIAGAGISTSAGIPDFRSADGLFKSVQKKHNLKASGKLLFDAAVY RDESLTASFHDMVRELSKEAADSQPTAFHHMLARMAQDNRLSRLYTQNIDCIESSLPP LLTQVPLPSKAPWPRCIQLHGSLDKMVCQKCRHLAEFDRNLFDGPEPPACPACTETDD LRTTTGQRSHGVGKMRPRIVLYNEHNPDEEAIAAVMNSDIRSRPDTVIVVGTSLKIPG VRRLVKSLCKVVRSRRNGIAMWINNEPPVGKEFEDCWDLIVKGNCEEVARLAGLKKWD DNTPPIFDECRDMDFERAKRENSVSVVVQTPSKKRTIDAGVLTPVSNNDESGTEQPKK TLANPASKGRKLTDVLGKPVNQKRPVAKKPAAKNPAANASKKPGRPPREQSAKINKYT KVTKGAKTAPVKQIKNEKDDSHNPMKNVAANILFPGLVNRHSEAKPASGTISPKGVPN GYAALID TSTA_074760 MPPRKSTSSIPPTDNEDAGSPTSAAAAGTQMTEQQIKAQSDGVS VEDLLLPRAVTQRLAKSVLPPDTAIQKDALLAIQKAATVFVSYLSSHANEATLKRTLA PSDVLNALSELEFDSFKHQLERELDAHNEALADKKKALKDRKAATTQTESKDGDDVSV VPPAVGDVQKEKKDATTTTPPSSTLKGNKRIKRDSAGNEKVENSPNDDTDVDEDEIEE DDVEEEEAEDEEVEEEEEEDDETQEAEDLDRVEDLDGKMQADYDDSGSDDEDSGPAAQ LRSTMGFG TSTA_074770 MVKLGKKSKRTPVRLRHKIEKAGAAKQRKLRKQAKKDPTWRSKI KKDPGIPNLFPYKDKILAEIEEKKRQKQEEQLRIREEARERRKAEKKAAGIETADDED EDDEIIDDIDLEDEDVDIDGMDEDGEDQNPMAALLASARARAAEYEEENEDDEMSYDD DDEDEDEDRMEQDGEDGGVSLSGQVDIPTISSQVSSKESSRRAFDKVFKQVAAAADVI LYVLDARDPEGTRSKDVEREIMAAEGGSKRLILILNKIDLVPPAVLKGWLIHLRRYFP TLPLKASNGAPNAHSFDHKQLTVKGTSETLFKALKSYSQTSQLKRAISVGVIGYPNVG KSSVINALTARLNRGRSNACPTGAEAGVTTSLREVKLDSKLKLIDSPGIVFPNTSSNK SKKKKENDEARLVLLNAVPPKQITDPVPAVNLLLKRLSASETLFQKMLSLYGINSLFP MNGGDKTTDFLIQVARNRGRLGKGGVPNVQSAAMAVITDWRDGRIQGWLEPPVLAVAG VNTAASSDAAAAGDNGPSADVKEIVTEWAKEFNIEGLWGNGESDE TSTA_074780 MATTTHGSYTGSSQIHSFDGLLFDFDGTIIDSTDAIVKHWQELG KELGVDPEAILATSHGRRSIDTLALYDKTKANWKYVSAIEGALPRKYGKDATELPGAR DLLNQLVEANAPWAVVTSGTRALVTGWLEVLKLGHPKHLVVAEDVEQGKPDPQCYQLG RSKLSEDVGFPSDSTNMLVVEDAPSGIKAGKAAGFKVLALETTHTIQQLKDAGADWIV KDLRSVQFKSWSQRGKVEIEVRDTLQS TSTA_074790 MNFLHHPTYPYGGHAGIPLDQSGIAHPAMTNNMDGYLLTRPAYD LTDYYAQMPIMEDYEEYAENLSRPRLTKEQVDTLEAQFQAHPKPNSNKKRELAVQTNL SLPRVANWFQNRRAKAKQQKRQEEFERMQREAKEKDEQAKPIKEEESGQALPDCDQKS PIQKDEDSNSTTKSPTPTQASHKERPQDSDPSSTSRPKHQKTRSDLAQEKTYASLQRA ISAAEAARARYTGPSDGPFVVGSTVDMAYDVQQSNISVSSTNNTPQTSANSTFSEWGS SRDSPIVWTPSQSPEDGYEFGSLSSVPFSSEVPQLNNSSPDVSGPQGFSSMTSRAQQL WNPQLHVRAEIHASDPMYGSLSFSSLQPPSASSSRRPSASEELADTINGIGINTAPLS GNLQSSMWRHPEKELDLAARRKRPRPAAIGTAHHRLATNPSIVSPNARMASYGAPHTI RHAKSSHTLGSRYAGVRKLSATQRSPLGYSSFAEAATAAANAGSDSRQKHRLHSSASV GNLAPPTPLTPEDMQQMLPATTNDTQMSFSTQHLTDSHSIFPVTQPMQINVASPPETP MTMDMFSAMQYQNMPPPLSAPPQYGSFTDYSPITSEPLTGVSWAVSTPDTSLFPSSMQ SQQQQPQPPIYIYEQDDDEQQDTEWALSGEDGSSLYGSTKASATPPASMMTVSEEQNS NGMTQFHIHEFPKQQEAHRNVAQQLAPQIPKNYTFSNQTPSDF TSTA_074800 MVRDNRTTPSKQEVGFAAWLAKPDMTGGLVIALQQPAKSQVFTA DVEWVRDQCDTLAYLDKSLTFLNGPGGLTTTSVFDAFPFITKQISSNELSHESKHAYN TFLSMVEAKRPEVLFACWQVRDRDDLSFSGKGVGKTSFIHSLRCLNGHVVRVVNGFHP SYVANYCPNESCFRRLFAVELCKALCELHTAWQEDNWMNDLREKCRKRTLQLMRENGR DGEHSNTESGRQPRRIEIDKTAKKYKAYTKSFDKGLKSLKQIFDHMASSAYTYQNSWD LYKFFVFDQDTSEGICDALLAVTEAMRQFEAGNLMPEPGLVELGKHISHQTLKFVKDD IPDLLQCPRGLNKNLWSRRYLASTSRGLKLNIEKITIRFIEDLTKSFSESSTGWTYHP DLVHDAFKELAIDFEDALGGEYDDYQKTAAANDNGSLEQQLSNPQKWKSNATKTSSIV PERELLHLRPNRPLVQWLSEHDTFTDSFRG TSTA_074810 MNALLALPNQFEVVSLARTKSVSKSIYQDFTRRGASVQNANFKD PEALVPLLKGADVVISVVTMAEKEVQDTLIDASHKAGVGRFVPSFFATVSPPRGVMPA REKKEDSLDKIKCPYLPYTAIDVGWWYQFSVPRVPSSKLDSVVSFPETTIAGDGNTKT ALTDLVDIGKYVARIIGPADTEQAGICLRRDDDPESYLELEIEQSLSSAGKTLAKNPM DMDTIVSKSMLEYKYSRWIRGDNTPEHAEYLGYLNAKDLYPDFKYKTIDDCLRELMEG NRVANLYVGRDHVLKTTADLKI TSTA_074820 MYSAPHQASAPMNGMSGEVMDGSGTINPAALNTAASLSAPTVAP SNLSPRGIKRSRSPDQYGDAVLDGGDHEDHSLHPDEQGRRKRGRPPKSSRPSTATSNT HYVPTQQPSGVPRAMQTPQLSAQPLPNQTSISPPQNSPPPKTTPTKTLVKALPTVRDH TTDTLNEGRDEYLAKEWDEAGETKVDMDGYLQDGRKYRCRTFRVPGRGNKLFMLATEC ARVLGYRDSYLLFNKNRSLFKIIASQIEKDDLIQQDILPYSYRSRQIAIVTARSMFRQ FGSRVIVDGRRVRDDYWESKARKQGFTEEDLAGEKRPGAAKARDAAAAEAAANAGILP GLGQSDVVYSSAIEGMPHLPPGLAGHGGVSLAPLPMIHLAPTTDDPRLREYSSMPRPR QEMTGQAYQDRSQPSTAAEIRNQATHTAEFNKILNTQRAFRHKGLEEFYSTPREVPPS SPTQADVAAGVTAPVSQPLQSPQMMSSGAIMNQAQQHRGVLTGQQPTQMMTPSHAGYS QQQTPSQIIAQSPMGNNMHGMRPDGLHPRQPNAAALSSAASQTPSYGYQTQAQPIWGQ PPPQPQQQGLSASPHMGIPQYAGQMPQQSPSPHPGSGQQPHPSPSPHNQARPTQAMPQ GIQMHPQVGQPGMPSMGYQAGGMAYSNVPNPRAMYAQAQSPVQQQFVPQVGGMTMPMG AGVSMPGWPSPAGGGHIQPGQPQQGQSGSPLGGWSSY TSTA_074830 MASRETSGVLESTSLTSLKVINGPPTSLLPPWKRRKLSHTDDIV DQTSSSQTVFTVKGHASSLSDNEFKLTPIEFLPRSVLPFLWLDASTAIQSNNIFASND AVLEGMFNRRDGAEEPDAEPQVLATRSLSNGAIYVIERVKRGIYAMTKLQSTIEEGEI RAAAKAATAMTLKRFRVWSTADVSKTDKLTDDWDWREAARLPDHIPHFDSFATTGKLD VSITFEDYCRTDHEPGAVESQVYIGDSFLALDGIGSNMQAHTRTPPEDSVRAPLLEAE QDNAPPTPDVIMENLRTQYLEALYISKTSVAYFAKGPLTRCRNAFAQYSSDSEVPSLS SQYRESILSVKKMDAKYRETLPVILRNMTLLLSDDERPKKRKSKKKKLGKNGLYSGED EFLQKYWKSRQVSKSQDGMEETKEEEIKRHISDLRLRETQLQILLILETIHLEMTGVA PSIEDSRGQQKPNGTSTKRSKSKKEENLNLLLELFIDRLCIWHAISAGEGIIAESLKE TTNNHLSGKKIESDALRDFCNEVIIPFYASRLPDQCKTIKKKLGGASEVSPSRPAAPP KLKTSTSSASASSSKHQSGVADGQKTQPQQRARRTLQRVLTDEQAFSAQRSRHPSLMR SSTTPALQEVKGESVEPSLPSLGGSVRGGIQVARRVDKREVDLNAVAKVHEAKLKKMN TLLEQKKELDAAITALRKPNRELVSKEIADQAEKRTSSSSRKSKNPVRNPLGQGVQVM ATPRGTRKRDVGADHPSLPRSFMKNPSRTKQGGADETSPELGSGISLVPGSTVRTSVI TSSVTSKRKFSSIAETPSRGASKRSDPLSTMDEHESLLINTPVSVVSSKPCTRQLFKV PKLPVMTNRSTDERRPQPENSPFGNDVTISMESTASIDQTPPAKNKTFHIQEQGLSAP KAMTTPSSPGPVFETPIKGKLQRVTQNDPTEIIQSTPVKSIYEALGWNDDDDELAL TSTA_074840 MGSLSNGAKTGTFLFTSESVGEGHPDKIADQVSDAVLDACLAED PLSKVACETATKTGMVMVFGEITTKARLDYQKIIRGAIQDIGYDDSSKGFDYKTCNVL VAIEQQSPDIAQGLHYDEALEKLGAGDQGIMFGYATDETPELLPLTLLLSHKLNRTMK EGRLDGTIPWVRPDTKTQVTIEYAHDNGAVKPLRVDTVVISAQHSPDVTTEFVRKELL EKVIKKAIPAELLDDRTVYHLQPSGLFIIGGPQGDAGLTGRKIIVDTYGGWGAHGGGA FSGKDYSKVDRSAAYVARWIAKSLVNAKLARRALVQLSYAIGVAEPLSIFVETYGTSE KSSDELVKIIRDNFDLRPGVIVKELDLAKPIYFQTAKNGHFTNQNFAWEKPKTLKF TSTA_074850 MAQFLAVGPRLVLRFQQSSQWERENRAYITPAGGGGWQYLKYSK MSKFGVLVMGPAGAGKTTFCNAIIQHLQNTRRSCFYVNLDPAAETFQYDPDLDIRELI TLEDVMEELELGPNGGLIYCFEFLMQNLDFLTEALEPLSEEYLIIFDMPGQIELYTHI PLLPTLTNFLSRQGPLNISLCAAYLLESTFVIDKAKFFAGTLSAMSAMILLEMPHINI LSKMDQVKDMVGRRRLKRFVNVDVQLLDEDDDGKAAKEDDKEEREHGLDLQADPSSKD ALMSGGSFNRLNRAVGQLIDDFSMVSFLQLDVSDEDSVGAILSYIDDAIQYHEAQEPR EPNDAQEVDWEDADD TSTA_074860 MEYLQDNILPSLRTYSILLFRKTFSTITSPKEADQLLSNLQQDY LEPYLIMPLANILDSSSAAFSSANILSFLTLLVTFYISLRVLDYARRVIMFWVILILR LTFWAAVISIGVYVYNVGVDKATQDAGWFWGIAQGFLEDLVANNANTESTAGRQYYGG SGGGSRGYGIKGSAGRSSGYWHNSNRRA TSTA_074870 MSSMEEVITANHPPPIATGSGGFSSSFLRRQRKDTIASSSSLTS IATANQHDLSPPPTLSDTDPSARSIIASSSSPVRRKPLPSNASPVILSRLSQGSSVYS PIHSPPPTRILPPLLPQTPPSSRPNAIIPTGRQSIDPSLRQLETVDEVLPFVPRDLDR YPRGQSPLIPFPIDTSHSYKDFQQQQSDFYYDGIDENAYLPHGTPIHSRLSSEPIIPV LRSPPPSTNHKRSETMALQPPQNRPPPLHIDASLSAMPSSGDKQPKTPGNKITSFFGW KTTSSPGAESSSTEISDNGRSPLPSPLPQSASAPSFSTKATSSFPTSVSENNIHGFPL PPARLGSLSGPPSSGSNADLINKISELEAELREISSELAGSIRREMELEDLVERFQLE SHNDANRRTSDYFSDSGTSGSIRTGTDGGKVEDIERIKRAAEQERAQLKVELSQKWQE ERSKRAACESHVQILENQVQQFRAQRVDNSNLSSKTKELEVALEDTRRKLLEERQLKD NFEDLLTAMRVELEQLRNERDHFRDEIVPALQAGQKNRFQAIAEEDGVGRNRGSVVGL SRSNSLARMPKRSSMAGGGLSRSNSISGNNRPVESRESLADRVKDVEAQRDALHQALR SLLDRQAWQARENEKRIKILEMELTKAQDIGSPRKLGYEKEVRNLREEINLLRRRADE ALEQKWQCEKGLSGLKMDLDRAEQETTSLRLLLQEHDISLPAGMDMASNEEGLANMQA TSSALESAYQQLQEEMESAEANQSWSPEDMNRTEALAAQVRRQLETNNALRGRLAEAI AKGEREQKMSASRINEMQSRLRTLEDTLMDAQHHSEDEMAKHEEEIRALKESHSVQLM RAKMGIRTPVALSPNPAASPFSGARSPRLDVTTTGDGVPLSEAVSVAKLEVRVKELEK ALRDADFEMEEVVQRMNKAQIEVAELQSDRDEALRQTRRLEAAIMAEREKVKQ TSTA_074880 MSRSFTGCKRCKARRQKCDEQHPECGRCKASGVQCRYAMQLQWG GRAFSRSRFGACVGPGMQKLEYSPGEFIYTTVKSGSASPAESPNPNGVSSPSSPSTDL PMTRAIDPFSSLSTSQKALLHHFISDASSVTSCHSGMQQEICQMIVPMALQTPSLLYA TMALSAIHLEALNNHSGTVKAAPDIARLMARSLEHFRLELQDSSRKGSDALLATARTL CLAEIHSGAIQPNTWRAHVEGAKALMNTTSQSSNTPATAFRKYLDRWYRSIVALTALN GNGPPIGETTSHTATTVSDDDPDYLDDYWGFTVHLADIFRAIGALAWQRHQESITTPQ LKKLELVKKEEEAESDFDEQAARLEKSVLRLIARYSQIGGLVFYPGVKEKLSEESIQE FMLCNEAFQHTALIHIHRRVRKVPASSPEVQYSVKRILACTSQIVPRSGLSPWVMLTT PLFTGGCEALGEDREAFKQLLTSLHDTTRIPNVLQSLKFLEIYWADGAQNEDEDWSHF LDRMSFDFIPY TSTA_074880 MSRSFTGCKRCKARRQKCDEQHPECGRCKASGVQCRYAMQLQWG GRAFSRSRFGACVGPGMQKLEYSPGEFIYTTVKSGSASPAESPNPNGVSSPSSPSTDL PMTRAIDPFSSLSTSQKALLHHFISDASSVTSCHSGMQQEICQMIVPMALQTPSLLYA TMALSAIHLEALNNHSGTVKAAPDIARLMARSLEHFRLELQDSSRKGSDALLATARTL CLAEIHSGAIQPNTWRAHVEGAKALMNTTSQSSNTPATAFRKYLDRWYRSIVALTALN GNGPPIGETTSHTATTVSDDDPDYLDDYWGFTVHLADIFRAIGALAWQRHQESITTPQ LKKLELVKKEEEAESDFDEQAARLEKSVLRLIARYSQIGGLVFYPGVKEKLSEESIQE FMLCNEAFQHTALIHIHRRVRKVPASSPEVQYSVKRILACTSQIVPRSGLSPWVMLTT PLFTGGCEALGEDREAFKQLLTSLHDTTRIPNVLQSLKFLEIYWADGAQNEDEDWSHF LDRMSFDFIPY TSTA_074890 MSLEPPTYLNSLQNNIRARPIPWDGAVRAGNITDEHLRKIKAVD KVRKQERKQTVETDLKGYTTLLSGGSSERSVLESASRRTDIVQYILVLAADLINDVPA LASALISHPEPYNVFLPLLRHSTNPEDPIPLLTSAFLTSLVSTALVSSQTSEREEEAL PQLYSYLATLTKNQDSGLQDIGVQGFSALLRNKAARELFWKQRKETVDPLVEILRTAA GGKDNGSINLASSSAGSSIRTADISIGGGVGLQLLYHVLLVIWQLSFEGSLVGDELQS EYDIIELYTQLLRLSPKEKTTRLLLATLNNLLVTNRTTLLPVATFVRLPALLSNLSGR HLTDPDLLEDLQSLTELLDEYTKTQTTFDEYAAELQSGHLRWSPPHRNPTFWRENARR ILEDDRGALPKKLTEIISKSWENDKQVLAIACNDVGNLVKEVPERRTQLEKLGLKTRV MELMTDHDESVRWESLRAVGEWLRYSFDR TSTA_074900 MTSNSQQAKSRWGVGALLQQAVSGVESRLDTILANDDETPKSSA PKADDAASKSSLTATKAATGVTRTSSTARKNDRLQERLARAVAKSQTPTMSSSPRSSV EVLSRSSTPLQNTEMRTSMDNTRTSLDQSVSASRNNEAVTVTVTRDSLDSGSQPRSSK ELSVVEHISDSSNQEVGNGVQEIIESQITDESVNHGTFDTSDILLQPEHLDSPLDGPL EELRAEHKATESRWQEEMHEYIERIDALQSKLKYLAKEAADSARDAASAAKPGSIEKQ LAEKDERIALLLEEGQKLSKTELDNRTVIKKLRQQLAESNKQEIELKRRTAKLERDAS EAEARAKRAEASEKKAQENLDSQSRASKDLDSITSERDALKSTVEELKSQLARAVSRA EAAESKAQAEVVEHSKRRITELEDDLSSAKIERELSEEKLRREIRSLQDNLEREKENA RLLEAELKSEQSVLESKMESLRSRAEEVSSSTAGETQAKLLRQIETLQTQYAVASENW QGIESSLLSRLNGMEKERDEIARKEADLRRKAREVNIKAKKAEDELDTAKETIENLDH SLLETKQQLQKLQQRVEKSEEELNAVRKELADKEKSTEAFWTQKLEEERARWRDQVSS PPPFLQEPRTVSPVASSRRSTGLDPLTIDSRPLSRRSSTLPSQVDMGAPSRTNSFPSF QGMGSPHASQGLLNPNLLGAPPIHNLEPDEFYSGTPATPSAFGAQTSHSRGNGINEII SVSTVGAGPSVQLVERMSATVRRLESERAATKDELERITAQRDEARKEVVELMRESEE KRKAESRIEELESQVAELDQRYQTTLELLGEKSEQVDELQADIADLKKIYRELVDSTM K TSTA_074910 MAAEPEAKFAIHEAAREGKTSVVESLLNANPKLASLKDDDERLP IHWAAAYNRLPIVELLVTNKYFDPDVTDGSGWTPLMIAASLKNAEGDAIIELLLRKDA DVNMKSVSGQNALHFAASKANLSTVKTLVAHKCSARVKDKRGQLALHRAAAVGSTPIL KILLEEGKSPVNATDVDGLTALHHAISEGHGDAAILLMKAGTDLEKRDADGNLAIDLA PDAKVRKYILQTAEREGIELP TSTA_074920 MDVVPNPPPADQSVRQSAKRTVELFGSDYLMATPSTFGDGSIGL SYRRKAEYEDVKELPKSLAEKQAKAAAARTKRPKISSQGQDRQGGSSQALVKSTAAGP SSAESQSKSLIARPSATPQQRPDWHPPWKLMRVISGHLGWVRALAVEPNNEWFASGAG DRTIKIWNLATGSLRLTLTGHISTVRGLAVSPRHPYLFSCGEDKMVKCWDLEANKVIR HYHGHLSGVYTLALHPKLDVLVTGGRDGVARGTVADVKCQEADPQIITGSLDSTVRLW DLAAGKTMGVLTHHKKGVRSLAIHPKEFTFASASTGSIKQWKCPEGAFMQNFEGQNAV INSIAVNEDNVLFSGGDNGSMSFWDWKTGHRFQSLDTTAQPGSLDAEAGIMSATFDRT GLRLIVGEADKTIKVWKPDDEATPESHPLDWKPTLGRQRY TSTA_074930 MAAQTKPSSRRSIRDNKERARQWLTKGALVREDSDDELGYDDLP WEWIYEEDGNENKPQASATEEDDQDSKTLRRRSGRPNKKRKIVGARMGKFECRLGHVV LLKSPEAGKDWVGLITEFLEEEDDDDEEEMIKSANIMWFASAEEFLSTKTKNRKRVDA LPNEQYLTTDFNVNPLTSINGRGKVMSKDAFFAKYPNGTPPRNKAELAEYKKCIVCRR GVNQLQGKYTEEFVWEDVYKGDEASVFKLIELIKAGLKTAKKRKAADEDYVDMKDGDD EAPITPRKRQRTTALQVTPQSKRKILTTPTSKRIVVKKPLEFTPLGTRILDPTHFTSS PYRQARSLLHVSSVPTSLPCRSAEFETVYTHLSAAIAEGSGTCIYISGTPGTGKTATV REVIAQLNNAVLDEEMDDFIFVEINGMKVTDPHQSYSLLWEALKGDRVSPSHALDLLE REFSHPSPRRVPCVVLMDELDQLVTKNQSVMYNFFNWPALRHSRLIVLAVANTMDLPE RTLSNKISSRLGLTRITFPGYKHTDLIEIISSRLANVPGNIVDPDAIQFASRKVAAVS GDARRALDICRRAVEIAEQECEANAAKNGTNNLMDIDDTESLPPTPSKSTIRRNKSQR GNSKSVVKIETSQKKPSKQQTLPRVTIATIKQAIQEATSTPLQQSLRCLPLAGKLFLA ALLARVRRTGISESTMGDVLDEARRIADAAVAVAGAAGFSIKNFLLGKENPGARVHAM VFAAIELMNSGIVALETGVGIRVRGGGDRSSKVRLRVAAEEVNSAFRDDIEAKGFGLG FDQ TSTA_074940 MSSHIIKRKAYLMGLPCPGRYVKSILLKATPNPKEVIVTFVTSE IQLTCMLIDNAQLWSKNIDQLSSALMQSLRVVPQLRGTAQMRVTLGSFIFEQYRRPKD HENGYSFDEFGDMLSQEMTRGHITSTLEPDQIIDHINRASHLFVLENGQGPVSTACRS VKVEFASRTGTSLHLEVEFQAHGGQYEKVRTCWVETEFDRARPEKRPVLQAVMLNPER ADWELKIREKTILNPGQLSPSMRDVQASLQFNHDTPGNALVTPAKQHCMLPSNAQIYR LIEKSTMQYPIKETDYVLEIARYDIYYPKVCPIRHNADASSYMEFKTPTSFTEAVLYG RTWDTRLKNINQARDLNSVLAALFQPRQRETPRAAFERFIMITTNVAGLWNPAGLQPM VSIPRPSSQSYTSMAQPRHVPEGILIDLD TSTA_074950 MPPSDTPASGSVPPGAVDVTTLSVPQLRALQSRLNSELEQLTNS HAKLRAAQSKFRDCVRTINDGVVGKKSQQAAQSADNSILVPLTSSLYVKGKLADREKV IVDVGTGFYVEKTTAKAIEFYNKKVKELEANITDLEKIVQGKSTNLKIIEDALRQKVV SGEAVTAPSG TSTA_074960 MFIKCRLLTSPRPTPGLRLAYPNQWNRSLILKCNIHYDGRSRVS NFWIPTGGIERKAAETVKEDANDLLIRAGFIRQAYSGIFHMLPLGLRVQNKLEALIDR HMESLGASKVSLSSLSSQELWEKTGRLKSGSEVFKFNDRKESRFLLAPTHEEEITSLV ASLVNSYKELPLRLYQITRKYRDEARPRQGLLRGREFVMKDLYTFDYNAEQALATYEA TREAYKRIFDTLKVPYLVAAADSGNMGGNLSHEFHFISDKGEDTLISCSHCDYVYNEE VSDGRALGTVIEKPLTPPVPSESGVGTDNPLAISVALWTAISKDKKTLVRAWYPRYST PDLGSEPREREVNSHAIKAIAKSTGIDLDTGVESPVALWYIDLKKTTASGFQMESTSA YKILDIYDSQVAPYSRPPLSGLPENFDKVKYPVEFALLNRFPGTKDGLSLTRVLDGDK CHKCSEGSLHMHNAVELGHTFYLGTRYSEVLKAKVFVDNSVIKSNESSTNSNKSQNVP MEMGCHGIGVSRMISAVADARSDIRGLNWPRAIAPFEIIVVPARNLEPEAENIYDLLR QHTDTSDIILDDRTKQVGWKLKDADLIGYPIVIVLGKAWKSEHKVEVHCRQLDGLRED VPLGNLVEYVRSLLARL TSTA_074970 MAKNKEKRSSTGKASQAEKPHDVGESKASADDNILSLDAGALAN LTRNIEQKLKDGKDAANKKSQRQVNNSRTGTKKSPLKDVREKKETTNKPQNSNQGKKR NRDGEFIDKPAKGGNAEIDRSQDNILRKEILALGGSEEDFDLVAEVASDSEVEGPASG PNKRAGSSDDALRKELAQLLKDAGQFNPEIADDQVEEPSASEDRSGEEEDEDEDEIED FVDANSSASEGDNTNLSSKKVAKAKVAEAADKKKIALAETQSQFPKEYSRLTIMPRAD WYETELPSISAPKVTTGLPKFLVDRIQQHATSLLEKENQLYSQIQQQSSSSSHKFYST IMTSGTLSDKISALTLAVQESPIHNIKALGDLVALSKKRSRAQAVDVLRSLKDLFAQG TLLPSDRKLKAFANHAELVAAFQKAGTKWTEHDPLPGGLQTQHLIVWAFEDYLKAQFF EILKVLEIWCNDEIEFSRTRAVSYVYELLKEKPEQEANLLRLLVNKLGDTGKKIASRA SYLLLQLCQAHPLMKPTIIKSVEEFLFRPGQSAHAKYYAIITLNQTILSTKEEKVAVQ LLDIYFAVFLQLLKSTDKKSWKADNKKKGKKDDAKTQKAQAEHDEQLKEKLIAAVLTG VNRAYPFTSSDTDRISKHLDTLFRVTHSSNFNTSIQALMLIQQLTATHQIAADRFYRT LYESLLDPRVATSSKQSLYLNLLYKALKNDSSIKRVKAFVKRLVQVLGLHQPSFICGV FFLIRELEKTYPSLSSLIDQPEEDESDEEEVFRDVPDEKDQQGETAKQAETTTKKVIN GYDARKRDPQHSNADKSCLWELLPLLSHFHPSVSALASHLLNHEPLSGKPDLTLHTLT HFLDRFIYRNAKAAGTPRGQSIMQPLAGTDSHDLLVGANALAGDARAQVPVNSEAFWR QRAEDVAAEDVFFHEYFNRVSKDKTGKKAQRRAKDPVARDEEAGDESGNESEIWQALV ESRPGLEDDDEDDDLDMDDLESAYDDEDVEGSDDGGVIFNDESDEEEVDLDDAEEEEE DVETEVKQKTLKASAPKDEDEDEDDEDSFDMDVSDEEAFRDSDEDLPSDLDVDMGGVA LPASTADDDATTKKSKKRKLRHLPTFASMDDYAALLADEDDGLV TSTA_074980 MALNMSHAPEKDADNVIYGKNGSDEESQTRRGSRIADVEIDRAT IGKQLALEAESAIKYRTCSWQKTAALLFSEYICLAIMSFPWAYSVLGLVPGLILTVFI ALVVLYTSLTIWKFCLRHPEIRDVCDIGQYLFWDSKLAWWATAVMFLLNNTFIQGLHC LVGAKYLNTMTGHATCTITFSVVVAIISFFFSLPRTFSGLSHMATASAFFTFLSVLLA TIFAGIEDHPARYSEELGNPLVTAFPVAGTTFVNGMSAFLNISYTFIGQITLPSFIAE MKDPQEFWKSVTAVTIAEVLVFSIVGAVIYAYTGNQYMTSPAFGSLGDETYKKVAFSF MIPTLIFLGVLYASVSARFVFLRFFDGTRHKSQHTIVGWASWAGILAILWILAFIIAE VVPFFSDLESIMSSLFDSFFGFIFWGVAYIRMRAADHGPNFYKVRGLRGWIGFIVNLF LIGVGLYFLGAGTYASVESVILSYHEGGFGGPFSCADNGL TSTA_074990 MSGITRSVYRVANPLQNARHLSTVVASRIPKTSYTIAITGLRRS VPVQRRNASPTTYTARSVRYASSSSSNSLNRTQLYDLHLKYAAKMVPFAGFDMPLQYS DLSHTESHHWTREKSSLFDVSHMVQHRLTGPGALPLLMKVTPSSLDKLANNTSTLSCL LEDGTGGIIDDTVITRQGPESFYFVTNAGRREEDLVFLTAEIDAYRAEHGADSIKWEI LNDRALIALQGPLSQSILQSYIYTGEGEDVASTDLNTLYFGQSRELYLQFPDGSKTAH RLLISRTGYTGEDGFEISIPTSNGATTLPHQVTELLLSQPDKCRLAGLAARDSLRLEA GMCLYGHDITTAQTPPIASLGWVVGKDRRDQNSPSSKFNGSSVILAQLASPKTLPQRR IGLTIEKGAPAREGAIIVDPNSITEENKTPTQIGIVTSGLPSPSLGGTNIAMGYIKNG LHKKGTEVAVLVRNKLRKATVTPMPWIESKFHRPS TSTA_075000 MASTPEVDQAQKPVPIEANEKVHDSETSSSTQAELAGIPDFEKP PRHTHWTVDNETHQLEPTSSARSDGKILLKEEDVYDRLGYGFPTWKKWMILTIIFLVQ VSMNFNTSLYSNALGGISEEFHISEQAARCGAMIFLVLYAFGCELWAPWSEEIGRKPI LQASLFLVNVWQLPVALGRNFATIMVGRALGGLSSAGGSVTLGMIADMWEADNQQYAV AYVVFSSVGGSVLGPVVGGFCQEYLHWRWCIWIQLIFGGFVQLLHLFLVPETRSTIMI DKIAKKMRKSGENPNIYGPNELEPFRERFSAKEIGITWIRPFHMFLTEPIVLTLSLLS GFSDALIFMFVQSFGMIYKQWGFGPVAQGLSFIPILVGYFIAWFSFIPAIKRNIRERA AKPDDEVAQYESRLWWLLYTAPCLPIGLIGFAWTTQGPPLPWIASMIFAAIVGIANYA IYMATIDYMICAYGPYSASATGGNGWSRDFLAGVLTIPATPFFENIGGRYHIQYASTI LFCISFLLVLAVYVIYWKGPTLRKRSPFAQQLSDARATTDGYGHRLARLPTGSRANSF ARSQQELRLRQTLGSRQNSCYGSRANSRANSRANSRANSRANSRVNSRRNSLAEV TSTA_075010 CKDPRSSNGFQLRFKKHIVNAVTKGLTAAMALRRSKMATVAPVA DYASGAFRTVATAVSEAEAGIQPFHNRHMGKATKRWIDIQALLKSNPLRKLRTITIRS FISPLQRIAQPLKATKTERIETIQAFTVLLWTVRILTICEKDGDKAIEIANKAVGILI ATSASTRSGIVGIGGCIRDTQEDNNGNNMSS TSTA_075020 FATGDREPPKSYCLWRSILVSFDVKGAYNGVFKERLLQRLKARG IPDKIFNGSMPPVRDAYHKDHRCPQYYFSSLTQTSFSTRSAQQEARPSAEANRSDIQA IINRALDWEKRGGATFEGDKTAMIYRAALRSQSKGKESTRKK TSTA_075030 MLSLTTIAIIVLVIGLIYQFFISPYIISPLSKIPNAHFTSPITS LWILHKRKTGNEVKTIYSLHQQHGPVVRLGPNELSVNSIDGLRTIYTGGFEKTQWYSD IFVNFGTDNLVSTQSYKPHSIRKRMLSNVYSKSYLQNSPDLQRVSLIIVVDRFLPLLG ELAQKREAINVFPLLQGLGQDFTSAYLFGSKYGTDFIHDVAKRECWLDMYEKFKVSHP KERSFGEFEQWCLALCDKVTNDLKEGKASSDQGTQPVVYAQFYRSLQKNPEIWERKRL MLASEMLDHLIAGHETSGISLTYTLWELSQRPALQARLRVELLGLSPTLRYASREKAK DGSLPSTQSLNNLPLLDSIIRETLRLHTAVPGPQPRVVPFSSQPVTIEGYPNIPGGTI VSSSAFSLHRIADVYPNPDEWLPERWLNPDSSTSEAMKRLFWAFGSGGRMCLGSNFAL QVIKLVIATIYTNFTTSIVNDEGIEQEDNYIAPPKGRKLILQFIAV TSTA_075040 MDTETSKSSAWVQTDVEEMNQYHVPAKYLGNGADKRDMSALGRE QVLRRNFRFISILGFGCTLIATWEVILTLLTDGLTDGGTAGLIWGFVIVCVGFLLVFA SLAEMASMAPTSGGQYHWVSEFAPKSCQKFLSYVTGWLCATGWQCAIVSIAFLTGTII QGLIVLNDESYVFQRWHGTLLVVAITAFSVFFNTFLAKRLPMVEALILILHIVGLFAI IIPLWVMAPRASAKSVFTQFNNDGGWNSLGTSTLVGFSGTITAMIGYDCAVHMSEEIR NASETLPKAMMSAVVVNSVLGLVMLVTICFTLGDVDSILTSPTGYPFIQVFFNSTGSY AATNVMTAILIVTLTASTITEVATASRQLWSFARDNGVPFGEFFSHVNPGWNIPLNSV LVALAITALLSLINIGSTAALTAIVSLTITSLMSSYIISIGCLLLKRIRREPLPEHRW SLGKWGMAINIAALVYLCPVFVFAFFPLTSTVTTSTMNWSGVMYVGIIVFATAYYWLG GRHKFVAPVALVKREQ TSTA_075040 MASMAPTSGGQYHWVSEFAPKSCQKFLSYVTGWLCATGWQCAIV SIAFLTGTIIQGLIVLNDESYVFQRWHGTLLVVAITAFSVFFNTFLAKRLPMVEALIL ILHIVGLFAIIIPLWVMAPRASAKSVFTQFNNDGGWNSLGTSTLVGFSGTITAMIGYD CAVHMSEEIRNASETLPKAMMSAVVVNSVLGLVMLVTICFTLGDVDSILTSPTGYPFI QVFFNSTGSYAATNVMTAILIVTLTASTITEVATASRQLWSFARDNGVPFGEFFSHVN PGWNIPLNSVLVALAITALLSLINIGSTAALTAIVSLTITSLMSSYIISIGCLLLKRI RREPLPEHRWSLGKWGMAINIAALVYLCPVFVFAFFPLTSTVTTSTMNWSGVMYVGII VFATAYYWLGGRHKFVAPVALVKREQ TSTA_075040 MDTETSKSSAWVQTDVEEMNQYHVPAKYLGNGADKRDMSALGRE QVLRRNFRFISILGFGCTLIATWEVILTLLTDGLTDGGTAGLIWGFVIVCVGFLLVFA SLAEMASMAPTSGGQYHWVSEFAPKSCQKFLSYVTGWLCATGWQCAIVSIAFLTGTII QGLIVLNDESYVFQRWHGTLLVVAITAFSVFFNTFLAKRLPMVEALILILHIVGLFAI IIPLWVMAPRASAKSVFTQFNNDGGWNSLGTSTLVGFSGTITAMIGYDCAVHMSEEIR NASETLPKAMMSAVVVNSVLGLVMLVTICFTLGDVDSILTSPTGYPFIQVFFNSTGSY AATNVMTAILIVTLTASTITEVATASRQLWSFARDNGVPFGEFFSHVS TSTA_075050 MSYVVVPPGQSPPFEVVDDQHHGAWIIIATALGVAITLVCWLIR LYVRFVLTSTFGIADWILAASSAMALIQSIIILAAAHYGFGTSIELLKSDIVVRIQNM LVASDVFYIISLYLAKISVMAILLQLTPQRKHNLASWVVGGVCTAWFVVSVLLITVDC EVIDRPGEPAAEHCTSLLPRWRFITALDIITEIAWPALAITVVYGIKTSLNRKIVILS AFCSRLLIIIFTVLRLHYEGPAFKSLDPTLAFIEPYLWAETAMHYSLIACTAFCLRPF MIAVSTNYGTAGDEFLTSSGSRNRTGKISGSYAMKAMSRSSRKKGGGGSMTGNSALRS TTSAVVGERYEGYLSTRAGHGPSVEATRRKTSGHDASSVGSNESTKMIIRKDMDYMVE YLQRQSA TSTA_075060 MACGWYYDSDNSNKEGSSNEAEPSDTDLTEPEDGFASEVDQTDS GAEDSDSTMANSSNTEESGTLSHQVLSIKKLAISKNQRYEGPGDNPTQNLSDIDPDFN MSGNTKKLRSRVIDRWHCESQREVSPLELEQTGGRTPKGICGLAISLSTLVL TSTA_075070 MAARLRTGITQLIVEFKLRTQRRKNDPVYIKDTIPFNKTVLRTR EKQFYLGIQRIMLCLALMLGLFTAGRKTAILRLQYKHLRLSLQRNPHGGPPVLSIDIE PEYIKELLGTKALNTFSFPEIIYGVSLVSSPYVSIMGLLLHANAFKANIKSIDDLRRL FVMHGCQQLELPLKKEMDDYYLFCKVEETDGEPRVIRDQPMTDGVFYGAIKSISFIMG LLNVFFYHQFRYRMKKLLDKTGWVSDSECNLIMNHADTSTFLKHYRTRNHTQMQQVVF GLEADQRWDRALNSFNRNKDKRCPRFLDDTEKAFVESELELQEVIRELELLQDNYEQN PVTELASELAQAQSRVLNTRKRLRYKLLAQIRREFGPKQAVIGINEQLDGTILQEDDA AEEDLLDDDMPLQQVRLVEGLTTVPTVWTLEGEWQRRNVGVEVIVLYCDFQEGGPFRG RQKRKRNASNNDTHKKAVKRSNNVDNDKLSIAKKKHEQDQKHIKTAETLNLLSMRQEV LLASESSQTFSAQAFEGSNL TSTA_075080 MCPWWFPPGKGQEGWPPSAREIVPAFEEGVERIRRYVSAVILFR WIRIGRRNASISSAAPETVLNAPVMILAAFFCIASGLPTTFSRWLSSEFNGAYQIDAA YDICGRIHEAVAKLLIEKGADINISD TSTA_075090 MALEMAQQRIVREAIIFCDSQAAIQAIDGTQKTGQQILGSIAEK WDELRNQGVQVSIHWIPTHQGIEGNERADRAAKEATGWRLIRNIGLQQPLSALKRDLK TLAYKQWEQEWQRNQQGRTLFRIVDKPSKKNIELHARLSRPLSSILTQMWTGNIGLRH FLYQRKIPGIDDGECQCRRGAQTVTHILLSCPKVQGGVEE TSTA_075100 MAVTVTQTSKAQAWVDGATMMQGTMFLPVLISMSALPIRMVTLW YSELTSSQIVKQLMANLMRFFSGDQMADLEALAKTVLSRKQICTAIALMILDTVATLT TLILIPMCKTTMAF TSTA_075110 MATSICATCIAQAATLAFPPQTLVDATITNLNSNITYHAAALTR SERSSLRNQQGLTIWLTGLSASGKSTIAVELEHQLLSTRGVHAYRLDGDNIRFGLNKD LGFSEKDRNENIRRIAEVAKLFADSSSIAITSFISPYRADRDTARKLHEVPTPGEKTG LPFVEVYVDVPVEVAEQRDPKGLYKKAREGVIKEFTGISAPYEAPLKPEVHIKNNEVT VQEAVAQIITYLDSKGYLPAKPAN TSTA_075120 MSKHTMYQLLSLPTSIVPSHHHDDALEAISSTIGVDGSVSSFPI PEFKIGTLDALVQQAEELAKLEGICQAVVGKVGEALKGVLGDDEEQIQRMKTVNDKPV DQYLRTFQWNKVKYRADKSIGELIDLLKKEAASIDNDIRSKYSQYNQVKNTLATLQRK QTGNLATRSLASIVDPQKLVRDSEYLETHLIAVPNAQIKDFLKSYETIAPMVVPRSAN LVAEDDEFSLYAVTTFKKHSLEFTHKARENKWIPRDFKYTEGGREEEAKEVERVGGDE RKLWGETIRLGRTSWSEAVMVWVHVLVLRVFVETVLRYGLPLDFVSSIVRVSFFISYL LRIKGAFLTTYRQHRKVLKGQRRTWITNTIISLETPLAVTKKAESRRTTRMKCRSLVK VAELIIRRMCIMSLNLNNCYISQSVYVFFLGIEQCILIEI TSTA_075120 MSKHTMYQLLSLPTSIVPSHHHDDALEAISSTIGVDGSVSSFPI PEFKIGTLDALVQQAEELAKLEGICQAVVGKVGEALKGVLGDDEEQIQRMKTVNDKPV DQYLRTFQWNKVKYRADKSIGELIDLLKKEAASIDNDIRSKYSQYNQVKNTLATLQRK QTGNLATRSLASIVDPQKLVRDSEYLETHLIAVPNAQIKDFLKSYETIAPMVVPRSAN LVAEDDEFSLYAVTTFKKHSLEFTHKARENKWIPRDFKYTEGGREEEAKEVERVGGDE RKLWGETIRLGRTSWSEAVMVWVHVLVLRVFVETVLRYGLPLDFVSSIVRTTPKGAER AKKNLDNKYNYLAGNAFGRDKKGRVKKDDPNEMQVAGEGGGADYTAYVYYEFKFE TSTA_075130 MLAAGSAGRVASGAVFTKAPIPSFRWNNLVRYSHKRLSLANYST GTQFPKPRSRLRRFVGFTTLVIFAFTTGALIEPARTLLKMATVGIPSDEETLTLFQPS DELSQQIETHIQTHPLALKYRNAEGYTESRPHMKFPTEVRGRHLITGALLGPNRIVVP PLVFQQESGKELIAITYLGQEVCGHPGIVHGGLLATMLDEGLARCCFPVLPNHIGVTA NLSIDYKKPVTADTYVVLRAATVKHEGRKAWVEGRLETLPDGDEEPVVLVEAKGLFIE PKYAARLPSLYRAAS TSTA_075130 MLAAGSAGRVASGAVFTKAPIPSFRWNNLVRYSHKRLSLANYST AGTQFPKPRSRLRRFVGFTTLVIFAFTTGALIEPARTLLKMATVGIPSDEETLTLFQP SDELSQQIETHIQTHPLALKYRNAEGYTESRPHMKFPTEVRGRHLITGALLGPNRIVV PPLVFQQESGKELIAITYLGQEVCGHPGIVHGGLLATMLDEGLARCCFPVLPNHIGVT ANLSIDYKKPVTADTYVVLRAATVKHEGRKAWVEGRLETLPDGDEEPVVLVEAKGLFI EPKYAARLPSLYRAAS TSTA_075140 MMAKTVPHITSRLSRARTARFSTSSRSFGYADTLPNLKIGAHTK VLYQGFTGRQATANAKESLKWGTKIVGGVKPGVEGEHLDLPIFPSVRVAKEKSNPDAS AIYVPGNQTAQAIEEAIEAEIPLVVAVAEHVPVHDMLRIHSILQTQSKTRLVGANCPG IISAVGKCRIGFQPLPCFTPGRIGVVAKSGTLSYETVGSLTRAGLGQSLCISMGGDVL AGTNFVDALKVFEDDPDTDGIVLIGEIGGTAEMDAAEWIKDYHKRTTKPKPIMALVGG LEAPKGRVMGHAGAWVAPGEPDARAKYEALQKAGVTLVSHPEKFGPGMKELLKDTGRL SNSSLSSASAGQRRGFHTVRRARVTVWPAQRRSLYIKQHEAFDMLEEAKVQTQTKQAS PDDFHLSITVDRTSLSPCVAVSSGNQSLKSSLRIPFNYTNPAFSRAADNTIQSEIVSF LGYSDECQETVGKYLQQLYKIFRDNEAFVLETRASFSPDKEVVVHDARFGFDDAAHKS SGRHEKLHQLRDIAAEVPEEVRAENSGIVYIKLEGEGSIGTLVNGAGLAMNTVDVLIL KGGKPANFLDTGGKATAATVKECFSILCEDARVNTIFVNIFGGLTRCDMIAEGIMDAF RELDMKVPVVVRLRGTNEEKGQKLIAESGLPLHAFDSFDEAADKAIRFARAEAS TSTA_075150 MASDLPAESKASLEKSLEELSIQNKRPGPDISRLSLSATDATTT FTKGSKDKNNTKKKKAAVADSWEDDLSDDEKASTTEIEKEDDTKAKFKSPLAATKSEG PLAPPSTPSTNLDGDDYGLPQWGRVPPTTTSTSTSSTRDSARARPEKQTAVASRMIAG ALGIRAPKRTEEQRAYDRAMKEKEIKRRNKEKEEEAKARQEEERAKAAVWDA TSTA_075160 MADNASADGAAPAPEHLNIKVTDNHNEVFFKIKRTTQLKKLMDA FCERQGKQASTVRFLFDGTRVRPEDSPDTLDMQDGDTLEVHQEQIGG TSTA_075170 MILLMTLHVTLVLVPSRVSPLHLVPATSTDELPACLTKNSYNEE KCQAQIDALYECCNAFYQQRGEDAKTPSCPNASLLRVKMRQRAQQLR TSTA_075180 MAPVFHSPAAPRNAPSFVFGRPTQPQFNNEPDVIEIDDDDDDEQ VGDNENSVDDMEQDESDNVEDDEEVTGEDEESNVDNDEEEEEEEEREEELTVESLGKV NGIDSTDIFNTRTLQSSPGIQEALHPLRHTADRVTRQMQAFAEKLDKFKQQDRDQDAT YQAAYSLIKSYHQNASDAIKDASRQSTIQKAKMGWNTSRVADQPDAKSKEDLRRLQLE VDTWDLFLNLIALDEPTAQAHCKEAQKSVFQNLHRYSTDKEIWLQFLDADKYAAECAM VLRWLEVKAKSSSQHLDAMIANLEAQADRGSGLWAHGWLYTKESIKGQKRLRAWPQPL EPGSPGLLASLLDSDNKEPLITQLDPDAVIRQKHGLQKQDKFYEEATWLTCWKMLRQG ETWEKIQEWSQERLEGWRAVSLCGSRVEDQSSGTKKQVGDSMTRMMNCQSQDTWRAAC SALARNPNTGDYEKAVYALLSGETEPAYHVCQSWDDYLYVFYNNILLSRYREFCSQFS RKLSHSPLASVPFVPGPMDYNAIKRFLDSLKSHESVGMEARNPYSTIQAAILGRNFDS FFLSMADAASESNKALLNESLVPDILATGVDSSALIAVRDKDALRIISHMYIVTQRLS YTRSDAHFSEKGALNVMAYAENLHQAGLTDWIPLYASFMPPQLAPKVVVRVLIDIKET RDQDRMSNLLERHGIDMSAVQIALAKYIVAKAPKSEKRTVTFDHKIRRDKAKGVVILL PPSKKFTGEIMSAKIEGVIRCVEWLTFLKAKWGTICASMEFLYKRLFGNGMLLEAREL SERLPLSELSQKVLGYDIRSSAFFDDLSLVDDNSVLRSPTKSPSKSSRFRRPQTEDER HERYNEALVLIDLEFVIWSYVALDDFREAWEHLELLRNSVEPDDMQIEMLSARLQECI ENATEHVESLVDRECGVLTTPPKNGDMKPLLYIRNTYFPDIILNYLSALYYASLKLDR RLLTRNMELAIALAEDTHILGCFQHTRRMRELADAMALASVAIVNAPAEAKIRKKADG PAHLGIWNVEVAENHD TSTA_075180 MAPVFHSPAAPRNAPSFVFGRPTQPQFNNEPDVIEIDDDDDDEQ VGDNENSVDDMEQDESDNVEDDEEVTGEDEESNVDNDEEEEEEEEREEELTVESLGKV NGIDSTDIFNTRTLQSSPGIQEALHPLRHTADRVTRQMQAFAEKLDKFKQQDRDQDAT YQAAYSLIKSYHQNASDAIKDASRQSTIQKAKMGWNTSRVADQPDAKSKEDLRRLQLE VDTWDLFLNLIALDEPTAQAHCKEAQKSVFQNLHRYSTDKEIWLQFLDADKYAAECAM VLRWLEVKAKSSSQHLDAMIANLEAQADRGSGLWAHGWLYTKESIKGQKRLRAWPQPL EPGSPGLLASLLDSDNKEPLITQLDPDAVIRQKHGLQKQDKFYEEATWLTCWKMLRQG ETWEKIQEWSQERLEGWRAVSLCGSRVEDQSSGTKKQVGDSMTRMMNCQSQDTWRAAC SALARNPNTGDYEKAVYALLSGETEPAYHVCQSWDDYLYVFYNNILLSRYREFCSQFS RKLSHSPLASVPFVPGPMDYNAIKRFLDSLKSHESVGMEARNPYSTIQAAILGRNFDS FFLSMADAASESNKALLNESLVPDILATGVDSSALIAVRDKDALRIISHMYIVTQRLS YTRSDAHFSEKGALNVMAYAENLHQAGLTDWIPLYASFMPPQLAPKVVVRVLIDIKET RDQDRMSNLLERHGIDMSAVQIALAKYIVAKAPKSEKRTVTFDHKIRRDKAKGVVILL PPSKKFTGEIMSAKIEGVIRCVEWLTFLKAKWGTICASMEFLYKRLFGNGMLLEAREL SERLPLSELSQKVLGYDIRSSAFFDDLSLVDDNSVLRSPTKSPSKSSRFRRPQTEDER HERYNEALVLIDLEFVIWSYVALDDFREAWEHLELYVALRSSRRF TSTA_075190 MSSNPITEYGFAAVPASATQLLDDPTTKPSSPPPAYSISDFSIP NTALAKRIQAYAKAHLPETTYNHSLRVYYFGQAIRQYRLQPSGGSVWDFSDETYFLSC LLHDIGTTEENLNKTRLSFEFYGGFLALDVLQHADDDDDATAIAPREQAESVAEAIIR HQDLCEIGKITAVGQLIQLATIFDNTGSFSDLVHQETIEAVSKAFPRSKWSTCFTDTI HKELHLKPWAHTAALGEEKFVGKVLGNRLMAPYE TSTA_075200 MSENGGPSQNQGPTRRARAPTITIDTSAVSPVTPTDQNFQQSSP TGQAGQTGTPSLSRDNSDTTVHSGISPTDARSTTSSEWYRESRPTSPHNVSSPTSRLN SESHSNFLAVPGARSRGNSIESENGSNTDYSFGGDTYVQSSPSHTERMSQDFSRGNTL FLNDEEALRPDPGTEEDFEVEDNKFAFSPGQLKKFFNPKSLGALHAVGGMKGLEKGLR SDRNSGLSIDETYLDGTVTFDDAVHATNGNHQPKSVSRTDTHRTQSSRYSQSQEAYAD RKRIFGENKLPEKKVKTIWELAWIAYNDKVLILLSIAAVISLALGIYQSVTATDGEAR VQWVEGVAIIIAILIVVTVGAVNDYQKELQFVKLNKKKEDRQVKGIRSGKTVEISVHD ILVGDVILLEPGDLVPVDGVLIEGHNIKCDESSTTGESDVLRKHSADDVYRAIENHES LNKLDPFILSGAKVTEGVGRFMVTAVGVHSVYGKTLMSLQDEGQTTPLQSKLNVLAEY IAKLGLAAGLLLFVVLFIKFCVQLNSLGSPGEKGQAFLQIFIVAVTVIVVAVPEGLPL AVTLALAFATTRMLKDNNLVRLLRACETMGNATTICSDKTGTLTQNVMKIVAGCLGAS NRFFDNQKNGSSQSDENTGSDAGEVSPSTLVSGLSSDVKELLLDSIVLNSTAFESQED DGRVTYIGSKTETALLTFAREYLGLGSVSEGRSNADMVQIVPFDSGRKCMAVVVKRKE GQYRMFVKGASEILLGKSTRVLNKIESGLSSVPISDDARTGLLNIANTYASRSLRAIS LLYRDFEQWPPRGAPTQEDDRNLAVFDAVFLDMTLIGVVGIQDPLRPGVTESVQQCQR AGIFVRMVTGDNINTAKAIAQECDIYTAGGVAMEGPKFRNLSTKKMNQIIPRLQVLAR SSPEDKKILVEALKRLGETVAVTGDGSNDAAALKTADVGFAMGISGTEVAKEASDIIL MDDNFTSIVKAMSWGRTVNDAVKKFLQFQITVNITAVILTFVSAVASGDENSVLTAVQ LLWVNLIMDTFAALALATDPPAASVLERRPEPKSAPLITATMWKMVIGQAIYQLVITL ILNFAGVSILRSMNVFTNLDDPSKELKTVVFNTFVWMQIFNQYNCRRLDNHFNIFEGM FRNYWFLGIQLIIIGGQVLIIFVGGQAFAITRLNGPEWGVSLVLGVISIPMAVVIRLI PDEFVRRLIPTFWKRKKNRGPQVLVSDEERRYEWNPALEEIRDQLTFLKTVRGGRLKN IKHKLQHPETLLPRSRSGSRSREESIFAGSNNGDAEPSSPPPATPESRSRNRTPRSRS NSAFGPAAAMAGVIAGSIAGWSPVERNPGEQDSWHLSSSSPHSGLDKQQGIDIHPQTA DDDPIIVNNYDLLSSSTPPSQNPDLIPVFEHAPPDRVPSRGRRSTSRRSRSNTMTTFG SRRSTSHSQAPLQ TSTA_075210 MDSIYSYLREVILSIQQLSMLEITLYASVILGAYVLLVRSLRFR RIRQLEAHYNYDTHASMAKMTDQEAWEIQRAMAQLEFPFTVEKSLQFALFRTYGIPTI SKTLMKTKQFSNSLNSGKRYVDTCVLIGEFIVHSPASVRSRLGLSRTKYLHQGYRNSG SVREEDMLYTLSLFATEPIRFINLYEWRTATELERCAMGVFWKSVGDALGIDYSAFLP SAKAAAMAAEEGNAASGTVNGFIDGIHWLDEITAWAQEYEKKAMVPAQTNRDTADQTT AILTYALPRPFKFLGNWFVSSMMDDRLRHAMIYPCAPYIAEKMISLAFETRKFFIRNL CLPRPEFMRHVSFTEKPDRQTGSYHVTHWTAEPFYVKPTLWNRWLSPAAIISRILGYP VPGSQGDKYHPQGYDLGDMGPQKFEGKGKDYVEREMKTLEKERRGQCPFF TSTA_075220 MREIVHLQTGQCGNQIGAAFWQIISAEHGLDGSGVYNGSSDLQL ERMNVYFNEASGNKYVPRAVLVDLEPGTMDAVRAGPFGQLFRPDNFVFGQSGAGNNWA KGHYTEGAELVDQVVDVVRREAEACDCLQGFQITHSLGGGTGAGMGTLLISKIREEFP DRMMATFSVVPSPKVSDTVVEPYNATLSVHQLVEHSDETFCIDNEALYDICTRTLKLS SPSYGDLNHLVSTVMSGVTTSLRFPGQLNSDLRKLAVNMVPFPRLHFFMVGFAPLTSR GSYSFRAVTVPELTQQMYDPKNMMAASDFRNGRYLTCSALFRGKVSMKEVEDQMRNVQ NKNSSYFVEWIPNNVQTALCSVPPRGLKMAATFVGNSTAIQELFKRIGDQFTAMFRRK AFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDASISEGEDEYAEEEPLEAEE TSTA_075230 MAEDEQAPVSAGGFPTDPADFDSDPRISFSKLDNKFILETEDGQ EYEYDTALKRWVQTIDDALLEQQRQAYKVEGVDEEKEVTRSQLRKKKRKQPDDENGQK PKRQRVNTAVYITSIPLDATLDEIRDVFCKCGVIAEEIDSGRPRIKMYMDEAGNFKGE ALVVYFRPESVNLAIQMLDESDFRIGQSGPSGPMKVQAADFSFKSQQDVPTSTNMRDK KKIMKRTQKLNSKLADWDDDEPSAVLDTSSRFDKVVVLKHMFTLEEIEKDPAAILDIK QDIRDECSKLGDVTNVVLYDQEPAGVVTVRFSDPEAARQCVKTMGGRYFGGTQVVAYI ADGSEKFKKTNEKRAALEDLAEGRDPDEEADRLEEFGSWLENQKESERVVESFAR TSTA_075230 MAEDEQAPVSAGGFPTDPADFDSDPRISFSKLDNKFILETEDGQ EYEYDTALKRWVQTIDDALLEQQRQAYKVEGVDEEKEVTRSQLRKKKRKQPDDENGQK PKRQRVNTAVYITSIPLDATLDEIRDVFCKCGVIAEEIDSGRPRIKMYMDEAGNFKGE ALVVYFRPESVNLAIQMLDESDFRIGQSGPSGPMKVQAADFSFKSQQDVPTSTNMRDK KKIMKRTQKLNSKLADWDDDEPSAVLDTSSRFDKVVVLKHMFTLEEIEIPPLFLISNK IFATNAPS TSTA_075240 MSDSGDYVPLVPYNGTDATGGDSLTQDLNIYYNAGDIAWMITST ALVLLMIPGVGFFYSGLARRKSALSLIWLSIMSVGVISFQWFFWGYSLAFSHTAGSYI GDLKNFGFMNVLGAPSVGSTKVPDLLFAVYQGMFAAITVALAVGAVAERGRMLPCIVF MFVWSTIIYDPIACWTWNSSGWVFKLGGLDFAGGTPVHISSGAAALAYSLMLGKRRGH GTHELNYRPHNVTHVVIGTVFLWVGWFGFNAGSALSANLRAVMAAVVTNLAASVGGVT WCLLDYRLEKKWSTVGFCSGVIAGLVAITPASGFVPAWSAVVFGIVGAAACNYGTKLK YLLGIDDALDIYAVHGIGGIVGNLLTGLFAADYIAHLDGATTIPGGWLNHHYIQLAYQ LADSVSGLAYSFFGSCIILLIINFIPGLSLRSTEEDEIMGIDDAEIGEFAYDYVEITR DVIGATDGNSIPVSKANSLERPVEESKV TSTA_075250 MDTADIIMNAPDSDGSGSGDEYSSPPSFIVRSASDLGDIEGQST PLATSPPSMVSSLGTLSTGYPTTWASRPTGRPRGSSLVGNPAFEAQGLSDVPVPDRDL RLQQRPSGPARTPSSTYAPQRRPPHFINLQNDGRRSSSTKRNARRDPNAQYRAQEKAY VQRLRANPQAWYRQFGDFQGMTTVDSDADEPSPSSEMPFEDDQYDPDIQLFLPENDNQ PTIEELKNPKNQERLEWHAMLASVLKGDVVKQEKQRLIGSTETRRSAAMNGDIWLGVR AKALGRSVLLQKKLIEDARASLGAVIEDIIAFQIKGEKEIGKPATEQVKDIVAKIGQC QDLYPTRKEMETANPRAASDQFHESCDAVCAWHNITQLINTELAILQNWVGNDELDFT KPQDRSNSTDLSDDGSFLDRIMKEDGLKTLQGEHNMIIGIGEVIDKAKSTLIENAEAF SKRHLPPYIEELLTLINFPSRLIQEIIRVRLSYAKKMKDPALQSPILVDQMISQFQIL MRVAVEIKQRYLVISKPEPGWDLPPCIDENFDNVVVDAMKYYFRLLNWKLNANKNTFK EAEILEQEWGFSNEIGRQLQSGDIEVAEQFSALTAKSLQRLMIHFERELSPRQDEDPV DMDKRYKSSLDSTRVRQRKLYRFSRFLRQLFENATEYNLGGDIADDFFEALLISDHFL ITSNDSVGQKGVYLIAHHALWNQHADIQSILGTSFREEDDPKDGSNYPYILVIRPERP LSWIGKVMEVEGLEHPTDVRPGKLRLVADGTQQRLVSARHELAQLTNMNLDIAIEQRA NLGRVNAELNKIKKISFKLSMTIMDSVAVIRQQLREKGIDNTELIQACYAFATEFGKR SSNYVDANRRAMNSARLVELSLEWISFICDDCDASDRRTFKWAVAALEFAMAITSSNH LLSMDDAQYSQLRVKVAGCMSVLISHFDIMGARSTLAAQAENKRLDETRGGSRRYGGG GRILSDEEARRLVCEQRLAHIATVEDMRVEENAKRHAIGKVLEGSNEADRSLTVLSSS ATNVTLRWQQGQFIGGGTFGSVYVAINLDSNYLMAVKEIRLQDPQLIPVIAQQIRDEM GVLEVLDHPNIVSYYGIEVHRDKVYIFMEYCSGGSLAGLLEHGRIEDETFIMVYALQL LEGLAYLHQAGIIHRDIKPENILLDHNGVIKYVDFGAAKIIARQGKTVANADAFTNNV GVGGHKDGIGGAKDPQRKNQKTMTGTPMYMSPEVIRGDTSDLVDRQGAIDIWSLGCVI LEMATGRRPWSSLDNEWAIMYNIAQGNQPQLPTREQLSDLGIEFLSRCFERDPMKRPT AAELLQHEWIVSIRNQVVVEPQTPISDAGSSTASTSSATSSRHNSTTYM TSTA_075260 MSADEHPAAASAHTTPNNLEDLSPDWSLAVGNNVILALQSDSLF INDPKTKKDIRYCGLQVVASTASQTIPFYDILSAQISDSALILKYAKPVVKDDYTPST LTYALDGQTPTAKAEIWVEQLLKLAYGEAQRNKRLRVLINPYGGKGYAKELYNEYAAP MFEAAGCKVDLDMTKYSGHATDIAEKLDLDAYDAILCCSGDGLPYEVLNGFAKRPNAT EALAKVAVAMIPCGSGNAMAWNLFGTNSVSLSALAVIKGLRTPLDLVSITQTGSRSLS FLSQSYGIVAESDLGTDHLRWMGAARFTYGFLTRLLRQATYPCDFAFKLETDDKQEMK QRYLEYKKQKRALRPIGGGDEVIGKGLPPLKYGTVDDEVPSDWQTISTDTLGNFYAGN MAIMTAEANFFPATVPNDGLIDVVTIDGAIGRLRALSMMTAVENGGFFNYPEVEVRKV DGYRLIPRDRKDGYISVDGERIPFQPFQVEVHPGLGTVLSKSGHLYEAQGPK TSTA_075270 MVSYPISSKGKQPAKPVAIRLAKTKATVSDSWDDDLSDDEEDNC VSRTGDNAVLDGQGLLQVLQAFKMLQVEFNKKHKAMWA TSTA_075280 MASYLENAYSLVHQDNSADQPSLQELKMQLEKGTDETKQETMRR IITIMLNGDPMAGLLMHIIRFVMPSKNKPLKKLLYFYYEICPKHDANGKLKQEMILVC NGIRNDLQHPNEYIRGNTLRFLCKLREPELIEPLLSSARSCLEHRHAYVRKNAVWAIS SIYQHSESLIPDAPELIQTFLDSESDHTCKRNAFAALMSISHQKALEYLSQTFDSIPN ADELLQLAELEFIRKDAVQNAQNKARYLRLIFDLLDASASTVIYEAATSLTALTSNPV AVKAAASKLIELSIKEADNNVKLIVLDRVDQLRIRNEGVLEDLTMEILRVLSSPDIDV RRKALSIAMEMVSSKNVEEIVMLLKKELAKTVDEQYEKSSEYRQLLIQSIHLCAIKFS EIAASVVDLLMDFIGDFNNNSAVDVISFVKEVVEKFPKLRPSIIERLVATLSEVRAGK VYRGVLWVVGEYSLETSDIREAWKRIRASLGEIPILASEQRLLDEVPDDNATVLDQVN GHSKAAAPSGSRKVLADGTYATESALTSQSAAAAKLEAVKAAQKPPLRQLILDGDYYL ATVLSSTLTKLVMRHSEVSQDAARTNALRAEAMLIMISIVRVGQSQFVKAPIDEDSVD RIMTCVRALSEFSERKELETTFLEDTRKAFKTIVQTEEKKRAAKAASEKAKTAVQIDD AIPIRQLTKKNAGEGTDEIELDLIKATGGDATVEDLSSKLSRIVQLTGFSDPVYAEAY VQVHQFDIILDVLLVNQTTETLQNLSVEFATLGDLKVVERPTTQNLGPRDFLNVQATV KVSSTDAGVIFGNVVYDGSSSTETHVVILNDIHADIMDYIQPAHCTETQFRTMWTEFE WENKVNINSKAKDLREFLKQLMESTNMTCLTPEASLQGDCQFLSANLYARSVFGEDAL ANLSIEKEGEDGPITGFVRIRSRSQGLALALGNLKGLKASAA TSTA_075290 MSDVNEPFYLRYYSGHSGRFGHEFLEFDFRTLGDGRSASARYAN NSNYRNDSLIRKEMCVSSLLIAEIKRIIKDSEIMKEDDSKWPQKNKDGRQELEIRLGS EHISFETAKIGSLVDVTESADPEGLRVFYYLVQDLKALVFSLISLHFKIKPI TSTA_075300 MPTPSEITPPLTASALVEDPIVHTLCPPEIIRASAFSVASEERS LSDGDGNVLEEGTVSATIESTATGDNATSLMVETARESSSMKGRESEDDTDMDSPSPA NSASDGKDDVSSSPTSQTSSVTSSSSTSSSLSHEFSNVRLVPNHTCSFLRAGSRFEGT QESDHQVYNVHVEIKHVDMAESYLCGYLRIQGLTEEHPTLTTFFEGEIIGTKHTFQTK HESWGANEKTDMQHWARFEAWRPLAKQAKKADFTYRNFAQREHLFMRWKEYFLVPDHR VRQISGASFEGFYYICFNQVEGTVSGIYFHAKSEKYQKLELKHIEDHGCTPAIEFR TSTA_075310 MATTDIQKTPFVRELASSDRKTRDKALESLTLFLKARKDLSLLE LLKVWKGLFFCFYHSDRPLTQQALARSLSYSLVPSLPRESRLRFLRAFWITIGREFHA LDRLRLDKYLYLIRCYVGVAFEIYLKGKINDKSDNEQEDSTEKHEEEEENKKRKRKGD EKSNNGKRRKQSEENSENNGKGSSSERDGKWTELESYISLLEKGPLCPINFDPSEKKP KSNEDITMPHGPDGLRYHITDIWLDELEKVLSSNDDGDQDGKTEEVSLKDRLDVPIGL LLRPFERLRKESQTKSVRMKVVSEVLEDERLADWGFRERKKVRSNESEDDEEDEDEDD EWGGFDD TSTA_075320 MGCCLSRSSNEEQPFHASTATQEPQEDALSTHHSEQHSRQQASN SSTRRRSRPRCQGVALPLDQHYNQPIRPHIWRSKRRLWTRTQIDREREEFFDTRVTGR EEVWAALRAALSLLREGDIETAQGIIDAAGVTVPTGDFCDGCYDENGVLYRLPQCIVS DPDNIADDSGAAAGETDGVDDDMEDGISDRKIVSEESDEELISEDAERRREEKGKMSE RDMIRVQARLSDRGGPDVMISIGKTQNVSLLARKIHSETKMSNTQRVRIVYLGRVLRE NEPLVDQGWKQGHVINAMVVARPAS TSTA_075330 MTTKRSRGAFEADLQAAQSPYVFYGTPLPPLDPGTRDDGSYVPI WKQEVTDERGRKRLHGAFTGGFSAGYFNTVGSKEGWTPSTFVSSRHNRAKNAKVQKIE DFMDEEDIREAEESKQLQTSEDFAGLGATQSQAIGRRGIIDILKTEGETMGVKLLKKM GWREGQGIGPKVRRTANLDDSSTLAQDDTHQPETHLFAPSDSPMVTFVRKVDHFGLGF QREERLDSDLRLKDDQAHQENYEDETSDSFFGGRLATQKPKQSKPVPRRGGFGVGILN DTGSDDEDPYSMGPRISYNRTIGGDKKRKKKIKGPEEGKTVGRAANPLLSNKPVFISK KTSLAGFRKCHDGRLPLDGFVLADAISGLSLSAGRNYAPPDIPPGWKSSKVPVAQRDS SKYMSTADAAKASNLDPKSRAELLGEEQLPGKSIFDWMTPEARERLVKATGRSDLPPA LGEKAPKGFQTSEAERRKNLWDLVPKLDKAAAVQALQRAVGGWMPYSEDENKRARYRT FLEVSAGLKQDLPDRLPGSTTDDWVREMQEFTRAAEVFKPISGVMASRFTSARSTPAV TSNQPDSSTADSLLRHPPAKPEDPAEEAAKIGMFGPLTRSTVSFYPTRLLCKRFNIKP PAHVQMDPGDHPMGGVSSTGGVPAKTTHSAGRLELISQDTMDQLLLESGLAMSDKHSP AAEQKPVAIEPERNEALEGERPGEAVFKAIFGSDSEEDED TSTA_075340 MSSEHEHIVIGQSPVGMQRLKQTNKRAFLWSFSILLFLFNFGFD ALISSLATAYPQFREQFGSLYDGKYVVSATWQSLWSAGNLLGAIIGNFLAGATVDRFG RKFCFWAILITSVVASIALILASSVKVLLAAKLVFGATSGLSYTAAPLYATENSPAPI RGLLMSCFNLFVVIGQFVSVLRDAFAITFLVPAILLPVICFLPESPVFLVMKGREGEA IRAIKRFYGPHHEQTPSEVMAQIKINLLTTHETTTISHWLQLFSHQHILRTLVSVVLA VNVNTIGTSFVIGYQTYYYELAGVANSFAITCGAFAIMTVGSITAISCADLLGNRIIV VGGGSLLPLWNLLAGSCGFADASNRAALIITVVLIASWSFIYHLSLGTVSYIALAEIP TQRLRPQTQALSAIMANVFGFGISFSIPYLFNPDKANLGSKIMLIYFGISLPLVAFLY FFMPETRNMTFEMIDQLYASNLSPRKFASTFVGNDVTEISLTVPIYYEFYDVLHDAAE RKEDFADIDEDIASAVRESINKYTKYYTFMDISDTYYTALILDPRIKADLIGRRGDTG RNILNVLLDNLHEKYPKTTDQHTMAEAPEHSHKKQTVGSWMLNRNFDDIRVDAIDMED PNWLFE TSTA_075350 MQIAAVTSTREVLSLDGIWRFALAVEELAEPLAWIKPLPQRRAR DIPVPASYNDIFIEKEIHDHVGWALYQQEVRVPRGWQQERYFVRLDAATHEARVFIND HLATTHVGGYTPFEVEITAYVRAGEKFCLTDAVNNELTNETIPPGKIEVSSLNAKRRQ TYNHDFFNYAGLSRSVWLYSVPPSYIEDVTLVTGVNGTTGTIQYGAKTNGEIGSSRIN VVVRDEEGHVITEAEGLKRCVTVNIRASSGTLTDEYTVLAGIRTVEVRGNQFLINSKP FYFTGFGMHEDHLVRGKGHDAVSMVYDFELLAWTGASSFRTSHYPYAEEVMDFADRHG IVVIDETAAVGLNLAMVSGILELTKELDPSSRPVTFANESSSTYETDQIADLVDFLCL NRYYGWYRETGDLKTAEVLFEEELCYLSLLRSMAPIR TSTA_075360 MPAFFDEESHEHAHRNPCRARSMTQPETDPSYIPESSRRTPKKA PFLFLFLIHNPQYLQAIGNLCPPACLLLPPSLSPSPSHPNDSKDAFLHLGRQDAWPPA RLFIKTVNVLAKILEFLTLIYSILSVIKDILELVKGPKDGKPQWGAQNSQSSTILQEL FLSVFLPACFVTGLPAIQVDVGTALGLA TSTA_075370 MRNDLAQWVSEAWIADWRVVESVLDMAEEKLDILLAKGRTDVAE QVEEHLGAGELRDGVEGVCWLP TSTA_075380 MSAASPTVVRSHSSSRPHAHHRPPSSDLPHRTRSTTARPPSSYS HQHSSSRSQSYDRRPPSNQAAFANLARRDFENSNLARPLSSRRDSSRDRSQERPLTSH RPDPARASQHHNTSSRHKRNSVDMPGTVVDTNTANVAAATAAAQSAGGHGNPSQPKRR TMISTPTGQWALGKTIGAGSMGKVKIAKNIETGEQVAVKVVPRQSTDEHRSGRDAERA DRSKEIRTAREAAIVSLVDHPYVCGMRDVVRTPYHWYMLFEYVNGGQMLDYIISHGKL KEKQARKFARQIASALDYCHRNSIVHRDLKIENILISKTGDIKIIDFGLSNLFSPRSH LRTFCGSLYFAAPELLQARQYTGPEVDVWSFGIVLYVLVCGKVPFDDQSMPNLHAKIK KGEVEYPQGLTSECRHIISRMLVTDPKKRASLAEIMNHPWMTKGFNGPPENYLPAREP LQLPLDPEVVEKMTGFDFGPPEFITAQLTKTLESEDYQIAARSFTRESATPNPSTDKR RGVFDFYRRRNSASRETLSNPSVEAVQIGSDPLNAYSPLISVYFLVKEKLERERASKN PGALSIPSDGALKMPDLAAPETAHTNQYDVPGVKDTSSRSRPRARTHGDDDLADGIKK LHVNQPASPNPNPNIAALQADSPAKKESTAAGILRRFSTRRVRERGRESGEREKHSAQ NVPSLNVQPPADLASPPHRGFSIRRTRRAEPSPTTLHASDSQTQQDPLRPPGSAGAQL QESRSSKYLERSASVNSGDYRSRRLARKYGTDGNGSNLNEPPLTSGSDGLGVNEISAQ KAGLGEPAPLNETKTAPRAPAMRAKSLGHARRESIQARRARREEAREATVPEDEDDGD LSNTGNALETPNTGEDFAKPVYLKGLFSVSTTSSKPLPFIRADIIRVLKQLNVEYTEI KGGFSCRHAPSIDLDRVVDARPSSPERQGQVSSHRRRISFGGLRANEDAREETKAAHS SRYSRRNQGPPDRSFISNSEVSDDYGQSQENTNAVGERVVGETTTRVQSDTGENLVLR FEILIVKVPLFSLHGIQFKKVSGGMWQYREMAKRILDALRL TSTA_075390 MAPTHQFFPREIIDLTRDDEEEEQDKDNADQAKALLDAFTKPKI SQPRPPKQNSSTHERKAKALLDAFTRPRSNETRPLKRKSPPDETEVKVLLDAFTNSKV SDPRPFKRKSPTDGPEVRGHSNSETVFTRPQPATNGFNHTVDNASIGTSSARDRPVSH STTPEHAIKRESMGPTPQPFVSLPPAPTVHPSISNRTSLSEPSSTRPQPASDSCNFSS RSSSVKSAFMAKKTPTPRHTRSPFVSAAIERTPKVMVVVPPPPTSDSSGGRSLSKRKI NRLPSVSSESDEESDPDEPKGVKQKYYPLEMYELRAKRGRYPQVRSTNSDLQVLPIRS SPVHKSRQRAPHGRKIYLRELLEKKLRTIQGPPVKMARDWDGTGILASNFEFTNTYKL QQGVTRAPEGFNYGCDCGYQCDPTRCTCLSKEEDSEQLMVAYEHRNGKLLLKKSFINR KAMIYECSLEIFHTGDRGFGLRSPEFIHRGQFIDTYLGEVITSAEADLREDATGGPHS SPSYLFSLDWFTLSEEDDDEEIHEYEDEDNTGSKAKPYVVDGQRFGGPSRFMNHSCNP NCKMIPVSTHHGDQRIYDLAFFAGRDIPAGTELTFDYNPGWSPDMSSDDPNAVKCLCG EAQCRGQLWPNQRKSANV TSTA_075400 MQPKHTVSPSATLEPSPMADISLSLRTAFMDNAHYRRESDSLST SALSSASGSPTSSPTSAWPFRKHRFSGSHTTEPSSRDRSRSPYASIIPFSFRRSSPFF LRRRPSAVDLALSEERSRCDEDVIERVGLSMMEPRPVDPIPIAMDLNSNLLSDMASQR SSYAPSSQGSIRGISQQPRYVMGGIIEVMEGNA TSTA_075410 MKSLPWTSCRVRAKPYDSVALTRGQIRSVRKAAEIYNISRSTLQ NRINGMPYRAEKRANNHKLTQSEEDSLVKWVLDLDRRGLPPRHSLVREMANYMLLQRG NQQVGENWVTKLTKRRPEIDSKFSRRYNYERAKCEDPKIIREHFDRVRAAILEYGILP EDIYNFDETGFAMGLCSSAKVITGSDRYARPKLLQPGNREWVTAIEATNSTGWAVPSY IIFKAKKNVRLGWFDDLPSDWRINISENGWTTDQIGLEWLTTHFIPYINDQFDRICTE NNIIPICMPPHSSHILQPLDVGCFAVLKRHYGQLVEQRMRLGFNHIDKMDFLMAFPQA RTVAYKAQTIRNSFTATGLVPFNPDRVIQQLNIQLKTPTPPPSRSSNTQSSCLQTPQN IRQFVRQSTTITKRINERTGSPNQVIDQAIMRMSKAYETTMNDLVLVQKENRDLRAAH GKEKQKRQKSKKQISIEHGITGEEAQALVQDQVEASQAVTTAPGEPELPASQAVVRRQ FRCSGCGVEGHKINRCPNRTSS TSTA_075420 MRTTLTTVAALSGVASAWMPGIHKDIVSIKGENLFNKTDPITKR WLPASGKIRGVNLGSMFVFEPWIAENIWSDMGCSNQNSEFDCVSAVGQDTANSHFQDH WDSWIVEDDIATMASYGLNAIRIPVGYWIREDIVYSDSEHFPQGALPYLKKICGWASD YGFYIIIDLHGAPGAQVAQNSDTGQFAPSPGFYVDYQFERGLKFLEWITTQIHSSNSF RNVGMLQIVNEPVQDSNQVGNLLSSYYPNAFSRIRAAEKSLGIAANNELHIQMMNAKW GSGDPTQYLTDNYFAAYDDHRYVKWDSSVAVSKDDYIRSSCNDDRGGNTPTVVTEWSL SVPDNVQYTPDWDPSTNQDFYARWFAAQVIAYEKQGGWLFWSWKSELGDYRWSYKDAV AAGVIPKNLDSVYDLGVC TSTA_075430 MASNNNQGHVPGQDPSIQRPIPLQNLSRDETDDFENIDERRGRR RSSGARSGHGPRRSLLTGGGFKTYERVAEDSPSPKDRVGLRITQPSTARFKENNPYAP DDHDFVEDPGGFAEAMGSIGFGFRSSSPRRPDSEEFMMSSFSGPSGHGGRVNPDDYFS SPTVPIDDTAPLTDKKYLQPISGATAEEPEGRASMQSIRLGNSYSSSRLGDDLETGAG GKRRGSSSPGGSPSRSRSLSPSVSGSALHRAGTVMQMMSQRVVNLSNEPVEQAILRRE SMKKPRQDEPSSPGQQDSTGIPHRTESMSEKGSTQTTWRTHVNPFKGKSLGIFSPDNP LRTWLCDILVYPATEPFILLVIVVHAVLLTVQSAHSVWTHPRSERWGSQPIDYALFVI FVIYTIELSVRIIVSGFIWNAAEYSTLDRSLGIKKAISERGKSLLSLQRGPSTKKKSV TFEDSQPSYLRKTFTGLQPFTDTSDDPRHGSRKRLAYRAFLRHSFNRLDFLAVISYWI SFGLQITGYSSKHDIYIFQMLSCLRLLRLLGITDGTSVILRSLKKAAPLLVHVAFLIG FFWLLFAIVGIQSFKSSFRRSCVWIDPAGQNNFTMNDPYNVIQLCGGYLDSVTGEAKP WVYANNEPSLFSPKGYLCPQGSMCIEGSNPYNGTMSFDNIFNSLELVFVIMSSNTFTD LLYYTTDSDYLASALFFAIGFVLLSLWMVNLLVAVITSSFQVIREESKQSAFAMEKLE EPEIDELGHYKVNHLKVLYEKTRWLWIVLIAYDLIVQCLRSASMSHRTERFISVTETA VTFALLLEIILRFASDWRHFHHSKRNIMDLALAVITCIIQIPPIHSSGRAYSALTLFQ ILRVYRIVLAFSVTRDLIMVVFRNVVGLLNLILFVFLMTYLAAIFAVQLFRGVFPAVN DSGNTIDMSFFTIYNSFLAMYQILSSENWTTILYGSTTYTKAYGTSWISASFIVMWFI LSCFIILNMFIAVIQESFDVSEDQKRLHQVKAFLQQKELGSSSASNLALTSIFKFGRN NARYREPLDYGPATMEMLLKDAVVQEFLDEHFDTYDAQTPHQSSVDKDSVQPGLLSII WTKISKVFLNKEPNPFYSKLKFSKAYDELDPRTLAKEVVMASEQRKVAQREYLQRYPL YNKSLYLFGPHNPIRRACQRIVGPGRGNHRFEGVEPYKPLWYTFSAFIYACIVAMVLL ACITTPLYQRDYFLSRQYTPDNWFVWTDAGFAAVFTVEAMIKVIADGFFWTPNAYFRS SWGFLDGIVLITLWINVFTSLFTTGSVSRIVGAFKALRALRLLNVSDSARDTFHSVII VGGWKVISAAFVSLSFLIPFAIYGLNLFNGQMISCNDNNLSGSLDGCIGEYQSSPFNW NVLAPRVADNSYYSFDNFGESLFILFQIVSQEGWIDVQSRAMSITGVGQQLQNFASQA NGLFFVIFNLLGAVFVLTLFVTVFMRNYTEQTGVAFLTAEQRSWLELRKLLRQISPSK RSNNAFAENWRKTCYRLASRKNGKWAHFITTLLVFHLLLLTLEWYPYPAMWDLIRDVL FFILTLFYIADITIRIIGLGWPRFRRSSWDLYSLLSVPGTFLTVILAFIFRDTRGMNA IPQLNKLFIVSVTLLIIPRNNQLDQLFKTAAASLTSIVNLLATWFVLFLVFAIAMTQT FGLTKFGDNETNNLNFRDVPNALIFLFRTSAGEGWNELMEDFASMKPPYCTLTDEFLD SDCGSEGWARGLFIAWNVISMYLFVSLFVSLIFESFSYVYQRSSGLGLYTIDRDEIRR FKEAWANFDPDGTGFITKEHFPRLLGELSGVFEMRIYDGDFTVGRILEKCRTGPNPRD SLVSFNPGERPGSNEVDIAKLARIINRIPVEEIRARRKRLNIFYEEVLVSADPERGVS FSACLMILAHYKIIVDSKSLRLEEFLRRRTRLRRVEEAVRRNTVMGFFDTLHWARQFR KHIESRKSARLTAVPQFSIPEIFVDDQEHQGTEDDPFSDEPSPQKDSRMTLSSPTSLP KSLSPTSSTRPSLQIDTNITAEGSSSEWSRIGAALSPRQNAPDLNLDFPDGSRSLGGQ SSEGGHSRDNSVIVQDMMQTLGDSAWGESIRRSFTQRRSGSHQ TSTA_075440 MSIAAPIITFKAGICDLDTSANPPQVKPKPTPGYIYLYSEDDLI HFCWRPRSAPITEPELDLVMVPSDGTFTPYRSTQANTHAPTNGRIYVLKFSSSSQRYL FWLQSKSQHERGDLSYFSPRDLKLGEIVNTLLQGEEVDVQQEIANLPSNNRGGDDGDD DATMEDVEGTHHTSEHHGGHGSGGAGFDATGGDIREEGEESREGGADGGRAASAAQPD ASSVIQGLLNSLQGEIQPAQSRSDEYLYTTLADLLSPSSTIPMIESADDEKVDHLLSF LPPSLLLLAQNIDDIPVSDMNAETAQAVLLSLDLEQKRDILRRVLRSPQFTQSLGSLT VAIRDGGLPSISEALKIPVENGGFVRRGGVPLGSGVAVEAFVEGIHKQVTEKAHGSRG DTMETD TSTA_075450 MSDAQTKKFGKGERTIPHHSQKAQKWYPVDDESQPKKVRKTARP AKPRESLQPGTILILLAGRFRGKRVVLLKHLPQGVLLVTGPFKINGVPLRRVNARYVI ATSGKVDLSGIDSATLEKVSAADYFTKEKAQQKKTEEAFFKQGEKPEKKKITSARAAD QKAIDQSLLANIKKEHLLASYLATSFSLRKGDKPHEMKW TSTA_075460 MLFTGLNFLFFWMTWTTLVLSHSPLRVELFGTLAIRLVFYLAPS VFFFLFDTILPYAASSVKAQGHIALPSGHKRRKISRKEVKIIGWSLFNILLSIVVQGA AEFTLTTLLRRRSLIKVAVRLPYPWGITTDIVRGFILREILNYSIHRFVLHNERLGIT RLHETWYHGLRAPWPLTAHYDHPLCYLLWKFLPLYVPAALFRFHMITYMVFLGLVSLE ETWTHSGYSTVPLGFVLGGMARRTEMHVISGGDGNYGAWGILDWLGGSTADENDTIEE DVRAELADLDIDAKIRKAVQEAKNHMGDSVEKERVKTRRRVNGNS TSTA_075470 MSDSGDSSKAEKKREYNRNAQRLFRQRRKEHLKSLERADKERSS SHAEELQRLREDIEQLRAENRALKSCSKISSPHASSVPSSVVMPGSPSPYPTSPFRSL ELYDSAHGSDDLVRSPSEIQGEELYQERHEYRARGHRSRQTTGPDSFCALFPQDISLV RRNLHLQLAPVLDLHIISNPQLHLSTLAAIGPSLPPALQPTLLQLQTPHHAYIDLIPS PNLRDALIQAGFAVANSFLTEVCTFVYETEDLGQLTIWGRDYLNVMSWEFSEGVLKAW PNLLTAEWRERANFWRAQRSEPLIIFD TSTA_075480 MVGRRSIGKKLDSPSRQLVYDLAKDLQAIRLHHEDLKRVYEYRA HALEQEEDEIDRVHQDFYYAAIDKTYDYYDGHRREAEIVLQEHLREEEEKERRRQEEE RRRQAEKERLERERKEREEAERKKAEEARWEAERLAREETERRQAAEAEAKARKAAEE EKLRREREEAEAKERQRQQDLQKAEEEEIRRQTLGAGGGISQEEVKEQERYLELHKYM KELRRFVLAEGKKDPALKSTVGDHRRAITKCLGQLREGQGTAANKGQVTEIRDILRKS QDIPIPCDVRRFFVHAPEEITNLPEDKAKVSAVFVYLLNIFVKAVVSQLINEAGIKLE YAEPLGVVVAQIFSTEQFCFQGHAFSDIFWAKYRASCPALWGFYGDEKTVAGKEALGW KRVEPGGPFLQEIDHRQRMVGLGGGFAAVTLRNFGKTNRKNPFPNYLFWRAVAKLVSI PPGKLQETHFYILYAMLRFSADRIIGFWGHAGLGLLRNAIVDLPNRAINKQGGAIGQL KVLRGLYSTEKSILI TSTA_075490 MDHQQGQPGIPGPAGRRLHIAHRRSPSELTPLMMEQLAIQQQIE LLQQQQQQIAATHQQYVNMGLLQPQQPLGQVSGFSPQIGQGTMAGVSPQVHAFQFPQQ LGVPVNNGSSHRRNQSALPGMGMGPPPAPSSGASGSAFADHHQGNQNRENSGPSRGRG GPIGGGHQRRHSLALPEAKKAAELAQQKRTAAGFQFPAPGSSESPTAEEKPKTPQPQG LGLQRAGNLRGGAHGRSQSMAVGRGATGFQFPIPESSPAEHPRRGHGRTPSRNFDGNW RQQNNNNNQDQQKSNQGGFGQQQGGNTFQPGHRSRASMNQSIGSIGQFQYPNAAPQLI QLPQGQVVMAPQLFNGQQLNPLQLAQLQAMQQNGQLNGLQASQHAPQQLSAQQQQQQR KTLFTPYLPQANLPALLSNGQLVAGILRVNKKNRSDAYVTCTELDADIFICGSKDRNR ALEGDFVAVELLDVDEVWSQKREKEEKKKRKDITDSRSGDKLSRSDSGANGDNQQVGP DGSIRRRGSLRQRPTQKKNDDVEVEGQSLLLVEEDEISDEQKPLYAGHIVAVIERVAG QMFSGTLGLLRPSSQATKEKQEAERAARDGGHGRQQDRQQDKPKIVWFKPTDKRVPLI AIPTEQAPRDFVEKHQDYANRIFVACIKRWPITSLHPFGTLVEQLGEMGDLKVETDAL LRDNNFAADEFSEAVLKNVGWEDWTVASEGDSLLSNRRDFRDETTFTIDPSGSKELDD AIHIKKLADGKVEVGIHVADVAHFVKANSLVDREAKKRGTGVYLMNRVVNMLPPRLST EICSLLPGQERLTVSVVFKVDTATGAVDDDVWIGKSVIKSSGKLTYDDVNAAITGESG SPLDGVNADDIRLLEAITTKFKEARFGNRATDIPALRLIYQLDDENIPVELNIFDSSP AHEVIEELSYKANFFVARKLFAAMPEKAFLRRQAVPNPRRLQTFVDRMNRLGYEIDPS SSGSLQASLFKVKDADLRKGMETVLIKAMQRAKYYVAGNVTEAQRQHYALNLPLYAHF TSPTRRYADVIVHRQLEAVLSNGAIEFTDDVDTLVKTAEQCNNKKDSAHNAQEQSVHI ESCRVMDKKRSEVGGDLISEGIVLCVYESAFDVLLPEFGFEKRVHCDQLPLKKAEYRK DTRVLELYWEKGIPSSAYIPEDERPNASSSRAAHAAAAAQEAEAARERAREREEAQRK QTETGTMSTDDVDALFDDDDDISELTEMAAGVSLNSSADRPTQSMPPSPTRNGHDGQG PHRARSDPKIAISASETPEAKLTDKEKYLNLFKLREEDGEYIQDVTEMTRVPIILKTD LSKSPPCLTIRSVNPYAL TSTA_075500 MVSKLRRLIADHADLHNNELPPNYLFSPADTENDDLSQLVVMLA GPQGTPYSAGLWRLQLRIPEDYPASPPKAAFKTRIWHPNVEESTGAVCVDTLKRDWES KLTLRDILVTISCLLIHPNPDSALNPMAGSLLQDDYNEFARQARLMTSIHAPVPFALK QAVLQAKQRGEDPEMAARSENEQEIIELRHQPKSSSLVMKKKHSEISPPQPSNADEDM DDIEDDCKENDPSLSPEPVPILSSQPKRNGLAKKPLSVIPTTPVLETDMIMLDCASDD EDMLSDGMTASERNIAANNTISLKFSSPYSSQNSSVFSTRFQTPCSSQAFPGLHNTND STPTSYAIYKDDVELDNVNKLLLMDTRNNRHCRRPTYGKENITGSFSSLPKELSNTSD TPPFSTPTGVVMKSLSTSSSSSSILSPSTAATRPLSPTKVTKPTFNGSNNTNITSTRK AVSGRGKPRIGLRRL TSTA_075510 MRSIIAAAAFATVAIAQSADTSVTPVSVSNPATQYLTMTNSLGV VTGQPSVVTSQPEAATSQPPAASIPAGLTSPVVPLSPTTTDTSAAPSGSSATGSGTAT GTVTGATTGTTTGTTTGTTTGTTTGKVTSSGFSTATTSESSTHTSGTSSSSASSTHAT GAAAMATAGPMGLSLVAGAAFAMLI TSTA_075520 MGFFSRIITFFGLVLLAHAGYSAHEHTTLYSSVTLAASTSGTNP TTASNFFAVVPLDITIEALVSVVLVSTGLVLGAEKLKPITHGAWAGQIEREGGAKNPY KNLEIRSGFWDVRKSRREFADWMRTQGQENIIKS TSTA_075530 MGFTDLVSDAGLTIANNFLSTRSYIVGHTPSQADVVTFKALSGA PDAAKYPHVARWYKHIATYESEFSTLPGDPSKAYTTYGPESTELPTNPKDKPAAAGDD DDMDDLFGSDDEEEEDPEVVKEREARLAEYKKKKEAKPKPAAKSLVTLEVKPWDDETN LEEMEKNVRAIETDGLVWGASKLVAVGFGIKKLQINLVVEDEKVSLDDLQQQIEEDED HVQSTDVAAMQKL TSTA_075540 MLSATRLRTARLGLRRPSAVTSCQPSVRNSLFSQTSRLRFNLPY QPSYHLSTTIARTYATGRGPHPPGGTHRMNVGGGEEKSALEQYGVDLTAKAKSGKLDP VIGRDAEIHRTIQVLSRRTKNNPVLIGAAGTGKTAILEGLAQRIVQGDVPESIKNKRV IALDLGSLIAGAKFRGDFEERLKSVLKEVEDAEGDVILFIDELHTLLGLGKAEGSIDA SNLLKPALSRGELQCCGATTLNEYRLIEKDVALARRFQPILVSEPSVASTISILRGIK NKYEVHHGVRITDGAIVAAATYSNRYITDRFLPDKAIDLVDEAASALRLQQESKPDSI QELDREITTIQIELESLRKETDVTSKERRAKLEEMLKAKREEVSKLMEIWNKEKEEIE SIKRTKQELEQSRFELEKAQREGNFARAGELRYSVIPSLEAKLPKEGAENENKETLIH DSVTADDIAAVVSRTTGIPVTKLMSGEVEKLIHMEDSLRQSVRGQDEALSAVANAVRM QRAGLSGENKPLASFMFLGPTGVGKTELCKKMAGFLFSTESAVLRFDMSEFQEKHTIS RLIGSPAGYVGYDDAGQLTEAVRRKPYAVLLFDEFEKAHRDISTLLLQVLDEGFLTDS QGHKVDFRNTIIVLTSNLGADILVGADPLYKHKIPDEGELPADIKKAVMDVVQASYPP EFLNRIDEFIIFKRLSKDALRDIVDIRLKELQARLDDRRMTLQVDDEIKQWLCEKGYD PRYGARPLNRLISKEIGNRLADKIIRGEITAGHTARVKFNKEKDSLEVVPA TSTA_075550 MASLKSKRESAPRNSFRASSAQRRVATDPVNPVRLRPLSLGASV ITTNNIEESQDQQRRVIKQGAECDLDLFKGRMLYLKQDAIDIASIIPEEPQIEVNVIY FCQLGFNIPIPFDNIGRVTYTGSGGTWEQVFKDERMRELDEN TSTA_075560 MSNWPEENNINSDYVQIQSIFMLDLQQISLLQQFSTRRSLIVID KFGKGTDVNGFGHMEVRMDKEIANAKEQVTSLYNQAAAIRASEHRMDSSICAAMNGVD EAIVTTNLCHYQPEDKISSQLEWIARRFLKMDLSTNMGRHFTQDLADLLTTGAAASSR GLVDELLMLTRDCACLQNIITGEAGGVISDKFVHFV TSTA_075570 MAAKKRKNDSSSGTRYGINETFDNSEDEFIAGRDQILLEDGPEA KRRRKLQEEEADLQPSDEEVLGYDDEEEEDEDDEYENDYTSRKRKGGSDSGSDIGVND EEEEEEEGLEAWGSSRKDYYNADTIETEADALEEENEAKRLQQKHLKAMKEADFGFDE IGWLESGQGAEDGKDGNDGVVTEVLPQLEITDDMSSEEKLKLLKSRYPEFEPLAKDFV DLQPEYKNILAEAQKAEEAMTGSETSVSVPILKFRALASYLGSISMYFTLLTSPAEDG DGKSVALSPAELRDHPIVGSLVKCRKQWETVKDWSIPEASNIEEDVEAEVSGEDVDIE PVVQQSLKDKKSSKATRKEKKTSTSQQAAEKARAEAEARRAEKIKKAEANLADLADLV KTSSKKSKSLSKTTVAQAQEDDDSDLGDEGALTTREAEEKARKKRSLRFYTSQIAQKA NKRNAAGRNAGGDEDLPYRERLKDRQARLNAEAERRGRAQANEAEQLGGDSDEEDHRL AREVRGDAAGTDDDEYYDMVAARNKQKKAEKKARAEQAEAAQKEGYYEEVEEIGPDGK RRITYQIEKNKGLAAKRNKDVRNPRVKKRKKFEQKKKKLASIRQVYKGGEGRGGYGGE LTGIKKNLVKSVKL TSTA_075580 MDYSKGLIAFTAIIVGVITYSAYKMLGFGGNQFPVNGKTILITG ASEGLGRSIAIQLAQKGANVVIASRSAHKLQAAIADIQAAAANSSTQRFHFISADLTD PDAADRLLNETTAWNNGVAPDVVWCCAGYCHPGLFIDVPIKTLRDQMDTVYWTSAYTA HATLRRWLVPVSQSATSKEQQPPPRHLIFTASTLAFVAVSGYGPYAPPKAAMRNLSDV LVQEIEMYNGARRKKDANAPATDVKIHIVFPMGMTSPGFENEQKLKPEVTHIMEEADK PQLPEEVATIAIKGLERGDFQIITNTVGQIMRTSALGPSPRNNIFLDTAASWLSNLVF LFVIPDLAKKAFNYGKEKGIPSAKA TSTA_075590 MIRVATTTTSPAFLELASSPSNRPHEQITLANESPVQTSVPPSR ATSPAASSVIALGSLSQRQPPASPESLPSLPKYPGTWDSLSSSSPENRKANSAYFTAA WGSPYATPSPRRLSLTLSHQPSLNLPSRDNSPSRGRGYTSVRGPGSFRTTSTSSQVPR PLPGSVGPSSTRDFSGTQGAFSFKQFTEDWIDQYLSGQPRTERTNWLSDDEGSEAPSF FTARNNFGDDPTEGWLGLDEPNDEDPLRTPTASNSLSSRSRKGFARGQLSRTRQKHLS TFSTATLRQEDVWGFAFGDEHPSAEMSDIADAPLPTAEHDSPAESSVEKPLPLPPTDD ATNIENAESVATDANSRPPLLSQSSSQGGRAKVLWRKKTCVIAVPTDDKRGSEEFGYR LLTKEDVEKRLQRWQDEGYHVEGFDVFAPEQDSLSSQNGGFSRLPYPDETEPKQDKDS RKCAVRFPNLGEWAVYVQQLQEEKLRALGVFLDDDPPVTESPATSMVPMAPMGIFPGH ITSPPIPTSSAASNPMFGSHTFSPHFNQSTNPSTHAESLASPPPQFGGQHSFYGMDAN FVPGLPYPYQPTPPVAGTLTPQSLFSARQASFAGTGPGGMPNLNAIFSPVSPLNADDM FNQSTNGQRDRTDSIRDSSYRDSSANKRQVRESRAATLIMDESQFETEHFQASNVEIA HPTPRGHSHNLSETLQKGLDRYSQADYHLEHSIQRQLEEPESDLQKSRWAIAEDEAQP SPAHAQFSIPDSFSTGHHRLFGEQQQQPMGIIQDADEIDTNPSLAASPREPKLDMSHH PWHSAKPSSGSFVAGHKSKASLSGLNVEAQEFNPTAFAFQGGASFQSNGLGNGSVFSP TSSVFKPSGSIGNYNVAAPSFTPTSNDFSASSTSFVPSASKGFTFSTASFNVDAPAFN PGASVTSNASDAPSHHKGSIFGDIDFNQVSKPKKESKAIPIVRPDDEDFDGRKNEAVE RGVPGTPPRQKRARRGAGEEDKAAEFAEPSPLAEATQAQATATANQSHKSAEGKENEA PEQDELEIPTKPASPNTKRPFVEDDAASVKDIAEDTNGKKTTPPVDTEPFPSVPDDEI VEPTNLAIDEKATKEMKEKDNAPSVSETAPQPISEQPKAELPKREPRKSLFSAFRSFT FRPSISEFVPTKSPTTKSPTETTSTTEPVKAQPEKPKSGLFASRYAVAEEDLKVTELA SINHQSEKDAKKNDEIAPSGHDSPDEDELNAVMDQLNGDDSDVGVERLNTPLPPQLAV ETPAARSMEQTQLPQIIRSDAPSPSPARNFNHLLRGAPKITSDLDVNQSQHSFPQRGF ASGAQSPVRQLHSTNEHISDWDDVISSGEDEKLVQRSKFFDRHVNALVSEVLAERLGP LEQALSIIQNSVVSLHSQSTVRQSFNGRQADVEHSDADDEDDEVNNPRSISPLSKRDR RLEKMKGAVLEALAAHGFGRQEPNSSSANLDAIQETLVELKALTQQRQQPELPDIRGM VQEALAIHAQASARKTPLSEAEEIGAESLKLQLDGVKSMLRMADERTEQEIKARRDAQ EALTEVQKMLGHAEGEVARYRQAAEQAEKAVRELQEEKIPQLEKAQTRCETLEKQQES WEMTFSELSTKNIALEGTLDEYRVSHDFWKKKVAAIEDENKDLQSTISHLTARVEDSM RARQSLRGKFDKLQDDMANVTKDVTRDQANARRKEEELTAKYESLRAAYDREVKLREK LEIDISELEKSERETAKLKFIFAQSQQENHRLEELVASLRQESQEHQNTAARFEREFN EARESSRMEIQRTRTSMEADLEAANNQVNYIRAGLEAEINRLEGQLENVRMDADTMKE RYELLLEEARENKDSAMTELAAAKDMAMEEQRKTHDRVLNDLRERHARALHNASEDRQ RIESYMNEKLGLSNEKVAHLQDRVAHLEEKLEIAKSAARAAAQAAQAKGVVVPPTAQH HSSPSLSYSRDSSVPEKISPQALRESILVLQDQLQQRESRIEELEQELSEVDKEAPNK IKERDTEISWLRELLGVRVDDLQDIIATLSQPSFDQNAVRDAAIRLRANLQMQQQEKD RAMSGQAFPSLADIAASPRSLPLAAAAAWGNWRKGRESFTSESGASQTPSKSTNGGFL SGLLTPPSSNVRYTPKNLSAPPGPARLAGRRTASESRPLRGYSQSSRSLSARQMDKLP VVHQEPIEPPTTPPLLRRSSYDHDAEQNSYDGSALMDDGESMIAADSPHGSRDGLFAR DE TSTA_075600 MRFSTSAVLVTMLGWIGAATAHNIQLKAHSRECFHEKLHKDDKM TVTFQVGDREFGGSGNLEIDFWVEDPAGNRQYYKNSVSSEDYSFTAQRDGKFTYCFSN EAWTSNSKEVSFNVHGIVYVPESELEQHPLEVEVRRLSESLSQVRDEQSYIVVRERVH RNTAESTNARVKWWSIFQLAFLIGEGIFQVWWLKRFFEVKRVV TSTA_075600 MRFSTSAVLVTMLGWIGAATAHNIQLKAHSRECFHEKLHKDDKM TVTFQVGDREFGGSGNLEIDFWVEDPAGNRQYYKNSVSSEDYSFTAQRDGKFTYCFSN EAWTSNSKEVSFNVHGIVYVPESELEQHPLEVEVRRLSESLSQVRDEQSYIVVRERVH RNTAESTNARVKWWSIFQLAFLIGEGIFQVWWLKRFFEV TSTA_075610 MATNSPNPLPFAEPPYLRGLHSPYYTASHLAFQKKARAFIYENL NKYALDYEREGIVPQHVFDTFAKHNMLIPNLPPPLPVPWLKRLGIHDILGVKVEEWDY LHTGIYLDEMSRSGLAGPASSLTAGMAYGIPPLVKYAPRELQERVLPDLLTGKARACI AITEPDAGSDVANITTVAEKSADGKEYVINGTKKWITNGIWAEYTTMAVRTGPPGSGA AGLSLLLVPLKNYPGVSMRPIKVCGNETSGTTYIELDDVHVPISNLIGAEGAGMKYIM TNFNHERLSIAVGVTRQARVALSTAFEYVLKREAFSKPLIAQPVVRHRLAKAGAELES LSAWIEQFLFQMTKLKKEDADRELGGLTAMAKAKAGMVLNECSQTAVLLFGGNGLTRT GRGELIERIYRDVPTARIPGGSEDVLLDLGVRQLVKIYEAGIKRLERDARI TSTA_075620 MVYIVAARLTIAEGLGIEIHLGLKQQQWPSQRLLKPWRQNVGSQ SALMLYKFYCSSPSYNNNPSCSRRLNPTTWWSSLDLDGHTLLLMMKAALTPTIVVALA RIPAVSHVTDATNDAAALVAVTSHVLMPRGKFVKVMLFNVLAVCLGACVACMALVSAT RAGKGDRRVDATTPKTQKGYSSDANTVSAVWLIVVIWLANAMRSWRPIELQSPVIAFS IFTCFNITQYGGFETFEAGVEYMLSLLRSMLIAFAVASVVSLFILPMTSRTFFLKDAR DYSLKVQAVLQQQSGFVRMTVENPAVWFADGLPRRFQPIQSSEAVDGTDFSTKLEESK QKVHLEMSSLGSLFSKLQVDLLYSKQEFAIGKLSTEDAVRIEELLGSLLLPLSGISML PRMLDMHIKNERNYQMKVLERDSEEEILQKQAELHKAITTLQKHLSETATLAATGIQY FLLVTKLMSRRHFFKYRESEPPATVPKDEESRDIDLDPLEPYFVVRFERLMHETFCRR RHFPGQLASLAFNDAREGSNNRASSKKTREIITSGPQIRLEFFLVLYMGHMQDILLHT THELIKFADSKVADGTMTSYRAVFPTDTSLKRWFSLVQEEPSEPSDSTPDENQGGEEV HPQDSEHMPPANLWEKSSHIFRLIRRVIISEHSLFGFRVAAASFCVGILAFLERTSSF FIPRRLVWVMILIVIGMNPTTGETCVGLMSRIIGSTISVFLSLIVWYTVDENTAGIII LLYFANMFEYWFYIKRPQVLDSSVIAIATLNEIIATKLEVREAENPELAGLANRPTWV FALHKILAVGVACGLMIFWTIFPFTITAKHKMRRMLGESIFVLAEFYSATHTNSALWF SGGLRDGLRDPQALLRQLERVIRRLFRKEMVLLDELREYSHFSKFEPAIGGKFPRRIY DDIILEVQCSLTAMALMVKTTQSLEKLLPLPLPQEPTTSAEQTTNHEQKIEQQTEQDE GRKESLRLGEPKDKAEKKRQEKEWFTQLGKVALETVDFKSARLASFLSHLSASVTNKL PLPPYLSAPSSFPLSRRMQKMNKNLLHVRYLEDPAFTAFITLEVLRSIVAWCMRDLLS NVKKLVGELNFEYHISRTESHGEAASLVAGGPAEESEEKESDEDSSSSTDESQGKSMT C TSTA_075630 MQLTLQRLLVAAVAAAIAATASPLAAFEQQRLNAELQRPELSVV GQTISRKLTGKFLHITDLHLDRFYVPGSNTEDEACHHGKGNAGYLGAPGSECDSPELL INQTFEWIDKNLRDKVDFVIWTGDSARHDNDERIPRTENQIEEFNAAISNKFIEVFSH RSDHPNEALIIPIIPNIGNNDIMPHNIFEKGPNRWTEKLAHLWESFIPEEQRHTFVEG GYFHTEVIPNRLAVFSLNTLYFFDSNQAVDGCAQKSEPGYHQMEWLRVQLQLLRERGM KAILMGHVPPARSADKQAWDESCWQKYTLWLRQYRDVVVGGLYGHMNIDHFMLQDSDD VDMDSLLREERMPPFVTENNYENFTIESKGSYLSSLRDLWAELPTPPQSVLEDEWSIS DADDDVDATKKKKNKSDKKKEKEKKKKFFKEIGGEYAERFSLTLVSPSVVPNYYPSLR VIEYDITGMEKVPTWAETQHKTGKKEQQWANHELEVQKKSKKTPIPDPPSKRTPPGPA YSRQPLSLLNIVQYYANITQEDNTNEVATKEGGEEGISSKNFRVNYQLEYHTGEDDVY KLRDFTVRSIYNLATRIGAGDSPSSLSDATSTATEDENDSTSTSKKNKKKKKNRPNKN NKTWKAFVERAFVGFHDYDEIEDKL TSTA_075650 MLKTLFQPIHSVRLPPPGSFNNQTVLITGSNTGLGLEAVRHAVS LGASKIIMGVRSVEKGERTREDILSRTSTGKSTKTDIEVWKLDMSSFQSVMSFVHRMK AYVSQPGKRLDTAILNAGLASGIWKLSPDGWEMQIQVNGLSTALLGLELLPILISSAS SSPSIPHLVIVASDIHQVAQFPQRSAPHILQALNNEEQWRELQAKSPVERYAVSKLFN QWTNIEISKLVPVDSQTGQPKVIVTSVTPGFCKSELLSREEGAPWLLKAIQMVSARTP ADGAKTIVDAAVRQDGHGKWLENQKLTDPGPIVSSPEGQKIQQKAWKEILDVLKKVDP DLTLDFS TSTA_075660 MAGFPSLKPAFTVRVAVDAPFPVGSHHRKAPLVVVPMVGGTVIS EPGFTPALNAKFEGIGNDYIRNDPDGKYMRLNAHGVVKTDDDALIYIHYQGTVNLTEG VVKALSGQAGDAETPYGDSFTSFNIETGDERYKDLENGVFVGQGHFVTKTGEKAIVEY KVSQVVLG TSTA_075670 MATDSQKHPFSARGAALHGIPSLREYNEDTPEWPPTEYIKNNAS SAIQVCLTKYILGSDGARSAIRQATGVQSSSRGSEDAWAVVDVYVDTKFPDYRRRCAI RTPDGGCVLIPRKDEGLRIFLQIDENGQESLGANGHRMSSVKTVPSNSPISSSRTLKS QYCVAQRVVHKFCDQTQRVFLLKDTCHTHSPKAGQEMNVSISNSYRVTWRLALVLKGI ANPALLQTYQQERLWVAKQLIESDAQFARQVGRKRNFKAKTSAKHGNRGMDSQVVWRK AVEPLTPGRRLLPIDAVRHIDGNHAHLLDVMPSNGRFHLFIFAGNQLLPPMMLGDSLD SSQFPMSLFNLRPLELMERFRHEDITTDPDSTTSKLYVLDLFLIHSIDHLSNPLEGLP APFSTKWSMRVYSDISGAAQNQLGFLKTLVSPVFRS TSTA_075680 MASHEVDQKYLGQLAASTRHENPFLSSMLYKILGLSVILSKKLV RARKLRRLDPTRETKSLQLYYHIVWLSREGLVIVEQYILPMVLAYKLRASFYHIFVLF HNQPAIHTPGISSLPSSTNLPNGVRHTPTEDQSDPNRESISKTPPPSEGGPVRMPSES SVKKGPPGLNPYPPPKPAASFLLPALDYTQTATPCFSHAATLADTLLPGSHPLRLSVK LEYAAYLYDCLHDADACRRLAKQTIADVYNAQEGMDDESFEDAAELVAVLGRMVKRGG KTSSAGGSSVGRDRDRSLSNDRQSTTRGTPPRQTPHTPIRQTTATHRRTPVQQSASPT LSRKNCSPPTPSQMKGKPVPKQSPSAGSGGSTTPKAPVPIINPI TSTA_075690 MPPIRKKTLQKALRLREEFITRIYDIPRTTLRDRLKGIEYKAEK RANNHKLTQFEEESLVKWVLDLDRRGLPPRHSLVREIANYLLSQSGNQQVGEKWVYNL VKRRPEIDSKFSRRYNYERAKCEDLKIIQEHFDRVQDAISQYGILPEDIYNFDETGFA MGVCATAKVITGSDRYARPKLLQPGNREWVTAIEATNSTGWALPSDNGWTTDQIGLEW LKTHFIPYINDRTMGRYRMLILDGHGSHLTAEFDQNPFEGLDQILSRMSKAYETARND YLLARKEVGDLRAANEKENQKRQRSNKQISIEQGITREEAQALVQGQVEASQAVVTAP AEPELPASQPIVRRQFRCSGCGAEGHKINRCPSRTAS TSTA_075700 MARKGPGTDGPLQTALLESTSTAITRASEGQKIFSPIAAFLDKH RSQTTGLAPHLLRALTALSDDLASVAQQHFNTYISGISTTSILPALSPSPSSSPIPNP LPSSSPPSHPPSGLEQSTYATITQYTPVKSTPTTHSKASVKKPIPLTGFALYPSSLEA LLAFEA TSTA_075710 MDDLTLDGKVAIITGSSRGIGEGLAVEFARRGAKVVITYTSESS SAKADKVLSCIVGYQYGRSAIKVQADLRTLEGPSQIVAATLKAFPDDGIDILVNNAGC EVQKRLSEVTAEDLQYVYDLNVRGTMLMTQAVLPHLRRPGRIINIASVAARAGLSGYS LYASSKAAMEGFTRCWAAELGADGHTVNCVNPGPVDTDMIYQINPDIVEAQKKSTPVE QRLATVDDIAQICAFLAEERSRWVTGQTISASGGWTMY TSTA_075720 MEIRPSEHEMKPESESVNQHKCTDSWVLPTADPSGVHNAGSLMA EDGAKNTGRMEQKSDSTMNDLPLEDTNVEDSQRGLNQAFAEFMFFFSKNPRKKAPLYN MTLSPQVSKWSIRYKS TSTA_075730 MRFTRTLLNSQPARLTLFTRAQCGLCDTAKLTLSQLQQRRTFRY NEVDIMAPKNKKWKDVYEFDVPVLHVQPANSDEESKLRKLFHRFSEVEIVKVVDEVVS VAKYHGHEFAESIKCFGNEKTATSAKESPTMIAICTRRPVACTARRSRRFINGKPSWP VAYWEVEAAYQKCKREMLNSKTGYMLASEHALSDNLAVAVDEFYIITNRAISSRVML TSTA_075740 MATKCVHKGCGKVFTDPEEDCIYHPGPPVFHEGQKGWKCCKPRV LTFDEFLNIPPCTTGKHSTIDDTPKEGPKEIDSAALANLVSEQSVPVTTPISAAAAAL PTPTPNTASAPPPEPDSDDPSLSIPANATCRRRGCNATYNPDISRDNETCAHHPGQPI FHEGSKGWSCCKRRVLEFDEFMKIPGCTEKKRHLFVGKGKAAGEEKVEDVRTDFYQTA TTVNASLYLKKIDKEKANVTFTSNTVDFDLPTTDNKRFTKTFNLFGPIDPEASSYNIF GTKMDIKLVKKDGQSWPVLRSDDKWTGERIQIGKAGRVA TSTA_075750 MACWPNGKASAYGAEDCRFDPCVGHVCGNNEFEGPLYLLFTGHE LFGAMECSPLTPRTPKFLTVQCSMFNSAFHNKGIINNHGKYSCGERKPLWDEHRTSIT CNTNADSQPNGDQEPASRAPKLRASRIAVIMLSLGASVFVSALDMTIIATASPEIAGH FQSASGYTWIGSAYTLANTATMPTWGKISDIWGRKPLLLAGLVVFFVGSMICGLADSI GLLLAGRAIQGVGSAALNILVNICVSDLFALRDRGLYFGLLSLVWAMASGVGPVLGGV FSEKLSWRWCFYINLPIIGAVFVLLLFSLKLNTPRTPMWDGLKAIDWTGGSLVIGSTV MLLLGLDFGGVTRPWSSATVVCLLVFSVVVGALFVINEKQIAKYPVIPIELFRYRSGI GSFLLCFCHGLVFMGQGYYMPLYFQAVLGMGPIMSGVYFLPFILAITFSGALTGLFIQ KTGRYMPTTYLGLALMTLGVGLLINLDEYLNWSKLITFQIVSGIGIGLNFEAPLLSLQ AIVGKHNAATATSTIGFVRAIACAISIVLGSVVFQNQMVKQGPSLTAALGPSLGGLLS NGDAAANIGIVNSLPSAQQAAARHALHHALTTMWVMYVGFAGAGLIGGCLMSSHPLSR EHEAVVLGLRRESDNGN TSTA_075770 MSKTTRYRDPHQWSRPRDHLDPEKASSPIAYDSSLSDDEPVYSD TSRVTSGSLSSHVPMLGSPSRRRRPRRNGIMPHRLMRCVCLAVFVALVIFVLNLFRFT LFGRAEQVPIDVAKPVPKPHVWESFPFLKRYHGGIRTLMPRNESIPEYPGDGTLDELS LAMDEALQEGGSDQQEEEEEVRKTVQKRSDGLPMSSSAFNPYPNYQSEEYIQKYGEKV ECFLDEDNQVRLPYLQYYAGVPQGFPDAIMGSNKMIGMRDDVCFDRFGRLGPYGLGYG ARKGGSGAGMEGDREGAEGVWADVPPVDFREVNWAAAQNRCVIANSHRFTKLGDPRLD RAFAMPIGAPPKAHLESPPAPEKEYAKGTTNLPRTAVLIRTWHDYHYTEEDILYLRAL ITELSLMTGGEYTVQFLIHVKDDNLQIWSDEETYDRVLFDALPEEFHGMGILWSERQM NLIYGGLEESFERGLPVHGVYRSTFMPVQYFSHLHPEYDYVWNWEMDVRHTGHWYHFF DKAASWAHKQPRKGLWERNSRFYVPSVHGPWEDFMHTVRVETEKGTNSPNNIWSAVKD SEDKSDPYHKDHGRHGEDFIWGPVRPHEDDIMELETDVTPPTSMEKDRYEWGVGEEAD LIVFNPLFDPVGTTWLLRNDITGYHREDGVPGRRAAIITASRLSRRLLMTMHRETSMK RHTMFSEMWPATTALHHGLKAVYVPHSVYIDRKWPPRYVDSVFNGGRNGASGGARTSV FGDREHNFRGTTWFYSAGFSSNLYRRWLGYKVDNDGGEEEELAGEGRLCLPPMLLHPV KDVQLVIDNGDVPDEGEVGESIADDSS TSTA_075780 MATLTEAERKANHVISLPKPPRLSSVSSNENIDPKAIAQQWLTN LETTLSSNNGNITAEHIKSLFHDDSYWRDHIALQWDFRTIHSATNIASFLHEFQPGSQ LSNFSLQTSGKYVPHIETPENGVPGVDFISSMFHFETKVGRGTGIFRLTLDDAGKEWK AYIVYTSLQELRGAEEPLGPKRLYGTLDSLPGGSAGGTWKERRERAVKFEGVEPTVLI VGAGQAGLNLGARLQSLGVSALLVDRHERIGDNWRKRYRTLTTHDPAEYTHMAYLPFP KNWPQFTPKDKLGDWFEAYASLMELNVWTNTSVTSASYDDNTSTWTVTVRKPDGFERT LHPKHVVFATGHSGEPKVPTFPGQESFRGIVYHGSQHRDAAEYDVRGKKVIVVGTGNS GHDIAENFYENGADVTMLQRRGTYVLSVDKGIFMLHEGTHDESGPPTEQADIWSASLP YQVAFAFNVHLTRRLSEADKDILEGLAKAGFDVYKGIDESGLLRLYMTRGGGYYIDIG CSQLIADGKIKVHKSPEGIKEFTEHSLLLADGKELEADMVVLATGFDNMRTTVRKVLG DKVADRCQDVWDLDEEGELNAMWRPSGHPNFWYMGGNLALCRIYSKFLALQIKAIEAG LVPAGK TSTA_075790 MIYSLLLLASLALSALVQGYTPAPVTTNNPFPDVYTATLFDSPS SSIRGSITVIAGPGGVGLSFSVHFTGLPPDLGPFPYHIHVLPVPAGGNCTAAGGHLDP YNRGDEPPCDPSDPATCEVGDLSGKHGNATGSVFSTGYIDKYLSTVPSDPAFIGRRSI VVHSSNGARLNCGNFQLISGAQEAVTRGTLGVINETFSAPETFTTKTTTSRSTAIKAT TSHPTSTKTTTTFPTRTSTHTTGS TSTA_075800 MKMQVDIRTVVHKSSHSSSDGEEPRLSLWTSHMYQLYAILALGY LCIVLQGYDGSLMSSINAMPQYQHYYGLKSAGSSTGLVFAIYNIGSLGSLPIAGPVND LLGRRIGIFLGCCFIVIGTCVQAPATSRQMFLGGRFLCGFGQGFVNVSAPVFVSELAH PRWRGPLSGLMQTNYFIGSIIASWVTYGTAYLDGEKAFRIPIWLQLVTAGIIAIGIWF APETPRWLMAHSRHQEAERILTKLHGEGSTEHFYVRLQLVEMESQIRETGSDKRWWDY RDLFNTRSARRRMICVIGMAWFGQYSGNALVSYYFPVIVQQAGIDDPHMQLLLNALNP VISWLLAILGAILLDRVGRRPFLLSGVLGMSMCLAIVTGCTKLSVDYSNRTASHTGIF FIYLFSAIFALCIVPLIPFYIAETLDTETRAKGSAVGQLFGSAASILGQYTTATAIGS IGYYYYLVFIFWDLVEFAIIYFFFVETKERTLEEMAEIFEAPNPVRKSLEKRPRRTVE HTTYHEK TSTA_075810 MHAFLYIIPTCLISPAIAENGLDGWLRYALLPASLTSHFLTGFP SNIITLNSSINSLVYTAARELQKGLRGIRHKDVDIICSNKSQSQFASIVIGTVDIFTK TFGIGNSWDDLIQDGFSLNISENSVRIIGRNERGTFNPHAPIRCVNQWDNLDGSIERG YEGSSIFFENGVIVRDLTRAAEYARLLASIGVNAVVVNNVNANSSLLTPENIQGLSRI ADVFRPLCFAAGGWGLSIYDPLDPGVIKFWWNITNQLYEAVPDMVGYLVKADSEGQAG PLVYNRTLAQGANLFANSLKPHGGVLMFRSFVYNKLNESDWKADRANAAVEFFKDLNG EFDENVIVQIKYGPIDFQVCEPVSPLFANLPHTNTALELQITSGIPRSAMSFGLYASI MEDDS TSTA_075820 MDGVNGPGRIGQASKLQSGKTVIQHFYDAHYTGAAAAQTFPTKW ETLKDKIDDERPVVWRDAITEFYHNLSGIPDDTGRVGTENYPWRIQGENMDLVGYTPY VVNPFETASNFTTIITTSNTTAGTASTHINFPTGKYDIAVNYYDVIGGI TSTA_075830 MAPGGSRGFNCSWENCGKSFNRKSDLCRHYRIHTNERPYHCTVP SCNKSFIQRSALTVHSRTHTGEKPHVCDHEDCRKAFSDSSSLARHRRIHTGRRPYICS EPACDRSFCRKTTLTKHQSRTHQPGVNTRPSSEEAPTPESMTYQTPVMTTMPPNSNQY ILAQQPYYHNGPTPTTADFYQTPQPAIHFPQPQAMVPQPIPTAGLPTPPFEPQHYAQI MQQQQPRYDPTYIQPQYQSPTPPFVAQPQHHMTADGRPIVATYHPDQFKPPARILSSQ EAMLIRFSPALSTLAGGATPDPEHRTPNFGSGVMRTSHSWPFPP TSTA_075840 MGYDDDESPSRSPFAGQKRKAGDMSSSDEEEEAATARPSFGFQP FCRAASRSESPPTMTAGLGGGAGRSAWNNTTPTATMQSRGRGGGGGIDNKGGSIMGKS SFAARMMAKMGYVEGQGLGQDGRGIVNPIEAQGRPQRIGLGAVKEKSKQAREEEKRQA AARGEIIEDSSDEERQRRQKRKAQQRKLDGLSGVSTPVGPPKRRFRTARELEAEVEGL VVPNVLKSLVDATGREQKVLTSTAGLMAPMEFVNQAEGEALKIARRARHDLEAFVDEW KGLTERKKFIDLEESQVVEEMDTRQVKAEQLGRLVSTLESIQIMEPKDTIVQRFEEVT SKLELIEVEYRDVIDEYQLSDAAVAAIHPLFREAMEEWEPLKNPTFLVADLLRLLPLL SRKSQESELEQLQRHRQSTSSYESMIYSIWLPRVRSVLLNEWDVYEPSPATSLVVAWK DVIPTFVYSNILNQIIVPKLSAAIKKWKPRSSRRHPESSQQESRFPWWLFTWLQYLGE RHTNPRHATGLLSDVRRKFRVVLDTWDLRKGLLTSIDLWKEALGSEFDVALHKHLLPR LARHLRENFEVNPQDQDLSAFEDLLKWKDLYKSKVFGLLLVSEFFPKWHNILYIWLTN DPNFEEVAEWFTWWRSQIPTELNELVMVDEEWTKGLKMMDLASQLGDRAATELPPPEK VPAFAPIAASLEPEKTAAPIKPARPKAPIKEIAFKDIVEEWCADQGLIMLPLREAHPQ NGQPLFRITASASGKGGVIAFLQGDVVWVQNRKARDIWEPMGLEDQLVERAEGK TSTA_075850 MAASLLRTSTARSAMRAGASASRAGLAGTSFVRTKVTLPDLSYD YGALEPSISGKIMEIHHKGHHNVYVNGYNTNIEKLQEAQAKNDIAAQIALKPLINFHG GGHLNHSLFWENLAPKSAGGGEPPSGTLGKAIDDTYGSFGEFQNKFNTALAGIQGSGW TWLVKDKETGGISIKTYANQDPVVGQYVPLLGVDAWEHAYYLQYQNRKAEYFKAIWEV LNWKAAEKRFGA TSTA_075850 MAASLLRTSTARSAMRAGASASRAGLAGTSFVRTKVTLPDLSYD YGALEPSISGKIMEIHHKGHHNVYVNGYNTNIEKLQEAQAKNDIAAQIALKPLINFHG GGHLNHSLFWENLAPKSAGGGEPPSGTLGKAIDDTYGSFGEFQNKFNTALAGIQGSGW TWLVKDKETGGISIKTYANQDPVVGQYVPLLGVDAWEHAYYLQYQNRKAEYFKAIWEV LNWKAAEKRFGA TSTA_075860 MSLLDQRRRSTTGKSPSTSPASSPFFQRTRGVSPTRRTSSRRLS ARTPDTAPPLRHSSSRKISRNNPPHVNNDIAKSHIAIMNSRPHTPVHQDFATSTDSAT TLVNPTSTILQGLIKEQRAARGSRKTPQLSEDADHRPPTAGSNSQSHESPSEKQRRIN SFASSGLKQPRDMGIREMDQYVSKMNKLNFDLKLEIFHRTQQIASLEKKMERMYEMEE ELKRMHGLENELNELREVEEINQRLREDNEKLQSDLDERDHALSEAARMIAVYEEKVK ELEAGRLLGDGFADDVSEAHTPTAQSFVDIPDRTSSRRGTVRRPHKSSSFLREENRST ATLRGLFIAEENKSVRSVSESTLGTTVDSMADPPSPRLSILSECSYFSPQDMLPQGTG FDQLDRLDQLTIVGNDKTPVVTAPKTESSKDHLSDRISDWMQFDELASRRKSNDGNRH RARAFSDISRSSHVQITHLDEPFQPMRKTRHSQYPSPRQEHLATFGGNLPPTPDTMST FRPAFRNGSNTSLPKGRLVGGDATFPPLSRPRSVGELTTRSASRSVQSDGIDTTASID TQRNVQNSPTNLLSFSHYGRGSAKANRVLGPGSPSDPRLSCYGGDLLFNGDGVESLIS DMPVARTLSFKSKIPVKSSNELLSSLALTPQDWLEAAKAEDETNEAEKSNAKPEAEEK PSILVVENDETPPTNTEESSIQLRNPPLRLRAWANESSPVTEAHQHRRRLSLRPPFFS RNRQQQETGPVAASSKGPSPLPDKLLVLKHRRTSSGPGLNSNEGTSRASSRPLPKPFS DVRPSTASRPMTSDSAEPRSKGSFLLGWMKGGSHNKESESTNRLGLARPTTERPRSFA SIDITYLNLGQNGESAALEEEPVWRARRRSRRMV TSTA_075870 MSYYDIDAILTDAQKLPCKFELEVPGLGFLEGNPGENIKAGTQV DLPLWLGEMLSIGARLGTSRLVTLDLPSALSERVLNALKADPRTVDLRSLAPHFYSLG IRVLELFEEDNMVDILSDTFRRRAAEIADHAHNSRGALGEGVEFLRGLDETERQLFRI AHDSAKEIRVWAGEAKKPK TSTA_075880 MDYNPVAQVDDNDAASQSSDSDVADHPANSGQKAHESIALVQQG LGNLIDSIHNSDKTQSSSYELVEDDDYEEPIEQNNPVPATTENSARQTSTVADLSTGA EIAPRSTSPEPLVRTSSDVSIPLRHPTPDLQSLQGAYVGNIERLEKSAERLSMSSSDL GVELRKLDLEQKRRSSASSAYQGPDSPSTRQMSIGSLSNSIIAVNSAARSGGYSPGGF LTSPRGSIISGSRFRSTSVTSPLQGIQEPKQEEEMVSEAINTFDDKDVPILTPRPLPA HARQNEFEMPGPYAAEEPFQEVPDRPLTAQSTDTYQQATNLFLDFDGVHFVPHPKDPG STRRLPLNRPPLATSAEPHKEPQAGRDMVYYPAPIPKFLNLPQRLSKQSANPNSEKRR TQLLEMISATDKEVKGEESHEQRVNKKLSTLPPQLRASVFFDQPSSNLGSLEVQLKDN SAVTTLDSILDASTHAPVSAFTDHPIVGSPGGKVYGSSDKKRASRLLAGKKGSKGALR SGPGPRESVLFVPGEGLHPHSDNEAAERDPMHPNDSEPHSESSSDDESSGTHDSEEDT EGDDGEDEEDDGFSEVELFDNDEQMPFGRPTTLLAELEMRKQEQKQRNRSAAKAFPNG LHSTLLQLDAVAQAQSNKRRQKHVTLAWEADAAEDPDDADDEDIPLGILYGNKNPAAE ERPLGLMERRELEENEPLSKRRARLRGEPVPSAMPNKRASTMTQLKSVAAVESDSDEG ETLAQRLRRLKQEKKDKTKSVISTEFEAEIMSELGKLYEPDEPETKKEESTTKPAEKT VSKEDDEEQETLAQRKKRLQAEAAQTQHTQAPRNVRATQSMANILSAYPASHGGFPAS HARQLSSAHTQRLSTGFSVPPSMTFHAGLVQTPSLHPSMRGYETHPVYGNMPYNTAFM PGGAGMNAYAGGMQHPHNAAMMQQDIIDPRQADVIDRWRQSVASCATAELLPLSLLLL LVASSSCFSASLNARITRATRPSLYHRGTRPPEALPAASSSLFLAAKAAGSMQVMTGT AERGSSFALAQGSMFSTQCLVANHEVDGSHISTEVTDPLNVTEISQLWASPQIGFINE ADQNTVNTILESIIYAIDVFTQGRSTKLQFTFLSDAHFSQSPLADYGEGRYAKLQSVA GKYDPAGVFQRLLPGGFKLF TSTA_075890 MGGQLGFLYRQLTFKPKPIPSSVRLDGKTAIITGANVGLGLKAS RELAAHGLTRLILAARNVSKGEAAKKVILEQSPTCDVQVWELDYESFESIRSFGERAQ TLDRLDIVILSAGVKSIISAPPPSPFSFSPLQATARSTGTPSRLTIVTSEVHFWTDFN EQKASNIIEELDNPSSSKKGSMDRYNVSKLLDLLWLRELSTKVGSDVTVNGVNPGLCA SALHRSHEGSSGFNKVFASPEGQETQQRLFQETLSVLSDALSGVDLMRDNYSNPTIFR LSSHKLFAASSSYAYSTYPGLTGPLLSSAGGLTCTLSKNFPPIPSHSLPTAANQARIH GRSVQALGSETVLDLSRRIDVGDS TSTA_075900 MPPSTVFSYWRRDHRRPSPARGSVSPVGPTTAAAAGGGAAYSPT TAYTTNGPPRLPGLPTTTALNGTFIETQDSPQFEHRPESVVFLGGGGGSGGEDKGLSS TLSSQHSYNNLRVPSASSTDNLAVRPHSSPGNTGRQEETLVSAEPVQASSPSNTNSIQ PDVHSQQKSNNGSWRLGFNRNGTNAQAEEHRGARPRKTVGKDLRINTNVDGELGFIGG VTLKEMESSLSGRDHEQQLQSQHEHTSQQKHGKTKRHLLNPMSLLARRRSSQFIGSRV DEGGRNLVPAIPDDYDPRIRGSIVHDFSAPRPRPQLAGRDGSNELAKKSSLPSSAATR HSEHSPVFKEHFEEDGKVLQVENKGFLQSSLLTEAGTGSPDVADLPEFARRLPSSLPE HDENHHQPIEVEKSENEHSETISVTPLPGPSVQATPRSNPRKSMEATGQASSIPIRLK SDASRFSFDMNGVGSSVQERLLEEKHKEKEAARREKAQQERSSFSDYDDDDFDYDAME DDGAFEERIPGVNADADDMYGDEFQGFSGVVKTSSEQSTFVPMLPTIAASPITPGYSA SGMLTGGDVQVDMPAPLNINTSIEDQGIVQNENSAQGTAVGNLTTTIAAAIGQSLPLI EEDEDDMYFDDGDFGELPENEENDKFDESIFDDDTGQLYERQFYPGTKIPVALQGDEP ETTESRMRHVPSLASEFRPESWDFRNGPPPSKPTGGAGAGAGNIETAKAPGGVLSEHN LEAFHSALARVADEAAAGNLQRNTSLSENSLGQGSNSHLAESHPGLVSDDNRLSSQHS GFDDEVFDDFNYDDDDGFDDDLIIAEANAEALENDDEGFYGQEFGFYARSNNENCNDE PIYGGYFGVSGAGALNRSHSGGANFREPSLTPITERSEWSTRNSIISLTTHGPAAVQQ QQQQPNPGLSQLVDMEYNEEDMTLGALMKLRRGAFGGSNGSLRSTGSLSPQPGPVGAS NRGSFLSIPEDSPVDLNPEMGFQQQQHGIMNQPPLSEGQSLPESPTVTLDRLTGGERR SSAGNTA TSTA_075910 MLRAIASKQATSSSLLLRGSSACSACRRSHSLATSKTNALGARS NLQLTARRPLAVVDRVLAGRREYAQSAEETSRGVDPNDSFLQGNTANYIDEMYLAWRN DPSSVHISWQTYFKNMENGDMPISQAFQPPPTIVPTPVGGVPQHMHAAGHDLTNHLKV QLLVRAYQARGHHKSKTDPLGIRGEAEAFGYNRPKELELDHYGFTERDLDQEFTLGPG ILPRFETESRKKMTLREIIAACEKIYCGSYGVEYIHIPDRKPCDWIRDRFEIPQPYKY SVDEKRRILDRLIWSSSFEAFLATKFPNDKRFGLEGCETLVPGMKALIDRSVDYGIKD IVIGMPHRGRLNVLSNVVRKPNESIFSEFSGSAEPSDEGSGDVKYHLGMNFERPTPSG KRVQLSLVANPSHLEAEDPVVLGKTRAIQHYNGDEKDFNTAMGVLLHGDAAFAAQGIV YETMGFHSLPAYSTGGTVHIVVNNQIGFTTDPRFARSTPYCSDIAKAIDAPVFHVNGD DVEAVNYVCQVAADWRAEFKRDVVIDIVCYRKQGHNETDQPSFTQPLMYKRIAEKKAQ LDIYVDKLISEGTFTKEDIDEHKKWVWGMLNDSFDRSKDYQPTGKEWLTSAWNGFKSP KELATEVLPHLPTGVDAEILKSIGDKIGGAPDGFNVHRNLKRILGNRKKAIDDGKNID WATAEALAFGTLVKEGHHVRVSGQDVERGTFSQRHAVLHDQENEATYTPLQHISQDQG TFVISNSSLSEFGVLGFEYGYSLTSPNALVMWEAQFGDFANNAQCIIDQFIASGEVKW LQRSGLVVSLPHGYDGQGPEHSSGRMERWLQLCNEEPRVFPSPDKLDRQHQDCNMQIV CMTSPSNLFHILRRQINRQFRKPLIIFFSKSLLRHPIARSDIEEFTGDSHFRWIIPDE AHGTSIDEPEKIERVIMCSGQVYAALIKHREANGIRNTAITRVEQLNPFPWAQLKENL DSYPNAKDIVWAQEEPLNAGAWSFVQPRIETLLNATEHHNRRHVLYAGRAPSASVATG LKASHVKEEQDLLEDAFSVHQDHLKGE TSTA_075920 MASAFTNGATALNPTSQAPGNRTTARQTRTNPSRVSKTAARSFP YYGQSSADDAAAQSAAANNIPHGLFPALTHFTDAITALPREFRRHNSLLKEVDAKAWA LEDNLHQLLLTASNSRPVPFPQNPAPIVDGEVREYAHMNDPQNVESQESKQRRLLFDR IRRTLSDLMLTADEKNHVLTNANEELDHQLYRLDAVYPYITTEVSEEARLGSLTHWAY SNRATAKAAAKTTTERPRREAATATTHLVQALHEAEVAAHRSEVRREAAARKQRRGQA ESDFDETRPVAQRKGTGKGRGAGGDGADSGAAAPVSKRRRVVEKPAAMQTGSAAMERS TSTVTNNGRTASKDSAGTEAKKRARGPNAVSTAGRKRNNTVTSTVDLPSAPSPPVIGT FNPPRSAPSPGPRPQSSRAQQSTTGSRQRPPSATNRTNSNSTLPLSGDGPFDSQYANP GTLAVHPLDSKANVSAEDPAQEKIPQLDPGDIRSADANTDAVDTKATLALDKENRLDN MKPLDAVNSAGQMSPVLPTIVSAKGRSSKTSTPVVSTFSESQTRVRSSRNNNNNNNGG GNNNNNNNSETTTVSTTKRNTHKKSNSTVSAAYKAKAAQQEEEEESSREGDDEDDESE PRYCYCNQVSFGEMVACDNDACPTEWFHLSCVGLAKPPGRNVKWYCTECKESMKRGRV STR TSTA_075930 MTPLSAKMEPSLSQSHTTRPSKSKEGSIPQYHRDSSGSRSRSHS RSSSGVRRASFGSVKEDIDGIAQSFLDTTTKLKDDSGHITSDMAAAVDQMPEFCCPCG GFMGWKQIRLRGRKMSKSYSDLSLLGHRSSSTGWMWDTTGSRPTIKEVPAEIEPRRAR LTIEDLPVEVLIQIISYLAVELPPNGYTPRNVDLISCLLTSRTLHSATLSVLYRNITI PHSVIFSKLLKHLIQFPALGTIVRRLDFSHFTSVGLGRTRQMNAEIQNLTSKTLLQCL DLLPNLMEGLFQEHLETDINTAVVQKIFGGLPKLRTVDFCGCSSQTFSTGFMEAFSGD STIPLYLPQLKRLSLHECSGLPSAIFEKLLPRLVNVTHLDLGRTQVTATALLSLPTTA QITHLNLSKCLRLSGSQVVNFLTTHPAVTESLVYLNLMSDPSRYRLLESDDVTALLPK LPSTLRSLNLNGARITSEHVPLLLPLAKHLEELGLSGADLSPSDLNSFFVPQDSESEK RWQPSTLHYLDVSKVASITLQTLFNPRLCVLVSPQSLPLEVIELGDKLMIPLRDRTKS AKSNGWSLRELGRRGWYVREPIKTEGAGEWTIDDGSRSWKMGARWWGMRKIPVTVGEV GGIYGHYMFKK TSTA_075940 MATPSASASYKKKDGTLTVSKDHKSISWIPAAGGAGSAVIVNVQ NMTNLQQTPATNPKVMLRIFAQQNDTQEQYVFTFTSGTNARAEADAIKDVLSSAIAAA KAASSALPAAPATAGGETSTGEGLSAAMAMANAISSSGKGQAIWDNDDKLKADAELQQ SLLKSDANLQRMFTESLRTRPETLSVGQFASQFWSSRVHLLRAHAIERAQTRGSYNVL STLKPRTDNNVTKLNITKEQIQLIFNQHPLVKKVYDENVPKLSEQQFWSRFFQSRLFK KLRGERVSDSDATDAILDKYLKVDEHADRVRDAQVPHFIDLAGNEVNHSQRQGNRPDF DMRPASIDKVPIIRTLNALSESIMANVAPADGDPSAPVGMDEETYNQLLLRDLKGDEE RSRIILNIRDQSRFFGQDKEKDGNEKKNALFAQRDPKEIIVSLQTDLEQTLPADGSFH LGKIVEPGEDEDDEDDEAKARRVVGSKVNMQKASREIFDIIRERRAQADVTSDSGTWG LSSTLFDRLSLTHATSTEFLNQFWNAFLSGNPDRAGELASLVESLKRAIERIESVAAN AETEKETEINKLKQHAREVFAATGKKIRVNLAGVGGGEKVVNQLLAPTIAALNLAVDK YNQALAEATAASAREDSMTL TSTA_075950 MYFFFKDNDEQNNLTTALCAVLHQLFSMQSQLLRYALPFWERNQ EKIQFEVDDMWRIFMATTSDPAFWNTICVFDALDDLRPDRETIPPLPKNVPEAYERIL NRVPSDQKAKVETILQIIVGARRPLTVQEMAMALGLATTLGAETAKEAGLNPSGLDKK IRQLCGLFVFIKESKIYVIHQTAREFLISKHDRYASFKWCLEQRKTEIQMTEICVKYL LMNDVVSDDRKYIRSLLDYSAENWADNFRDVLSPEDGLVDWVWRLYDVTTCQFHLWFP NFWKTAMPYHGDPKMEALHLAAFNGHQDIVRRIALNERGAIDKTDRSGTNAFQWACVQ GCSRVVEQLLEMGANANAQGKEYGNALQAAAARGHLDIVQRLIENGANINAEGGDYHN AFQAAAAGGHLEIVQQLIENGADVNAQGGKFGNALYAAVSGEDLEIVQRLLKNGADVN AQGGELDTVLQAAARGGHLETVKRLLENGANINAEGGDYHNALQAAAAGDILRSFKDS SKMEHISMLSTLLLLEEILRLFNNSSKMEQMSMLRVKSSAMHSKLLLLEVIVVLYRYC RTPIS TSTA_075960 MPEVISKEPAVLVSASSSVTGLAGPSLKEPAVQVSASSSSSVTE AEPPYHILSKRQKWNLVIFVSLAGAFSPLSSNIYFPSIDTISHDLNVNTSLVALTITV YMIVQGIAPSIFGTFSDTCGRRLTFAISLTIYTAANLALAFTSNYPMLMVLRGVQAGG SAATISISAGVIADIANPDERGGFMGTNAGVRMTGQAIGPIIGGLLNSAWGFRSIFWL LFVMSVMVLSALLIFLPETQRSKAGNGSVPLSGFQKPLIYYFKPPKAWANYQSSGNAT EKGASQKPGPKINFRKAFSPMVYLFEKDIAALLAWGAVAYTAWSMVTSSTTTLLLHSF PYLKQWQIGLCFLPNGLGCVCGSLSTGWLLDQSFRRAQARFKEQHNIPAEESVVKRSD FPYIKARLRLVPLFSIILMVALVLYGPSYKINELHRHYSPNLAAPLILQFIIAFSSTS IFNINSTVLIDCFPDRPASATALNNLCRCLLGAAGVSVIQPLINTLRAAKAFSVVSGA VLLCTPLIWIEWKYGEKWRREREKRFAAREGDN TSTA_075960 MLMVLRGVQAGGSAATISISAGVIADIANPDERGGFMGTNAGVR MTGQAIGPIIGGLLNSAWGFRSIFWLLFVMSVMVLSALLIFLPETQRSKAGNGSVPLS GFQKPLIYYFKPPKAWANYQSSGNATEKGASQKPGPKINFRKAFSPMVYLFEKDIAAL LAWGAVAYTAWSMVTSSTTTLLLHSFPYLKQWQIGLCFLPNGLGCVCGSLSTGWLLDQ SFRRAQARFKEQHNIPAEESVVKRSDFPYIKARLRLVPLFSIILMVALVLYGPSYKIN ELHRHYSPNLAAPLILQFIIAFSSTSIFNINSTVLIDCFPDRPASATALNNLCRCLLG AAGVSVIQPLINTLRAAKAFSVVSGAVLLCTPLIWIEWKYGEKWRREREKRFAAREGD N TSTA_075970 MLSSGFANAPVSKSLVIYIVVSSIALSIFDVKYLAHILVDIHLW RYGQFSRIFLWQVAGYANSTEVLFAAMLAYHMRVVERIWGSRKLATFLVTVLPYTTII TPLFLALVLRPLSLGTLNYLPSGPTAVLFALLAQYHSSIPHTFKYRISTTGGSANRQN TTSSNEENANDNSKRSLTILLSDKSTTYLVAAQLALSQFPASLLPAFVGWAIGTSWRA DILPGSRSWRVPAWIVGEKETRRPTGNSSAASGHGVGGDGEDSERYADLRRRLDAASA AAAQQGTSSGVEGSSGQSQRRPLLERFRGVF TSTA_075980 MASPSDIRDVTLTSTFWTSMQTRSRDITIPAIIHAQKSLQHWYC LTWKEGHGIKPHPFWDSDIYKVTEAACYFLLKNDDPVLRQEVEHAVDMIRASQHEDGY LNSYYTVRGIKACVAYETLTNSRRLLEPVMKALRHVDSVFGPEEGKLHGYPGHQEIEI GLLRLYDLTKDPLTLKLAKYFILERGRKDENGEIFYDHESRARGGDPYDHMSAEMVNS YLHPRFYEYHQAHAPLVEQDEIKGHAVRAMYFVTAATELVRLTGDTQVKAALGRLWRS TVDKKMYITGGIGTIRQCEGFGPEYFLSDTEESQACYAETCATFALIVWCSKLLRQEL KGEYADVMEIALYNGFLGAVGLDGKSFYYQNPLRTLTGRKKERSTWFEVACCPPNVAK LLAQLETLIYSYQQDLVAIHLWIASEFTIPESNGTVISQTTNLPWSGDIELKVNGPKA VKLALRIPDWAVSNYTCSVSGGELKDGYLYLPALTNTTINLTFPLQPRKVYANPRTDK DEICIMRGPMVYCIEDVDNPGVDIDHIALIDGPVADAPAATIAGVEDVVTVSSPGKEL VNTNWSSLYGSEGWKYSESTKQLTFVPYFLRMNRGGNGGMRVWVKRI TSTA_075990 MAENTTTMRQWTTLMDGINNLKLTTVPRPIDLKDDQVLVKISRV ALNHRDAKIINGDFKDSYPTPSHPLVPTSDASGIIVQVSDSVADTGKWTKGDRVISLM RPTHLTGPTTAQHAASGIGIPENGVLTEYRVFSAEGLVGVPGYMSLDEACTLPTAATT AWMALNWDREIDRPRRGKAVVVLLLGTGGVSIAGLQQAKALGLTVIITSSSAAKLERA RQLGADYTINYKTNPDWATEVLNITSGKGADIIFETGGPATMEHSIRCIAAGGNISAI GVLSGTTSNAESAGQAIALSLIRKNATLKGINVGPKDRIEEMIRTVYVERFEVRPVID RVFGFEEAREALEYMYGGSHLGKVIMKVD TSTA_076000 MPRFDDSDIGADLTQVRSPRDSRPSVGGITASDGTTLPIPADPH QSQSGRRESEVVEVPATRSSISDATQYMHSLSLHPSMREKRGSRNSFGTSLPIPRSPR PSRLSSVISGKDAAKAAVSRELLASQVQDMAKEKVAAAKNMAFAFDIDGVLAHGNQAI LEAREALKMLNGDNELGIKIPYILLTNGGGKTEEERCQQLTDILESPISVDQFIQSHT PMQALSEYYETVLVVGGEGYKCRDVAQNYGFKHVYTPKDVLAWDQEASPWRNFTDADR AGAHPVDFRRVKFDAILVFADSRDYATDMQLIIDLLLSENGYFGTRSKNPESGSIPIY FSQGDMVMPTQFKGPPRLTQGAFRISIEAQYKALTGTDLERVVYGKPELATYKYADEV LQAWMEEIHNENRLPQNVYMIGDNPASDIIGGNMYGWNTCLVRTGVFQGGPGENDPNS PANFGVFDNVLEAVKAVVRKELGKEFKFKWNEKINPVLHGGDGLSAVE TSTA_076010 MSDGKTFTLQNGVKIPAVGFGTFANEGAKGETYKAVTHALRIGY RHLDCAWFYLNEDEVGDAVQDFLKENPSVKREDIFICTKVWNHLHRYDDVIWSFENSL KNLKVDYIDLFLVHWPIAAEKDEKRMPKIGPDGKYIILKDLTENPEETWRAMESIYDS KKARAIGVSNWTIKGLEQMKSFAKVMPHVNQIEIHPFLPNTELVEYLFKNNILPEAYS PLGSQNQVPSTGEKVSENETLKSIAERRGYTLAQVLIAWGIRRGYVVLPKSSTPSRIE SNFKSVELSDEDFAAVNAVADHRHCRFVNMKDTFGYDVWADEA TSTA_076030 MEGIQMTSTANAAIPASQTVLPMFITQLTDQIDFAPTAPGKKIP LRSWERQPSTPFLARHKSRKIWKRFRKSMEAIRSRTAPSTATSQIEESDFANEISQSA NAAYLRAVKRQRVLAHMQTERGGSFLETKWELEIGKRKRKLVGRPEDQQLVANGQEDE NEADGDNLAQSLDEFSQPVGLTAAADAEENNTSDDDDTDRSAVISEIVDLAIDAQGGQ VSAEVRELIAKQEPSLVRSALRMNSLDGEDVALLSEFLSRAQAKRAANAVMASCEESE ARQKMEVSSPAVQSRRALEELDVNSPSIQKPVILTPVKTEKLPESPDASEPTNDDTRD FTSSPTVACRRSTRTKPTTQQTRSLRPAVPNQIPVRRANGTEFVFLQRTEAQELALTT RKNTRRNKGNALLPRYLLQAILKHERKDGTMQPFAQLEEKDTSRQSPRKRSSKPKQVC WKEDKLVEYAPEKISVSIESPSPSKKKSSSSRREGSKSTAATTQALPATPSKKVRRIN LPASSSDDTSARAFKPMPAGTPIPKRKKLTPRLKSTSESSSSRSSRDTVPAAAPTTKT ATKQAPSSQQSLPKISGIPRSKTLIKPPVSSTPATSAAGATPIVKRVRARRAAV TSTA_076040 MSADLDGDSEMLSSSGSDSPVGTRTPTFDKPTELSPPGSQTASH HESSGGAGSSLTAVFDKLAAERPGDSKPGMQGKDAPGASWKNQRAQEEYSRALEHVVD QEFSLREFGDPFDESDMLQSLSRG TSTA_076040 MLSSSGSDSPVGTRTPTFDKPTELSPPGSQTASHHESSGGAGSS LTAVFDKLAAERPGDSKPGMQGKDAPGASWKNQRAQEEYSRALEHVVDQEFSLREFGD PFDESDMLQSLSRG TSTA_076050 MSKEQKDVPDAWEADWESLADNQDQKPETPPKKVSSKVTKAQRR AAQAEFNRKLWEEAETPQTFHYIESRSAVPLKQDFKPAVTVLSRRPQIAVRNNNIDAA GEGISNLNINGSGANLSDSDEETNKPPEMTPEERQAKALRDREEKQRKYEEARERLFG NSSTPGSNTSSPGGTRPSSRNRDSGFDSSGTRGGSGGRGRNRGHGNRDRDSNNNNNSN NREKRDSPGGTGKQRQLYDPGYSTKPNSSTSYSQRRPPQLPTERSDGEQQQQAIRPSR NPRGPDGSGRGGFGFSPRGRGTLVSRDAFA TSTA_076060 MSSSLPNAKSGVQNDDTSLQVGGDGLDAAAEIKDSHLGGMKIVL NPPDLDAWRERLFNVDEPITLTEEQFQTYFPHVDNIYSHRSTQKYKRKPFVSHYWDCR LKGRPSGTPKSDDPNKKKRKRVARERDLCDVKIKITEYFPEATMADISNHQKSGIDSS VLTSNGNNHASPTTLFFPDGGSDARGSSQPFGMLTPDPALAENSSLAAGGQKLYTIQR VNGNGGNGKTDGIGGGHRHTLEDSDRVKKNSVHRYLLKKSKDEKKAMNHLLFGLSTMA DQHKQAQPKTYHKKATGEAHTTVLKRSQENDLKLFGSCFCPFVQRVWIALEVKNIPYQ YIEVDPYEKPPELLAINPRGLVPALLHGNWGCYESSVLLEYLEDLDEGTPLLPPGDAK LRAHCRLWADHINRHIVPSFYKVLMEQNPQNQSQNAAQLQEDIEKLVNASHVHGPFFL GPSMSYVDIQLAPWVLRLSRVLKPYRGWTEPAMGSRLGRWIQAIEENEHVMATTSSDE LYLESYERLTELKCAENRPNTSQLANAINSGRSLP TSTA_076070 MDVFNLYTYGSSAWLALQGLALVATPKLIITLLIDDTRPPSDVE AYLSRAFGLALLAVATLTILLTGSVPLGSSVGGVDTTTTSTENENARSPYAVPTVLVT TLFQATLAFYNYTWYLARSQTALGLGMVGSGVVAAVGVWCMLFGTSKGRISRRTGADK RTSGFPFKNAEADKRHPERKRL TSTA_076080 MLVDIQSVTAPTFFLTATEESHVYPAPMCTKAMGRSLHKLTAWL RRFYTNNGRRESDCHPKRPDSEESDLSTNKKLESRTSSDTETEFVQHHTLVRASTMAN QTFGPVSPTRARTGAPDSEEYKKMVAGLTFRVISCPVLQAKKLEARQFCARYNVDDDI LKDDTIPLHELFMGLRKERERLLRTVIGTVGQGPVIEPPFHFQYGCNITIGDSFYANV NLRIMDSGLVSIGNRVLIGPNVTIVTELHEKEIMSRRSGKVFAKSVTIEDDCWIGVGT TILPGVTIGKGSVIGAGSIVTRDIPPASVAWGNPARVVEPVNDAGAVFAGIN TSTA_076090 MAAATTTAGAPAGESTSPVTIVCVGMAGSGKTTFMQRINSHLHS KGKTPYVLNLDPAVHSVPFESNIDIRDSINYKEVMKQYNLGPNGGILTSLNLFATKVD QIIGLLEKRTAPVDPANPSGRPIKHILVDTPGQIEVFVWSASGSILLETLASSFPTVI AYVIDTPRTSSTSTFMSNMLYACSILYKTKLPMILVFNKTDVQDAEFAKDWMTDFDAF QTALRQEEDAGAFGAEGGSGGFGAGSGYMGSLLNSMSLMLEEFYRHLSVVAVSSMTGD GIDEFFSAVEEKRQEFERDYRPELERKKNERAEQATKRRDIELGKLMKDMAVSNRGKD ELAETVSEAEEDPHGRDDAIGADESDGSLGEEDSASADVGLASRYQQALADTSQTQPS DQDISFMRYLRASQP TSTA_076100 MTLEDFEKSLAEDRQRRDSENHKDRSRSRRHHHHHHRHHHRNSS RTRDRHSDRGEDKDDDRIHRHKRSRRDENDDEGESSHKRRHRHDRNHGDDLAQDEVVE SAVKVSAHDLKRDSWMQPPSADDIEYKHRPDKSTQKQPESRSLRADVELKIHDKELNT HLRDIKEEKSPEKIENKPAQHEVNYTFGDAGSQWRMVKLKAVYREAEESGKKVEDVAI ERYGDLRSFDDAREEEIELDRRERYGESYIGKDKPSGELFQERKLDQGVHRDPQTRDP RDIESPVREQGKPMDTVEPTKTTQHLDATALNRLRAKMMKAKLKGSPEAAELEEQYNA AAALMANRKEGDVVVLGVMENRMLAGSSRSEVKPVENRRGRERGQVEENEDMSIEDML VEERRTRGQAGGEGMRLAERIAKDAKFDNDLEYMDDNAAKLARRVQKSELNLKNIAIS EFHKMNRILDNCPLCHHEDTNTPPVAPIVSLATRIYLTLPTEPELSEGSASIVPIQHR TNLMECDDDEWEEIRNFMKSLTRMYHDQGRDVIFYENAAHPDRKRHASMEAVPLPYSL GETSPAFFRESILAADAEWTQHRKLIDTLAKSKQGLGKLAFRRTLVKEMPYFHVWFEL DGGLGHVVEDPHRWPRGDLFARETIGGMLDLPPDIIKKQGRWNKGGDRRVEPFRKRWR KFDWTRVLTEGQ TSTA_076110 MSRPKVLLLGDIQHARKSWEALNDIADLITTKATNRTEFIQECK DGKLDGVVAAYRTFGSVAITGLIDEELVSVLPKSLLFLAHCGAGYDQIDVHACSERKS PIRVSNVPTAVDDATADVNIFLIIGAIRNFNTGMQALRQGKWRGDPAPPLGHDPEGKV LGILGMGGIGRNLKKKAEAFGMKVIYHNRRQLSENMAVGAEYVTFDELLAKSDVISLN LPLNKNTYHIIGKPEFDKMKDGVVVVNTARGAVIDEAALVEALDSGKVFSAGLDVFEE EPKIHPGLLGNPNVMLVPHMGTWSYETHTAMEEWAISNVRQALEKGTLKSIVPEQADL TSTA_076120 MSEAQSSQIPNGMSPSPPTATATTDTSTSAPAPAPAASSPTPAP VASTTAAAPAPSPSPTPVAAALVATVPASAVPAPTAPAADPPAAPPVSATAPPPSFPP LPPISTEDFERTTDFTNGLQTAPVSSQNVTNANVPATSFTAVNAPATVPAPVPAPTAA QISSAPPVATTNAPSQTYSSTPAAAPTPSPSTGPAVAPTQTPLSLPPTAPTAPPLSVP PSPSLVTTSAPSQPSSVNPVPPPPMSQYQTTPNAPLNPPPMQAQPPMGSPHPTTAPPM QPMGQYNQAYSPQMAQMGMQTQMSYQLPGGNNSRSRAHQREVKRRTKTGCLTCRKRRI KCDEGHPVCRNCVKSKRECLGYDPGFRPQPPPSDIRPASIPQPSLVVNPQENHNSNPY PSAPPGYIPASAQPFAPSVQSDSSIQSFDQSNSNRQGPVEPSVEGSDTMSVMASVQDT IDSSISQAVGTPDLSTPVLMPLSGQVGRQEKVKIQDLLALQGIAPPPPYPIVPIQQAR LDQIQTVYLHTYAPAIDRFLETRWFRDKGLSQLLTNAQLMAQYSALIDAFNDPKIDDV AVRGRVESFEASVVWDTMSICRSARNLVRNGSTEPTQEYDLLTTANRFDILEALITDD HLKDNPLRADLTRPPPSNLNPLEVQLRTRSLNFWNCIGHFLTLHDNEASSAVEIDETL ARCRSLLDEIENRDVLYSMAIGRHLGQRLSDFQPRNRPENSSDERNANTKLIVAQRFI EDESKDKGTTQVVKRLCGMVHRLWELKNILLPRA TSTA_076130 MAEYWKSTPKYWCKHCQIYVRDTPFEKTQHEATGKHQGNLKRFL RDIHRNKEREEKESQRTKNEVERLRNLVSGKPAGDATRNRPAATTSTTAPRQVTAEDR KKQIAQLAEMGVAVPEEYRREMALAGDWQTISERRITPVEPSKEEAESTKLNIGVRKR KLENEEDEEEAQMIAKPTHRGWGNTTRDYPGTTEDEDDDLDALLMKTTTVKEKKDPDP KTVLQSIKAGEQAESGDVEKTEKDPDGSGPSTILKKEEPDTGDSLETPSLPVGDVPVF KRRKNKASKR TSTA_076140 MSQNTSVGHRLSFDGALCTVRYIGEVEGTKGDWLGVEWDDPLRG KHSGEHNGKRYFTCLSNQPTAGSFVRPTRPIDKPRAFLEALHEKYASEFEEEIAKRQT SNNNENFQMNDTIQFNGKVVEEVGFEKIRKQLAELKELKVVLLDGLRIAGVLAYGGQN EPGYDEELQRTSQTCPKITELDLTRNLIYRWRDVNDICRQLKKLRSLKLNANRFEKVQ PGLVFNGITELHLDETLMPWDEISSIAAQFPNLESLLASSNSLTSITTTLPVTLKSLV LENNDLTSLSSLKALSELPNLSRLILRGNNLDTVFASQSGNDNDKSTFQFSRTLKFLD VSHNKIDSWLFINDLSTAFPGLDSLRISGNPLHDRSIASTSVTGLPEKPMTADEAFML TLARLENLTSLNYSKITQQDRTNGELYYLSLIGKELSASSVSDEARILATHPRYSALC EIYGEPDITRSEGLQGPNIKPGSVAARLVTFEFYQVPSPGTSESAIDTTDSASKSCLI PKTFDIYRIKGIVSRLFALTPLRFRLVWETEEWDPVEEFNILGGEEWGSDEENDNVAE PRKRDIQNSDPTVVKVDGSKFIRREVELVDSTRQVGFWFDDNTDRVRVRIEQL TSTA_076150 MDYQNRAGSKFGGGGVASQSATNADRRERLRKLALETIDLAKDP YFFKNHLGSFECRLCLTVHQNDGSYLAHTQGRKHQTNLARRAAREQKEGKAQDGVLPG AMGVQVKKNVVKIGRPGYKITKTRDPLTRQLGLLFQLQYQEITPGVVPRVRFMSAFEQ KVDDPPDKNFQYLLVAAEPYQTCGFKLQARDIDRREGRYWTWFDEDSKEFWIQIMFKT EREERYSGVPGLAPRP TSTA_076160 MDPNNNRLHLNFGFNNNNNNNDRNYNAANNRVYPTTPSAFPQPI YQGQDFMDPHGAQNTGYGQGYFVNGPYSQQAHYPMQQQQYAQHNLPSPQAAYGARVPY NNDGTNGLIQQFSNQDLSASPRSGLSPRAASPAQRPRTAGSTGPQHGGHLAPSMANRN SKPAGEEEELQRYPERYSENVHKRGKAAKELINVFFQENIERARERNMRSVNLDKLVQ SNSMPEAKRRAEAEALAKKESNFLRFLRTRESPSNFQTIKIIGKGAFGEVKLVQRKSD GKIYALKSLIKTEMFKKDQLAHVRAERDILADSKDNPWLVKLHASFQDSAYLYLLMEF LPGGDLMTMLIKYEIFSEDITRFYMAEIVMAIEAVHKLGFLHRDIKPDNILLDRGGHI KLTDFGLSTGGKKTHDNAYYQNLLKNSTSKDKNRNSGFFSDAINLTVSNRGQINTWRK SRRAMAYSTVGTPDYIAPEIFNGQGYTYLCDWWSVGAIMFECLVGWPPFCAEDTTDTY RKIVNWRECLYFPDELVLSRESEGLIRSFLCDAEHRIGNEGGQHGGATQIKNHPFFRG VVWDQLRNIRAPFEPRLSSNVDVSYFPIDEIPQEDTSAAHRAQVRAMPEEQEAEMSLP FIGYTYKAFNAFQGN TSTA_076170 MPGVPTSRGCDACRKQKKRCDPPEPPAKCPRCTRLQILCTGYGV QRYKFLSVGSNAVKRKRQRASAQKTVIEYTSNEKSTPHLIQSPSSPPDNILERELMHR WLTRTAWGFYSVPRDKAYLEVYLPQAALKNSCLMNGILALAAADFAHSGQKAYMRLAL EYYAKATAVMRDQLESINEGNINDLYPFALLMAAFNFVVSPQPRALDRLSSTLSMMSS ANTLLMSGASRPECQYEIMSSIDMIILDDLDTNMRAALDKLTTASDQIGVWNIGDPSV ACLAGDSQIYQVAIAHIKYSFAEEKRDLIKNYCWTIASVNDPKFFIAVRESEPMALLI ILYFAVLLDRMSRKESVLTWWLRSQGKEIADDLSQILLHSPAARIPDVQEAIAWARKE VGLSESS TSTA_076180 MSENASTSSTTPSTASASTTKPPSPPKPQNPVFKMMGIPNFRFR LPSRNWMIFLSITGGFATAITYDRRQKKRIQQKWADLVAHISKETLPIEQNRRKLTVF LSAPPGDGLRSAREYFIEYVKPILVSAALDYEVIEGRKEGEVRAGLAEKIRDYRRQAG EKSSVVKEPSKELIIAETRRRMGITEEPGPLGDVVIGRHTWKEYIRGLHEGWLGPLDP PPPPPELPTEAPDADTASNVSANTLSTANSENTDSASASTPEQKPSTEPEKEKPKGPT SAYISTADYSSRNLPVTIPASFEASLPVPFPHLLGILNTPIRIYRYLTKRYLADAVGH EIAAAVLASTTRPYHEGTYTENSGSNLSNESFTSEPQVNQSQQTYEQQTILEHEEKEW HKSVRKAAEARKSQIEEENSASASNDTQTAAKSNLLKEEREWIDDVVIDPRIASRMSR YILAPEEEARAKRIDEGSEWVLGEGERPKKLPLWQRLWIDYGYGEDPEVAKRKPIYGN LDNENGE TSTA_076190 MPQQLSSKDSSLFRQVVRHYENKQYKKGIKTADQILRKNPNHGD TQAMKALIMSNLGQLEEAFALAKTALKNDMKSHVCWHVFGLLYRAEKNYEEAIKAYRF ALRLEPDSQPIQRDLALLQMQMRDYQGYIQSRNTMLQARPNFRQNWTALAIAHHLAGN LTEAENVLNTYEETLKTPPPRTDMEHSEAVLYKNTIIAESGDLERALEHLETAGKRCS DVLAVMEMKADYLLRLDRKTEAEAAYAALLDRNPENSHYYDGLIKAKGLVDTDHKALK AVYDGFVEKFPRGDAPRRIPLDFLEGDEFREAVDSYLQRMLRKGVPSLFANIKVLYDR PAKRDTVQELVEGYASGKIQSQTNGSSESQKPEDKTTFEASTFYFLAQHYNYYLSRDL QKALDYVEKAIALVPKSVDYYMTKARIYKHYGDLPKAAEIMEEARKLDEKDRYINSKA AKYRLRNDENDKGLEDMSKFTRNETVGGTLGDLHEMQCVWYLTEDGESYLRQKKLGLA LKRFHAVYNIFDTWQEDQFDFHSFSLRKGMIRAYVDMVRWEDHLRDHPFYTRMALSAI KTYVLLHDQPDLAHGPMFANLNGADEEERKKAIKKAKKEQQRLEKAEAEKQELKKASA ANAKGADGEVKKEDTDPLGNKLVSTTDPLKDALKFLVPLLEFSPGDIRAQNAGFEVYV RRQKNALALKCLLAAYAIDSSDPTLHVQLVRFRLALNNLSEPLPEKISDVILKEFETI LPKSTNLSEWNNSYLISHKESVDHVRAALSARLLISPNEKAACEKELVSTLDLASTTL EKAISGLETLDEWKADPATKEAYNEKAHNKWKEASAFTSQ TSTA_076200 MNLNWFWAQSVVSSQAEEQSSGKMSSLSSVNSPSLTPQFCFNER VLRDFLRLSRSTIDDSITQNLNALLTPAREGFNPSSTDVRQLGASSRRNPSKESCATF KNSVLFPSWQSRSDVLNYCAMVATSPDPEDPGVVSRQVETAREQERVVDERLDPYSGR YFPREARTESLANLVRNERSVEQIIRARTWGIVSERCDYSGSWEDALNQWHEHQK TSTA_076210 MPELAEVARIVHFIRKHLVGRTLANVQVQNDDIVYGKAGTTAAE FQKAMQGNKITGAGQQGKYFWITMAKPPHVVMHFGMTGWLKIRNADTYYYRTTNADDK EWPPKYWKFLLETDETPKTEAAFVDPRRLARIRLVDCPADDIRKYTPLRENGPDPLTD KDILTLDWLRDKIKGKKVPIKALLLDQANISGIGNWMGDEILYHSKIHPEQYSNTLTN EQIQQLHNSIDYVCTTSVRVLADSEQFPEDWLFKHRWGKGKKNQSSALPNGNKIVFLT VGGRTSAVVPAVQRKTGPVAKEIDDEDTVEEKKEKVAVNSKRKRTAVKEEEEEDDDKD EVAAPKRRASRKTRPTTKRSTLGGR TSTA_076220 MSAILSADDLNDFISPGLACIKPVESLPQKSTASAENAYEVTTE DKVQPENQAPTQISLTDCLACSGCVTSAEAVLISLQSHAEVLNTLDAHRPAPLIVAQD GDISASREIEPNERIFVASVSPQVRASLAATYGISERKAGYMIDQLLRGPQGLRSGGK HGNGFTWVIDTDVLRQMVLTLAAQEVTESLSAPSTCKESGRYSSPKRPILSSACPGWI CYAEKTHPHVLPHLSRLKSPQALAGTFVKSILSRALGIAPSQIWHLAVMPCFDKKLEA SREELTEAAWNKSSNTSQSPVRDVDCVITSRELLMLASSRNISLPSLPLKPLALAYAP HFPDSTIDSFLFSGTHTSKQSLAYGTSGGYLYYILSSHQERHPGSRIEAQRGRNSDVV EYSLVSESNETIMKAARYYGFRNIQNLVRKMKPARASRLPGAKRGTTGSGVNGSSGLD YAFVEVMACPGGCTNGGGQIRLEDAREANSNMHNASIDDSTIMTQKNTTYEQREWLSR VDEAYFSAESDSEDQAKSAATLTSLHHMEIRTHNILQHWTNMTGARLEDLVYTTFRKV ESDVDRRRLVN TSTA_076220 MSAILSADDLNDFISPGLACIKPVESLPQKSTASAENAYEVTTE DKVQPENQAPTQISLTDCLACSGCVTSAEAVLISLQSHAEVLNTLDAHRPAPLIVAQD GDISASREIEPNERIFVASVSPQVRASLAATYGISERKAGYMIDQLLRGPQGLRSGGK HGNGFTWVIDTDVLRQMVLTLAAQEVTESLSAPSTCKESGRYSSPKRPILSSACPGWI CYAEKTHPHVLPHLSRLKSPQALAGTFVKSILSRALGIAPSQIWHLAVMPCFDKKLEA SREELTEAAWNKSSNTSQSPVRDVDCVITSRELLMLASSRNISLPSLPLKPLALAYAP HFPDSTIDSFLFSGTHTSKQSLAYGTSGGYLYYILSSHQERHPGSRIEAQRGRNSDVV EYSLVSESNETIMKAARYYGFRNIQNLVRKMKPARASRLPGAKRGTTGSGVNGSSGLD YAFVEVMACPGGCTNGGGQIRLEDAREANSNMHNASIDDSTIMTQKNTTYEQREWLSR VDEAYFSAESDSEDQAKSAATLTSLHHMEIRTHNILQHWTNMTGARLEDLVYTTFRKV ESDVGKDKNANDTTRVAELAGKIGGGW TSTA_076230 MSREAYQVPALDNNQTAFGNGGGAGSYGAAQPQVQSVRYICGEC DAKFSLPHNATLRCTECGHRILYKERTKRIVQFEAR TSTA_076240 MAETAKPEPVSISPLLQRLAYPDVAKVQVSAEEIAAAFALIFED RLSIIQTTALLTLLHSTGLDRDSKVIALCSNRMREAASTVDRPALRAVVKSRGRREGE YRGGLCDIVGTGGDSHSTFNVSTTSSIIASALLMIAKHGNRSQTSFSGSADVLNCILP VPPKISAIQANDIAKVLEETNYTFLFAPNFHQGMKYADPVRRGLGIRTIFNLMGPLAN PVDWALEARVVGVAYQSLGPVFIEALRLGGSKKALVICGEEDMDEISCAGPTNCWRLS EYPNPTYKDDAANNDDDDEADEDDDDEDSVPRTLTKLDIFQLHPKDFGFPTHPITDVY TKKLPKQNAAKLMSILRNELDPEDPLMHFILMNTAALLVTSGICEAETSNMGPGDDGK VITERGPGGGRWKEGVRRARYAITSGQALRSLEQFIEATNRL TSTA_076250 MPPRLQLSSTHIPRPVRTQKRLTGQQNVVIYNTRYASTETAATA SSAVVPPPSLEQVKRNPAPIARTSSVQPPSHRRPEARRSQLLRQYTSLVQWTAIRREL TQALRKVDEQQTSEGRSTTPLAPHVKLQIIQTSIFEVALRIVDYFRPESVTGKIAAEE DILLHDLSSTVHNAVRHMKGKHELTTVLSGPLAVLTLPSVSPEHLKAALSILAPKATG FSAPPRKANPGYHELTVQDGLQKLMLVAARVDGRVFDIEGTKWVGSIESGIDGLRAQL VHALQAIGASVTSTLEGAGKSLYLTMESRRSVLEEEQNGGEKKEES TSTA_076260 MAQPLTIRNLTSTPLELKTIERFPPQEKRKEDGIQAFGTMAKNF TRMVTDAATNVTRASNTAQPLPPDAQPFAHQDVSIRIDPFGTNRTDVKTFENDDKERL RLTFEVDDNPRHRFTGVYVTQEGCLAIFSSAQLNAWMRELRDDTMLSSLSIPGTHNSP TCHVAPPSVRCQAASPKEQLENGVRFFDIRVQPQYPEDPSRDELILVHSAFPISLTGN KYFRDLFNVVNEFLDRNPSETLIISLKREGTGKATDEQLSRIIRDHYATPESRWYTDP RMPTLGEVRGKVVLVRRYNIDEPQKEEHEGRGFGIDGSGWADNTPNATCPGGELCIQD FYEVLETQNIDKKIQYVNEHIARAGAARYPFGRGDPNQKYPFFVNFLSASNFWKTQTW PEKIAAKLNPATVKYLCLNHCDHPDGDWGTGTLVTDWVGLDGDWDLVRCIVGMNAKLK LREN TSTA_076270 MIMMRSLGHSSRLLCRSVSRGTRPITPAFLNLDRHRRAFHGSTA FWGVRSQVLKDVGEGITEVQIIQWYVEEGAHVEEWKPLCQYQSDKAVDDITSRYAGVI KKLHFQTDDTVPTGRALCDIEVDDALYPDDNIPAQATNKEPAKPDTEEPATVSENVQP TETRIEVTSEQKENSPSRYASLATPAVRGMLKTHNLNILDITGTGKDGRVLKEDVLRF ISERDQLRTTGATASVVPPSTATRALASSDSPQVETTQPLTYIQSQMFKTMTKSLTIP HLLYADELNINTMTALRRKLASDPNNTQKVTSLAFIVKAVSLALEEYPILNAKVDISD PSAPKLIMRVNHNIGIGMDTPQGLIVPNIKNVGAKSIFEIAAEISRLSALGKEGKLKP ADITGGTITVSNIGNIGGTYLAPVIVPTEVAILGVGRSRILPVFDANGQVTKGEIVNF SWSADHRVIDGATMARMASKVKEYVESPDKMLIRLR TSTA_076280 MLSANDKSSTTTSNGLNSNLFGGYTRQQTQQQQSTRPEPYYRSY SQNMSSGESLQHIWIVTGPAGSGKTTVAKNLQAEMGLPFLEGDDFHPQSNKDKMANGI PLTDADRWDWLISLRDAAVDILSKPAADTSTTNMSDGTPAPPTGVIMSCSALKLKYRD VIRVAEYYNPSLRIHFIYLKADEKTLMDRVNSRSSHYMKSNMVHSQFEALEDPSDEKD VIVINVSVPPDEVRKQVSEAVSKKLAEYN TSTA_076290 MAPFFFSTPVDIDIVLEDSDERQTVDVKLDKGRREKAPLYMDGE SVKGAVTVRPKDGKRLEHTGIKVQFIGSIEMFFDRGNHYEFLSLVQELAAPGELQHPQ TFPFNFKNVEKQYESYNGINVKLRYFVRVTVSRRMADVIREKDLWVYSYRMPPETNSP IKMDVGIEDCLHIEFEYSKSQYHLKDVIVGRIYFLLVRLKIKHMELSIIRRETTGAAP NQYNESETLVRFEIMDGSPSRGETIPIRLFLGGFDLSPTFRDVNKKYSTRYYLSLVLI DEDARRYFKQSEIVLYRQAPEIAPTPEVAQQQLIQQQQIQKQQQVLVGPSKNPQYHQP PVQSQAEASSSSNPASAPEAQAQA TSTA_076290 MAPFFFSTPVDIDIVLEDSDERQTVDVKLDKGRREKAPLYMDGE SVKGAVTVRPKDGKRLEHTGIKVQFIGSIEMFFDRGNHYEFLSLVQELAAPGELQHPQ TFPFNFKNVEKQYESYNGINVKLRYFVRVTVSRRMADVIREKDLWVYSYRMPPETNSP IKMDVGIEDCLHIEFEYSKSQYHLKDVIVGRIYFLLVRLKIKHMELSIIRRETTGAAP NQYNESETLVRFEIMDGSPSRGETIPIRLFLGGFDLSPTFRDVNKKYSTRYYLSLVLI DEDARRYFKQSEIVLYRQAPEIAPTPEVAQQQLIQQQQIQKQQQVLVGPSKNPQYHQP PVQSQAEASSSSNPASAPEAQAQA TSTA_076290 MAPFFFSTPVDIDIVLEDSDERQTVDVKLDKGRREKAPLYMDGE SVKGAVTVRPKDGKRLEHTGIKVQFIGSIEMFFDRGNHYEFLSLVQELAAPGELQHPQ TFPFNFKNVEKQYESYNGINVKLRYFVRVTVSRRMADVIREKDLWVYSYRMPPETNSP IKMDVGIEDCLHIEFEYSKSQYHLKDVIVGRIYFLLVRLKIKHMELSIIRRETTGAAP NQYNESETLVRFEIMDGSPSRGETIPIRLFLGGFDLSPTFRDVNKKYSTRYYLSLVLI DEDARRYFKQSEIVLYRQAPEIAPTPEVAQQQLIQQQQIQKQQQVLVGPSKNPQYHQP PVQSQAEASSSSNPASAPEAQAQA TSTA_076300 MSSQILQAELSNLIQESKRKYSDLRNAAEQSLNDLKALPSTSEA QITADLIRRPHFVTPFILACQTRQSKLASIGVVCLQRLATSHAISPHRLNDTLSALRD ITGLGQDVQLKILQTLPALLQNYSDDLSGELLAHVLEVCATLQASKVAAVSNTAAATL QQLVSSAYEKVSVEDGIIQNAVTVQTQVGGSTIDIGVAAYDALRILSDLCRAVEGEKL EFLHIKALPPNFVLELIESILVNNIKLFRNHPEQMQLLQTRLLPMTVKHLSERHNFAQ TLRVTRILLVLLRHFMSLLQDECEMALGLLIHLLEPEASSEWKRVLCMEVFRSLHSEP SLIRLIYTLFDATQGRKDIVKDHMTALFKIASENPSLIGVSYQSTVPQDASQSRSNTD DQITLDAGGVAGVIAAPVNSADESVTGISSQWSTVRTPYLELLDKSEPPPPPETYIYS LVLNCLGAFAEGLARFIIPLTVTESKSKRRKRMAMNDREQGLNDLQRSDSTKSNGSET RRQTVPLNPLELRDHPQSSAITSSAGIIESCWPVILSTCSIFFYAALDADFYHNLVRS FQKLTHVAGLLRQSVARDAFLSTLGKATLPAEDAIMSTNTPEARRSMNPDAGIEQSLS AHFDTPKASFEAARASLSTRNLLCLRALLNLGIALGPTLDRTAWSILLETLQNADLVI NSHVTASAKQTPVIGNGEQTAQSGADIPRANIGNEILAVSTAASKMFESTADYPDTSF RSILEALLGLLSAKGRGVLPTAVSPTKPAINQSFANSRILDDEMNIVLDRTKELCEAN IERLSVVSQDEDIAWNNLNTNLQSIITSDTNSRSLRLKASSILNYVILQTMKHGWFED DSRNSLQLRNLQSLQSQITSLYEQRDRLTSIDAEAHGLALDTLKIMLEQYGEAFTSGW DLIFELISSVFEKPSLQNENEPNETLSSRKSVSKARDCKARSPRLVRAAYASLQLIAS DFLTLLPPSCLLELVDSLSFFASQDQDFNISLTTTTFFWNVSDFLQSQVGAFSIDESM DISISEESLAGLADDADISTSRGALWLLLLLRIVDLTTDNRSGIRNSAIHTLLRILDA YGPQLTSKAWHLCLNRVLFVMIFDIQKRVVEIERSATEGSNAAKEWIETSVVLIKGCA DLIATFFDTIIQDPRFVDSWKRLLESFQELVRVNNYGIKEAIFASTCDIIKHAKPSGE FSKEAVHCAWLLWKNNHLAPEGVPLNLDEPNQDALIAYFRFFQQIYRLYRDELSEEPV TQILHHMRTAIWNAVVSRYSVDIDHQSTLQELVLDCMKTLCLDKDESQSDIVMCLADF SDAALTQWSTDRDKSRPSYVAFSKNSTNLLSWYISEHGIKADIFSDGALTAALQHLAH PISCKYEWRGKDREQLIWQKATTAAVKIVRVAVPYVESKYSVAENSSIVSFWSTVIDI TRGIISADNYNDFGIPASRIAADEEFDIEAFESLVPLLIPSLGASNIPDSIRRDFACS LFRSSLIYLPQPMDRPMETLLENPLHDLYKIRRGRTYDPSPTARSRMAYVLIDTMFTL AQASSLSFPANDNDQMVASNTTSRIAIARSILPYLILRSFLPLKSYIADQPLRGLQPQ PAPAHRELVRILDRLFTLQSEPAAIPDAPCGIASSSQSPFSASGSNPKSSFVSAKANG HESRLVYSKHLGWVYPLIVKCVRIAGKQKRCGNDDVVVLHHLTRILDAVCENDDGDEV TSTA_076310 MAKVPRNFRLLEELEKGEKGLGPEACSYGLADGDDITMTNWNGT ILGPPHSVHENRIYSVNIQCGPEYPDVPPTLHFVSKINLPCVDPQTGKVDLSKLLTTW KREYTMETILLELRRCMALPQHKKLPQPPEGTTF TSTA_076320 MFGITVDSERKGITIENRYEALDTMHAASHRDNEAIHRIKKIVE NIGSRTHQQVTEARKPKTLSPQQKKTAENIAFQKQTMYRHPDAEPILSRPRPFVAGQR QIPKLVSARGVPFLRIKKPQPQNLSRIIRYKLRFKDKLVERRERLLIDTLFAKDEEDW DRLIEGQPVTPRVIHAHHPIRWIEGSWMESPLECYKKAFYDNIEFEKKQQELAEKMWE VVLAERKLAAEEEEEAKEARKETEKPPSVGSWISLIQKYGRQLVG TSTA_076330 MGREDQVEEREVLDSIFPEEITDLSDTSYRITIKLDVDEDEENE EAPTLLLQVSYPEAYPDVAPDLEILTPPNSAKHTRFDIQEDKAHLMNALQPSIEENLG MAMIFTLVSTLKEAAETLIMERVASERQAREREIAQAEEEENRKFQGTLVNRERFLEW RERFMKEMEEAEQREREEKEAEEKKKKPSAREEQKLTGRQLWERGLAGKGDYDEEGEE EVPSEGVEKLQISS TSTA_076340 MGKSRTKNKKSSTKSEKSVLHSVGKVVSKRKMADNATELLEKAT ILLQTGQAEAALPLAQRILEISEENSADSLSAINLVGEIYVELGEIDAARESFLHAVQ LDPEGTIPESQGGGAEKFLWLAQLSEQGGADSVAWYEKGVGALRHIIQSLEQNGGSDT ASILDEKRKKLAVALCGVVELYMTDLSWEEDAESRCETLITEALLVAPDQPDCLQTLA SVRISQARIDDARAALSRSLELWQDLPPEDPKIPDFPSRISLSRLLMEVQMEVEALQV LERLILEDDESVEAWYLGGWCLYLLAEKEKQDSSEEKRHESMVASREWLKQSLKLYQK LEYEDERLRDHALELVQELNKELGDDMDDDSDDGPVFPGGDEDEEDWDGEIEAESDDD EDHEMKDS TSTA_076350 MDLAPGPDTSDTLNKTSVVELNVVETGDAKIEDDALSRPAIEKS KSPTSDARDASDESGEEWETDSLYEEALHFVRDDQLSSVPDACTPQEAAAYRKLLREI GKVAFVEETIGKGTITAKKLLTAFGVVPPSFLEDAPDEAYHPLLGIVISREFAKRQKL NEYNSIEDAVNLLKKSKNVIVITGAGISTSLGIPDFRSKDTGLYSRLEHLGLNDPQEV FDISLFHEDPSIFFSVAKDILPTEKHFSPTHAFIKLLQDKGKLLTNYTQNIDNIEANA GVKPEKIVQCHGSFATATCTKCGFKVPGDAIFDTIKSGKIPLCKRCKEQIALQPQALK RKRSSNGLQNNRKKGSSHEDSDEEDYDIPTPGVMKPDITFFGEDLPDEFGRRLVEEDR EVADLVIVIGTSMKVAPVADVPSIIPSTVPQIYISRTPVSHIGFDIDLLGDCDVVVAE LCRRAGWELEHSMIPDDQKVEVAQEEGYLSRHTFSVV TSTA_076360 MECVIRFAQSHETFRQAEIEALATLAGVDVEFIHYDKYSPFCIA KFPNMEAARKVISRSILAQDLYELWGRGKTHEELHADVRRRTEHRWNDYKDVSFRFFI DTFSAKRSQVEKKNLIESFGYLDFQGPIKMKNPDEDFVIFEDYVSDIEEKKDEVEGTN EDHTQQKDQQTKEGRRKGLKNVYFGRWICASSRHMMDKYDLKKRKYISTTSMDAELSL VTANMAHAAPGKIFFDPFVGTGSFLVAAAYFGAATFGADIDGRSFKGRHQITKENPMG IYTNFQQYGTEDKFLDAFMSDLTNTPIRDTPILDGIICDPPYGIREGLKVLGLRDGRS KEPVYKDGVLAHTLPDYVPPKKPYGFVTLQHDILDFAARTLVVGGRIAMWMPTANDQD VELIIPTHPRLEITNVGVQQFSSWSRRLLTYRKLAEGKVSADSQIRSITDDPNGVTAD ELNEFRRKYFTPKNIPSEKSSRTEQI TSTA_076370 MPHNIPASSSTCPFCNIASAHPPLPPSSALQTVPQQDQPAGNSQ RSHAFLILSTKYVLAFLDIMPLSKGHLLVTTREHYEKVGELGVRIGEELGKWLPIVSR VAMRVIFGQSSATEEHHWNLVQNNGERAAQVVPHVHFHIIPRPPLLSADAIAQSPGWT MFGRGRREDLDDDEGEELARLLREELAREVKRVKQEEGIDLDVDRDYRL TSTA_076380 MPPARSLRQRTVTNENDENATSTRLTRAKAAALSSADLNGGELK KPVQSKKATTTTSNTTQRRRAALSNISNVTKTDLVDAKDAKKAASKTGLTSKSTSHPG GVQKLSRSNTTRAALGVKDANKKAASTTEVKRPGSGSGVKRSSSQKSVKEDLNQNEEP PRKKLGVEKKKTEVIQQQIISENVTEVEVDVGGAKDLEAEGVMDLDTEDLDDPLMAAE YVVEIFDYLRDLEHETLPSPDYINHQPELEWKMRGILIDWLIEVHASFRLLPETLFLT VNIIDRFLSAEIVSLDRLQLVGVTAMFIASKYEEILSPHVANFSQVADDTFSDKEILD AERHVLATLNYNMSYPNPMNFLRRISKADNYDIETRTLGKYLMEISLLDHKFMAYKQS HVAAAAMYLARLILDRPEWDATLAHYSGYTEEEIQPVFLLMVDYLHRPVAHEAFFKKY ASKKFLKASIVTRQWAKRYHSLYLNSELGDLAQS TSTA_076390 MAVSFQPRLFLRALQLPIRGASFSSFRSYSSVIHETERPPVEES SSFDPSIAFAPPPTRDEAGVLVRTYKPRTPGVRHLRRPINDHLWKGRPVHRLTFPKRG HAKGGRNHSGRITVRHRGGGHKRRIRMVDFARNDPGPHVVERIEHDPGRTAHIALVRA QSNGKLSYILAADGMRAGDVIQSYMPGIPDDLWKSMGGVIDPGVLAARTAWRGNCLPL HMIPVGTLIFNVGLRPGKGGQLCRSAGTFATVIAKGTEQQKNEERKQQLEAEAGTGET EEKRLSQRDRQKVQRDASHVTIRLQSGEVRLIHKDCCATVGVASNPNYQYTQLGKAGR SRWLNIRPTVRGVAMNAMDHPHGGGRGKSKGNVHPKSPWGIPTKSGYKTRPKWKINKA VVVPRVRNQGKRRRGYN TSTA_076400 MTEPDEDYFNNLSRQSISQDASQSQSGNTGGNTSPTEDDNNPIP RTKRVACIVCRKRKLRCDGQKPSCATCARVGHNCAYDEVRKKSGPKRGYVKQLEARLA QVEILLKNQEPDNSSNETSRNAPPSTSMPPAAPSTMSGVGDLLNFVNNSASQHSDAIR QSQASQNGAPTTNSNLDAESSWEVLSLGLEEPLPTQDVMDELFDIFFQKIHPSHPMIH RPRFFTSMSLAPHMRPPVCLRYIMWALAASITPKYSFLEEHLYARARKYIHLDEMKGH GEHMVSVSHCQAWVLIGLYEFKQMFFPRAWISVGRGARMAAMMCFNRLDGVGLDVKHC VPPPCDWVEREERRRTFWMSFSQDRYASIGTGWPMVFDERDIMTNLPASEEAYLTGTP EKAPSLKDVLAGDITNLSPLGSVAVMACIFGLNLTHLHRPDPQDREDDVNGEFWKRHR AYDNILLNISLSLPQALRLPQGIADPNIVFSNMAIHTSTICLHQAAIFKAEKHKTMNQ IAAESKRRCIIAADQIASIMKMVSHTDLSLLNPFSAFCLYVAARVFVQYLKSRPEGQA VKSSLQFLLTALGALKQFSALTESFLIQLDVDLSGTTFGSSMRSMRSKEVQSESDTCA PIVNIRSSEADTLAEGNTNKNANANHSGSPAGMPASSQSFGSLPNRQRSNNTSRNEQQ SSFMYHKGGFETSTDTANNHTPHRDDNDMLTNFNMDISPVVSGISDHIISENASPQTT NSSSNNAFTPPNMEQTSIGGPINNLPPKVPLDPSPVDFSAGLDGFSNNGNVSFSPFFD SNTLNTGMDDLAMENHTNGNWESLIQGSGLASNAVGEMMGNTNDFSDRQFDLLLQNMG WNGWPS TSTA_076410 MASKQPDRRLIHKDRFNYVFGSLKTQNYQDPVARGPGSHTIRTL AWNPTGQLIATGSANRTLRIWNPERANARYSTELRGHTAGIEKVVFNPVRDAELASCS SDGTVRFWDVRSKTCVSKLDVGGEAFTLSWSADGRVVMVGRKDDTLVPVSVESASTPT IAIHNGTSSSSSVPLAEQQKSPSTYTILPPHPQNTQTNATTFSYHIPTPSAPDLDLLA TTGDGTVRIFSYPSFNLQHTLNAHSSACLALALAPTGRYLAVGGSDALISLWDTTDWV CRRTVSSEGGGTIRGVSWSFDGRFLCGSSEEVGPNGLEIFHAETGDSVHKIPATAGVP AVAWHPTRYWLAYSLMAEGSNAGGLRIVGAAGGGL TSTA_076420 MLDFAEGASAHEKSYITIGPIPEAVDPQELHSKKAPFSTISHHS LVHTIELILPKDVYTAIWSSIREKLGRPRYIQVIMPLITLLEGDFFNDFVKPGSVLIL SEGRPGVDDVFSLEQGILTLQMSRDKYERTGLTGKPIRSGGRKHEKERFAIEIDLRQP SMLHGKKGFERIIWACRNVLNASVTWLLICDAVSQNSLDKAAAALQKYQPRILDCEFE EIAHPVVRVPPLSMDEVRSQSSLAALEDYCNEVSEWLGLVSLQSPRISVDDVIDPYLS RYAVPQSESTQLQSTALVILRWQGVMGSRWINQLLVSYLLQRELRTDRLSVWSALSAS AFPRVAVENKDGYTILSLRESAETKGSSFVAWEFIGASLST TSTA_076430 MDSTVIPFIAFDTSENDDGYFFDLIDQLNLDVVQPEGSSDIPTV GLEQPQGLNTSQGLQGALGVEAPAGNTSTISNISAHDRHSTSNSAAQGANVNIPDVPP TFNLVGENQGINIPMPPEPIIVPLSYLRPVYGGYINGTNVGNPTVPQPINGGVYAPST TRQAAFSNTHSENRSRRKRSRSSSPSARPETTRKRPRPIRFVHDELSMPANFRANPDN HGRFQYTATGRRRYLNGPEAVQERRRRREALVLIGGSLEGCEGLFHV TSTA_076440 MSTKQNPYISATSKSKLSNFQYVPNKNGATPKNRSPKKSTEATA NADKENQRSWMNGVMEPPKSPGKSLPSTQPKETKPLSECPKTPANRIPLADLISNAED VMVMPSGQEVTPDDYVSWQPAPRSSGTRRDTSSRGKKRRHSSSPTSSPLKDGTSKKPK GLFDMKNFEGLLKTPQTDVATDLWNKYIGKSNLEGTLEIPAKLTNLPSSPKTPASQKT GRDSSALRRSVSCNVDWPSSKTKRRRTEGVDGARTARDLFSRSRSNVLDSGSSTKLSF LLNKIQDTLASTKSNPKTNPPSSPPPLNDYVREDLSPSPSKKQQSKPSSSRHIPEVTM EGRNLGDQPQRIRKTHNLKSSSSDFGDDDLDDDLLALVDSSTQPVMDCVHGDDEVLNQ VPQESLSIPQRKEMNEDPKVQQSRSQDNDDDDEFGDDIGFEEGMEELLTQYDKQMPRI QKQTLPQTTGGSSHVQPGTEVQPDAKTRNAGIADQNSFSDDEFEDKDLDLDNVLQELE YHSQKPKAQQAIKRYLIVQIVQSTYVTQKGYKQPEQVLSVTDEKTGTSKIIILRESWF DSPCTKNSYIHLIGDYDHRGHCIVDNAHNMIILHPDHLISATVVADAVTCQRRAVLQD RIKATSDINAPQVYGHILHEVFQEAMKVNQWDLQSMRTLVERILVQYVESLYAIHISI VEAVEHVMSKVPELQAWADVFLRPTPNADCMVEDRNGSKANLSINKLLEVEEHVWSPM YGLKGNVDATVQVAYNDGGDQKTLTIPLEMKTGKKDTSHSHRAQTSLYTLLLSDRYDI EVTFGLLYYLEMKKMFRIRGVRHELLQMIQERNRLAGFIREKMDLPPMIKRQHLCNQC YSKTACFVYHKLVDDGNGETSGLGQKFDEVVGHLDSRHADFFQKWDRLLTLEEKDMMK FRRELWTMLSTEREPLGRCFSGVVIEPGSAYEDPSGSKINRFRYTFVKSKAPPGFSFT ESQITLGEPIVISDEKGHFALANGYVVRVSPKRISVAVDRRLHNARVRCKEFDVERNQ SFKGIMEVGQNSSSGLVEDSEEEQMVYRLDKDEFSNGMATIRNNLVSLMENFSLSIQL RKLILEGKTPEFKMDSSSLDAIVSSSQANLNIDQKQAIDKVMSAKDYALILGMPGTGK TTTIAHIIRALVSQGKSVLLTSYTHTAVDNILLKIKNDNIRTLRLGAVAKVHPEVQQF VDLAAIPKKSIEELKESYERSEIVATTCLGINHPIFNERIFDYCIVDEASQITLPVCL GPIRMAKTFILVGDHYQLPPLVQSKAAQEGGLDVSLFKFLSDAQPSSVTNLEHQYRMC EEIMLLSNTLIYSGRLKCGNEEVASRSVKIPNFHDGIKNHHVTSVAEMTAGRCPGPTQ ARCWLKDLLDPSAKTRLVNTDTLSGRGPENLVGSRLTNTSEATLCVQIVQAFISSGIS PREIGVITFYRSQLSLLRQSLRQYSTELEMHTTDKFQGRDKEIVILSCVRSNNDKQVG DLLRDWHRINVAFTRARTKLLVIGSKSTLRDGNELLQKYVSLMESRQWVYDLPKNALQ DHVFDASEGDSAIFSPTQQRQHHNIQTSPSIKKSISSNVRLTPDKHLKRKYNGPGSPL SPSAQHKIFKRESKMRTPEKRGNRATIAEKFVHKRPILHDLLNEFIG TSTA_076450 MRQATSIALRSRCLLRAPQTSRAFSTQSTLRSADHGNHYDPPSG WLFGVKPGQKYVKEGWENIWYIGFIGSLVAAGVAYVFKPDTSIQTWALEEARRRLEAE GILEDPEKAQK TSTA_076460 MVKIAVGQLCSTASMAHNLAQCQTLVKKAIAAGAKALFLPEATD YIASSSAESVSLVRSVQQSEFVLGLQKEAKNARLPINVGIHEPAQGGIKVKNTLIWID EHGEIVQRYQKIHLFDVEIKGGPVLKESASVEAGTQILPPFDTPVGRVGLAICFDLRF PEISIALKRQNAQLITFPSAFTVPTGQAHWEALLRARAIETQSYVVAAAQVGSHNEKR RSYGHSMIVDPWGQVVAKLGGDSKEPEIATADVDFDLIDKIRKEMPLLRRTDVYPVI TSTA_076470 MKSLRRDFSSNPQAQNVSSKVFIRSTKSGKVQKIVRELYLRQDI PCSSQLCAICPTYAPADASGNIAPFVLSDRPAGTKAFPEGHYIVPDTNALLNGMDVFE HTGAFYDVIILQTVLEELRNLSLPLYNRLISLIKSDEKRFYLFFNEFRLETYVRRQND ESINDRNDRAVRTVANWYTEHLRQAVKKEKSIPAIVVLTDDKENLRKAKEENVVALSL ADYVSNLEDSDTLIDMINDSREERGKRTEFFYPEYYTMSRMMTGVRAGTMHQGIFNVS PYNYLEGSVSVPAFDKPLLILGRDNSNRAISGDMVVVEILPKDQWKSPSSKIIDEEAV TKNENPDSEEAEVIVSEREKKALQEEVRKAHGQNAEGKAQPTARVVGIVKRNWRQYVG HVDPSSTLSQGNSGRRQQSVFVLPMDKRIPKIRIRTRQAAELLGQRILVTVDSWDRDS RYPTGHFVRSLGELETKGAETEALLLEYDVQYRPFPKVVLDCLPPEGHNWRVPTNMDH PGWHKRRDLRDLLVCSIDPPGCQDIDDALHARLLPNGNYEVGVHIADVSNFVKPNNAM DLEASLRGTTVYLVDKRIDMLPMLLGTDLCSLKPYVERFAFSTIWEITPNGEVVSTDF TKSVIRSREAFSYEQAQLRIDDASQTDELTQSMRTLLHFSKILRQKRMDAGALNLASP EIRIETESELNDPLTDVKTKAHLATNSLVEEFMLLANITVASKIYSAFPQTALLRRHA SPPPQNFEQLATQLQKKRGLNLDVSSSGALAASLDKCVDPKNPFFNTLVRILATRCMT SAEYFTAGAHAEVEFRHYGLASPIYTHFTSPIRRYADLIVHRQLAAAIGYTSAEGLSR RSQLEDVCKNINYRHRNAQFAGRASIEYYVGQALKARGEMMAKNKGNDKNLGVDEEGY IMRVFENGVVVFVPRFGIEGIVRLEDFMLPGEKILPNVHDPEQMREVAHRRDSEYDAE EYTLRVWDKSHPETERSLTVELFERVQVNVSSVKEKGGRGAGKRKVRMLILGKV TSTA_076480 MLHRNRMKDPSRKASPPGPTYMSDDQVANYLKDLRTNRPSRPNG SRPLPSRSTTSMSKVPEDPPRAASALSMNEWRESSAKESTHTDPYPRSASAMSNSRRS SDMNRNSFAGRPLVQQPSGYSVRSSYAPSARITSQYGSVSPSAVYRESGSRWMERQEA RSLRDALEEMDLQDEERLHSAAQDEATKLVWEHQNPGAAYKNPHAAYRNPDLHGTNRF RQHLEKGSHARSQAIGGTDIPYSHRKSLSESGSNEEAQSPRSSNGSTRESIRKKGRVN FALPSENDSTESRHVVPRARTVSNESAKGIFRNPEDSIYEEPEESSTQTVGQSSPTNV RSALKIKPRNSLPQGSNPPVRVRNSPFDRKQNKVDIHKNPPSQTRNPLYTTNAPVQTT PELRRKKEEKEKGEDDDADEIRMKNGMEVRSDDIRAATSMKLKDRSSKLPMPTAVSDR PGQPIISFDPAWQPPEEKSSCSQDGPLVINVVEAPDSIPTINVLDDSKEDAPSITVEP PKESTGASESVASRRRKFDNPQSMRPTSQGKWYSPFTRAGVPTATCTNCGLPIEGRVV TAAGSRFHPECFNCYHCGTGLECVAFYQEPEVKREERLSQAAAEDNDARGLRFYCHLD YHELFSPRCKSCKTPIEGEVVVACGAEWHVGHFFCAECGDPFSQEKPFVEKDGFAWCL RCHSRRTASRCLGCKQPVLEDVIVTALGGQWHDKCFVCHTCGGGFGPEGRFFVKEGEP KRTAKGRIIGGPVQLAVCEVCEARRLKA TSTA_076490 MLSTKKKTVRRKDYLEAQKSAQAEGATDLPRKRYYRQRAHANPF SDYALEYPLSPAHMDWASHYPAFVDPDTSKVTLSGTRKLVKDVEVADIGCGFGGLLIG LAPVLPETLMVGLEIRNQVTAYVKDRVAALRNQQARLRAASFLASTPNPTTEETPLFE NGKDQNQDLPEDAPALPDSKQTAKTLIPGGYQNISAIRANTMKFLPRFFAHHQLSKIF ICFPDPHFKTRKHRQRIISSSLNAEYAFVLKPGGLLYTITDVEEYHYWVLRHFGVYNH DHHDKETTTNTTEMENAKVTEEDEEEDEDENSGVARVRELFERVSDEELAKDECVRVM REETEEGKKVARNNGPKFVAVFRRLPDPEWSTL TSTA_076500 MSTNSPANSFLSAPTTAASVLTPASTISSHDPSIRIYNDPSDSS TTSARLRTTTPSGFKFPDVYDWPAFFTIQPNAQTRQAQMRRWASLISDWCRFHRTFRL SLTDAVDSPLFYNVRMRKRVGIQEAKTIIDWMTTAQEDGGGGRRAEWVPARSGTGVSE RTIAWIWWRRPEEWAKLIADWIEDTAQKNTVLTVYELIHGDATISQEFHGMDSDVMLK SLNVLIKSGKAQVFGNDDEKGVKFF TSTA_076510 MTEKQKGRPQLLSDCKICVARCNNLEEDAVMQLDILISEHGGEA VIHEEPAPFPPVEQFTHIISLTADFPAYQSACDAMIPVVKPQWLQASIAKGKLANPRQ FSPDPRLFFSDVVVTCGDIPDGDKDAIIGGVIAMGGLYSPRLTFQVTHLVDLSMESDK AKLVVSKNLGAKIVLPHWFDDCLKLGRRIDERPYTLPNPEILNAGPDIPVRTFGNAAI VGASTPEPTALPTPSRSPNHHRSLSVLEGKHIMLSSDLGIGKHLLDSIEDLIKQGNGT VTKDLGKADMFICRYREGFEYRTASRWNKDVGNLSWLFYLITHNKWTSPLRRLLHYPV HRDGVPGFQGFRISLSNYVGEARAYLENLIQAAGAECTKTLKTDNTHLITAHDKSEKC AAAKEWGLQVVNHLWLEESYAKWKMLPVSDPRYTRFPPRTNLGEVVGQTELDKAVLER NFFPADSSVDQSRVMQQKDQNIAANTEVIKTISPQGQTKSVTKSSPAPSKTTATTTPH TSRSSCKTSNTADAKTPIISRFLADEKENVTPSTTSSRKSKEAAAARIHDIAPDIALY EKEKKRVGGVVYGGRRKTDPDLIVPANNKKRRSAEPEEEDDAEEEKETKRQKKVKPPV AMHLMITGFQRWVGNLKQEDTDKRHLRNLGIAITQDAKKCTHLAAPSILRTPKFVSAL AYAPVVVHIDYVTECLAKDELLDPSDFALVDKITEKKVGFNLAATLERAKTNKNKLLR DYRICCVETIRGGFDAFKSIAEANGGECTLFRGRVSMNDHRRRDPDSESDEEEDHLMK NDVFLVSGEEPEHVKVWPRFRQMVLEAGKTPRIVNVNWLLDIAMSQEIGWKDEYEYKT DVAF TSTA_076520 MSFPFRIVEHVVPGQYLREYPRATSTSQEGTLYLAVKQYIPLDN PHPQAGDVTFIAAHANGFSKELYEPLWEDIYAQSQKYGFKIRSIWMADVAHQGQSGVI NENKLGNDPSWFDHSRDLLHLINLKREEMPRPIVGIGHSMGGAQLTYLSLLQPRLIHS LVLLDPVIQRESTQYPDQFKGRYVPTNTILSTHRRDIWPSRKAAAESFKRSPFYKAWN PRVLERWVKYGLRELPTVVYPEQPKQPQTSSEDDDKPVTLTTTRHQEVFTFSRPNYDY DSKNEKPANRIETPDLLPNGPNTYPFYRMEPNYIFSQLPRVRPSVLYIFAGQSFMCTP SMMQDKMDNTGIGQGGSGGVAAGRVKSVYFKDKGHLLAQEAVAECAQAAVEFFGEELQ RWKEEEAAFQNTWNKKTQRKKSTVDERWLKEVGPPPVKGAKSTDGNSKPKL TSTA_076530 MAEHWPHLPFIPYPPSKAGYWSPVTSTLNWCEEDYYATPYSAEI VNSLTNLLFLLLGIKGVLNVRKHGHDKVFEVAFYGYLLVGTGSFLFHSTLKYPMQLVD ELSMIYTTCLMCYASFSYSRSAPVRTFLAVALTGLAVFITLYYHYLQDPVFHQNAYAL LTTIVVLRSMYTMEVALRPKWRKSREEDRAAREKQGLPVPSKERQEYENARDLKTLKT MWFMVVYGLAMFLGGFAIWNLDNFFCNTLRSWRQQIGLPWGILLEGHGWWHLMTGIGA YLYIIWGIWLRHILNGEQDEYTLIWSHTWNFPEIVRSPAASSKKVK TSTA_076530 MAEHWPHLPFIPYPPSKAGYWSPVTSTLNWCEEDYYATPYSAEI VNSLTNLLFLLLGIKGVLNVRKHGHDKVFEVAFYGYLLVGTGSFLFHSTLKYPMQLVD ELSMIYTTCLMCYASFSYSRSAPVRTFLAVALTGLAVFITLYYHYLQDPVFHQNAYAL LTTIVVLRSMYTMEVALRPKWRKSREEDRAAREKQGLPVPSKERQEYENARDLKTLKT MWFMVVYGLAMFLGGFAIWNLDNFFCNTLRSWRQQIGLPWGILLEGHGWWHLMTGIGA YLYIIWGIWLRHILNGEQDEYTLIWSHTWNFPEIVRSPAASSKKVK TSTA_076530 MAEHWPHLPFIPYPPSKAGYWSPVTSTLNWCEEDYYATPYSAEI VNSLTNLLFLLLGIKGVLNVRKHGHDKVFEVAFYGYLLVGTGSFLFHSTLKYPMQLVD ELSMIYTTCLMCYASFSYSRSAPVRTFLAVALTGLAVFITLYYHYLQDPVFHQNAYAL LTTIVVLRSMYTMEVALRPKWRKSREEDRAAREKQGLPVPSKERQEYENARDLKTLKT MWFMVVYGLAMFLGGFAIWNLDNFFCNTLRSWRQQIGLPWGILLEGHGWW TSTA_076540 MSIPPEALQKLVQEIESRAIAAQQQISIVKTQISTKQRDIRLLQ LTSSELGSLSKDTNVYEGVGKMFLHTPIDAVNSRLASEDETLKKDINELENKLHYLET THEKSREHIEQIFKGTGASA TSTA_076550 MAIKNVLVVGGGGNLSPAIIDALVKSPHNYTVSVLSRAHSTYQP PSGVNHLKTDYTHDSLLSALKGQNAVVSAIAGTAIPEQKKIIDAAIEAGVQRFLPSEF GSDTTTPLAVDYFPGWAPKVEIRDYLKSKQDKIEWTVVFNGFFFDWGLKVGFIPVNGK DKTATIFPKYKDVRFSATNLEDIGKAIAQALSPEIAPKTANQILRIRTLTTSQSELLA TYEKATGEKFKVTEADLDAAVSEAKGKLSKGDFSGIGTLIVAAALDTRTGNDFDKAGN VSNDLLQLPSLKVEDAIKPLL TSTA_076560 MPEDCSTCRRRFPFFVSSDLSFFKHLGFSPEILQVTTNSKQFVC TNCKLRKKKCDKVKPRCGYCCRKSLSCQYQASNIKQLSVQPKLALDVSTNPIIRSSLL LSNTLSEVLLSQPTNVQSTLYLRVLSIIRTTSQTLEDIVTRYFRGIHSFIPMLSRSRV ERCLVDHSTPPPAGFSVLLLSMCLITYHPDFVPPSAHPLDQETLYLTTKSLFALVQAS FPPSLHLIQASIIIATYEYANGRIHDAFASMGVCARIGYAAHIDSAIPVQGMEVGEYQ EAEEKASTWWGIVIWERTILCEIANLQRPLTTRIPGSLADYRDGTTLHKAGASGSESD TDAFKFSCAVQGTWLLDQVIKTLDMTDPEARYNQLHGLDHTIQGVLVVILNQSQGKGG IYCTAIRILLRSKEREERLKSSHAAMNTITKMVDEIAASHTSTVSLVDTIPPGFLYVI RQVLQYIRESETKVDTWDEVERRLSRASLKFEYRWGGRATYG TSTA_076570 MTESMTQATTKEAPSNGPILSPEEGVRGWICVIGSFLALFATFG FLNAIGVFQTTYQETSLRSYTSSDIAWVFAVQLCLLWALGPFYGRIIDTYGPAPVIYP CSFLCVFSLCMTSLADEYYQIFLAQGLVFGIGAGGVFTASIVCVSQWFIRRRGLAVGI ATSGSSLGGVIFPIFVNRVIQDVGFYGAVRFTALFIGILLAASCLMVKGRLPRRPWNN KTPWFDVTLFNDKPFAFYILGSFLVMWGLWGPFDFISSMAEAQGFSPTLALYLISIVN STSIPGRIIPPHLADRIGHFNVITLCSALIGGSILCLWLPFNYHPSHAGIILFALVYG FVSGAFVSLLMPCVAKTGSLETLGQRFGTFQLAMGAASLTGLPIMGAILNRQSGTDFS GLQIFASMSALLGTGFLAVSTYFLSRARETWKI TSTA_076580 MPRHWVTDDDTESESEFSETDVSFHRPGRRTSVKRRSFSRTRRH SPSSNAFLSPVAIASPVRRSASTGGRRRRGDDPIVVVDVHNDVHAKQDSRDHRRSKIE QRMAREDDIEEIDIIRSHRRPRIIADVPASRTPSPRQQQRDWELLMDQRILAKNDIRQ DLELAKQQQEIERLERQIAKSREQRRDERHGSVSRRRLAEEELWEDELAERLRKLELL ERAKRSEEEDRLVDYRSKIKKLEEIEREQRTAEEQKLADYRAKVKRLEEAERKAAEEE EARRLAKIKRLEEMERKAAEEEEAKRLAQEKHLKEIEKREKIKAERDRIIKEIKDEEA RKALEEEQRKKELAELKRKAIMEWQQAEEARKLKEIEEQKAKDKEFRERLKLEFGYSE EEIEKMLKKKHEQQEKKEEKKEGAIVVLEPPREKTTFIRVHRKYLLPATLDAYKLPWD WDDRDGNYIIIKQWISEDFQEELFAHTRRLRGHGGGKLIEETSTTLTELKVNDRKRDK MYLVRKKNPGKSWIFT TSTA_076590 MSHVPPTAVSPSSAPTSKRIITSSKTPFHFAAGLASGLTSSILL QPADLLKTRVQQQSKRTASLLDTIRTILSSPHPIRNLWRGTLPSALRTGFGSALYFTS LNALRQEVARRGPVAILLDGNSSNSTVKSSSALPKLSHTANLLTGAVARTAAGFIMMP VTVLKVRYESDYYAYRSLAGAAKDIVRTEGVKGLFAGFGATAVRDAPYAGLYVAFYEQ FKRTLSRIQPSTASADTDKSNNNKSNNSTTINFASGALAAGLATALTNPFDAVKTRIQ LQPGKYRNMVHALRLMIKEDGGRSLMSGLGLRMGRKALSSALAWSVYEELIMRAERRW EAEAREMKY TSTA_076600 MKQSILSLATLFISSSWCLEPELAPFTHPATAASTALPEFAHDF EFFKRDGNCPANYNTCSNEGNSGICCRSGTTCTVDGANNYACCPTGAKCTGTLGATAT GGNGNSGSATTSGFMFPQGTTSTTASRATITGSTIPGAAFPFVYIPTTFSNAASCNEY SSCIASLGGQYGVTIVGGGGGGVTVQGNTAAPSASAAVSTCSSLSRQACYGLQESYCT AFGTSGGTTTGGQFVAGNYAPTRKSSLHEILLAAAAGVVGMFI TSTA_076610 MRSFSSIPFLTLFAAITQPACSQIVTALPYDIALEQRACANPCG YYAQLCCTSSQTCITNNLGQAECSNGGGNSGSGSWQYFTTTYTQTDLSTVTSVYSSYV TTPPTATTTCAVSLGQSTCGTTCCSASETCENGVCVAGASSEEAPTGTATPALRPTSS GAETITATQSATTTVAFIAPVSTNGTTVISEADHGGGLSGGAIAGIVIGVIAGVFLLI LLCACLCVRGAIDGLLALLGLRPRRRKETTYVEERISHHSHGEAPPPRRTWFGTRPSR PPRTDDNGKSGLGWLGWFAIIAGALALCLGLRRRKHEDEKSEYSYGPGSSYYYYSDYY SGSSEQSDDRQTRHTRDSRRSRSQR TSTA_076620 MLQTQTQHVFSHQHQYPQAEPSWLHQQQHHQPQHHPQQQQHSSL AAQQHAQAQAAAAAAAAAAQQQHYNRIAMAGNGSGAGNQGQGAGNHGAGLNADGSSLS AGAGMDGNISEESRRVFIWVAELLDPNRRETALMELSKKREQVPELALVIWHSFGVMT ALLQEIISVYPLLNPSQLTAAASNRVCNALALLQCVASHNDTRTLFLNAHIPLFLYPF LNTTSKSRPFEYLRLTSLGVIGALVKNDSSDVINFLLTTEIIPLCLRIMETGSELSKT VAIFIVQKILLDDLGLNYICATYERFYAVGTVLSNMVTQLVEQQTVRLLKHVVRCFLR LSDNSRAREALRQCLPEPLRDATFSSVLRDDAATKRCLAQLLINLSDNVDAGSATGAS M TSTA_076630 MVSRKRAHSEMETAAASSTKQQEEPSLFQRIRNCWEFASLMQYI FFFGKIMKIDEDLGVEDLEMECLKPEPSEKLMEIGLALLKFVSSHRGLTFDNFDEYTR RQYNAKAPHLVNPFGYDEEPNKFRDFDVFLKLKVLHQLSLWTFWNPDRIREKMPEQRE IDQTQWRIEEFGWDSKDRIYYVLDDNRLYRRTDPAIPPPAAAKPKANSKKARAAARAA KRRRLSAKAEEENDEDVGETEKSSIEEPTPEFKWECLATNLSEYQEFIDSLQKTRDPN EKSLRNRLVEGVLPIVGKAAEAEERKRQKREKELFNMQLLAGAKRSSRLAEKQDKERR DREAMEAARKREMELAAARKEQEIQHKMEKERQNRIMTRERRIKERESKRILHEEEMA RLEEEQKKLESGEGRVSERQIKAELDKRRKEMEELAEEDQWIFDCSGCGVHGENIDDG SHCVACERCNVWQHSSCLGISQDEAEKDDFHFVCADCKRKEEEASRPKIPPLKFRIGS ASPATTTAPAAKEGEQSPLASKVSLPAPAPSNATAFPQRPALPPNQPQFGAPTSPERR FQPATNGGSFAAPGSPSKGSVGPASSPLSSLPEPAYIQRQQPVQHSSAALQGILSNNP LSSHRPSSSHSVQSQVHPSPIQNRPSMSPTQGNRDVGPLAGFPPSAISNGSVPTTPYG QHRPIARPQDRNIPSFPSIDQRTASFSESFPSHTPPPPGSQNNMSFSGLSPTKNNSPR PVTASSVSSASVLPPIHRLEPSPKLMGRSSVDAPIPPPVKTMTPEQEGRRQRENQVAA GHDSTYTITGTTLPPLSSWNTTPSQNNVQQPSSLPPLGPNTQDQNNGVNGH TSTA_076640 MTVSRISPLPHYSGSQNPIQIDDSSQYSESPTPGLSPETGLLAA RSTKDFKFPPAFGLRSMNPDSNKVRVLKAPRLRRRPKATKANKIADFRLTGPLSQVTQ HLTHVPLKDMEAWINRPAEERRREAAKRGKVTRPMNSFMLYRSAYSERTKKLCSQSNH QIVSCISGQSWALEPPEIKEQYELLALVERDKHHKAHPDYKFTPNKAQMRPRKKRRAK DEGSEADETGYQLGPHRSPNPHKHMKTGVFKNEYGSRESTPYDQDPLFPDSVHNRWPM TLGQRLPTRLMAPHEQQQQQQHHHHHHHHGGYYMNAHGPYPGMIRSSDDAHTAARPTG YVINNGYSASTSLASIPGNINQELLRSYSSASSASTTVNANGGNNNHSNGATMPRMDD SQLDPQLLASQQAGLDLRSYNQVNMSTMWPQHDQDLSSYMPMSGPIGNNGVSYDSLNA NYTMQPLEEGQQVWAQCGEDEGIGGSEVIGKDFDQWIHNQNHHQPVYGGPQ TSTA_076650 MYGELGNKLVQHAKRTQSLAFLPPYQTEIVRSVAREVRDLNNDV AHHLAPFESADGSQSSFNPSAQPAIACALLVDHLCMRRNKRCLLAYHRVRAEKLEEMC WKGIDVLEQQQPISTGSGGANNNDGKQENSTEESNIPANTTARTMSGQNSLSPEEEEY FRLYSEMLAAYKGQWTDIDLTGSLEPPKDLFIDVRVLKDAGEIQTEYGAINLTKNSQF YVRQGDVERLIAQGYLQRLN TSTA_076660 MDIRSGGRGRSNSYISRNDDVLGRTDSNQTDNIISDQNDASYIG SSAAEAPSSPTITKWDSVHSLNNVKTPARSFYHRSFHGSLDPAQYSSQGVREQTAELA SLAISDVETNLSAASAPHLNDSIPPGLDIFRPDTKRRRSSHGADDRLSRPTASDLQTS ARFEASSMSSTRQLSTSNLTAILRSSPPNTLDNRPETSTSADVYNPRDADSSGHVDEA EEENQPDEGTALIRKRTLSKGYNYGTTSGDIESQPVYIYHRRKNFLQDAYSRTKKFFY VTTHPKSWDRLAIWEEGVVRPVSLLPAVFLGLLLNILDALSYGMILFPLGEAIFSDLG SDGISIYYISTIISQLIFSSGASVFKGGIGSEMIEVVPFFHKMAFMVLNRVGEDNPRA VLATTILSFSLSSILTGVVFFLMGVFKLGSLIGFFPRHILIGCIGGVGWFLVATGVEV SARLPGSLEYNLVTLRHLFQLDTLFLWTIPLALAIILLIVRRFIKSNFLVGGYFILVA VLFYLVKLIARIDMHTLRAGGWVFEPPAASNPWWHFYTLYDFSVVNWSALIETIPAMF ALTFFGILHVPINVPALGISTGEDNVSVDRELIAHGITNTVSGLAGSIQNYLVYTNSL LFINSGGDSRLAGIMLAAATTGIMVVGPVIIGYIPILVVGALIFMLGIELMEEALVDT WGKLHRLEYLTVVIIVVTMGVWDFVIGIFVGIILACVNFVVQTSRKSAIRATYSGEFT ASTVRRPPIQQKFLKEAGRQTLIIKLSGFLFFGTIVKVEATARGLIDEEAFMRQPIRF LVLDFSRVNGLDFSAAEALTRINRILGKRNVQMLISGLDVEGEVGKSLQNVGLFADES LVQIFEDLNSALEYCENEYLKVFYSRKEALKEQQQSSRASLEVPSSGNLPSVLDPYSH SPRRNYLQQAALSTLREDETATNIAQKWTTFRQPLPLLLQTFQGLTTHQSEDFWYPAC AYFRRETYPKDTVLYYEGDEPKMFYLLESGMLRAEYELPQGRYSELIVAGRPCGELPF FGGTPRTATVKVDQDCVAWCLDQERWADLRAEKPEIAQELLMVTLKLTAERMDSITSH VLAIAG TSTA_076670 MSAAEVAKPVEETAPVTETPAAEPAPAATESVAAPATEVTAAAA EATEAAAAVEAPKEEAKEEVTPATDGTLGYKGPGLVKSFRFTKRFFYFSDDAVEAKNL TSYLASEKSSAAAQRTAAWATQTGKGLLLLTKRAEDKATPAGIFNLSEVSDISKEGST EFSFKTHGHKHVFQATSAAERDGWVAALTTKSSEAEAEKETVTGSEGYKAELEKITKP AVVAAAVKAAPAPEKDAKETETAAEDKKEEKKDDKKSRSQSRKRTSIFGTILGKKEKE EAKEETPAATETTPAEATPATETAAAETTEAPTAEATTEEAAPATEAAATEAPAEEKK EEAKPAAKPKRASIFGEFFKKVASPSKEKTEEEVAPVASTAPQLENPVDENAAKPIEP EAVTEAPAVEAATASSSETPAAEAATEDKKEATTPENKRRTSLFGTLGKKSKTESTSD GEGKKTNKLGGLFRKPSKAVKSEDDKKKEATPAETIPEGEDKPEPIAKDVPADETAAH AAEKTEEPAVAAEPVNVTPTAAPVQAAA TSTA_076680 MSSSNIAQDFSLDLFDLPTQSPLLDDLTSPISSMMASPLLDEFA YMTSSSATVPPGTVSPKDLMSGPPSSFSTELGTPQSAFDSPAGLAFAQFTSPVYAADS DLPADSNDWASLFPDSNDMSGENFDMPFSTFAQEKTSKSRPSTANMSAASPVSSTDGD VMSQKARNKRNLPEPKYDPSDPVAVKRARNTMAARKSRRRKLEKQEQMEDRIRELEAM LAKSQKDVEYWKALAGTAMSSDP TSTA_076690 MLEKSLCPDTEDCFYRADHEKDSKLRDKLAQDFGATMRGDGSCR IDEHVPVPGHSRNL TSTA_076700 MASSNSTPDNRDFSLFHLGDFQLESGEVLPQAFLAYKTFGDIKN PAIIHPTSYTAFIHETASVILDSRASLNPSKYFIVVPALFSNGQSTSPSNSPNLRDKF PSITFADNVRAQYLLVTQKLGLTKVKAVVGFSMGGAQAYQWAVQYPDFMDVVVPICAS AKNAIHNNVFLEGVKSSLIAARGGISLGIGKGQRYPSNEPWTPQQKEVGLKAFGRVYA GWGLSQAWYRQKLFTKFFGAKDEEEFLQTFWEPWGLKNDPDDLLVMLQTWQLGDISKS PEFGGDLRKALQSIKCRVVVAPVETDLYFPPEDSRFEVENMLPGRGTLAVVPSVWGHW GGGCVDSTADLQFLDEAMAKVFAE TSTA_076710 MAAVHPLDPLTPQEITLAAHIVRNNFPGHSLIFRVIALWEPPKK ELIPYLEAERLKERLPTPPLRIAQVLFYVDKATQYCCGQVDLAQKKVTEIKNLNGHHA YVDAGEMKKCEKACLDDSRVQAVIKALQLPEGAVVVCDPWTYSPDGMNDMTRRCVMCF FYAKLSPHGDANHYAYPLEFVAELSDELKVMQVLKVPSGMNDKMTTADASTLRPFDRA KIHTTSEYHPDLSTERRATVKPLTVSQPLGPSFQTSGNLVDWEKWRFRVGFNYREGLV IHDVTYDNRRVFHRLSSSEMFVPYGDPRSPYPRKAAFDFGNNGAGVNANNLGLGCDCL GHIKYFHFWHHTNDGVPTKMSNVVCCHEIDDGILWKHTNYRTDNAVVTRSRVLVLQTV ITVSNYEYIFAFQFNQAAEISYEVRATGILSTAFIDRGDSVPFGTVVAPGVMAPYHQH LFSLRIDPAIDGYENSVMVEESHPMPIEDPRSMTNIGYITTNKFVEHETPLDTDNRVG RVFKIINEKIKNPVTGGPVGYKLVPHYSQMLLAHPSSYHSIRSEFGNYPIWVTRHHDD ELFAAGEHTLQSTTGSGLATWIKSRKDNQPESVRNQDLVVWHTFGTTHNPRVEDWPVM PVEKMTVTLKPVNFFSRNPALDVPISNQADNKSVLVEDEAEKSACCGTTARL TSTA_076720 MLPGYHASEHVLPQKKTATWLRNRGPDNYHTHLVKLESPGLSTS APETIYLTFISSVLALRGDHVTSQPLVDTDSNSVLCWNGEAWKINGELIDGNDTQLVF QELIEASRYRHDVGESEESRLNDSVARLTNIISNIRGPFAFVFYDGVHRRLYFSRDCL GRRSLLRGQDDHGNLKICSVCDALPGASFEEVECDGVHVIDLSRISLSKDPEGTRSIS YEVEVIPWSYGSTDAPRLKNPVAPMNRTSPSTDPPSLSLSSSCVHTLEDELRLSVEVR VRNIPQLGHGNPGTDAKVGVLFSGGLDCTLLARLAHDALPKEESIDLINVAFENPRVA AALKAKNEKVNGNEEMTSIYESCPDRITGRAGHAELQKVCPDRVWRFVAVNVPFQDFT AHRDEVIQLIRPHNTEMDLSIACALYFASRGQGVVTTNDSSDATTTYTTTARVLLSGL GADELFAGYGRHGIAFARKGFQGLIDEIDLDVGRLGKRNLGRDDRVISNWGREARFPF LDEEFVSWATQTPVWERCGFGTAKAKPIPDDDLGQIAENLDSEKKALRLLALKLGMIK TAREKKRAIQFGSRTAKMESGRSKGTQTI TSTA_076730 MPTSDKPRSSILDDDDKLKDASHENIHDLIAATPENTVPSSAVN MAEQTPDLTHIEPSPMDDPTARSSADTHDANTKRLQELSLSSKEQETLKVHTQADAPP PPPQKDDAYIDPTPKTPQAPRSPLGLPSEYNEKELPSVPRDEQLDERKEDGEVNDDDQ SEIQSIMEQFSDETKGPNETEIMSPRLELAEHFKGQQNQFPTRRSSLEPQPQSANNFP SSGPSSIPSPLMIPKPAPKARSDVPETPQSTSSRTGLPPPEPEPDQPFDFHRFLEQLR HRTADPVAKFLRSFLTEFGKKQWLVHEQVKIISDFLAFITNKMAQCEVWRNVSDAEFD NAKEGMEKLVMNRLYSQTFSPAIPPPPSVPRSASRNKRRELERIHGPGRRGQHQEDVE RDEILAQKIRIYSWIRPEHLDIPSLGNNGRRFINLAQQELTKMKGYRAPRDKVICILN CCKVIFGLLKHSKNPDTSADSFVPILIYVVLKANPEHLISNVQYILRFRNQDKLGGEA GYYLSSLSGAIQFIETLDRTSLTVSDEEFEKNVEAAVSAIAQENLKAESETSSAQDTP RASADTERTPQRREVPLATNDDENAPVAGLLRTIQKPLSSIGRMFSEDAEPDRRPQQN SANAPPLPERGSAAEYPGRIDAQEAAARQASAEAAEARRIQRAEHRDVVETLSGMFPN LDKDIIDDVVRMKEGRVGLAVDACLALSSTE TSTA_076730 MPTSDKPRSSILDDDDKLKDASHENIHDLIAATPENTVPSSAVN MAEQTPDLTHIEPSPMDDPTARSSADTHDANTKRLQELSLSSKEQETLKVHTQADAPP PPPQKDDAYIDPTPKTPQAPRSPLGLPSEYNEKELPSVPRDEQLDERKEDGEVNDDDQ SEIQSIMEQFSDETKGPNETEIMSPRLELAEHFKGQQNQFPTRRSSLEPQPQSANNFP SSGPSSIPSPLMIPKPAPKARSDVPETPQSTSSRTGLPPPEPEPDQPFDFHRFLEQLR HRTADPVAKFLRSFLTEFGKKQWLVHEQVKIISDFLAFITNKMAQCEVWRNVSDAEFD NAKEGMEKLVMNRLYSQTFSPAIPPPPSVPRSASRNKRRELERIHGPGRRGQHQEDVE RDEILAQKIRIYSWIRPEHLDIPSLGNNGRRFINLAQQELTKMKGYRAPRDKVICILN CCKVIFGLLKHSKNPDTSADSFVPILIYVVLKANPEHLISNVQYILRFRNQDKLGGEA GYYLSSLVSINRSCIT TSTA_076740 MPVSFAPSKEQQQSSTKTRSTGSAGAASLEAIAYLQQNEIGTRT RIGASSDGVVAPPHSERPAAMDSGNAPSARQLTKDEADRLYEENIEDEYAKREGDRYE LLESLLK TSTA_076750 MAQIRGTAGYNLGHQSPFANPGRQDATSDPSPLETIREQTSKIE DWLDTLSDPIKPYIPAIGRFLIVVTFLEDSLRIITQWNDQLMFLRDYRHIPWGITHLF LVANVVVMLAASVMVIARKHSEYAVGGLLGVVVTQALGYGLLFDLNFFLRNLSVIGGL LLVISDSWVRKKYMPAGLPQLDEKDRKMYVQLAGRVLLILLFVGFVFAGKWSFWRVLV SLVGFVACVMVVVGFKAKMSAVILVILLSVFNVLVNNFWTLKPHHPNKDFAKYDFFQV LSIVGGLLLLVNMGPGQFSVDEKKKVY TSTA_076760 MDTKKVAIIGQKQPWKTIPNEISGAGPSGLVTAKTLLHQYPKGS FEPIIFDSKPVVGGIWAVTKPDGSTTNFNQPSDFVDCYMRTNLSRFSVSFSDHSWNLH ANNGDVNAGRGEEEDMFPQAWKVGEYLKSYSDRFLPKETLRLSCRVIRTRREQNVTTK KWTVEWTDQSHSEHRSESFDYLVVASGYFSRPYIPTINGLDQFPHDRIMHTSSLRSTC QILSNLEEDDGKILVVGGSMSGAEAAASLALDLSSLPSQKSVHHITTRPFWAIPTYLP SNNQPGSFLPLDIVMYDLSRRPPGDIQHTLGPVTRERANMVHAYFESLIGSDQSDIAK GLAVGAKSDRDSAPWVAVTDHYAGFVRAGAIRPRIGRMCATHWTEGGAKGVVEIEQND GKILTLDNVAAIIFATGFTPFESLSFLPEDVLQTLEYTENETVFPILLDEKSVRNPNI PDLGFVGMYRGPYWGVMEMQARSLARQWSGTPEPITADTTKVKTLRTALSLRAQFPMG DYVGLMETFAREMQIPRESLQTDNAEDRNGPVIPARYFVSTNTDESISTSSSEMIDSL RNLLLSQASPHKERQRGLSKTILRGLHGYWRYERSTSSETMPITGQASIHLRKVSFSQ DTGFTTEFVYEEDSGMTQSLHGVAFRILEDGYIHTTRHDTTGRLEFISVESIDGDAPY QCRHFAREVGKTSQIDRTFIFNLRGVNITSWEAILKDKQTNTATITTYMRAK TSTA_076770 MYHQSTFLSHSSANTSSKSSSPNNSNTMDLSQSQSSLPLAMPPL VNNISNKLRNRLSLKNARAAIAEREILKRENRAARPLTQRNMDTFLIEQDLHDARVRR AENLHVSQWLQQLP TSTA_076770 MYHQSTFLSHSSANTSSKSSSPNNSNTMDLSQSQSSLPLAMPPL VNNISNKLRNRLSLKNARAAIAEREILKRENRAARPLTQRNMDTFLIEQDLHDARVRR AENLHVSQWLQQLP TSTA_076780 MSDIRSEFRLLMETNVTSPTVVATSFRPLLLRSSNPYSICASSG ARIVLRNAIRRTPDHAQIGNQSHGAYQISKAALNILAVLQARDFGDKRLKVFAMSPGF VRSNLRGESEEARSGWGRAGDPDDSERLLLNIGGVERDEDVGCLVHKDGVLIEKSNWS RYPSKDYVADVLGIGIIFARVIALIPQVQALMNLHISNIVSSNLYFGEDDPCDLYYQR ERHF TSTA_076810 MALLFLKNKLFPSWNQSDRDKNQRKGTWAKKSASPSPDLRELIL TFFGFQNTDIRSPGRPLLIYDSDNIDGSTVDGSDTDIEAQMNGSYSTFAGTNNGDNHN RDDASVRSNESGNRSRINPRLISDAILGLSDGLTVPFALSAGLSAIGDTKVVVLGGLA ELIAGAISMGLGGYVGAKSELESYEATVREVNEILDHPGETRAMVTSTFANYNLSPGA IDEITNSLQAEPEKLRDFLLTFHHRESKPDCNQAYMSALTLTLGYFIGGFIPLIPYFI ANQVYTAFMSSVIVMAVTLFAFGYVKTCIVRGWKGGANIWAGVMGGIQMCVVGGLAAG AAVALVKLINSDGNV TSTA_076810 MALLFLKNKLFPSWNQSDRDKNQRKGTWAKKSASPSPDLRRPLL IYDSDNIDGSTVDGSDTDIEAQMNGSYSTFAGTNNGDNHNRDDASVRSNESGNRSRIN PRLISDAILGLSDGLTVPFALSAGLSAIGDTKVVVLGGLAELIAGAISMGLGGYVGAK SELESYEATVREVNEILDHPGETRAMVTSTFANYNLSPGAIDEITNSLQAEPEKLRDF LLTFHHRESKPDCNQAYMSALTLTLGYFIGGFIPLIPYFIANQVYTAFMSSVIVMAVT LFAFGYVKTCIVRGWKGGANIWAGVMGGIQMCVVGGLAAGAAVALVKLINSDGNV TSTA_076820 MTEAQRPFSSFRLLSFDIYGTLIEWEKGILQAASPIRERLLDSS PLKRDDIAFGAVFNKHEARLQAAHPGMTYDKILRNAYIAVAKEILMHGDSLPGEDHLQ AEGVAFAESIKNWPAFPDTVEAMRKLKRLGFKLVPLSNVDHGSFGKTLAGPLGGLKEP LTPGSEPLDPFFDAVYTAQDIGSYKPDLRNFEYLISHVKAEFGVEKHEILHVAQSLTH DHVACKQIGLTSVWIARGENGKSGMGGDAQELMGKVSFAWKFKSLREFADAGNILLIS EAAGRKAEMSDKFHRALSAIDEAHSQDPKGPGPYRLDNHRNKINPLRTSLCPKNDSYL DSYNPSASELLRLAIRAQHLRRWEVPRSSYPATKAGYLNWRTFLKNRQADQARQICLD AGYSPEEAGRVASLVRKEGLKRRAVETTANTTVDKGAESEEDETQILEDVACLVFLDD QFAAFEKGLDEEKILGILRKTWAKMSDKGRRMALEIQMSDRCRELVQKALSS TSTA_076830 MSDSFSLAGNVSPTSFSASNLKANHSPDISNNSYPQTPTSPPLM SVGAQNYASNFAHTHTSPSHTTTSNGQPLSSPPSSTPMSTQNSQQPTVSATASFPTPA SSVSGHLRNTTPADESEAADKSWGQGIQNSHATGSTEFGSVDRTGHRRTDHDRHKLPE GSNMKGRPPVADVDMMDIDSKEDLNSSIRDSSLDALQQDIGTAFHLCKSVPTITGPDP SFDLISLYGLGPIGRSVMRADPTTGEKINRLRKSYEGKLKGLGLSGRNKAVKNENGQS GGLRHLMMWPEEEWQIQKVHGKEIKFAEAESSLQKLQMRAMKLEPGPIPNSEYWEDIL GHEKPAKHTNIENGKRAVSTPNALRPTNQANGVPATTAAPERARPTRGKKRHYDDNSF VGYGEGFVDDDDEAALYSNSEDRSGKKKRKKVFKSSRTHYQNVSYARTDGQLWGRHVW NRG TSTA_076840 MPSMCFTPPAKQLSSTGGHMNQIHGNRDRLIEDIRVCGQFLNGL DALSGEMASNSTLLSFVEACERVVVALGREQRIQSQEGRAIQGLIRKDWRLSSGEIPG TTPSVPRKHVAASHATILEHTLLDYGKDHSELKYRREEICEDKVCAVENLHDICKVQK IDPNMAQTCKMCFPEKNTQLINAHCQAKWKREQKAFYVVSFVLIGITLISGLILYIRR RYLRAKNPHADENATNETGINPPGELYYYDGPRSILPTERHSSLAVNCSPEPRQNEDS KRYHNSWDDNEDGVISTSAAHRRLKQLGILSRTGRKKIHDLFDLEALQSRRGTIKDSQ RRSDEKDRVPVMPWAPNASVRLSSRSSPTVRQATRSKTPSAVELQEMA TSTA_076850 MPSSSFLDHPVLKVSRPVAACLRCRTAKIKCDGKLPSCSACEKA GKANTCSGATDEFAKGKERSYVASLEGYCEKLEKRLAEMRRLKEAQGSNISSGQEVPQ SSITAFAAENSSSRAHRKEVRDIDDLVGDFGFLSVNATSRDFHGITSNVSFAQLLWTL STVKLLPQLSPRSLPSRQEATSLIQYYFDNILIQLPLFTETTFWTSVEAVYQNGGRFA KPIDQWMVYMVLAIASASLWHQQPSVNRQFALSMVSAAMPLAGEVLQPGSSLGVKAIL LLAQYSLFDPEHFSPRFLVFFAARVMIDLGLHQDPPREVVFDRERLEQRRRLFYALYT MDRIVCTSLGHTFSFSDHSVDVDLPAVRALPGNSPDENVFMKRLDCAIHMIKIRRILS KGYQQMYFDGRDPVPHALARTWKLCAEAREWYANAPTTTTTSFGLLYRLELLYCTIVF LSPSFGDPDICDFSRVVLFDRCIDYISQLHQVLEQPGSLPFMTFVEIRRAYQIGDRLV NLLDESYDLLLSNELPKPPAVPSGTPDPPYLAVEDRINCRPRAARCLEYIIDILHYGC TRWNMRGELDSFARDSTIVKQRLSPDAGSSSQPSSQMPLYTYVSQGVISTDAESVLQP YPNPGSTYH TSTA_076880 MADRPAQSASRPHFTNPWKTGAPAKTLQDAPDGKIAHTLTACTR CRQRKSRCDPGIPRCEPCERSNARCVYYDSTRNQTISRSYIVQLRERARALEREIEVA EKEVRHAADAELMVRGAGRIRFAANDEPRYLGPSSGIAITRLVMELAKQNTDSKSIKD VVPEMTAQEIRDMFAKESSKPTSKVYPMISSVPQDSLPPRGLTNKLIDLYMVKGQAML PVLHEPTFRQDAEDVFGASQDAVQNFQLRMVIAISMQKLSPEYAGLADSYYLAALPFL QPSLQRMDLRSLQCLALIAQYSLVTPTRTASYWVVGAAAKLTQELGLLEEDNITKSAS GEPLNVLEMDMRRRVAWVVITMEFGLAHSLGRPNAFCISHDHLNIKFPQLVDDRFITA QGILTGAKPIWAKCIAIHFFKMRLLQAEIRRTLYLNKREAPLSDQDPWFEQMLTKIDH WVESCPKEDGGSGLSKTWFVGRKNTMIIMLHRPSPQIPEPSVEAARKCYDACAFNVKM HMDQMSTGSVDLTWASTQSLFMAITTMLWTLSYPDIRIEHDIEEVKSYLQIALEAVAI SAQRWPGCESALQLYRSLITACLKAYDTAESFVVHTPSTQPSPVSLQESTPPPFVSSP SSKSQHSVQTVISAATTASDAEKYIPNSRGPSAEPAQKHTPNQDQSTPPTTQVSSSPI YMPSQAHVVPPNANTSKQPHGRNINHSQYANTPMYASYGDPNFDPSTPFNTFPSIVPG LPSWDPNYTTVPVTTSEGGYINMSADPAFWLGPFGDQYSQYSNQPGPWRGRTLSQEEQ IELMDSLADNIPDVSYMLTKATTIYYPS TSTA_076890 MLAVSERDLTKLLHNLNHHETHLLLQHLSTALHAFSAQHRGTTT ENTNIINQPQRTSIINEKWESTTLFMPVSDYQSTGFKVVTVPKVTKATNAIRGVINIL SPEGRLEGVMSAAEITAFRTALASMILFSRFYDTKEKKKKILIFGSGKQAEWHARLAF QRRLEESISSKLVPDLRGRYPHLDIETYSREEQTQDVYQSRLRADLARSDAIFCCTPS TEPLFTYNDLTASSNKRRFISLIGSYKPHMQEIDSRTLLSGVKGRIYVDSVEACMEEA GELIMAKVDEKQLIELGEVDPTLPLEEKEGNVIFKCVGLGIMDLVIARNLLKMASEQG LGTVVDGF TSTA_076900 MNPYVSWAIVLLVAAGLGYHYSNGSKAKSKAPVRVVPEKVEPIP QAAKPKKQKARKQAEPAASKKPEEKIQEKPASTTKAETAIEEEEDIDNKEFARRLAAA RSGVSVGETKTKASKEKRYKPLPLTQETASSDFSTRAPSSNGADADDDLSSTDFVAPS GRDVSDMLEKPAPGPSVIRVIGSMETKAKKPKAQQAFKPVETKKQRQNRQKNEARKQA NQEAEAERRKLLEKQLHTAREAERREASRKQSATSPPSNAWANTNGRSAAPAPAANGA LPLLDTFEPTAPAAASGSSTGAWSNNLPTEDEQMRILGVTAEEDWTTVSSKKGRSKKK AKGDESANEASASEASSSFEHETTPQPVEWVAPKVAQVPIPRGKNHPLDSDWAA TSTA_076910 MAKNKIIIDTDPGIDDILAMLLAFASSPEEIEVLLISLTFGNIE VESCLRNVVSMLHILEREMAWRKKQGRPEGFDTLRAHKPVIAVGAKQPLDDQKMLADY FHGKDGLGGIHFSHPHLTPGESWEHLFDPISDPEVLPNVGPSPSESHQSFIPSKQPAS DEILRVLKENEENTVTIVAVGPLTNLALAAAKDPETFLRVKEVVVMGGTINDPGNVTP VGEFNAYADAVAAARVFALTSPNPQSTLPPSKRLRPYPAKLSKRLTLKLFPLDITSHH DLIRGDFDHTITPLLQSGSPLAEWVDAFMAHVFQTLERLHPGHEGAKASLTLHDPVCV WYALTSDDPRWRPTPTSPEDIRIETVGQWTRGMCVVDRRNRHKVEDEVESHSDHGLWL SSRAGNRIIRIGESPGGDTFGMLLMKKLFE TSTA_076920 MKSPTIGGVDFGFTTGGTEDLIDGWFNDGIAEYYSLILLYVFGI LTEDQFTQRFNWRISSYYTNPDRAVHNKDVQDRFWLPGRVHRIPYQRGYMYFVQLAYK LHNLELGRPMALGDYKDMSNAKQIILPSDGAGVWISNGKWNLEPVAQEEFYLEFPEEN LSSESRVIRGLDLQSRAAEAGLREGDGITWGYRFLVDEDVWPKLFTMNVRGSNEETLR KIHLMASELAYGTELSVRLITFTLYICSINATTHICSTAAYQELLGLAAQLSSTSKYR APNKAQMRCQH TSTA_076930 MLDIVACRWDQQKRQSGAAEAPIVFIYGNLGTTVCELTYFASEL LDTAQSISHRQVCQHGIRDAGHISALQCMIKEDVAFGFCTCTEDHGDINSLRSAFAAE NPSQ TSTA_076940 MYFQNLAAVSVALLAGTSMAAQYNGGEIPSNLRNGRAKLRAIDL LSGSSSAQVVTVTPFAGAGADASKVMLSMSSIAKASSSAAPSLSKPRPSSKFKSSAKP SKSVAAGSSSAHRPAQETHAAQKPSTSPSSANDVSVPVQELAEQADSTTNKDSDKPFW SKPISKPNGKPRPNALDFSEAPEIRVDDKDSCSLRC TSTA_076950 MPPAHLPPTPAASGELIIKDSSFQAIDAMSEHSFALPPAALSDS GIANGPNSRKGSMISQPPISPASPERKTSVSSTARAGTKRTAAGTTTVTSSSAALDEF NLPPPPTRSRKIIQMKPKTAPTNRSTPAAATATANKQSPPRKQSTAKSGSVSTATMSK TGNNATATPAEAATTNDATSPQQQSKRKQPSATSAAGRKIARKTAHSLIERRRRSKMN EEFATLKDMIPACRGQEMHKLAILQASIDYMNYLEECITELKNNASTAAGRTNSVSKK PRLNNPPLAPPSPTSPETLVPLTNEDSSSSGSSSPEPVQDNRTTHSATSTYYSSPSFS PYTGPITSSSSQQQIQSLDGINHPILPSPALRPLYSPQIRAQQQQTDLQTQLQSYRVP QQQIASTTPSPAILPQQQHQQQHRQSISSIHTAASMASPVFVAHDSIIDQEASAALLM LNMDRRGASMSEAGGGNEDRKFSLASSSSSSARDKRMGMSVRDLLTS TSTA_076960 MWLARGVQSAIFYYATCTPCAAAEDRRRRKKEAIRTQREQAKSA VIVTDQPRLFPQPTPFSTNVGWMEEIALGPGPPARRHRARNCQNDGLPRLSSTSSMTV EGSVLSGGREKVTSLSEKLHWSRFQREDEILWGEEEDNTVQGSSVGISGRARAGTGHS NKYYIARAPPVNDLHPPIVSGPTSRAETRWMLQPPPSAKVMAGKARHDVSRESRGSSI RRRSRIDMKIKESDEEEEMIQEGQLSSITDRPDWLIRKATNSYRRTGDKKSRPTMLEI DTKLSPGKVDGNYGSLQPPPTAATTETGRSTSMTNVRAYDEWHLQLSPSFDSRSSSPS SMGSPDDSLHWPDTPYSRPDSKRTATDPGKVFHPSYLNLAASANRDENKSIEAIHLEV NEPRSREQMQPLKWRWSFDI TSTA_076970 MSLSSYINKKVLVITVDGRTLLGTLLSTDQLTNLVLTQTVERII RTPDDPEPSSEIEHGLYLIRGDNVVICGEVDEGIDNDIDWSKVKGEVIRGTKNV TSTA_076980 MPPPPPPPPPPPPPSMGGPPPPPPPPPGGSIPARPAKGAGGTDR SALFADINKGAPKLRKAVTNDRSAPIVGKEAKSSGPAIGGAPPVPGMPKAPGGLAPPI PSANRLRSSSDAGPTRDDSGSSGATSGPPQLAGLFAGGMPKLRSRGGVDTGANRDSSY LSDSESSRPRATAPKPPVGGAPRPPGARPPPVPPSAPESPAPNPLVANLRRPPPRPAS RPSSTLEIPPRAPPPLPGSGRTPPPPPPLASRKPSGTAPSAPPPPPPPASANPAPPSA PPPPPSISAPKLPVSAPPPPPSSAPPLPNGAAAAGASIAMQAARNAFGNSHNPPAPPA PPPPPPPGSAPSLPLASPPPPPATSPPALAPPGRPSASSTPVSSRPTSYDDHSLRNQG RSALDPSAYTLSNGTSTPVSHPSSPGPTRSAVQDNRFKFQDESLLPKPRPFSGGVRRY RAGRGSSVPLDLSSIG TSTA_077000 MPRPENPEYPRRDEKVFISPEVLELYTKIHVLLKRADYLPQVFS LYANKPIPEPNTSPIQFRQQNPKSVKNAISSKLANEALEIALEQKNLSLALAIIDTTF CAPAFTRAKLLKNAAVPLAGLATAPLASYVVATWAASMQNTMDPSMATGITFTATLAY IGFTSSVGVIAIATSNDQMERVSWAPGIPLRNRWLREEERGALDRVAVAWGFKDPYRR GEEEGEEWESLREFIGIRGMVLDKTELMEGMQ TSTA_076990 MSKITVAGVRQNVQQLLDYSQNTKKRNFLETVELQIGLKNYDPQ RDKRFSGTIRLPNVPRPGMTICIIGDQHDLDRAKHLGLESASVDDLKKLNKNKKLIKK LARKYDAFVASDTLIKQIPRILGPGLSRAGKFPTPISHAEDMDAKVTEVKSTIKFQLK KVLCLGVAVGNVGMTEDELISNIMLAINYLVSLLKKGWQNVGSLVIKASMSPPKRLY TSTA_077010 MANHQTAVDYYKSLSSQPSLAEKFSNIDDAHYFRQCQQTLLNGT TRNFVLDFGDQDAWCGFDLQKEEFVSLMKDQALANRYGLSYRLVKMMCTDPVRQTETA VAEPNLLESEPAEKSDEKPQQTVSSRDLEGAYHLDKLDPAVASAEVASLGYLSFSNII NQIWHFCSVDYGPKYTCIGYNSLYTVKVNNKILENGKGLPEGKRLWCWIILCDDGTVI SMQENPFPGPYMPSIEEEMVLVAATRRNVVSIFSGASKQHPLVSDNESLVSVRVRHFS SIEPDEASIKQEDGPGLILYYMFDDWVSSYRLVARREHKYGALLDELRQRMLTKPNVD LVDDLHWLGRRLAILKHLYQSYELVITRILQRQRLLRDEAAQIRHANTRNANTFNDAE YPDRAHMSFSLADVSGTYDYTAGVQLTSAAVGRFERLADRIRLYCLSEIDTCLAEKET LTFMNFNLIALKDSQAVEKLTRITILLAKATIMFLPVSLMSQYFSIQIPDIEGPLSLR AYWIAFAVLMVLSIGILTLFGYEDIVQFFEAEVDGAHVSKACETIAVTT TSTA_077020 MGLVVSRLLDRLWGKKEMRILMVGLDAAGKTTILYKLKLGEIVT TIPTIGFNVETVEYKNIQFTVWDVGGQDKIRPLWRHYFQNTQGIIFVVDSNDRDRIVE AREELQRMLNEDELRDALLLVFANKQDLPNAMSIAEITQQLGLQSLTRRAWYIQSTCA TTGDGLYEGLEWLAETLRKTGRD TSTA_077030 MANESLRYIPLTYDHADSQASALRLVLTIKPEWEGPGNNIEFVR FTDGITNTLLKIVNRKPGWTDEQIDNEAVLMRAYGNHTEILIDRERETRSHSLLAQHG LAPPLLARFKNGLLYCFIRGHVTSPSDLINPSVWRGVARRLGQWHAVLPIAGSADDSQ TPSSTHDEDNLYKSDGTPKAPTAGTITPIRPRQAGPNLWTVLQKWILALPVQTEEQRA RQKALQVELERTLHEFDDGSGIGANGLVFAHCDLLSANVIVIPHAQANGVTNGHSDSQ DVHFIDYEYATPSPAAFDIANHFAEWGGYDCDYNMLPTRSVRREFLTEYVKSFAQHGG KGVDPDQQQKVVEKLFQDVDRFRGIPGFYWGVWALIQATISQIDFDYASYAEVRLGEY WAWRREQDGSKSAEADEESPLRERRWAQEA TSTA_077040 MANTREEQGITSSSDNINAVINDAEKGKSSSIFQSPSFETSGEV TWDGPNNPNDPYNWPTARKITISLIISLSQLVCLMTTSVIAPALPQIATDLNLSDSEA QLAFSIFVLGQAFGPFVIAPMSEVFGRKPVWVVCSIFFILWNSVCPVGNLRPLIIGRF LSGAGGSCGVSLAGPIAVDMFRQKDRGKSLALASLFPYLGPALGPIVGGLVSQYVSWP WLFWAMSIFCAAVLVAGLIFVRETCKPVLLRLKATQQLREAGEGHVTATMVKQSSPDD RLSSRILVGLKRPVQLLLTRPIIQILCLINGIGFGMYILVLSFYATVFIDQYHQSATA SSLQYIAIALGSTLSTQTGGHLMDIIFARLLARLPENSPLRDSPPPEFRVPLIVVGIL ILPIGLFWLGWSAQAHIAWIMVDIGATIFVAGNFLGSQAALAYLFDEFSTHTASAGAA IRLLGNLMGFAFPLFAPQLYDGLGYGWGNSLLAFIWVVTVVPIPFVLWCWGEKLRAIG RK TSTA_077050 MPVQTSSPRKNEARLELGPTDNLENHIVVLVTGANSGLGYSTCL RLIDEFAKDSSKSSKHLTLVFTTRRPPAQVQTLKNDLQIYAHRLGSQVADRISILAET VDLLNLPSVRALSRRLNRNFPKLDSIILNAGIGGWYGIDWPGAIWNVTTDLLHATTWP SYKVGYLGMLTPRQTHHPDEKPLGAVFCANVFGHYMLAHNVMPLLRRSGQANGPGRII WVGSIEATGKSFDVEDIQGLKTSVAYESSKTLTDILALTSDLPSTAPWVQKFLSVDDE HESETATNGPAPVSLVGHPGICGTSIIPLILPLFWAMTAASYLARFFGSPWHTISPYI GANSLVWLALTPQLILDAAEKPYRDRGGGHVKWGSSTDRFGSPLVVSTEADGWGNGGV VDGEHVSAADKLRRRRRGMEVAMKEDRVRFEELGRKCWQQMEELRIEWDGLLDTLEEG Q TSTA_077060 MFETETEKTGGRALEHKSNGSNVNRDIEGNSQSESSTPTPSGAT TPPKCVLPEGGLQGWLAVLACWCIMFNTFGYINAFGIFEVYYKSTMLPHQSQSKIAWI GSIQVFFMFSAGLVSGPLMDRYGPKPILIPCSILFTLSVMLTSLCREYYQFILAQGVL GGLANGLTYTPALAAVGHYFLKKRSLAMGIASSGASVSGATLPIALNRLLNRTTVGFG CSDAPKRRSGPPLLLEAWRNPAYSFQVAGTFLVMWAVFVPFFYLPGFGQSIGLSVDLS FYLLAILNTASLFGRLFGGTVADRMGRINTLSFACLICGILILCWLPIRSHGAIIAFA ALFGFFSGTVIAVFPATIAMTAPQSNQIGSYLGMALGVYSLAGLSALILVGGFGTRLR PLTLTLPKPLVEFGNRPMILHQVESLAAAGVTDIVLAVNYRPDIMVQALKKYEEQYNI NIEFSVESEPLGTAGPLKLAEKILGKDDSPFFVLNSDVICEYPFKQLAEFHKAHGDEG TIVVTKVDEPSKYGVVVHKPNHPSRIDRFVEKPVEFVGNRINAGIYILNPSVLKRIEL RPTSIEQETFPAIVKEGQLHSFDLEGFWMDVGQPKDFLSGTCLYLTSLAKRNSKLLSP SSEPYVYGGNVMVDPSAKIGKNCRIGPNVVIGPNVVVGDGVRLQRCVLLENSKVKDHA WVKSTIVGWNSSVGKWARLENVTVLGDDVTIADEVYVNGGSILPHKSIKQNIDVPAII M TSTA_077070 MMSRKTIFLMGPPSGRLHWDDKDLLQVAVPPFTEPTISPSTNNS SRADITKWRVLQSGNAAELYHPEWAQNTLFLDTASLTAKMPRISDDVLLSQFYEHSLS ILGASYSSESDNNDTTLPFDEESGVDESDGSMMPGSSEALPFPYPRELHDIKDIPNAA YLRSIIPQTPSVTLVVAVIGINPLRRVTTKQSKQELDILELIVGDETRTGFGVTFWLP VPEDHHLCGRQGNGSATEFREKILSIRLRDILLMRNVGLGSFQELVYGQSLRRSMTKV DLLHRQQIDSQDVRGAYSTIAIDTTTREDKLLCKVRNVRDWLMNFVGTKARYGRDTAV VVVNETLKLSNIAGHDLASGLEAMCLDFIALDGEGIV TSTA_077080 MALNASVNVPNIHSATSELWSAVNSQWRCVHTVYRPPQARGEHT KASPVSVRVYSYRSRCVLFTKWIIQVERLSLPEALQSVPLDKMRSQLVLGQVYRRSTF GQNTLKTASLLVRASHSAQSTRNYSSLSSIGLKGFRRPNVFSVPRVEQHRSFFGGLSQ NFLAQKERAANSNPGSANAQNTFYQALLRANMPAIVVERYRTGQFANNAATEAIYLKA LQRLGSDGAVQGAFTGGTQSLNPEQLQSVGQAVAARAHGSQVGVSTKQAGTGAKESPL YVVVEESLGSSVFRWVKFLLYFGFFTYLSLVLITILVETTGVLKNVRGTQTNEATPQQ QKVRFSDVHGCDEAKDELQELVEFLLNPDRFSTLGGKLPKGVLLVGPPGTGKTLLARA VAGEAGVPFFYMSGSEFDEVYVGVGAKRVRDLFAQARAKAPAIIFIDELDAIGAKRNE RDAAYVKQTLNQLLTELDGFSQTSGVIILAATNYPQLLDKALTRPGRFDRRVVVGLPD VRGRMDILKHHMKGVQVSTDVDVAVIARGTPGFSGADLENLVNQAAVHASRYRQDRVG PKDFDWAKDKIMMGAEARSRIIQDKDKLLTAYHEAGHALVAYFSPSATPLYKITIVPR GMALGITHFLPEMDMVSRNYVEYLSDIDVAMGGKAAEELIFGPDKVTSGISADIQSAT ETAFTLVTQFGYSKKLGNVDLSTNYDKLSSETKQEVEAETRRLVEEGRLRATKILTEK RQELELLTKALLEYETLTKEEMEKVLKGEKLDKMQSTPSAPLKLPEALAAARLNPITQ VPEAETTAK TSTA_077090 MAPHRRRESVINKTTTHDGDRSLIQDESSRTDNLKDKFIGAIDQ GTTSTRFIVFDGTGLPVASYQSEFRQIHEHSGWHEQDPRELVSTVEKCIEETMKAFLA LGHSKTDIVTIGITNQRETTVVWDWETGEPLHNAIAWPDTRTKGMVRELRSKPGADEL DKICGLPLSTYPSSVTLMWLLRNRPEVKKAYDEGRLAFGTVDAWLLYNLNGGVKNKVF VTDVTNASRTMFMNLHELKYDDKLLNFFGLDKNKLRLPKIVPSADPKAFGKLAGGPLA GTTITSCLGDQSAALVGHCAFEPGYAKNTYGTGCFLLYNVGEKPVISKHGLLATVAFQ LGADRKPVYALEGSIAVAGSGVSFLMNNMGFFRDSKKVSEVAATVPDNGGCVFVTAFS GLFAPYWIDDAKGTIFGITQHTQRGHIARATLEAACFQTKAILDAMAKDSGHQLTDLA VDGGMSNSDICMQSQADIIQIPVERPSMHETTALGAAIAAGFASGIWSTYEELRHMNR ANRSVFKPYLSAHESALMYKQWTKAVEMSRGWLDASEIGLEDEEEEAEGGEEPEVATQ ETAERVVRLVQKEEEDSNSADDVAVGSESD TSTA_077100 MLYRMSAARAAFRAASSSNASAAVRSAVPRSVFRAQLTSAARQR LTSTPNLALAVRKPVTTALVRYASTATDKATGSSAAGLPEEEPDMLAGVKNDAKVIKD TFTLKEVPKEALYFGLAGVIPYLATSLNTCYLAWEINNNVVNGSGFLLSGETASFLLH LTEPIQIGYGAVILSFLGAIHWGLEWAGYGGTHGFRRYATGVIAPAVAWPTLLLPVEH ALITQFLAFTFLYYNDARAAGRGLAPHWYGMYRFVLTFVVGASIVATLIGREHISQHD TEEHTLKEKIQALLFLRKKEIEEAKKRAQEEKEAFEE TSTA_077110 MLEGVVANLLNRFLGMYVKNFDGKQLNIGIWSGDVKLHNLELRR EALDQFHLPLNVVEGHIGQLTLSIPWSNLRGKPVRVEIEDVFLLAAPKVETDYDPEEE ERRAHAIKMDKLESAELLRERNAEGMTQEEQRRNQSFTQSFTTAIVDNLQVSIKNVHF RYEDSIASPGHPFAVGITLKELSAVSTDSEWRPTFIQSTSGTTHKLAVLGALAVYWNT DTELLGTGSGADFGAEAQGISHEDLMMKLRGGIELDEKNQYILRPVSGRAGLEMDKTG KLDRPRMKARLLFNELGFVFDSDQYRDTLMLVDLFHYFIRHQEYKKLQPSMSPKEDPR AWFRFAGNAILSKIHERNRVWTWDYIKERRDDRIAYIYLFKKKKREETLTADQNAELD QLERKYTYEDLRFWRSLARNQLRKENVGVKKPPKPQTWSEWMWGTKKQEEDETETMTE EQRQELYNAIDWDEKKALAESVELPRDSVKLQVNSSLRAGSLTLKRDPHGAATEVMKL VFDNFRAKALQRPDSFFVDLDLGGLRVYDGTTEGSIFPQIVRVKDSQAQVANAFSDES DELEYEEDSDEAEHDDSLFHLQVEQNPLESDADTAVKVKLKSIEVIYNPIFVIEVARF FRPPERHMESVGALLDSAGATVQEIRQQTRAGLEYALEAHRKVDAQFDLQAPLIIIPE SITEEGALCLILDAGHIRVNSELVDRSTMSDLQAKQKRQYNEEDYKQLEELLYDKFLV KLDSIQLLIGPNIEATKAQLTSDDPTKDLHIVDRISVDFVLELCIVPKSTALTRTRVS GHLPELHASMSDMKYRNLMKLIDIAIPRFEDNTGESSNRVKEIVDKSSEQEAMNRARS ASFQPSSIREIPLLDDESDSEDSGKHEVAKKDEQPVNLHQRVFEFKFTVGKLRGSLFR SDQREAKSDQLLAELVAEGFELDYYLRPFDMVAELTLKSLSVDDYIEQNPTPEFKQII SSKGFNAEEDKDLFNLKFVRVRPDSPEFHSTYEGVDMNLDVAVSTINIIVTRRTLLTL LDFVLITFTNPEQPQAVAPSPGSSSVDLSQGQQEPQEARKIRIKSQLKSIALILNNDG VRLATLSLNTADVGIFLVGRTMQIQSRIGSLTLVDDVNIGASEQSSLRRLMTIEGENF ADFRYQTFDPDSSEYPGYDSEVFLRSGSIKVQLLEEPYRKIVNFLVKFGKMQAIFNAA RQAAASQANQLQENASRMRFDIIVRTPIVVFPRAMSENKQRDTITAELGEIYANNTFV PLDDRKDSPAVNMITTGIRNIRLTSDLYYDDATHEQLEMIQKVNLDFSICYLEHQPDQ PRPDIEVEGTMSPIKLRISQPQLKFLLEITKTVPGVFTPDTDQQELEAMQSLPSISPQ TEEQNSEVQQVRKPANQSPVSNSEKDTWVKLDMIFKVESVGLELILAKENKPVGQVEN SSLSRFSLNNTRVKLRMLSDGALESELLIHSFSIRDSRAQETNKFRKIMSLINNDVQQ QFMASVSMSPGPKKHVIAMVTIDSPRIILALDYLMALQAFASEAFAQNQQLEIEEIDE TPEDSDAASSAAVSGNKSSLDEQGLRMSSEGEQMTFSFRADIVDAQVIMIANPAIPNT EAIVLGTKQLLFSHQHVSTLQINKVGMFLCRMDKFETSRLRILDDFTLEVSIDSRAQD KGSALTSIDVHVEPLVLRLSLRDILLATQIMNKVSEMRTSSTTGPEKNEPQRIKEIKG TDKKSKRRSTIGGKTGSAATSSKARSHLIPEQRLAPQQSAVIRREELGAQFDGIRVIL IGDLHELPLLDWSVKKFSVDVRDWSSTLNADTSFDTFVNVYNFSKSAWEPFIEPWQLG FHMSKEINPDVFSIEAYSHKTMDLTLTSATIALASKSFQFLNTDEDVLSKPRGADAPY RIRNYTGFDLRVWADVEVADNAPAAKLSDGEEYPWRFEDATTMRENLTPEGNAGLVGI KLEGSGFDSINHIPVIREGETLYNLKPKKDKVLHKLLVEVKLGEDNVKYITFRSPLLL ENNTQIPVEMGVFSPEDGHLLKIEKILPGDARPAPVGSAFLHQLVVRPDQGFGYDWSN EQLYWKDLLRRPTRTITCVSESGQQAPPFYFQLNATYDKRDPIINNYPHMKLRLSAPV EIQNLLPYDFKYRIYDKNTRKDWTNFLRRGGVSPVHVVELSHLLLLSIDLQDSVYKQS EFAIINGNSQDFRREDSLPLKDEHGAELRLKLHYYNVPDSGGAFKVSVYSPYLVLNKT GLSMEVQSKGFMQSARTSAGRGIDSDSAACHAKPYMYSYPTDDPKNRSIIKIGDSRWS KPQSFDAIGSSFEVIVPARTSRAEFHAGVSVSEGEGKYKLTRVVTITPRFILNNKLNE ELQVREPGTSNVLTMKAGDLVPLHFLRQVPEKQLCLCFPGVNNQWSSPFNIADVGITH VKLAKASQRQRLIKIEVSMENATIFIHLRMEHRHWPYSMRNESDMEFIFYQSNPNVDE DEYEDTSSGWRPIRYRLPPRSIMPYAWDYPAAKSKSLVLNCNGKERHIRLAEIGNLIP MRVPTNNNSNGQSIVDLNIVAEGPTQTLVLSNYKPSKSIYQQQKGQTSQTSVATGFEV KVENSDVTFKAQLRLGGVGISLINQNMKELLYCTFREIEIKLRESNVYQTLDTTIKWI QIDNQLYGGVFPILLYPSVVPKTGKEMEAHPIFHAMVTRVKDDSYGVLYIKYATLLLQ QMTLELDEDFVFAMLDFAKVPGASWSIEQEGTLCDEDLDIPEPKYEEARQDVYFELLH LQPMQLDISFMRTERVNAEDQLQPSSPLMFFVNVMTMSIGNVNDAPVRLNALMLENAR VSIPLLISNMRKHYTQEFLRQIHIVLGSADFLGNPVGLFNTVSSGVADIFYEPYQGLV TDRPQDLGYGIAKGASSFVKKSVFGFSDSMAKFTGSMSKGLAAATLDKEFQDQRRMSK ARNRPKHALYGVTSGGNAFATSILSGIEGLARHPLQGAEKGGFGGFMKGVGVGMLGVV TKPAIGAFDLASNLAEGVRNTTTVFDSDGLDRVRLTRFIGTDGIVRPYFQREALGQFW LKTTDDGKYFKEDYIAHLELPGRDMIVMLTYDRILLVRSDKLRSEWEIKLTDIQTISK ERTGMSITLKGGANGPFIPVQDESSRNWLYKQIAIAVNAFNEKYNAKN TSTA_077120 MNFSALSILNSTVYTILTVVLLVLTLLTPGDFIYQCRVNHRLTN IIIVTGVYVLTVLVGLLIYASRIYTNRTVLSGIPKAWIPVSKGEVDRKVQKAVEEGLN RSAIIAFQARPRNVSDKNSEPVHDAELSITPDRPPWGSVSHAGWSAPSSIDFPGLRFE SVIEELPHLIEAKAVSLVPSKPPRGFLDSSITEEEYDAPDPRLVELLQRPSTMGLREY IAHLTAFGLINPPELRPEFLAIYERARFSSRPLFEDEFRALMQIFAEILRGMKQLDYE YLEEIGGLDSRADSESFIGPSDEEGETDTLNSEENNVPLRRERSADSSGWSSSFRSAH TAPMVPSPTPSRPEDRYASGSLQNPWSTSLSRPRSIASQSSGSGSVIRLTRTRSPTDL PYEIDLSRSNITGYDPYIPLEVFLGVLSAALESTPSQNTMQKILRAGAQLLSPRTGSP ATITSTDNEKTTFKTAPTQTALFPKVDPAVDGEDCDHDCASCTVHYPARFDVEMNDKL YGHVNGWSTHVLVATGKTDWVRDVADEKGSVMEAIEKGGLTPSNGNLKLSASNIPVPD EYHHAEEDGNRPTTVVLLPAFKIIDHVTPALAPDLIKYFVNPSITTTTPLLHNSSTTA SPEPSADNVEEQDISSLTLLRSRPLPHAAVILLCSQKTRDARCGQSAPLLKREFERHL RTLGLYRDANDERPGGVAVHFISHVGGHKYSANVIIYRRRDFEWYKKKKDAVADTNEE GEEEDEGAVQGIWLARVRPEECENIVRFTVLQGKLVKPDQLRGGFDREKGLISW TSTA_077130 MSFSGRRVSILRPAEVRRFSVSKDLSQNEKNSETHRNFRSAHEG HKPHAGLDASRASTGVIWCTERANEHGFSEHPHEWANLGQGAPEADDDIAGSFPRPTT IPIDSAAREYGPTAGIKPLRAAVARLYNEQYRKGKESQYTWENVCIVPGGRAGLIRIA AILGNAYLSFPIPDYTAYSEMLSLFKNFSPIPIPLSESDHYHIHPDKIAEEIARGTSV LLTSNPRNPTGHVIANPSLAKIQDICRDRATLILDEFYGGYNYTTDCDGTTLSGAENV IDVDKDDVLLIDGLTKRFRLPGWRIAWIVGPKEFIEALGSAGSYLDGGANVPFQEAAV PMLKPSLVQAEMRALQVHFRSKRDYVVARLQEIGFRFKDIPQATFYIWLDLTSIEPPL PESANISDGLNFFNALLKEKTIVVPGIFFDLNPAKRRDLFDSPCHHFVRLSYGPKMEV LKKGLDGIERVIRRARGENVGPTLEDEVAIED TSTA_077140 MDTPTSNGLPVGGPVAEDNIINRRGGESIYQSCVNLKLRLAEVP GFEQHLEEMEAEERATADPVNSLWTCLRAGYPLITIFNASQPDEMLEIDPDKVPEAKR PKAATFKFLQACLQDMGFPQQDCFLITDLYGENTTGFIKVIKMVNRVLDILEMQGRLR RPSAAVSSLQEGPEGTVKLTKRQHILKELLVTERDYVHHLQNLQALKKELEETGALTG DSSHQIFMNLNDLLDSAQRFLIRMEQHYALPEERQDWGHLFIDNEEFLRRYEPFISNQ LQCDEVCLREWDKIKSAPRSIDLQQMVAMPATLNSFFVKPFQRLTKYPLMLGELRKQT DNEALKTRITMAIDMIQSVLDSANDAIDKEHLQAAMIDLANRVDDWKALKVDGFGDLL RFGTFTVLKGEGNKDSEREYHIYLFQRILLCCKDINPNKQKSKLIGSKDKPSANLKGK PRLQLKGRIYMANVTDVVLLQKPGSYRIQIFWKGDPGVVDNFIIRYVNEDIMKKWYTD IDKLRVESYNSRHARNTGTSDSEFTYMQNMAGMVNPYQQEYEAEEPVHVQSASFSEFP VSRNASSTSLRARSATGGSSGSIGLPAARPPPRFPLPEVPPLLQTQLSNGSLSPGERV VGTSYFSPVTETPSTRSSSQSTFNYPRQMTPTNAWNEESNRTSNGANGRVQRPSLPPM PGSGQTSSQMSQRMRSASSPDIHNTPRYMNGHAMQTIDNVPVPPIPPQLQSMRAPVNR SQNNSPTNNGLPIRTMAQTPLGTSRHMQGDYSDGYFPAMANSQSSLSEHPLSMSGTLE PDTQASQEPIMPTQLKAKVNFDDNYVTLVIASNILFRSLTDRVDAKLARFTNRSIGSR SVRLRYKDEDGDFITIDSDEAVQLAFMEWRDQHQDSLAQGQVGEIQLFCQAVEN TSTA_077150 MHSSEGILRMRSDKPPYTSASLAASYKLDEGYSDDTKSQLDGED GAAQNESMLLPDWILAYSDSERAELAYSILSSLPTTSIASVVERLTPRLHMDPVLRLP PEITAEIFSYLDPATLFTASLASRGWRNRIIDPRLWRDMYIREGWRVDIDAIKRYEEL HTERLMSQTRKSRSRHADSESPQPQLKRRVTEASLQSRGACDGDAQSNPPWNEQHQTV EADVPTTADDDDNDREMRDVNGAGQSFSPADHQFETSTRHSPLLVRLPNGTAKINWPY LYKQRRRLEDNWIKGRFTTFMLPHPAYPDEAHQQCVYALQFSDKWLVSGSRDTTIRVW DLSRMRLSLPPLRGHQTSVLCLQFDPSSEEDVIISGGSDRRVIVWKFSTGQKVLELTN AHHDSVLNLKFDKRYLVTCSKDKFIKIWNRRSLTPLDKDYPAVVKGAANKYPAYIIDT TLYSPSWLEANLANGNIKRLEPYTLLMTLEGHGAAINAIQIHGDEIVSAAGDRLIKIW SIRNGSCLKTVLGHDKGIACVQFDNRRIISGSNDDTVRIFDHESSAQVSCLIGHSNLV RAVQAGFGDPPGAEEAERLEALARDNEFWDAHRNGNIPYQHRPAAVRRSVTSRNAGSR DPTEVTALGASIPPGGGGSRWARIVSGSYDETVIIWRKDRNGGWLVGHRLRHADAVTM ANTPYSTRARDQAMRYMELLQGQSRTMSILHHQARIHPSVAPVPSQSNNHNINPQPQL NAAAPPQQVNQQAPPPPPPAGNNPQPNHIPLQQVQAVNAIVAQAQGAVPGLVHPIAIA AGRHHNPTMTPARIYKLQFDARKIICASQDSRIVGWDFAAGDEEIMEASQFFVGV TSTA_077160 MDSLRSSTSSYGDPRYLSTGYPNGAVSVVPSRLVDGYRSASDMA TDDRSQYSGHSGPIPQTNAPSIDIQDPVLLHLLTETAIGDSAGYEILPFEEVEDLKRE YATLSNRVEGTKRKLALETKLRDAAQSLRRLSSLKSNGVTNGVAHHPEDEEFTISSRK CEELAQELWALERRAQDVHKRLLEHTAGILQLTHRGLKKNANRGSINNGLNGTTLDFD DRSLYREMETDDPGLRSQNGGGGLAADINSLRSTEQKLEELNGRLRDLLLDNHSPQDI GPLPLRVTEDTSLTFVQDHLAYLERSLETLQSSGLRRGGLEPEISATEMGSELGAINS QLRSLLGQAGSHLSPTLPPPPSPTGEYLSNHMDYLKSGMEDLQRRVNSLQDQKSILTT QIQQQRELNSKSDAEKDAHVADLAQQLVETRKQRELSERELETVKDELALVMEQLDTV RQQENIQDSQREREQIAALQYEKEARSKAETEVQRLQNELTRLEGEYAREQTAALEQE REARSKAETEVQRIGAELFQIQAEYARAQSTETEAQRLREELTQLEGEYARAQSAEIE AQRLREELTQLEGEYARVQSAEIEAQRLREELTQLEGEYARAQSAEIEAQRLREELTQ LEGEYARAQSAEIEAQRLREELTQLEGEYARAQTELTVVKAELDGAYGTRAERAAEAA ASPALYKEIEDLNTKNISLAEELATLRAERVNTGADQGELQKRVETLQKELEETIEDY EAMTKASIEFEKERERYEGTIDNLRDRIEQLEAQLSDERITWMGVSQTAMRDGATETT STMVLKNEFKKMMRDTRAENMKLLRAEQEERKKLEALVRSLKMEQTIGKPTLNQSMVT TSTA_077170 MVGGLLTHDTNGPEQAHELYQKLKLLMSINYEEDCVQTLKALCL MSCWSVKPSSPVNLDGPWIWTGLAIQLVTQMGLHREGTYATRANSGCLRRVFWYLLVA CWGRPPRLRQKDLDVRPPTLEDFEVPNMQSLVFIETTKLCTVMTRIAKLYMERHHIQQ SELSSIDAALCDWVNSLPEDLRLYNANDQRKAYYRPASELFIQYFVVIVMSQMLRYKE RDRPWRVSVVSRIAASCASFLYDEILCREETVFLLPNHGFFCLAISLPLICHYPQLEP ESTVRKRDIAIVCSVLNKMRDRYGDADMVLEKMRRLRETVERSSRVNGGPDAIPSDIQ GSDVRAKELFPFPLDMCREMNLLESVNAPDPQHSQNTGSLPLDEHTDNPFFGLSFMDF FEPDPNMFDFTIDSEPNAYSTQEVFS TSTA_077180 MPLNASFDGPAGAAAKVSIIDSGFRLSGLPTSMLLTPSVEYYEK LPELGSWSFLVESSKGRKVLFDLGGPSDISLFPPQVAEAVEKADAKIEVTRTVADILI ENGIEPAHIDSVILSHGHWDHVGDITVFPSTTELVVGPGFKEVYYPGYPTKPDVELSE RYFEGRNVREIDFSSGNKSCIKYGAFRAIDFFGDGSFFLLDTPGHAVGHIAGLARTTT SPDTFIFMGGDVCHHGGEIRPSPYLPIPSQVRSFHSSSLNSHHTSYLDGDLYREWNIQ RGRKPNDTFFDPILAVDISQAIQSIKEVQEADAQDNVFFVFAHDMQICGVVDFFPESA NNWKSKEWKGKALWSFLGDFTPAALSNH TSTA_077190 MKLSLLLSLAAAAYAQQQSLCSQYSSYTSGPYTVNNNLWGESSG SGSQCTYVNSISSSGVAWSTTWNWSGSNSQVKSYANSQYSALNKKLVSQLSSIPTSVQ WSYSNTAITADVSYDLFTAADINHVTYSGDYELMIWLGRYGGAQPLGSQIGTANVAGI TWQLWYGVNGSQKTYSFVAPSQTTSWSGDILNFFDYLEEHQNFPASSQYLIDLQFGTE PFTGSQTTLTVNHWSASAN TSTA_077200 MDIASDAAEYKVIILSRNVIWRVKKISGAKKFALVAISLLTTAI IAVALTRMEVGLRSKKSYHDPAFSSF TSTA_077210 MPLETLTDVAKPTLTNSQINAVQGLSRASRKRRRGPETRFCQGC NQQCDVDSFMLSRHNGRSTTPYCDCHITILVPAIAANITNKGTTIPAANNKNAAPNQP IVLANQLPCIPAGFISQYKWRRQLFFGFAPRFGTCCRKGKVLLPAIRPPPDDLKELYT STTGPAIAFQDNICYYNSALAFTSINYQADNHITGGIRPF TSTA_077220 MGLTAIPEDGRPIYRHRDNLNMAFVKKIDNRWVVPYSPYLTSLS KAHINVEICTSIMAIKYLFKYIYKGPDRTTIKIDSNDNEVDLYLQCRYCGPTEGYWRI FKNHVYEEFPPIHHLTVHVPGAYYYSYYESDTAE TSTA_077230 MLQLFNNDQCAAFERIVSNLENPSLNYTNFYIQGPGGTGKTFLY HTLYSSKYPRAFADLIVWDEVPMTNRYIFEAVDRTLRDIIKHRDSLFGSILFVLSGDF AQTLLIIIMVPRSQLLQSLKVLTFHQNMWLQGTGINTIFAQWLGRISYDPALQGSIEL PAMIPQVVNETELSSGSNPDFLASRAILAVRNVDLKDLSKSLLAVLPGELKTLYSVDK ADIDGDSNDGREEFSHKFLQMIEPNRLPPSIFTTQGWGANYVDYKERLCNDTRLVMIG LTKHIIHARILTGDHKGEEILIPYITLESLPTEVPFHLSRCQFPVKLCFSITINKSQG QSLETVDITEPTHALKQSRLLPERSEGGKLV TSTA_077240 MGDPNKYTIGWICAITTEYVAAKAFLDKEHESLEYGSVNDNNIY TLGEMGKHNVVIAQLPKGGYGIAAAASVARDMVRTFPNIRIGLMVGIGGAPSKKHDIR LGDVVVSAPKNGKGGLFQYDFGKTIQDQEFRTTGFLDQPPGLLRAAMGGIEAEYEVEG HQLDETINTVLEKRPRLQRKYKQPDFSSDRLYQSHIVHPPNDERSCAVVCGDDESKLI VRPDQSDEEDNPAIHYGLIASANQLMRNALVRDRLSAENDVLYFEMEAAGLMNYFPCV VIRYTGYLRLLRLTRIKNGKDMLQWLQLPTLKTSSLAFRQIRLKWIRGSVMYFLRCGY ALYHHLRQLLVKIPSLVAHIEMVIDADPNISDKSIGEQFEKLIYLPLSQVWDHLSQAP KLIIVIDALDECAQDGDNLLRLLSQTINKWSPSLQIFITSRPEQQIRSGFTDVPEGVR ENIELHEIPQPIIKYRFAQIQEKYTKDGRSLPFNWPGLESMSVLVEMAVPLFIFAATL CRFVEDPAWSDPKGQLKKVLEYRKGHSEMDTLDATYSPILHQLIHGQSKRAQRLLMER FRTIVGTIFHLAEPLSLSSLASLLDIDSEQIKGQLSSLHSVLSVPSSVDSPIRMLHVS FRDFLVDPDKRHANSFWVDETEAHKIITAKCLERMSQPGCLQENICNLRNHGTLRAEI DGRNDTDMEISGFLIDAMRFIRKHISIIDCAPLQLYSSALIFSPITSKIRNIHINKLH YWIQKFPEVESAWSAELQTLEGDSGPVYAVAFSPNGRLLASSSNDKTVKLWDAATKSL QQTLEGHSDSVGAVTFSPDGRLVASCSDDKTIKLWDADTGSLQQTLEGHSDWIRAVAF SPDGRLVASGSRDKTVKLWDPTTGSLQQTLDDRPVWARAAMFSPEGYNSILVKNDEWV TRNDANIIWLPAEYRTTRSTTYESMLAMGHASGRVTF TSTA_077250 MNLQRTSAEYRNGTSLDEGADNPSPSVHGEDKKDIEMASAKSGP SYEVTTMNADTMTTAPVYKVYKRRFFGLLQLVLLNIVISWDWLTFSSISSTSAEYFSV SEATINWLSTAFLFAFCLATPVVMYMLNKGGPRAVFITASVLILVGNWIRYAGTKANN GIFGVVMFGQLLLGLAQPFALAAPTRYSDLWFSEKGRTSATALATLANPLGAAIGELV DSVWTSEPGDIPNMVLYISVISTVACVPSFFIPAKPPTPPCASAEVIKTPFLESVKGI FRVREFWLMFIPFSVYVGFFNSVSALINQILEPYGFSETEAGVAGGVLIIAGLISSAI VSPINDRFKKYLLIIRIAIPIHAICYIALYFAPSSPYGLTPSIVVCALLGASAFALLP VALEFIVEITYPYSPEIGSTLLWTGGQVLGAIFTIIQTELKAGPNADPPANMKKSLIF SAVVACVAAIFPLTLGMFGSNVINRRLEADQARYTGTVQLENRGNGIH TSTA_077260 MSTTLLNTLELPTSKTSIFYREAGDKSAPVILLLHGFPSSSHQF GNLIPILATKYRVSAPDLPGFGFTEVKTKISNIPFDNLATTILEFLDTLSIEKFVYIF DYGAPTGLRLALARPQGVQAIITQNGNAYEDGLGEFWDQIRELWNTNNDPKVRSKLAS GLLSLEATKWQYEEGTQKSRVVAPESYILDYALMQRPGNSDIQIDLFWDYRTNLPLYP QFHEYFQKSQVPLLAAWGKNDQIFIAPGAEAFKRDLPNAEVHFLDAGHFAVETETVEI GSLILKFFGPQWNLNFINPGAKGDGIALLYPPVKGSIIRVFLDLSEITQAIELLPKRT CGPKILTMIGDSRAQVLHRLCSLPDETDRPSMVFHKRSCSTEKPGLLYRALLVSPLPQ TTVLWNQLTRDVFECMNKLEEQEDSQPIAEILLWCSVIVGITAEGSNYQQWFISKAWS LRGYLRISSWVELKELLASFAWLDCASDRA TSTA_077270 MLKMGSTGPGILQTPYTSRSLNLTHRVVLVPMTRMRASDETGIP NESAAIYYAERTIPGSLLISEGTVVHPRGKGFPQTPGIWTHEQALTWKPITDAVHKAG GIFFVQLWHVGRVSVPSQTGGLPPFSSTAAHLPGEHILFGDDNGTESYVDSHPMTIQD IKDVVGQFAHAAKLAVEVAGFDGVEIHGANGYLLDSFVHDNINTRTDEYGGPIEARLK FPLEVVDAVIAAVGSEKTAIRLAPYHVLQETNDSDRIGTFSVFCALLEKRNLAYVHIV EPRYDQLSQEGAFSGNIMRRSETLDTSTAASASIWPFRRLLKTTTVIGAGGYDGTSAA QAIEEGQVDLVAFGRYFTSNPDLPERLFNEHPLTKYHRPTFYTSGMDGYLGWPRWNEE IAGRQ TSTA_077280 MGLTDFFSDLVSSFGLAEAHAEAPPAEQNDDSAAEQQDEKTEES SEPAEESSEATEEAEPEAEEEEEEEEEEEEPEDIKPKLEEECANSKTCAPYKHHFDEC VERVTRQQEDGDASGPKEDCVEEFFHLQHCATQCAAPQLWKSLR TSTA_077290 MSLNWVMLSGKDGFVRLPNEHIIYTSPPRTALSLKPPSSWQGKE AFSISSSSGCLYLTNQRIVYLPSQPSEQMQSFTAPLLNLHDSHVSAPFFGPNAWTVLA QPVPGGGIPAPLQLVELKVTFKEGGAFDFHTNFERIKDRLQQAVEHARDSGSPSVRSS NGRGVNFTNVHLDELPAYDGPNTSNAGQAQSIEAAVQPPITETADTTSSAPVEPPPGY EEVQQQSVAQELEEQLRRAR TSTA_077290 MSLNSWVMLSGKDGFVRLPNEHIIYTSPPRTALSLKPPSSWQGK EAFSISSSSGCLYLTNQRIVYLPSQPSEQMQSFTAPLLNLHDSHVSAPFFGPNAWTVL AQPVPGGGIPAPLQLVELKVTFKEGGAFDFHTNFERIKDRLQQAVEHARDSGSPSVRS SNGRGVNFTNVHLDELPAYDGPNTSNAGQAQSIEAAVQPPITETADTTSSAPVEPPPG YEEVQQQSVAQELEEQLRRAR TSTA_077300 MAKPPYIPPQIGWLYDLVLWTFSVLVDLFFREVHPRGSWKVPRR GPLIIVAAPHANQFVDSLILMRVVRREIGRRISWLIAEKSFKRKFIGLLSRGIGAVPV ARAMDNMKPGTGTIYLPDPVNQPTLIRGVGTKFDGPGFEPEGTIHLPTINGNSYSATI AEIKGPEELILKKPVKEKDALCQLTGRADITDDGKFTGDASTVKSDFQGTKFKVAPHV DQSAVYKAVFGRLSHGGCIGIFPEGGSHDRPELLPLKAGVAIMALGSLAENPDSGLKI VPCGMNYFHAHKFRSRAVVEFGNPIEVPRDLVEKYKRGERREAVGELLDTIYHALLAV TVNGPDYETLMAVQAARRLYNTKGKKLPLPMVVELNRRLITGYAHFKDDPRIVKLKKS ITEYNKQLRLLGLRDHQVEYARFSIIRVVSTLIYRFIKLTLLAIGTLPGLVLFAPVFV ATRYISKKKSQEALAASTVKLQGRDVMATWKLLVALAFAPALYALYIVIFTYWTYRNR IQGYVPEWVPLWAVVLLGVVLFPTITFAALRIGEIGMDIVKSLRPLILSLNPSSANTL VKLRERRAELSREVTDVINTLGPEVFPDFDSARIVADPFKGYKHGDSDKGVEADHELR SRPQIQKTYTTQEPLPRNESFHDLANFGFFSNRPASRSRSRSTAGVRLSSSGSVQPMT EVDDHDRLEEVSKRIRGAMRERGKERRQRSEDLGWESVSAGSITPSEDGEKKTR TSTA_077310 MPTSMHFSHLRKWIIASPPVEFIINRVRELLLGALRQGPIPQHI AFVMDGNRRFARSHGIETIEGHHLGFEALARILEVCYKSGVKVVTIYAFSIENFNRSQ FEVNGLMDMANVKLAQLAQHGDILDRYGASIRFLGRKDLLRPDVVAAVDRAVEVTSRN GDRVLNICCPYTSRDEITQAIRQTVIDYSTPFEASRGNRARKPFSESHIASNIRAQNM KDQEGSGDADSISASSQDEDNGSPSANHANSGYDSPSSFSSSTTLHLNGQNNGNSDLL SPEFITPQTLTERMYTGNNPPIDILIRTSGVERLSDFMLWQCHEDTELAFLDVLWPEF DLWHFLPVLWKWQRRVTKSWKGESGLDNDDWKGSRDNNISVAETTTQIEAF TSTA_077320 MSALTSVLIRRGTELISARLQYREQPQTHGLPGFLTVVFTVVAF GLAIFWVDYTCTHVIATLAAVEDSHPTSYIRLEGEDSNDTFNGNNTAKPITSGLRSAI NYLRARGGIWSCFRGFRMYLAFTGLDFGAGLLISAIGPIQIAYPIRSLFGGFVTSMLL VTWQMAWIHLVIADKSPRRSFRRMLGLQHWPRIAPAAALYNFLTCVTLSAATASWTAM SLVSSGFKGRLGLLATSIISAVFFLLLSIPARAIFTRVAASMLPEEDEQIVPFDRHFN GKAKPEIVGGSGKLSIRDAWATFEWAARIRYVKIILKAFAIEFALAVVGILLVVGELA LLNPSRATPRQS TSTA_077330 MGKDITGSKVLLLGSGFVAKPTVDVLDQAGVEVTVAARNLNNAQ KLASGGKHTKAISLDVNDDAALDKELEKVDLVISLIPYTYHAQVIKAAIRTKKNVVTT SYVSPTMKELEEDAKKAGITVMNEIGLDPGIDHLYAVKTITEVHNAGGKIISFLSYCG GLPAPEDSDNPLGYKFSWSSRGVLLALSNPAKYYKNGAEFSVTGKELMGEAKPYYIYP GYAFVAYPNRDSTPYRERYNIPEAQTVVRGTLRYQGFPQMIKALVDIGFLSETEYDFL KTPIPWKEATKQILGATSDKELDLLWAISSKTTFQDNDERDRILAGLRWIGLFSDDLI TPRNNPLDTLCATLEQKMQYGPGERDMVMLQHKFEIENKDGSRETRTSTLVEYGDPKG YSAMAKLVGVPCGVAVKFVLDGTINEKGVLAPMSMELCAPLIKTLKEEYSIELIEKTL TSTA_077340 MALHNPNNWHWVNKDVAPWTKTYLQDTLSKISAEEDGVSAKVEK VLSMDGDVDVSQRKGKVITLYDVKLQLEYEGKTKDDETVTGTITIPEVAHDTEEDEFV FEISVYSESSSKQPVKDLVRSKIVPQIRTALAKLPKVLVEEHGKDIQHAPGSNPSSGF ATPTYHSHKSPSPAAGTPKTTTTSTGKVAVNTTTVTASDEFRTTAEEMYTTFTDPQRI AAFTRGPPRQFEGAKIGGKFAIFDGNVTGEYITLEPSKRVVQKWRLAQWPEGHFSTQE IVFDQNDVDRVTVMRVTWTGVPIGQEEVVQRNWEGYYVRSIKQTFGYSPPSSFASTIT TTVPRNPHLPSAASSSYQFSLMRRLLMFVFVLFLGIGLARYSDQILKFFQS TSTA_077340 MALHNPNNWHWVNKDVAPWTKTYLQDTLSKISAEEDGVSAKVEK VLSMDGDVDVSQRKGKVITLYDVKLQLEYEGKTKDDETVTGTITIPEVAHDTEEDEFV FEISVYSESSSKQPVKDLVRSKIVPQIRTALAKLPKVLVEEHGKDIQHAPGSNPSSGF ATPTYHSHKSPSPAAGTPKTTTTSTGKVAVNTTTVTASDEFRTTAEEMYTTFTDPQRI AAFTRGPPRQFEGAKIGGKFAIFDGNVTGEYITLEPSKRVVQKWRLAQWPEGHFSTQE IVFDQNDVDRVTVMRVTWTGVPIGQEEVVQRNWEGYYVRSIKQTFGFGTIL TSTA_077350 MTSLNGPQYHQSHSAGASLGFMSSPATSLSSPFSQPPHSAYLPS PGAAHRGSSPMTMRVSSSSYSAPYNPQEWAPMNGDGSPQIAQVTHSQRSHAIRIVQPS NLQHSLESVPSPPPPYTPRTQAPSPPGPTSIPVQTPQHGTPNDNINGNARYHIGVPHP RPLSMISMTEGNVSWQSTYSVPPPPPPAGASRSSSRADADRYQTSMDPGYGNPTPEPI VSHYLTTTQQQATYGEVNNMRQFDMPLHAPSSKRAASTGALNTATSSGLLNPAYDRSS PRNSWEPGMPLPPPPPGPPPAARSRSASTTTSDASSRRALQVPRSRVPPVLGTTLGQV PPTPADWVDETVASRREPDGARRLQIDTEVAYNTATVPREREAEPESAEPSSSRRASS RGGLFRSLAVKRDPSAKGIRERRIENRHRRQQVSEDLSAVSTNSNPWANALTPTNLVL NGSTTDNSGDKTPSGRQQTFSKPLSSARSTKSEEIPSTSRSRTSSGLFSDQSSYGTPK PESIFSHAEVTPTPPFSPENESPLAKDKHHLLNSKPLPTTPPSSAGESKPSSRPGTRL EDRPVSHLLHIPNDIVSVLPPLSPRRMSTTQTPSLDSVLHSDNDFLDGGLQRYRATLE KEAAATSESEALKIFMDFLVTESQLRRRLYARAVNDAEADIENTRKKLFIIQETPQAS RGKPTGIKLDTSFTEQNRPSGGMSTRPESMWWNNYKPCLSPIASISIENDEMSSRGRP PSRWWESKTGSSSEGGERVSLRSKRESKYMGVPRELREEMQRGYGGPLSVTEEEQYSD QQNFSQGGYGPDEYPPEKVGLHEPEMNTAQYHQYQLEISQASQYREPRGPKKMDISRL VTLPPPYPRHHPAVNNSHPDLVVYRTTVRSISDISEIRATREQYNQQMAQLKQEHETK IRENRKYFTANIQQQIQEGSIKYAEAAEAEAALEAEEQKKQRDLAQSEYDSYQKMVME PLHLILSERVNRATERINELSGKLKEDIQHENPDRTQEEGDEKPEILEQLTQLKWLFE AREQLYREIYDLLGESNEKYRAIVTLPYKQGENDEKLREADAFFIKDSYDRRVQYESE SLARTEAFMDVVEENVKRGVETHLSAFWDIAPSLLTLVQQVPENLSSFQIEIPAQEYE ENPSYYQFPLQYLYSLLSHAEKSSYQFIESQTNLLCLLHEVRSGVMMTNCRLMAAQRT RHGESEDSVRREMQEMQAREERELTVDLKEKVSTVEGQWTEALGSQIQSLRTRVKDHL LAEDGWDETLEQLE TSTA_077360 MRLTNITSININITMAANSNGGTAVGQENINTDIITLTRFLTEE QTKLPEATGDFTLLCHALQFAFKSIAYYIRRASLINLTGLAGSSNTTGDDQKKLDVIG NDIFISAMRGSGKVRLLVSEEEEEAIIFDEHPNARYAVVCDPIDGSSNLDAGVSVGTI FGIFRLPDEILGANKTVSAKDILRDGTELVASGFTMYGASAQLVITMKGGSVNGFTME NSLGEFILTHPKMQLPAKRGIYSVNEGNSMYWDDWVNDYFHSLKYPAEEGGKPYSARY IGSMVADAYRTLLYGGIFAYPADKKSPKGKLRILYECAPMAMIFENAGGLAINSRAER LLTVVPEHIHDRSGVFLGSKDEVQKAIDVYNKHHKK TSTA_077360 MAANSNGGTAVGQENINTDIITLTRFLTEEQTKLPEATGDFTLL CHALQFAFKSIAYYIRRASLINLTGLAGSSNTTGDDQKKLDVIGNDIFISAMRGSGKV RLLVSEEEEEAIIFDEHPNARYAVVCDPIDGSSNLDAGVSVGTIFGIFRLPDEILGAN KTVSAKDILRDGTELVASGFTMYGASAQLVITMKGGSVNGFTMENSLGEFILTHPKMQ LPAKRGIYSVNEGNSMYWDDWVNDYFHSLKYPAEEGGKPYSARYIGSMVADAYRTLLY GGIFAYPADKKSPKGKLRILYECAPMAMIFENAGGLAINSRAERLLTVVPEHIHDRSG VFLGSKDEVQKAIDVYNKHHKK TSTA_077360 MAANSNGGTAVGQENINTDIITLTRFLTEEQTKLPEATGDFTLL CHALQFAFKSIAYYIRRASLINLTGLAGSSNTTGDDQKKLDVIGNDIFISAMRGSGKV RLLVSEEEEEAIIFDEHPNARYAVVCDPIDGSSNLDAGVSVGTIFGIFRLPDEILGAN KTVSAKDILRDGTELVASGFTMYGASAQLVITMKGGSVNGFTMENSLGEFILTHPKMQ LPAKRGIYSVNEGNSMYWDDWVNDYFHSLKYPAEEGGKPYSARYIGSMVADAYRTLLY GGIFAYPADKKSPKGKLRILYECAPMAMIFENAGGLAINSRAERLLTVVPEHIHDRSG VFLGSKDEVQKAIDVYNKHHKK TSTA_077370 MAPKDTFFRSADMSLTQLYIANEIGREVVSALGEVGQVQFRDLN PDTNAFQRTFTKEIRRLDNVERQLRYFASQMEKDNITMRPSSEFGNTLAAPSSAEIDE LAERSESLEQRIASLNDSYETLKKREMELTEWRWVLREAGGFFDRAHGHTEEIRTSVD GESDDAPLLQDVEHQVPGAQNGDTQQSFSVMNIGFVAGVIPRERIGSFERILWRTLRG NLYMNQSEIPEPIIDPATNEEIHKNVFVIFAHGKEILAKIRKISESLGASLYGVDENS ELRRDQIHEVNTRLGDVESVLRNTKNTLDAELTQIARSLAAWMIIVKKEKAVYHTLNK FSYDQARKTLIAEAWVPTNSLSLIKSTLQDVNDRAGLSVPTIVNQIRTNKTPPTYVKT NKFTEAFQTIINAYGITKYSEVNPGLPTIITFPFLFAVMFGDFGHGFLMTLTATAMIL FEKTLLRTKLDELTYMAFYGRYIMLMMGIFSMYTGLIYNDVFSLSFEIFPSQWQWPHN IDEGQAVHATLKQGYRYPFGLDWNWHEAENALLFTNSYKMKLSILMGWAHMTYSLSLQ YINARHFKSKVDIFGNFLPGMIFFQSIFGYLVFTIIYKWSVDWNARGESPPGLLNMLI FMFLSPGTIEQQLYPGQAGVQKFLLGLAVLQVPIMLLLKPLWLRREHNRARGLGYQGI GERAHVSALDEDGDMNGRASEGRDSMASDGEGVAMIAQDIDEGEHEEFDFADEMIHQV IHTIEFCLNCISHTASYLRLWALSLAHQQLSIVLWTMTLGGSFGIESSTTRIIMIVVG FYLWFFLTVAILCVMEGTSAMLHSLRLHWVEAMSKHFIGDGIPFQPFSFKTLLEEDPV D TSTA_077380 MASDKRKVLITGCSDGGLGSALAIAFHEAGFHVIATARNVSKMK QLEALGIETLTLDVLSDSSIAGCVRGGYFMPMADLSIAEARKCFDLNVWSYIAVSQAF LPLLLKSKGVIVNQTSLASVITFPFQGAYNAAKAAMAAFSDTMRRELEPFGVTVVDLK TGVVTSNFFQNQKEAVPTSLPEGSIYEPAKEEVEHVLRGKLVEGKGMPSDKWAKQVVQ ELMKPKPSSLIWRGTYSFMIRILSSLPHSWTNGFINKAGGLDVVEKWIRK TSTA_077390 MTSLTRSYLSTHSVTSILRPKTVTLNPHTRKQAFPLGLHNFSRR TMSSAASLEQKLKALEDFSACDISDALVKLQKVPVGSRVRAGQLVDITPTSPFIGRQA NKPKIVAPAVTFKFIPKGDLAPKVSDPESNGFPPGKHWVDWNQPGTIAVLDQPPEQYC AVLGGIMAARMSYIGVKAVVVNGRVRDLAELSASGLHVWSKATSTVGTGAEAKAGLRN VPVDINGVTVDTGDIVFCDPLEGVVVIPKDLLDDVLDLCPKLIAQDNKVKADVEKGMS VYEAMQKHRTVL TSTA_077400 MGVPFEALIPYGIIIGMYCVTGVGLSLTKYYANDHKKARWNRDV WDKQMMERDFRITGTLRGQSTNPEAPTGFEVSNPWKLEKRQY TSTA_077410 MAAIMPASHEELGVSSHADDRVDSDQDAEGEEDTDLYQLDQELQ SAVQKADAGDAEEATAESDSGEDNQSEEDYAEQANDDDAVSENEYGIETPRRPRRGRR SAAVSSKADDDESDPDVAFGNGDAHSDSESNESDGEAEDWEAESNGKDENEDADKLLR SNCIFCGQDEDHDPSEDFEEYLTCAVCGDHSHRQCAREQSAFSDNEDAEQWRCTTCVQ DNLQPDPVENDAASRRSAAESIARNLQAASADGQHSVFNNLIVDDDPMDGTRSLRKRR ASSDDPEDHVPVLRKRQRRTPSQGATDSADGLLDTDGLRNRPRRKRKAEKELCRIVES DVGKCIIALHLSFVKIAKILNSRPRPFKARRRRQPKPPPVEDEPLAHFAPITSSYTTP FYSFHDREVDELKSKPYGGILSEADADTSRTLPTQADRERFEAARRSAEEDWQRKLME SEPVGEVNHRASQKVSGPPSKIKWINFGGYEIETWYAAPYPEEYSRNKVLYICEFCLK YMNSDFVAWRHKLKCPAKHPPGDEIYRDGSVSIYEVDGRKNPVYCQNLCLLAKLFLGS KTLYYDVEPFLFYVMTEYDELGCHFVGYFSKEKRPSSSNNVSCILTLPIHQRKGYGNL LIDFSYLLTRVEKKTGSPEKPLSDMGLVSYRNYWRLVLSYQLRNQKTPVSIAELSERT GMTADDIVSGLEGLRALVRDPVTKTYALRLNYSYFEDYIKNWEIKGYVRLNPDALVWT PYVMGRSNQSHYDRAQLHTVAPRDDPNEDDEEGADEDGELGLGARRVNGDSTTKPDGV AAYAPAGPPSTTTISSFGENHAVASQTNGEQQEETEKSKSKSPDPAAGIPPTRFEIYP PVQPPVFKRRPGRPWGSKTKYNSSYMSTPRGARATPRRTSTSMFGIGSPASTNIATSA RRGRSGLVSEIVSGPDSPSVGVDINGTDNQDHTEDQSANHEEEGEQNAELVNGINGPE DDATADTDAQDNDQPMTNGSAATAVIDSNDNTAATNSADNLTPRRTRRSIAKGEKKIT RTTPVKSVATVTTTNGNSDSDIDADGEIDEDVQMA TSTA_077420 MNRSRLDVCVIGVKLKGGGRATFGNSVWTHNIFATAFRDLCALD SFSTAGILAFAASIAERYTERRLAFAFQLDGSAFIGYSERKWLERALLMPIDSTPTLT VPNAVLLYRRIDTKH TSTA_077430 MLSNTSPDFELIVRQQPEQARVAGGKEKERKPVDPPPVIQLKVS PENSYLAQHYLQSPYYFMCCSLYHATEDHPVHSSQPSTALAGTLVSSLHRLKDTDNTD GGFFVFGDLSIKVEGEFRLQFSLFEMQKDNVVALKSIVSNSFPVLSPKNFPGMRESTQ LSRSFADQGVKLRIRKEARNAQKRGGANRHEEFAPSLPRSPDRAQMPQIVSSGFPGYP QHSYYPTTPAPKRMRTSMEMDSRAVFEPDARFAPTYQPATMYSNQPGAYQNPIGFPYT GQAPQHVTMPEYGVRQPQPLASTASSYPTSEDPMLAMRSFNNTGYINSQRYPA TSTA_077430 MCCSLYHATEDHPVHSSQPSTALAGTLVSSLHRLKDTDNTDGGF FVFGDLSIKVEGEFRLQFSLFEMQKDNVVALKSIVSNSFPVLSPKNFPGMRESTQLSR SFADQGVKLRIRKEARNAQKRGGANRHEEFAPSLPRSPDRAQMPQIVSSGFPGYPQHS YYPTTPAPKRMRTSMEMDSRAVFEPDARFAPTYQPATMYSNQPGAYQNPIGFPYTGQA PQHVTMPEYGVRQPQPLASTASSYPTSEDPMLAMRSFNNTGYINSQRYPA TSTA_077440 MASSSSTPNTHHTPNISLPTLSCEFCRRRKIKCDKLDPCTNCQR AGVKCESIRRKRLPRGRHITKSTASSRRRRSSSSRDALREKIDRLEALVNVALSESAG KDGSGSSPAMRGSAVMDKEISSDPLQGANGFRPKPMVSQFWANVINEIRESRGLASED TEDDEEEEDDEDEDEEHSEQQQERAHLRPERHRTYSPFMGLSLARLGHALPHSLLMRP SPAVTTTLCDIYLDQFDRIIKILHRPSLKRHFSDGIPYSISKSSREAENALDMAVFYA AVTSMTDRQCRDLFQCGRIDVLPEYQTACEMALERVDLMRTTDMMVLQAFVIYLAATR THDKTRAVWTLLATAVRVAQALNLHVESLGPSETFFNQQMRKRLWFTMCLLDVQTCFD PDSQPLIPIEMTQFTLPRNVNDSDFNVSFTGAGLPERDDVTDLTFALITYNLQACTRR LYYIPRGEYAAREEVLNHFKDKVLPLTRHCNPDESNYSWLIYWSSQNLVSSTQLIFRR ATQTSTSPQSTCLLEHCLKNLENIPRIHGDVRGEGFRWYISPQWPLILLAIKECFVTT DVTLLKRAWPLMEDVVGHYRNKQTDPGTRSKKAMLKKLMKRARRRVDALIQSADAVAA EEVTENMEGVVEEQQQQQMDLFMNVSWDDLLDDFQSITEFPYGDLIDWTV TSTA_077450 MTSQSKPNTRRLMSVVKLEQCTSANSSTVAIAAARVAGPVMQQS EGSTLFQRRLNHKDQADSSLHAHFRTRGQLPESIKRRYLRDVPLVHDIPARLGQDSST PAGQNVQSAGSEANTQLFLHPDIQSLVDEDRIARALGRDVTSDRLLVVYVSSFESTIS KWATLSNQPPGISQYPTLHNTLYDRVQRLDHKASALIRQHTGSDHCHRATRVLKLAVM TFSSQSSCIERAETSQAFGEMFVPVNDFQSLLFQTLWHETRRSLEWFADWDCLEVILA RIIFSLTQSPLNHPETDSRHEGVRNGPHLYDSPESMALRKAHLDKALQSLSFWNREMQ PLLQVPERLEHSLIGFNDDDITDFCVLVKLAIIYDETTAVLWNRTIQITEGKGDISWS RGGCALQSSSPDSIGQAGQFLKAHYLKASLWRKLGQLQRLARHADALTSNLETYIQET LEIFHEWNGACASYMKTCMESITTSPFDLEASCFGHAAHWYLGVLLFATEVEVLDATG RSDSIKSSLRRSTGLTGELRTDSIYAISDLARAANSLTTEGLTRQRRTYYEHPLLVDP LFAVIHIAFAETCETLLEWRNLLLLRLSNENLDRSIEDTSQDETFLANLSERLNHCIE ALDLLGKKSGVSKGYACRMRTVLDMGLYWPDKG TSTA_077460 MVWIVFIPETPWIVDSDFELFDIQGISGKAGGNADSSGSSATPR SSAPKKKFGDGLRISAEEVVEKMMTSSGNDGEEGAEREHPVWKHLLEIALPQYFTEDN DGWYTLNLSQIISICPQISGKISFLIQEDAEWGIFSPKHVFILKDREEAVFDPRKRPL ISLRARELKAAGEVPSHEDTKVAPLHNVPSGDDGIIKEAGHDRDPNIILSEDLESLVN PEEFEAFAWKQGFPGLASYLSVFGQQDSAAPPEIGHVAPQPEQQYLPSIPEENELEQN QADSFMALDSRMISRLNPLCQGLGFL TSTA_077470 MVNFTSVVPEDSYMTNTANRQGVGCCQAHGVESIVTTMESDNFV SEFETPIAEPEAIMDYQTDVVVPAQVPTADSDSVMAGALQILHNESELYADEVDNFLA TRADIPEVKQLCSGYSGVMPSENNRSPTVEEEPEDDQGFRVEPDSSTSKSEAPVTESQ NSFTTPCYEGDELSDEEIVWEDVELKADSATTDF TSTA_077480 MQSGKVNYGFSKIETVYTASVAEEGPTGDQFEDIRSDQLPVSHE SAEDSQTLNFAEQYDKALHHTFRTNAWTSMRMFLPTLFIIALAMYLSTPTDTLRTEKY YADHVGWMMGKARYTSLTNIGYSSSPRECFVGSSSRVSPSAHVSSRETTSISMPIETV DLRGLVKNFDTT TSTA_077490 MADKLQSGLEYNKSHWAPSYAEKFASLGNGQQPQILWIGCSDSR CPETTILGLNPGDVFVHRNIANIIHEGDLSSSCVIDFAVGALKVQQIVICGHTSCGGV NAALGDSKLGVLDTWLLPLRKLRAQNLGTLEKLDKKSAVSKLAELNVLDGMAKIKEKS VVLEAMEQRGLKVSGLVYDVATGLLRTVDGDEESQEEIKARLTAFKTA TSTA_077490 MASMQLPKSLLRPILSESIVSRHLQRHSCHHTPLSTFIKPTTHR QTQTNNMSTTPPKSTDKLQSGLEYNKSHWAPSYAEKFASLGNGQQPQILWIGCSDSRC PETTILGLNPGDVFVHRNIANIIHEGDLSSSCVIDFAVGALKVQQIVICGHTSCGGVN AALGDSKLGVLDTWLLPLRKLRAQNLGTLEKLDKKSAVSKLAELNVLDGMAKIKEKSV VLEAMEQRGLKVSGLVYDVATGLLRTVDGDEESQEEIKARLTAFKTA TSTA_077500 MPFSLGFTADAAAAHRHEYSQATACPMFQLPQSLGFVKPLKDGI ASPPAIITPRPSQTDLSSSSGDEEEGDNEQQNDARKARKYTMPRQVASRPKTLYQFAH PVASRRRLKFRPKLLLQLHETHNSSRPFPKYDILPPDMTTKLVCRLSRPLGSTRAFGS KDLVIVTSDMYEQVHAGDDRSVSSDESCGEQREVVATICQCGPASEDHPRGSKVEVAL SSGACWEGIPLANGSYELVSKSGIAGSKKIRWVARDRKPRQGTGLSSSTASSAPSSPG RFTFSVINPNTRRHAVIASMTRKGLTVFDQYSPTVQGDDEHSPPTSPSTASMRSGPEV GLINTDEELRQLIVMTGTWVAFMEGWSTKSLSNGDTSRTVSPLSPRIRSSTYLSVEGD PSMPDKSAKGPSTPTPSTSRMNSFSRRKMINRRSTHSEISRDRLSEYDASSETRRRSK SLTADPDSRWTDIEVDKAIEDGNRYLSVDPVRQQDTDDTHEEEDSKAKKKWRRLSSMF GRKKH TSTA_077510 MSADNKPIDSTRQAIVPDHGDPYYLRNPVRSLLVLFLAWKAILF PIIANCPGLGYDTSTNLLASAVAPSLPTWFSVQASSSGIWNFVRWDAIYFVRVAERGY LYEQEWAWGYGYTRLLSFLSSGISFNSMMPFNLTNFTSSKIAFATPNGVSIAAIAISG IALSHLTHFCSVLVLHTLTELLFGYETRSQKLFCLVSAALHVISPAGAFLSAPYTESP FSFLNFTGFYLYSSALLAEKAGNRGRRDILVLLAGILFAAATTIRGNGIISGCLFAYD AVQGVLQFLHHGLSIDLIRRSGIVVLGGIIVALGSIIPQYIAYTEYCGATSRPWCARF LPSIYGWVQVHYWNNGFLRYWTVSNLPLFILAAPVLVAMLYSSFVALSGRLGPSHTDH TPISKMNTSQSAVLRLAIAQGILALMTLTSFHIQIINRIASGYPVWYWYLATSACGSS KPLNNRLFRAAVQGMTMYALIQAVLFGSFLPPA TSTA_077510 MSADNKPIDSTRQAIVPDHGDPYYLRNPVRSLLVLFLAWKAILF PIIANCPGLGYDTSTNLLASAVAPSLPTWFSVQASSSGIWNFVRWDAIYFVRVAERGY LYEQEWAWGYGYTRLLSFLSSGISFNSMMPFNLTNFTSSKIAFATPNGVSIAAIAISG IALSHLTHFCSVLVLHTLTELLFGYETRSQKLFCLVSAALHVISPAGAFLSAPYTESP FSFLNFTGFYLYSSALLAEKAGNRGRRDILVLLAGILFAAATTIRGNGIISGCLFAYD AVQGVLQFLHHGLSIDLIRRSGIVVLGGIIVALGSIIPQYIAYTEYCGATSRPWCARF LPSIYGWVQVHYWYWTVSNLPLFILAAPVLVAMLYSSFVALSGRLGPSHTDHTPISKM NTSQSAVLRLAIAQGILALMTLTSFHIQIINRIASGYPVWYWYLATSACGSSKPLNNR LFRAAVQGMTMYALIQAVLFGSFLPPA TSTA_077520 MDRSTADRIASILTSENFQNGHEISRATSPGGEPVEADGSKKAR VRPRTYPYFSYLPYQTEDDSQREHNLHEILNCLYVAIRAGDFNPGAVHWTRELRGWLS LKFDPTREERIKLVRLYYELSLAPGIDPNVSERFASMFMLLTKRKHYLRPVKDLVLDW KPLFKELKAFVLPTESGLIHSTNLKRNIKTLTKLCAFAQLYFDPCEVPAMLEEFLPHF TTSFSEGAFVVVGLMNLLLPTSPAPEPRDDLRPQKFLPTYFHLWSLVNRSKTFDMTFL DLLSRLARDSLPASHIPFSEYGIFTKDQSSVIFTAILRLLEIPVGQATSPYSALVDIS SGLGIMLDRDSRKHPVAHHIARWIVMSLSPACLDSPDSILHQLEGLIQAVETFFHPSN SGNWTKTLAQLVYYLSDFFIMRWNREHNGEMEVPPERKLNEALRKRFVLCLRDVIFMG IYAKSGTAMNFSLSTLQSLAYLEPQLILPGALQRIYPSMQGLVEVHRTTSSLRSLQIL SRIIARTKGFRCHMTTLLGLALPGIDANDLEKSMHALSFIQSVCYNIPFEDLTKGRDD VNCNMLAMEWITGEVERMEIEGANVQLNYDTDLSDETEEMILRSSTTGFGEFLISFLG RVFTLLENLPDASRVKSGSPEENVVNTLPATLMPLLSALSPELYDMALQKVVDFVSNH VIHQARDAMAFICNAVCKVNPEKALKRFVPLLIQAIRSEIDENGAASTRTTGSEVLPR DRALVWNVSMLSMCVVHVGSAVLKHKQELLDIAIYMQEKCKGIPTIHVSNFIHHLLLN LTVTYTLDYGLFEHEDIKDGIKIEHWGYRPDPRNLNINWHIPNRAEIEFAVALFQSQA ESALSQLTALTDGTSSVKRDGSGKEWSDEVTRKLVLLRLIISGISVLFDPKAASKAGD DNNAVFSGSSDVEMANGYVTEGEGQDENGDSSLDSSDEETVRETFTYPTGYSLTEDDP LYHTIHDIRKRAGIVLHDVHRFLTDKQEDDVPCFGALYTAYRSWFIDVGIERSAHVLD RVTRLLAADIHPYKVSGVRKDYPRPLLVRRANVYHLQRLRHNAAPRPRSKLDEVLFLD LAESSVSLYTDIRRNAQSAGESALKAVWGSRLVVIPPLLKALQKAIKENDHARIKGAL YSLLYGSLAKTVGRHWKYTPDVVRAFIDASSVDKPSVQKLCSGAIYQIMDYGRPLERM AVLNEELVNAIAPAQNVEETITQKRNSIGKKRVQIESKKAELAEELVELARTSHWKIA SRAASIVVSMGLRFDHIASEKLINLVTTGSIDTHPGLRGMYSQALIALFTMIDLRAIC NHNYENYILGEQHFPARIQVATKRYDKGWTEEYLASFAKPDAEYYIDHDFPGWLVWSD KMPAYKANIKKDIEYDEVEWNIRKHMGQLLDRQWFRSFFAYLKQEPRDASADKFRMAC AMMLLYVFELMIRDELTTATFKEIKEEVEIVFEDGSDKHQHRATAEILGALISSVADT DVEKRNMVWEYAFPMVRKILEDGLTPENSGYWTTFLHMILQCRDPRRAWPLVDWLASF KLDMSSNAAFKESSKISLLHQCIIDAGWHFQLEKPIVEDFVSHLDHPYKGVREAMGHT LGSIFRTRYHESHADVNTLVEAQKSSSAIGTYPYNPSKEFSEMMTTVFGRLKVWRHER TPGQQTPSSYTSGSKTVLLWLDSTLSSHECTQLVPFFATTFTEELLHMMDVKEDPELQ SLAYHVFRHLPNIPYPAENESAFIQALVHIGQHATSWHQRLRVMINMQIIYFRRLFLL SASDRDKLFECIANMLQDSQHEVRVGASATLSGMIRCSPVALRETYVQKYQERFTKTL IENPLPKKPRNHIDRAASATSSRTGTPTPEHTRLIIVRHAAVLGLGALVQAFPYASPP PLWMPDALTTLSTKAAHDPGVVGSSVKSIISEFKKTRQDTWHIDQKAFTSDQLEDLSG VLWKSYFA TSTA_077530 MSQAQQRLSQVSSHLSGAKPTDNITSKNPDDIVVTAALRTPFTK GGKGGFKDTAAADLLVGAFQGLISRSGIDPKLVEDIAVGSVLPPGGGATEFRAAALVA GFPESTAVRSLNRQCSSGLQAVVDIANAIRAGQIEVGVGAGVESMSLQYGPGAVTEFS ELLENHMQAANCKVPMGVLSEQMAKDRKISRADQDAFAASSYQKAIKAQKAGLFDEEI HPLTVKWTDPKTGDEKTITVKADDGVREGITAESLSKIRPAFAKDGSIHAGNASQVSD GAAAVLLMKRSTAEKLGQKIIGKFVTASVVGVEPLLMGIGPWKAIPLALEKAGISKED VDIYEINEAFASQCVWCIKELGLPFEKVNPKGGAIAFGHPLGCTGARQVGTLFTELKR TNKKIGVTSMCIGTGMGMAAVWVAE TSTA_077540 MASVRCLRPLVELTTRHSQNVLRPSALAIASRNYYNCSCLNNKP LNATISQRIFTQQRAPYTSTSTLSSSPFRLSSSQRPTPETSSDGYKYDHEGEEGEYHS PYKPKRQWPPDMSKLSPKHQLRLERKYRRRAALKYARPRWVKFTKLAQWGIIIFVVVY SLLFMEWGKEGEVHPFEDFRKDFFASINSLFSAQPPSRKKE TSTA_077550 MISNAHPPTIFDDTSRPPAKPSMLRSILPSKTHKRNATAGDALP TVMPGVSFLGNAGFLPADHPHAQPLGERAHNRDAAGTQPKKEGLHKKTKSSVSLRSLI KDREKKEKPRSSSDSPDEEGSLGKTMKKMKSTASLSAILKRSNRGRKGEAVTEPKDKE NQRPTSEVVSPIWAQFATQPLEDPKGNVYVPGSQRSLEEEVSLYTPKQYSPSKQRNFY DHQPTLVQKPRPKSDYISSGTAKVKEMLGQIQRVPSGKQRNPERQSEFQHSSDSRSIH ESKRNSNHSGGRVMAAVSALNAKEADMRKDQDSKQIENEFEKLLDARNIPMNMRDKMR SLDTNIKADFIQKNQAESSCPNSATSSTFDSAGRRGRKDARSGASQTRDKSLRSLSRA RSPFPTSRGDASPKKHQKSNSASSFKRPKSVDLSRPYMMPTASTSSTSLHATNPTDTA AEPADFIHYLREVQKPELVEVGKLHKLRLLLRNETVAWVDSFIAGEGMDEIVQLLYRI MKVEWREEHEDNLLHEALLCLKALCTTSVALQRLTALSKELFPALLGMIFDEEKKGPS EFTTRGVIISLLFTHLSTAPEGEMQARAAEILGYLRDPNPNKQQVEFITNIYQSRPYR VWCKEVTNVTKEVFWIFLHHLNVIPLNRDNNIADQEQGDYLKKHFPTPRPPVPAAPYV GGVEWDATNYLATHLDLMNGLIACQPTTEQRNALREDLRASGFEKAMGGSMRTCKEKF YGAVHDCLKTWVAAARDDGWDYRFVREGPEPGSPTKPIKSSRKKPIDEPPKLALDINL EKKSSSPIPDVDGWI TSTA_077560 MNQPSRTDDALDHPGWNQNPPPLNADATTRNDFNGIVNLRDRRN HSLQTTTSPDGVARIEVVPPNPNETVPSKAAVETSQKGVLATTMSTPQTRSKALTISV SVPSFLRPIVKFASFIGPGFLIAVAYIDPGNYATDAAAGAESKYALLFVVLMSNLFAV FLQSLCIKLGSVTGLNLAENCRAHLPKWLNICLYILSEAAIIATDIAEVIGSAIALNL LLKIPLVAGCVITMADVLILLIFYRPQGSMWGLRAFEIFVMLLVLGVVVCFCIQLSLL KAQSVGEVFRGYLPSAAVVESKGLYQSCGILGATVMPHSLFLGSGSVQPRLKEYDIDA GYIEPSGQGEVYRPSIHAIRGCLKYSIIELSLSLFTFALFINSAILIVAAAALYGTPG ADNADLFGIHDLLSQSIAPAAGTIFGLALLLSGLSAGIVCTIAGQMISEGMINWSCRP WIRRLLTRVISIVPSVIIAASVGREGLDTALTASQVVLSVILPFVVAPLIYFTARNKF MTVRSEAVDLSQTSTEAQALAEAAVKMRNGWPVSALAGVIWVAITIMDVALLVLIGMG LA TSTA_077570 MNRLFGTKNQAPKPTLDSAISNVDSRVSSIDVKLAALNSELSTY QARLSKMRDGPGKNALRQKALKVLQRRKQYEAQRDQLSQQSWNMEQANMMQDNLKNVM TTVDAMKTTTKALKKQYGKIDIDKIERMQDEMADLMDIGNEINESISRAYDIPEDVDE AELDAELEALGEESLFENPMMGDSEAVPSFLQDEVAPPSFIDEPPEQGKVKEAAGGVG TSTA_077580 MFWRFGGYANISAVDTLLDKPDVSLEELLDEPELISELKQHNTK LIEYLREDHILKKLLEYVVAPPLINDDDNDDHDDDDEKTKPAIEKFGGGAGEDTKKDE DILGPEDLERADKQRSKFAYMACEILSSETWSILESIMVNEAYLREFWDFIRRPAPLD SAQAGYFTKINEVLFDKKTEEMLEFFKSLKGVVPAILQHVDNPMVMDLLLKIISLEKV EGGQGIVDWLKDEGLIPVLLSYISSEHLSSMQTSAGDFLKAIITISANATQNEQSCIG PNSLTRQLVSAPCVEQLIQFMLQGGNSLTVGVGIVIEVIRKNNSDYDENVGGPDARPS VYDPIYLGTLLRQFSSHIPDFMALILSSKHTVVENGQIKIKDRGLLNSAWGVKIEPLG FDRFKTCELMAELLHCSNMGLLNEVGSEDYIRQRDIERENLRAQGAFDLNRKEVSGMT YSENAGESEQEQSSRIELPSATPEHNNVSDDDGFEDVGSSKDIGPEIKSDTHDQTQIK KSDVHTESPGLHIEDSIVEETLKDSTHKTEPTGLAPTDSPVDPISPTASGLTDSVNEI TLDKNEQVSMTNEPFNNQIQRPMELSTHAKDATAPSFATTNQPDSHNTEPKQEEFSGP DVPTEGQSNDSLATHIEDEFTPQIQYEHSGQPVVGDYLKIQFVEHKVVPTILDFFFRF PWNNFLHNVVYDVVQQVFNGSMDRGYNRCLAIDLFNTGRITEKIVEGQKRSDEAQASK NTRLGYMGHLTLIAEEVVKFTERHPAEILSQSVMDKVLHPDWVDYVERTLSETRERDN AILGGVRPDLMGHRQAVMNAVQGFTGSNALANAGLNGGNGSNFENFDSMTHGSASSGA FGMGGSNSLLSGFGSSSDDEDEEMEDQDDDDTRGFTDNNAESGLENEPASSSTSQPIP ILAPPPAPLNIVPSRARRQLAARLALHKQQADAAAENSNDQSSANASHHDDDGQWQSN PFIIADLEDDSHDLGSSEFSAMDESDQPSSPTFHTGFTPPGSPSTNSSDDTSGELRET VRRKVRIPLEVEDDDDDEMGEMVGPSSGSGSGGMMDSDEEEEAIINESLGYSNLFGAN RYGGFSRSYGRNGQSSFDDDDDNDSSDGEDGLVEILVPGRSKSFSSN TSTA_077580 MFWRFGGYANISAVDTLLDKPDVSLEELLDEPELISELKQHNTK LIEYLREDHILKKLLEYVVAPPLINDDDNDDHDDDDEKTKPAIEKFGGGAGEDTKKDE DILGPEDLERADKQRSKFAYMACEILSSETWSILESIMVNEAYLREFWDFIRRPAPLD SAQAGYFTKINEVLFDKKTEEMLEFFKSLKGVVPAILQHVDNPMVMDLLLKIISLEKV EGGQGIVDWLKDEGLIPVLLSYISSEHLSSMQTSAGDFLKAIITISANATQNEQSCIG PNSLTRQLVSAPCVEQLIQFMLQGGNSLTVGVGIVIEVIRKNNSDYDENVGGPDARPS VYDPIYLGTLLRQFSSHIPDFMALILSSKHTVVENGQIKIKDRGLLNSAWGVKIEPLG FDRFKTCELMAELLHCSNMGLLNEVGSEDYIRQRDIERENLRAQGAFDLNRKEVSGMT YSENAGESEQEQSSRIELPSATPEHNNVSDDDGFEDVGSSKDIGPEIKSDTHDQTQIK KSDVHTESPGLHIEDSIVEETLKDSTHKTEPTGLAPTDSPVDPISPTASGLTDSVNEI TLDKNEQVSMTNEPFNNQIQRPMELSTHAKDATAPSFATTNQPDSHNTEPKQEEFSGP DVPTEGQSNDSLATHIEDEFTPQIQYEHSGQPVVGDYLKIQFVEHKVVPTILDFFFRF PWNNFLHNVVYDVVQQVFNGSMDRGYNRCLAIDLFNTGRITEKIVEGQKRSDEAQASK NTRLGYMGHLTLIAEEVVKFTERHPAEILSQSVMDKVLHPDWVDYVERTLSETRERDN AILGGVRPDLMGHRQAVMNAVQGFTGSNALANAGLNGGNGSNFENFDSMTHGSASSGA FGMGGSNSLLSGFGSSSDDEDEEMEDQDDDDTRGFTDNNAESGLENVGTSLFADIEMQ QL TSTA_077590 MTESRPPKCVRSLGSLLHVTYKPYRTAGQALQTHVELEDWVVQH GGRLDDTVQFAHDAQRGVHLQVKPATSEVSSSMTPGTCVMKIPIELTMSYFNAINYEP EQQQTEKGERKTTFFSHGVELPKQLIEAIGPEETTTFFLMGQYLRREEGFWYPYIQSL PGPEELTTPLLFKEEDGDLAWLNMTSLAASRERRLQIWKVNYEKAYSMMQDLGVENAR LYTWDLYLWASTIISSRAFTAKVLASVIPKLQTAEEGDRISVLLPLIDATNHKPLSKV EWRAGTDSIGLVVMSDLRAGDEVGNNYGPRNNEQLMMNYGFCIPDNPCEYRVVSLRAP PDSPLAQIKAQYEQHCSKSANKRNTVADQEDKYYVFSLSYPLIDSFQPLEYSIFSPDL LRALSVIVANDRELETVTIDEDGFQVPWAQYAGSRNLIASLNQIVIELLSYIRRLEVS GSQLGDPKNLKQVFSKQFRESHIDLSRTAVFIANWTLMSRRILEEGSQGELLDGLLSR VPERIFDAEKKVHIKERILNRKSLLPGSQSAELFGFGDLYQLLPMSLQSIAKECIDGL ITRAKQAIAIDDGTGSGSGDTENGPHALFAYAVFINLIVAVHRHTPSQLPPRLRIWCN FLMNTYPAPPNDVSWTLPDETDETILSAFDSFINDHLPGNVLGPLTPWVGTPVPGQGE RDVSDWWLSPNWLRWAWLVLEQEMVMNVVDDPLGEMVDGMSGMRMRGVLYIPREQYN TSTA_077600 MSRVAVPSTSSLAHISSRAIPSKTSRLATRSFATVQDGAAPKRQ YGGLKDQDRIFTNLYGHHGTDLKSAMKYGDWHKTKEMILKGHDWLISEIKASGLRGRG GAGFPSGLKYSFMNFKDWDKDSRPRYLVVNADEGEPGTCKDREIMRKDPQKLIEGCLV VGRAMNATAAYIYIRGEFYHEATTLQRAIQEAYQAGLIGKNACGTGYDFDVYLHRGAG AYVCGEETSLIESLEGKAGKPRLKPPFPAAVGLFGCPSTVTNVETVAVVPTIVRRGAS WFAGFGRERNQGTKLFCISGHVNNPCTIEEEMSIPLRELIDRHCGGVRGGWDNLLAVI PGGSSTPILPKKICDDQLMDFDALKDSQSGLGTAAVIVMDKSTDVVRAISRLSHFYRH ESCGQCTPCREGSKWTQQIMHRMEHGQAREREIDMLQELTKQVEGHTICALGEAFAWP IQGLIRHFRPELEARIKKYEEEHGKQPFAGGWAPQTRDEGKLIAPGQ TSTA_077610 MTTLPEKEISTNRDNSSIQASDITETGNQETQNINQTQADDSTV KEEDQQQRTPNQNETLTKTTTTRGEKPYISFSRGQKWFIVGMASAASFFSPLSGQIYF PVLPILTQSYHLSQTLLNVSVTTYLIFQGLAPSFMGTFSDASGRRPAYILAFIIYTAA NIGLALQNSYAALLVLRCLQSAGSSGTVSFGYGVIADITTTAERGKFLGPMAAGAMVG PALGPVIGGLLAQYLGWRSVFWFLVIISGSYLAVYTILTPETHRKIVGDGSILPSESW RLSILQYVRIRRKLARMTPEEKQEYGEEQAALTAQQKSRKIKFPNPLLSFVILLEKDA FVIILYAAIMFFGMMIMMTSLPSLFPEYYGLDELQVGLCFLPFGISTAIGAVINGKFL DLNYKRLARKLGMSVDRKRGDDLTNFPIEKARLQLSFLWSSILAATMLGYGWALNYKA PLAVPLVIMFIMGFTMICTMNSLTTLLTDIFPDRVSTASAAQNLLRCLLGAVGAAVVD QMLKSMGIGWCFTFMGLLILAATGLLWFEYIWGMEWRQKRWKKAAEKAKRERQNGTV TSTA_077620 MSFGGGFGSNNAFGQNNQQQSTGFGGSGFGTGTTGGFGSNTTPF GAANTGTGGSVFGNNNTSGGFGSSGGFGSNQTQTNSLFGGNKPSGFGTATTGGGLFGS NTATTSGFGSGGFGSTNTGTTGAFGGNTGTGNTLFGANKPATGFGSTTTATGTGGFGT GGGFGSTTNNAFSGTGSAFSGQVPPTDGTASTPFNPFTEKDANSTTSSVYQSISYMQP YQRYSFEELRLADYQAGRRFANASGQPGAFGAPAFGGSGFNQPATGGFGTGGGFGTAA TSAPAFGAQTQTTGGFGANTSTTNSLFGANKPATSLFGNNTTTATSQPSLFGGNTATS GGFGTNTTNTTAGFGGGSLFGNNQQQQQKPGGLFGSTTTTTGFGSTPGFGTNTNTTTT TTPFGGGTTNTGFGNAQQTGTTTSAFGGGFGQQQNQNQAKPGGLFGTPQQQQQGGGLF GNTSTGSSLFGNNQQQQQPQGTTSLFGGQAQQTGTGLFGAQQQQEQKPGGLFGGMGTN TSTTGGTAFGGLGANQPAQTGTTTSLFGNTQTQQQQKPGGLFGNTGGSSLFGGNTTTN QTTGSLFGGQTQQQQPTAGFGTGSLFSSNQQNQQQQPVQQQIQQPAPGSFQASLLDSN PYGNQSIFSGLPGPSVPSPGPLATPLSASMRQKQRTPLPVYKITPNAANRLITPPRRQ GYGFSYSTYGSPASAGSVSSFSGSLLGSSSLRGGAVNGSFGRSFGKSFSTSNLRKSFD PDNDSVLAPGALTGSASRYSSGSLKRLTIDRSLRNDLFQRPSVSPAAITNGDDAGQSP NKLKKRVSFDQPTNGALVRAETESPEPTAEELGFLRSIRKPDHVNGTNGSALSRAGKK PEMEQARGSELPVVPENTDLTTNGVSPTDRTIDPRPGEYWMKPSRAELSKMSRDQLKQ VEGFTVGRQKCGQVTFDHPVDLTTVDLDNVLGGIVQITVRSITVYPDEATKPPRGKGL NVPSTLRIENSWPRGKDRKTSSDIVSGPLYEKHIDRLRKVTNTEFLAYEKATGTWVFR VPHFTTYGLDYEDEEGESLNQSTLSAGPDTPTPKVRQDAEDRTAETTVNSEFDSTISF DDSIADDTFDFKKRKLVPGAFGNQEEGIDDDEMSVGEEDDDGSTVENDMTGSVSLQDV DDDVTESIASDVSIDDGDMEMAGSFPVPHGDMESEATTNVVHRSSHWGTPSKPSLDLS GDWADQLQRTISPRKQNRDLLRETQADAFVDRNSPEKKIPEFRPTAVNKSKSINNSID LMNSLFAQKSARVGSPGKRQKGQLKAFELSHTKTPKTFASSSFELSPKEVAFHRTRKP RWSNKDAFVKINDNYETEQVSDQPWSTGISLGDIDVLAFAKAPESVDILEAQRRQSSI RQIDSAPFARINKFDFRSFAQSSTGSEQYVWLLVNVLFNDEIEDDISAGVPPQLRKNY LHRIKKDRLSRLWEYIVREKHGDDLGKLTTPEEIAIAYLTQHRVEDACKILMDGGDLH LSTMLAQIGRDKVIRSNMQEQVESWRQHNVYSEFSEPIRALYELLAGNALRSVGRSSG APEDRVSTFTLSERFELDWIQAFGLRLWYGIAEDEPLEVAVARFLDDITTGDEPAFPF PEHLEKEFTGVRQTAKSSFLESPLWVVLKVYAATISKNVAPDLKVNIPDAISPEAVSG SRLSSRLSFQLHQALATIVGQNDRVTIDKARTDQLTWSYASELVASNAMEPAFFVMLH LSRPSDREHAIKETLGQFAPTLPTPLSEDGKPDRVWNYLSQALQLPESWIWVAKGLYA RYSGDFANEVGYLIRAKNWNEAHSTFCRIVGPRTIIERDWSILSQLLNGFGESPDRKV RGWSSGGAVYEDYLRLITAQGPLDPTVLKRLIGSLSFMGEKIKQTSGVEGLEERVAFM EMSRVVAGWGAREDGIDLSAILKLPLTGDARVRHTAEIGRRYYSRVMATAH TSTA_077630 MSEGDVTPPKAPVVAEAHEVDTFHVPSAFHKKHPTRPHLSFGEY KKLYQESIRHPDIFWARMARELLTFEQDFHTTHVGSFEQGDNAWFLGGKLNASFNCVD RHAIKNPDKVAIIYEADEPTEGRTITYSELLREVSKVAWVLKSQGVRKGDTVAIYLPM IPEALVAFLACARIGAVHSVVFAGFSSDSLRDRVLDANSKFVITADEGKRGGKIIGTK KIVDEALKQCPDVKNVLVFKRTGADVPWTKGRDLWWHDEVEKYPNYIAPEAVDSEDPL FLLYTSGSTGKPKGVMHTTAGYLLGAAMTGKYVFDIHDDDRFFCGGDVGWITGHTYVV YAPLLLGVATVVFESTPAYPNFSRYWDVIEKHSVTQFYVAPTALRLLKRAGDEHIHHK MQHLRVLGSVGEPIAAEVWKWYFESVGKEEAHIVDTYWQTETGSNIITPLGGVTPTKP GSASLPFFGIEPAIIDPVSGAEITGNDVEGVLAIKQPWPSMARTVWGAHKRYMDTYLN VYKGYYFTGDGAGRDHEGYYWIRGRVDDVVNVSGHRLSTAEIEAALLEHPMVAEAAVV GIADELTGQAVNAFVSLKSGNESTEQVRKDLIMQVRKSIGPFAAPKTIIPVDDLPKTR SGKIMRRILRKILSGEEDSLGDTSTLSDPSVVDKITEAVKALRK TSTA_077640 MSSAEESPAQQAARLRRERREAKIKAGGSARLDKITSLSGRTPA SLHDASPSPSPQPRPQAGSTPYLPTQTQPSQPSPQPPTPATGAAENDTETIRAQQEYL RALLRANPPEQQAQQQIDQDPMMKVLSSMLGGIPGAENTAPGAGMPPQNQGDLGMNDL ASALGIPPLFSNMIFGGGATQQTPEEKKRENILKLLHIIFALFIGVYLVTLVSSAVTT YGVNPPPPATAQNPFVVFMTGELVLNGARVVLGKPQQGPLATGLQIFRSLVRDSGIAI FALGVASWWNGGWQISASQ TSTA_077650 MVSEGNAQHGPAGHWSAANPILTIHKLVENLDKDKRERDKRIDE EVKRKQQDAKKEGKSADEEAANAQKKGTRKVTDPVTGKEIEIEDVQKQYLEESKNPKL TVPNANLNKPTDIKTDPNQEFSEYRHNQDVTAPPEPVAQGTTSDVPIHGESTNILFHP TPSITYKPMFEDIEKRGNGLVAGIFLAIIIVGRTFGGSLWGLIPLAVCVASGVYLWIK EIIRSGRAAEWRSEQLRGETATANLLPESVEWLNAFLSITWGLLNPDMFASVADTIED VMQASVPSVIENVRVAEIDQGNNPIRILSIRSLPDEHVGNLKQNVREENKVNKDPQEA VADEEGGDYYNFEISFAYHAKPTEGTTSARARNMHMQIVFYLGIKGLFGIPFPIFAEL IELIGTVRVRLQMTPQPPFAKSLTFSLMGLPHVRAGCIPLVKRGVNVLNLPLISNFVN YAIAAAASLYVAPKSMSLDLSMMLKGDDIQKDTSALGIFWIRIHRAIGLSKQDRKGSE GGGSDPYINLSFSKYGKPMYCTRVITDDLNPVWEETTALLVTPELIKADEQLSVELWD SDRNSADDIVGKVELSIQELIQHPGKMYPQVSKLQGMDEGSSMPGELHWEVGYFGKPR VRPELKTDGKDPELPDTLRGEPSLQDEKGVINTKEEEAITSTPPDPLWPSGICSIVVH QIVNLQLENIYGSHGNRKGREYEPAKPYGEHTEEQGRDLPTSYCTILLNDQLVYRTRA KAVSSKPIFNAGTERFVRDWRSAVVTVTVRDSRHREHDPILGVVCLRLSDLMRTSSQV TRWYPLDGGIGFGRIRISLLFRSVETKLPPNMLGWDVGTFEFPANRIALKNYGHAAKI RLRTGGSTGKIPRSACKSDGNGGQYIEFSDSVISPRLPVKYRYRSPVVFEFHVASKRG AAAYAVLWLQHLVDNEETQIDIPIWTSKRPSRLIQNYITEENYKSLNLDCLEDLTEVG RLQFTGIFSAGMDESHEKFAIDNNSRETFETWEACLAEGVRGRRVVAHTPENIQELHE KSLVSGRDVLRDIDPKERQRWISRDGIDWSGSFGEDPKDHIDEQQLSPDGSRSKHYTS GAIDSHGRAASDDDCSSDTTASGDENITAPSIQDHASSNDSQPQLSKSGNKANRRTEQ RQQRGLMQWKPARNALFAKDEATFALRKAKKKFGMGDLTGREPDVETETGS TSTA_077660 MSTTTPKADPFRPAARVAGQRQDVWSIVNEAAAASPVQPIVNMG QGFFGYNPPKFALDAAKEALDKVDCNQYSPTKGRPRLKKAIAAAYSKSFGRELDPETE VTITTGANEGMLSAFMAFIEPGDEVIIFEPFFDQYISNIEMPGGTIRYVPLLPPKDGA VKTSSASHWTIDFEQLEKTINSKTKMIVLNSPHNPVGKVMTREELERIGQLAVNHNII ILSDEVYDRLYYVPFTRIATLSPELYERTLTVGSAGKAFYATGWRVGYLIGPPHLIKY VAAAHTRICYSSVSPLQEASAVAFEKADAEGFWEESRNEMQGKIRRFCEIFDELQIPY SDPEGGYFVLANMSSVKLPQDYPFPPHVAHRPRDFKLAWFLIKEVGVAAIPPTEFYTD ENAHIAEDYLRFAVCKEDHVLETAKERLRGLKKYIVRSE TSTA_077670 MTRDSSDHAGVSPQPPPSPFNRPGIFQTSLETSPVNTQLRAGPS ALGQGVGGFPAFSFNTHAPSTHGSLGSLNHNLMPDGNSATNVSQRQGSARQPPTMVSV TSSTFPVIVSPQASTTLTWVDEPEPKPSASSNKRQRGYKRRLSKNACIWCYKIKKGCD SSVPCERCRKDNRHCVRDGFSSLCMALTIKQGAHYQLAPGNFEIAQAKLEQLKEYLHP LYSQVVVRWYFHSDSQQYHEISVATNELSLHQVDTIPRAPLADMALECTPAFLWPSDP TDNLQENAHRMLGLIAAIKQLYKSDCYTEAVTIPSARVVVFFLMIVYTMELCGVSHWL AKGIYEALPRKPKDSMRHAMRIYLQVIDEMINLQPPNSLLSDILTSLHPRLPVLRALL RRLLYGRADATFDVDDSFSSDLPKFDITIGLPLEMVSFPQRIGQNQSLYPINVLLCSD FNESFPTTFETIDPSYLSPEIDQTTTIADAEASTAPYTSEYPTLFSSVIGASQDTDPT YSYPDTTGFASQLGSLSGYDFLGESSAEDFAHIGEHLPF TSTA_077680 MVSCPICGKNVREANINAHIDSDCQSFVIDDSSLSQGDSSSQKN GSAINFFQASSARKNTSTVVHSSTLSTPSRSTEASKHQPPSTPAQDDLKRKRGAEPAS STAEPDADSASKRSKPTAFQRAAPLAERMRPKSLDEVCGQDLVGPNGILRSLIEQDRV PSTGKTTIARVIASMVGSRFVEINSTTSGIAECKKIFAEARNELGLMGRRTILFCDEI HRFSKTQQDVLLGPVESGVVTLIAATTENPSFKVQNALLSRCRTFTLERLTDDDVTSI LKRALATEGQSYSPSELVDDELIRYLATFSDGDARTALNLLELAMGLSNRPGMTKDAL KKSLTKTLVYDRAGDQHYDTISAFHKSIRGSDPDAALYYLARMIQSGEDPLYIARRLI VVASEDIGLADNSMLTLAISTHSAVEKIGLPEARINLAHATVAMALSKKSTRAYRGLN NVFGALSEPGVASLSIPLHLRNAPTRLMKELGYGKEYKYNPLYKDGQVVQEYLPEPLQ GRKFLEDNDLGDRVDPELFS TSTA_077690 MQNQMAAPHPPFGSRSAYAGAGAKLPERPNPVPFSASAFSRNRG VLGGMNNNNTNTDSTQQQPPPPPQSSQLPPVPPTHSQNHASAGTMMGADAGNSGVANP LSRLTEEQREEINEAFTLFDLDRDRHLDYHELRVALRALGFTLPKQELISLLTTYGVP RPQVQQQQQQQQGQGQSLPHANKPNNNNNNPPPHPSSLLMPLSAFQTVTAHKILERDP REEILRAFELFDEGGKGYIDLEDLRRVARELGETGLEEDELRAMIEEFDLEGVGGVTR DGFLSICWQ TSTA_077700 MKFGVGWLSATLTATVLFLQRGDAHSHAPPPIQYVSELDHVVIH TPSRRIHSHSSFDITFTIHNNAEPIKLKLEPNHDVLAEDAEVQYLNHDGTVSHTESID RREHRVFKGSAWTEIEPDHWTYVGWARLYVKRDGSNPLFEGTFSLMGDYHNIKLRSSY MRTRSELDVVPAGKDEDYMVLFRNSDMYWMHEHTELKRSVPEASCQADKLDFNTDPNH PVFRPPQSANLAAISFDQLLGRRQSDTGGIGGNTGGINLASTIGNTAGCPKNKLVALV GVATDCNFLNAFGNNQSAARADVISMFNSASSVYESTFNISLGLKNLTMSAAECPNTA SSATPWNLPCTSGNISSRLTDFTAWRGDQDDKNAYWTLMTNCPTQSEVGVSWLGQLCV HGSTNASVAGANVVVKTSTEWQVFAHESGHTFGAVHDCDSSACQQGLQTTSQCCPLTS NSCNANGQYIMNPTASPNLEHFSQCTVGNICSALGRNSVRSDCLVNNKDVTTYTGSQC GNGIVESGEDCDCGGTAGCGNNACCDPTTCKFKNNAVCDDSNEACCSSCQFMSANTTC RVSTGPCDIAEVCSGNSGTCPPDKFVDDGKSCSSGNTTGLTCASGQCTSRDLQCRTIL GAVLGSNDTYACDNSACTLWCASSKLPSNECGSMQQNFLDGTPCNGDGHCNNGQCVGS SVGGQIKSWVDQHKPLVIGLAAGIGGLLVLIILSCIVSACRRRRWRKAAPTQPQYQGY RGGPPPGVGGWSGPMPPQMQQQGNPTFGAPPPAYSPPEYNNNGAGWPRTQPRYA TSTA_077710 MSNPDSLIWLRGLSGCGKTVLSSTIIEYLRASLPEDASVAGYYF NAREDEKRNVSRLLLSLLLQLWPAEADLPPNLQKLFKKTFSQEFSNDDLLEGLEILIE TLGHTYIIIDALDECSVSFRSGEESEVEKLVKFLRWLVDTNPSKLHLLVTSRDGGSAN LVDRVLIDIIQKGTADGLCCHIIDLQTNKMKTKIENDIGNFVALEMKRWNAHKGRTWL PLDTERERQIKEAVVGRASGMFRLAACLLDLLWKQGTWAELNSALDNLPSELSEVYDR ILNDIKGQGQMERASILLRRLLYSERPLTIKEMAEVTMADPQGSSFDPNSGAEDESYI SLTLSSFVTISKDNFVQFAHQTVKAYLLAQTTEEGSSSAECMTRQHPNECALLEYAIN NWYGHVVRAWEVSTTNGVSDESQHSLDASAQKELIHRGTSHMHGLAGGLQPNSNLETR KLSLSEWADIIKLRLEKMASIDSMLNRNALNDIACEGYQKFMEAIVKILVEMGLDINQ QDSYGRTALHMVSFQGHTNIAELLLDKGADIHVKDSNGRTALHTAASQGHDTMTQLLL ERGTDSGVKNKLGWTALDLAIQKVVTDFNPRDTRVNMVYHRRALKRWIYTKDFMATAQ IREVEVYNGTVTFTTSYIKQLSLYAGSVNFLKTAQIDEVVVYERTVTFSKSCVGRLTL YEGSANFLTTAQIREVRVYSGNVDFIYEIHKHMMQLFLGERENERSAISERRTVQIRR VVLLGGSFNPASNLEGKVINPSGIVNLICNTTPLHLAAERGHNTIAMLLLEHGADPNA KDGTWSAQIGHHRINKSNIWTALHAAAYYGHETIVQLLIEKNADVLLQDDHGWTALQI AVELGHERIIRLLEKKTVGTHTNVARRIILTVAEKMYNTLFCGWL TSTA_077720 MCAMIMSGEFQGKTSHCIEIGCWWSLALCDDLTGLTTFIQELQG DHHKTYITDHLFGCAGINPRFCLLRDRTDAMWDRLVGTNLREPCNMTRACIPHLSSGS AIVNVFSTAGMHASAGLVIYNTTNFVIIGFFKAMTQELGPRGIWVNAFAPGSIETPTN MVVVEGEAAVAKAEKDIPLGRMGLAEEATDTACYLLSDKNSFINGAVIEISGKMYLYQ QTCLYPSLQPILPSVASFDSYQPGSMLFAYLLAFG TSTA_077730 MSRIKEEKPIIEFAENVEGQLQNRTDHILVDADGLVQRLPVPSK DSNDPLNYSWKEKASIIVSCCWFSAMSLSCVSGLGAILNVFFEMYIPEGYSTNQVVWL STFPSLFVGIGNFLILPLGLLYGRRFAFIVSTIVLLGATIGCALSNTWEQHLALRIIQ GLAAGATESVLPLMLAEITFVHQHGLVYGLYWAAQNIITGCLTLAASYEVAALGWRWY YWVFMIAVAIGLVMVVFGGFETGYKRSSQFINGRMVLTDQYGVTRLLTEHETREYLEL SGIHSSNEVPDELRTKKTYVQMLVPWSSPTENPLTFLPRVFFHIAQAYTSPAIIYATL LSAIVLGSSIGISLTYNTVLEYSYNWSASSIGLINLGGVFGGFGGMFYAGYLGDKFII WMAKRNNGIHAPEHRLILLIFPGVLGVASLLLYGFTANGGSTWAGPYMGWTLFQVTFV SVLILSTSFAAEAWEKNPGSALVAVVGMKNVVAFGLSYGIIPMVNTYSYPTTMGILAG INAGVFALGIPVYLLNPRVSFNHLQSVWNCVY TSTA_077740 MALSNGTEKKTGLTILIVGAGIGGLTAAIALRKQGHHIQIFEQS RFATETGAALHLAPNANGILRRLGLFAEEFGANPIHKFTEYTAGGQLLHTLSFKEDNK RWQHPWYLAHRVELHNALKKAVSSPTPDGKPAIEIKTSSRVERVDTYNATITFSDGTQ IRGDLVVGADGVHSISRASLPNCEHIKPFPSGKSAFRFLIPKQQALDDPETAALVLNT GEQSMWFDVNRRIVMYPTSNNRMLNFVLIHPDGESASETIGSDTWDQSANLEKMLKIF SSFSSAILRLLSKVEPQSVRVWKLLDMDALPRFSDNRLVLIGDAAHPFLPHQGQGAAV AIEDAAALAVVLPLETAQSEIADRLELYNEIRYTRATTIQSFSRLVGKDLKPGDKKPS MWEFQNYNFGHDEWDNSTQKLREWTWKRNPSAYWRMPIAFGPMPGPRQTHFGTARNNQ RSTFTTASIKFKTSRTILETLFPPMRSGWRFSVLDTVAYATFSLTTLNKLDWLGGSGY NHIGLYIHGVEYTQEEGSVVKGVYLPVLFESLTDPIISGREELGMPKVYSSIDVYRRN TSYRARAGWEGAFWGEFLLKDLEEVLPPSPAKEHLWEEPLLTYKYLPATGPKNKGKAA EEYPVIYDVSMENQFKGTILKTYKAEKASFALDPLDWEQLPTLHHVISRLAEIPVYDI VDAKVVEGEGVPDLAAARPIV TSTA_077750 MSPAPPPREVIDGRYRYQIRPVNPNSGEPSHVHPMGLCAGIDEF AKESDTSIRTQRDIPRSFVTMAEDQILDNGLPQMNRFITDHAPDGKAIFSTEIPVPLS WQKLPNGARFALSYTTNEFPANLNGGLDITKYESYLQSPPGLTIPGGSVLRIVDVNPD SLSPMHRTVSLDYGVVIEGEVELILDSGEVRRMKRGDIAIQRGTMHAWRNPSKTDWAR ILFVLQESQPLQLENGKVLGEDYGHGMDSVPASHS TSTA_077760 MPNQIRNISSRDTISFPYIFEQNVGVPLKSANGLVRVNIYRPNI EEGALVPVIVTYGPYGKDIQYKDFHPKSFAEVNPEHHSDHSAWETPDPGFWTRNGYAV VRADERGLGQSPGVLDTMSRGTSDAFFDVVEWAAEQPWSSGKVGLLGISYYAGSQWRV AARNPKGLCAIIPWEGMSDYYRDRCRQGGILSNGFIKFWWNRQVITNQYGRPGKSARN WGPDTIEGDLTEEELLANRNDQTEDNVANRFRDEPYYASKEYHMGDIQVPLLSVANWG GICLHLRGNVQGYLWAGSEFKYLRFITGRHDLPFYYHEEVEIQRSFLDAFLKGEDREG WSVKGKVPPVSVLLRKGDVGFNNAEAEKVYERREETEWPISRTQYTKFFLDSDGSLST SEKLVKRSSKLSYKALGTIEQPELLKFETKPFEAETEVTGHVVAHLNVSMTPYSGSES IPTDIDLFLTLRYIGSHGKEIFYTGTAGDPVPLTKGWQRVSLRKVDSSHYKSKPWLPH RNYTSADVEPVIIGEIYAVDVEIWPTNVVVEKGGKIVLEVASGDTQGAGIFLHNDPVD RSKEKFDGLNHIHFGPHFSNYLTLPIIP TSTA_077770 MSRTSTLLSSTETLARLRVVGYLSDYDIHHSSTSHIPNSEIIDE LPSPPLQSPDLNRESPEWPNHYRRIPPYRPVNHDLDQGQRRVYTSGPERAFIAIMFLG VRNNARLNKLWRMTGEKINDQVFGYKIGGEW TSTA_077780 MSQALQSIREAAVDGRAKNIIWRQVQLENLQLALIDSAATIQAA IQQDSACTATEAAIEYFLTLKCLNENYESLDVQQALKDEYAITRGQNAECFKDPVGVV YIVPTTYNYFYSVVSATGAAVTAGNCVVIELQETLRQRRVSELLRELLKLALDHTVIE FTSTRASDEDLSPNHIRLFQEIPDTETRTSFSKSLFPLLSFTRTAAIVDRTADLTSAA EAIATARFAYGGNSPYAPDFVLVNEFVKGPFLRALMQASMDQLSLRMKIDEKFPSSRN IHQTASLIASEIQSKRAINISSIGEGILVDVNSRESQLLRSKIRGHNILLHNVRSLDD AIDLASQNGELLATYTFADPASGKYVSQFIPSRVSFVNQIPFEILVGPPSPETSSLTS SFHVRYTTDLFSIPRPQYILKTPLSQQIQQCLSVEDNRSTAAWQSALISQLSKQITAT RQKRRPGGQLGFFEQGILLGLGMTGVPILLCVGTLAYYGLGFVWRNFRLH TSTA_077790 MSTTAEDQMLPTVEVSSTGGQPNLYQCGKCSQTYKRIDHLSRHV RTHTQEKPYRCHVCPKKFGRIDLLSRHSTLHNTNRDDSSSSRKRRRGPNDQSIPVRTS QACVACAENHLRCDDNKPCGRCQRREIVCRLPAGTNDVSEPSGHLRQNTTLIPDTSSS QDDRPSHPQVGKKDNNWLAEHSGGSEQVPESLPLVSAEPPLALSLSEQMHSLGTGGLE HHDAFLNTFPSSCPSGIRTPHNLISFGLETDLDLSIVDLSFLESYNSRNPFEFGELPP EPLPLPSVTTPEINIEKTGHPASLGKLLWRFVPAPQDHGLAEHDNLLLPDQTESNPTP QSLVEVEHRTTAEKLDLSSRDKILGIFLRQMKQPNISQAVSFPSVDLLDSFIQYYLTA PFSNVRSWLHLPTLNFRKSRSELLLGLAAAGAVLAPDLALRKLGYAMHEVLRIQLTAA VEEDNTAARNLEMHQGFYLYLDIGLWSGNSRKIEIAESIQKMLVTMMRRGGWFYRSAY SFIPLQGDETGHSMEEQWRSWVRQESFKRLAIQLFQHDAQTSMAILSPPLISYSEISV PLPATSALWNANSAFEWHDLYCEELASMGSMHLPTVMECVANLDVLDLSGKFIDQKVS CEAVIHAFWGIVREYRQFDKLLKHKARYWDNGLVLTSRYQELTRILDYCRIAYEPESA LTLHVVLLNMHVSLEDVQFLIAAEPQICHPARASLSEWANQKESRQALWHAGQIIKHI KLLPVQGLRDFAAIALHMAGMTLWAYGSLSQGPDFQLSQKLSATQNLEAQEPSAVPYP LTSYHRDGTNLDFTMVYLDGVQSDDVNRYIAFERGTPVLKGYEEDAELVYIHHPRAVL HLMIELMEQNYRRKASHQPPLVANLIRLIEKQLDEMQ TSTA_077800 MSTEPTPVHFFSHGSTMMLGEESTSADYWKKCGDEALANGIKGV VMMGAHWDCSGLNKIQVAMNPKPNKSPVAYVHPSKYVDYELNPDLELGQACIELLRKD GFDASADEKFDWIHDTYLILIRMFPKKCPPTTIISANSRFDPHFHMRVGAALRPLREQ GYLIIGTGGAVHNLYRNIWAPMLNYMDNFAQLTPPEDWAMDFRQAVEDAIVNNSGPAL RRAITRLMKHPKFREAHATDDHFMAALFVAGAVGSEQDRGVYGRLGAESWELTNMCNS QFTFGEWPKISQTVN TSTA_077810 MAFKISVSPDSPFTLDNIPFGVISTESNPQPRCATALGDYAIDL AAYWKDRTYAQLKGYRSLYAIFNQPSLNEFAALDSAIRSDVRTYLATEFAAGNIPERC AIPLKSVKLHLPMTIGGYVDFACSLEHCKNCAALTGGTISKNFYYAPLVYNGRTSSIV PSPEPVRRPHGILYDPETKQPTFCPSKNMDYELEMGCFISKPVLMGERISVENAANHI FGFVLLNDWSARDLQGFEMNPLGPFHSKGFGTSISPWIVTLDALLPFSCKPWHDHTGT EFEHQRHPDQTKASFDIRLEVTLLRNGVSHKITTSNLSYLYWTPYQQVAHYALAGCGL ETGDLLGTGTITGESKNELGCLFEATLNGAKPIELANGDRLGFLKDGDEIILGASCGG GEGQPRLGFGECRGIILPAV TSTA_077820 MSFFDHQGIPEALLRDPCTQENAQPRDGDDADDSVDHPDTVSSF AAMIDWQTENLDIDVPVANGAVSPFKKQAILITIGVGFEKQSKSVRTRHRFCPRLYRP LSDAQYSAGFDILIHGPGGTTYEEFIIPQLMEQLFPLFHSGRHISVLEIGPGLRSFPP ERVASGCKYSVWV TSTA_077830 MADKYKPSEHGGKREDGQRDKRTQQSEFAYGKVDPSEAGQKGGS TSGSGGSAKGEFAHGEVDPHKAGRAGGSK TSTA_077840 MVPISSIQFTCLCGSISEPGSLLSDQNTPVYAEMCSMCSVLTTH FIAVTKTLNSLQPYKNSDVATRYFCPACGCFCVFYTAPLDTWYFISRAIEHNPAIKLD VPGEPNNIFMVMRYAHILDTKDGGLAPFLLQLGDRAAPTWAGIPEPISAPQNSYDLPH TNILSMISASPENYTQQPDKDSYPTASCHCGGVALLIQCANHTAKDSSCHNYSDPAKY PTYLCACRFCRLSTGISLFPWTPVNAGNVFNMKKTSVSPDNTTPDSLIPATFSFAASS SNSNPELSLKYYWSSPNTCRSFCANCGATTSYWCAQRPDELDIAVGILRSEDGSLARK LLGWEWGRLLT TSTA_077850 MSFLLEVHGLRQAIVLEAYLETSTGTCKVGTLRCDYAVCSHKER MAGAYINAGDSRWTLFGWPTSVWLPVKSKSELNALSHISPVPRESPPSVSHTTAQKMI AITTTATPSVMHNTTITKRPKLSLQTTSLPATFGNSNTGLVARSCITASPTVRNTFSN AYDIRQTLSAIDSPSPSCRSADRSARHGSPFPFSNYRSDPMPYQQPLGVRSILRNSPL KKLSLGRRSVSISAGAIDNRRVYFPAKKQVSFRNQLEEEIKTVRYVARHSDIDSESEP ELISDGADGSSSESSDSSESQSEHSSSGEDDGEAQDRPVRRRKRKSAPSERQIRAAAL RDGLNNDRIAAALSAVPDSNSQKRRCKWRWTLGNSPSAEAESNLDTIPSAETIQSPPA LNTGNDTVISGNP TSTA_077880 MSSKGTPPTDIPHLIQRLETALLDKSERQTLQALCRAVVQQLDP NSLYKCAWEAAQLSEFLSDETYIQLLRSFTNCIASGTHDHTSPDPEILRLETAISYND KQQQYDLIRAISATLDAMVDAGVSGLSREGLHTPLLTTLEGLSNDLELHLAQAASYAA QALRAVPDDDSPGDAIARGFLRSVNVAMMITGGVLSKDPQKLCEAALEVIKAGSDFKQ YAWNQVKAHTGKSWYMALRITDVLIRNQALDELEAYVSSVSCRIEKSFLCGIYAQLEG YRQTFPEQRCQHVYDSLPTSDKPRVLAWIKFSTTKSTQVQQTPTDSGKGAGHLRLIGR EGKKYEWKFTASLIERRPRYQTDIITSQDLLTMACKSSPEVLRYYAMQALKQHYFGND LLQIKRLSGDTLPMEQCYINLSVVSGPENKDITLREIFEPREQNGHAEKIRPRQVFIE GQAGVGKTTLCKKMTYDFFYNGLWSDQFDWLFWIPLRNLKEHPSTAFNLKGVFAYQFF SDQVDQHRDLLAEYLWKEVDNPTDRSRVLFVLDGLDEITHYWGDDTVMQRMFRRLLQG PHAVIVTTRPYGTNIAEISKFDFRLRAVGFKLEQIESYVKSAEITPERKTAEQILSFI KSHSGIENVMRIPIQLDALCYTWSDSSQDGNDTFQTMTAVYEAIVVSLLRKDAVKLGR VANHSSVDEMSAAEIHGLMAEEINLLEGLAFQGIYNGLIEFNKSTRSHIHKFLKDNGN AIPQAHNSVLRSLSFLRSSNEGAEEDKQGFHFIHLTYQEYFAACYFVKHWFKGTEIPC IKLQNRNVVDTCGFFPSTILDRQKYSIRYNMIWRYVAGLLHTRSSGKPQPLIKFFEQL DAETQDLLGPTHQRLIGQCLSELPMATLPSEFSIFRAKIERKLSWWLVFECDTRAKSV LTGGLEYPEYMVYRVFKHAPLDRKMHILSGLNERSRNSHGLMQLARLWVTKGHVDGQD LEDVAFKLIARNDWESKRKALDIVHDYILEPMSITEFRLYLDAAAALDLGMGSPPKGF QDKLVEWLQHENAEWVLAVSSFLSFSRLTLPPGTVHTLILWLLHKDPWVKEYRAITMD PQPTVVSRVFDAFLTSLKERSVSSHLLAAAGSSIIRRDPGILSVLNRMSKDNPSGDVQ QVIESHPLFEEAMTLLFIRNYVKESLRNQPELPSEMQEFLVNQLDNENTVVKQSAADV LENLSNLSLSSLQSLGDKMKAISDPLVLRSLILCFAHYRNLPQNIIQGLVDVLIGQDT DLAEQAEKALSKAVLPRVTLADLVKTLSLDHRRHYAERILMSQSPLPSDILQEIWRRF HDSAWLIIMSQPVLPPEMIDALVNIVEDEDRAINVRCQAILAIKNQSISHSGVFNAML TGIGDKSPYINEAAAKTLSKYSDLPSTALDALTNCLRECDYAPTRKWAIEALRKQHST LPSDAIDGLIKCLDDEYLRGTALMTLWEHSDSVSRARALKIPVSFLCHEDKHVRSWAA EMLGQISSLPPETLIALLDCIDDTYFYVRWRVEEALKNQSTFPPDILHRVTLRFSADN EEKSKTALSLLHKREEFYLWLPKMNWHQFRCFYQTAVSWSFQNTFVVVLKHKSIFIAL PDMQKEIPITNMCDRLKLRVYFALAQLLILHGRSQWVTELVKSKEKLIFVVFVLPIII TITAFIWF TSTA_077900 MAALRRDDNRVIIHFDYDCFYASVLEAENPALKSLPLAVQQKQI IVTCNYEARRRGLRKLQLIKEAKQICPDVVIILGEDLTKFRDVSKSLYCFLKSFLWTQ KAERLGFDEVFLDVTEMIDYNMSLLNRHDLAHSFFCLDKRDPAIGFQFDATSYCGLTY PETIGSPLPRNTAVDGQHNLVQRLILGSHLAHYMRSRLDNEHGYTATVGISTSKLLAK LVGNVNKPNNQTTLVPPYSNSEGMSNVNRFIDDHEIGKIPGIGFKSARKIRTYILHRE ATFEPYTERNVDDQVKVREVRLTPTMGPPKLLDILGGHGASRDIGFQIWNLLNGVDNS EVLEARIVPTQISIEDSYKGLEQFEDVKKQLNLLAASLIKRVRTDLLDEQDHDTKGND TPRWTALPRTLRLSTRRRSPKNADGSRDYSYANGRTSRSGPVPKFMFSLAESAEAVAE KLVDDSLVSMFRKLHPDKSFGELSLINVAVTNMVETAGDDKQSSGRDIGKMFKQQGSS IASAVVETNRLDDQVYQDSTSRNAANVTVTTQIDNTEWEESDEEDVPSAQLCDVCGSH IPVFAFGAHALYHAVPD TSTA_077910 MRLFGGRTIFPMLPPYGAHDGSDAPPPYANPDKPTPYLGLKARL SQIWINRWTILILLVLVRVLLATTGLQGDMANARLQALSACNSVQSAGSSMASLPHYM AQGVNELTASGVTSAVNGLVEVLQLMITGIEALVVFYINFLTQMYLCLFTLVARGAAE TALGLAKEVTDWLNQTLPTIEDDISGAVKTASDGLNDLGSALDSLKKDCNNVFTKSLC GAIPSIPTLDFTSQIDELKNLSLPSSINDDIDKVNKTIPTFDQVKNATTTAIEYPFEQ LKKLINDNLGNYSFDSSAFPVPAKQSLSFCGENDGINDFFQKTTDIILVARKVFIAVL VIFAVLACIPMAWMEIRSWRLAKERARIIGTGNHDSLDVVYLVSRPHTSSWGMRAATW FNNSRHQILVRWIFAYATSIPALVLLSLGLAGLFACLCQYILLKAVEKEVPELTAEVS QFADKVIDSLNNASAQWALSTNHVINTTNTDLNKNLFGWVNTSTTALNDTLNTFLDKS NEVINTTFSGTPLYGAVSGIFDCVVELKIESVQKGLTWAHDHAHITLPQLPNNTFSIG AAKSADTGDSFLSDPGSTTSNQITEVVNNVVTKLQDGIETEAKIASILVLLWFLLVLV GILRALMICCKRERVRGNGGGQENVVIGGPIEPAAFHTTGNTAMMTGGRSTRSVGGDM QQVPLDAPAHDHDSCDYEDQKVGYAGERDYSSAVHADTRKSAYVEYASDEKRR TSTA_077920 MAPGIVESAPAVAPEKISNLIQLAQDYHSSIRFYLNGTKIVLDD IDPELTLLEYLRGIGLTGTKLGCAEGGCGACTVVLSHINPTTKKLYHASVNACLAPII SVDGKHVVTVEGIGNVKNPHPAQQRLAVGNGSQCGFCTPGIVMSLYALLRNNDGEPST DEIEEAFDGNLCRCTGYRPILDVAHSFSKSSGCQKSKANSGSGCCMNNKENGAGGCCK SNRSLKEDTSTSPTLPRPDFIPYNPETELIFPPVLRKHDFKALAIGNKKKRWYRPVTL QQLLEIKDVYPSAKLIGGSTETQIEIKFKGLSYDPCVYVGDIIELKQYTFKDDHLELG ANVSLTDLEHICDEALERYGPARGQPFAVIKKQLRYFAGRQIRNVASPAGNLATASPI SDLNPVFVASNTVLVAKSLNKETEISMSQFFKGYRATALPADAIIATLRIPVAEKGEH FRAYKQSKRKDDDIAIVNAALRVVLSDTHEVLSANLVYGGMAPMTVSAKNAELYIIGK KLTNPETLEGVMNALEKDFDLRFGVPGGMATYRKTLALSFFYRFYHDVLSTLEVKESD VDQDIIDEIERNISSGQKDHDASAAYKQEIVGKSGNHLSALKQCTGEAQYTDDIPVQK NELYGCLVLSTKPRAKILSVNVEAALDIPGVHDYVDHRDLPSPAANWWGAPNADEQFF AVDEVFTAGQPIGMILATSAKIAEEASRAVKVEYEELPAILTMEEAIEAKSFFQHFRH IKNGDTEAAFKEADHVFTGVSRMGGQEHFYLETQACVVVPKPEDGEIEVFSCTQNPTE TQTYVAQVTGVAANKVVTRVKRLGGGFGGKETRSIQLAGICAAAADKTRRPVRCMLNR DEDIVTSGQRHPFLCRWKVGITKEGKLIAFEADVFANAGHTQDLSGAVVERALSHIDG VYKIPNMYVRGWLCKTNTVSNTAFRGFGGPQGMFMCESMIEEVADHLGMSSDDLRVMN MYKAGDLTHYNQELKDYFVPLMYKQVKEESSYLERRKAVDEYNKTHKWSKRGLSIIPT KFGISFTALFLNQAGALVHIYHDGSILVAHGGTEMGQGLHTKMSMIAAQALNVPLSVV HISETGTNTVANTSSTAASASSDLNGYAIYNACEQLNERLRPYREKMPNATMKELAHA AYFDRVNLSANGYYRTPDIGYVWDENKGQMFYYFTQGVAAAEVQIDTLTGDWTPLRAD IKMDVGRSINPIIDYGQIEGAFIQGQGLFTTEESLWHRGTGQIFTKGPGAYKIPGFRD IPQIFNISLLKDVQWENLRTIQRSRGVGEPPLFMGSAVFFAIRDALKSARKEWGVTDV LRLESPATPERIRVSCADPIVERARVVPKEGEKSFFVAI TSTA_077930 MIVQRTTSLLAIVAIVACGLFILSKTTNTTFESVSNVKFGPSRY IPTKLPSFEDLRHPFRPAAHKPPEQANSTSGESKWFTDWKWLNPFSSSITLDEHRTVL PPLPERPPVYTFYEPNSKNDEALQKADGQLLLAWRRAWYAKGFRPVVLSRAEAMKNPL YEEVQRRQLDAELELDFFKWLAWGHMGRGLFADWLCFPMARYDDVTFNYLRTGTIPTH ITRFDKLDRALFVAESATINDAIKAAVQDADDKTSSILDLIPSDLFINEQSDALAYYD SSTVPTNYHDLTEKYHALPGAERQALVELIDAHLHTTFLNNFPSGFTVIKPFAEHTTA LVQPALQLAKALSKCPTSEFSGSCPPNRPTCKPCNSKSKAIPIAQPQAFKNDTSTYSI GVLPHPLTLIALQHDSIEIDTAYIRRKTPRDPWLATVTSELLGPNRAGSSRAVTFKEL VAGDEFGSSTFWMTVETLPAKEGDNLPKELVAELEWHFGFTIKTGDTEDDAKKSGEEP QPSVDHEYELISKARDMLRDNPSVALVCAISQKLGI TSTA_077940 MGSMVLPHLQNAWQVDQAILSEDERLVVVRFGRDSHPDCLRQDD VLSKIADRVKNFAVIYLCDIEQTPDFNAMYELYDPMTIMFFFRNKHMMCDFGTGNNNK LNWVLEDKQELIDIIETIYRGAKKGRGLVVSPKDYSTRYRY TSTA_077950 MSTDTHAGQSSTNKTIIIGLSGPSSSGKTTLARLLRPIFAVDDK IRTFIVHEDDFYRPDDQIPVVRTKKGDLVQDWDTIGAIDVDFLSSALAYVREHGTLPP RLRSKEDQNEAKDPGVAQDLIQKIAEEVNRRLKQTLLKDEAKGNVTIAFLEGFLLFAP PASENPGHVLRHVHDNIHLHIFLSAPYDTVKSRREARSGYVTIGPAPTPAAERENSEE KDNIPHKGPSSTVDLEKEDDSEPQSFWTDPPGYVDDIVWPRYVQDHAWLLAAEDDEMG GQSLADRYAASPRKTDDELLRLAGQAVNIRSDAGVVVAPGKGEKPMSEILRWAVDEIL NYLKA TSTA_077960 MSFDRVLPRPPALFDEPGSRLLHRQSSSLLEHKIMNVSDRTRAA AMSLVSVREIPITSPTNESDNCSTALSQMQLSAINREQAGQNGQLTASFSVSTTRQNT PVVATVESISIRDRSGSGSPTRLESAKETTSRFCLCQPNRKIPRPRNAFILYRQHYQA AVVAQNPGLANPEISKIIGEQWRALPVESKEQWKSLAEAEKARHQQQYPGYRYQPRRF GRDNYACNGLNSNQVGSTTCNQCGGRLMNFPSTPHTPLTASLPPQSSCTVDAVSPHPG SSSSSSSSSSTSSRGSNKLDRRPNESLIPTDSDGPIFNNQWREPESAILDSKRRRLNN NALQRTASPETEPYSLSSQKTYVSRPGVAYFRSHVDALSNIGNLRHSQQQPPRHEGES YYDPSLTLPPLKSDSNSASPSTTTRVQADTVMTIPVLNKINVLAKISPPLSERDNSPS RGAVIAVEGQDPTQVKVMMKYLGQLLTDDGKYVVRVFEGPDVLARKRSVSGEMKDATV DYLNVIFSWHRISDEIIQFISPKSPPQASNDSTTTCSAESPESSGSSPSSLTLSQSST SQIPIALVPRYQLTTADAFACSIPNSDCYKVLEHWQWMASLWRACIGPDVTVYIRECD REEIERYGPSNPVEVRINEARTLIVRRVPEPSKDIEEKALKRVGFEIEDFLTPYSGQC IGSNEKLSTKIIYFPQEGGRRSRLDCNIEAVNESLAGAKKPMRENGMQSANKTHSLVT CPLSASNMAPAALADAGFPFLSGIGAYNDNNAERSAKTILGLDAIIPPQSDTSQSSGF SSVTDSFLKTTSIIRSIPTSEDLTTNSQYLPVGILRRHVHQDAGAPSAQLADKDFLSL QKHLSNLQKHGWIQIRWNTYESHPDWMFARVFVLPHDVARVPISRTSNILRKGLRAVM AQLDRSPSTWNGEFDTGSVDKDKNAADEDSLWYIFNTLNNPNPTIDDVKDADGRLAMH ELMASSREAGPEARESSGVIGLKTPLHPYQCRSAATMIQREVQPKQMLDPRLEVCRTP LGQEYFYDKEEGTLLRIKRIYEEACGGILAETMGCGKTLICLAVILSTRGHFPRIPTE YQETQNPIRNSVGSLMQMAAATAGRFSVPWPSHFRRLREMGVYYARCEEECERQRGQY TIPSPPSRYTSRGGWMSRPPAVRLRLISSTLIVVPPNLVDHWQSEIASHTEGLNVLVL RSRNDKTPSEEELLKYDIILFARTRFEKEAGEPDANRHASPIATAESPLKKFHWLRII VDEGHNVAGHGYKSNTMHMLDKLHVERRWVVSGTPSNGLYGAELNLASHQRLASDTSS GDDMPSSVLHSRRKTGTAIDEELKDVDSLRLIVVEFLKHKPWANSRNDDSANWAKYTK PVGEDGKRRKASSLRAVLQGLVVRHRLDVINDELPLPRLHNKVVSLEPTFYDKMSINL FLFNLTVNAITSERKDQDYMFQSRNRKHLSILINHLRQAGFWWTGHKPKDIQSTIDVA EKYMANNIEKMTVDDIQLLSEGLRIAHRAVASESFSAFCHSDELGVYVQGFPEHAREY WSLTSTSNESKPLLLGISQARLAQTFITSHLAAEDPAEGLAGAGIGARRQLLQRSEKK AVRTESSVADSLTHKNKPSENRSPKKIFLKVLSKKLSPDSPLSQTKLIATTSAKLTYL LDRVQELHKEEKIIIFYENNNTAFWIAEGLEMLGIDFRIYANTLKTSQKAAYLSLFEE SSSVRVLLMDLRQASHGLHIASASRVFIVNPIWRPQVESQAIKRAHRIGQTRPVFVET LVLKDTLEEKMLHRRQEMSNSEMQHAEKDMLDDSTMSTIIQHERFYKLPENDEFIEPA YLQEACGFFDRHELPIPDDYVEHHSTKQSSQSPSTPSKKRKVSLLTQEPLSVQQGEID LSPDLSPPAKRTKKVSKFQIVTENGIIMTPPRGSSKASRSPPSTSHQSPNANPVDVSD SDVGEGTMPSLFGGDVF TSTA_077970 MLGGLAFISILIALSLLYAAGGSGTIGSRLSLKGAGTSISGVAE EQGINGVPALTIPADVDHRLVVFGDAWSAIGSRLAAKGRAWPEWVCSMWPCRLESYAQ KEHVCESSVCGAVIDETELHTIASDAARSLEPLPDLRSQLDQWLAAELNASQAGGDLR VQSNNTIFAFSFLIWDIWKFNGISTGKTRKSIMRSLNTLFQQLDRLAKYTESDDLRIL LMMSIDPTFLPAFDPSQKQKDMISIVTDWNEKLKEKANDWKSGSVYVFNTNEFLNDQI RSRQFYLAGMLDGQGLGNQNPWDDVENPCVQSKSTWLPFLGSKDQRCANPERFLFWDG LHLGPTANKMMATEIFHDIEKLWAKDTNSTASA TSTA_077980 MKLNISYPANGSQKLIEIDDERKLRAFMEKRMGTEVPGDGLGDE FKGYLFKITGGNDKQGFPMKQGVLLPNRVKLLLSDGHSCYRPRRTGERKRKSIRGAIT GQDLAVLALSIVKQGENEIPGLTDVVNPKRLGPKRATKIRKFFGLDKKDDVRKFVIRR TVTKEGKKDYTKAPKIQRLVTPQRLQRKRHRIAIKRRRAEAAREAANDYAKLLASRVH EEKAKRTELRKRRASSLRK TSTA_077990 MATNSIKLLTGNSHPELAKLVADRLGIELTKILVLQYSNQETSV TIGESVRDEDVFILQSTKPNDINDGLMELLIMINACKTASARRITAVIPNFFYARQDK KDKSRAPITARLMATMLQTAGANHIITMDLHASQIQGFFTVPVDNLYAEPSMLKWIRE HLGVENCVIVSPDAGGAKRATAIADRLDLQFALIHKERARPNEVSRMVLVGNVRDKIA IIVDDMADTCGTLVKAADTIMEHGAKEVNAIVTHAILSGNAISNLNNSCLRRLVVTNT VPHAEKKEACDKIETIDISATLAEACRRTHNGESVSFLFSHAVE TSTA_078000 MGRIKKAAGSKHEATISPYLSDFIKLATKIPLAQLPSHLRTLPR TWPFPRGDLYHWIPLLDRFDDILASVIEQYGLNIGPQVTPFGRHVLLDASADQDTDVD ELLSGLGYGSEGDRELVEAILSFSQLLQEKCGNRSLYSSSDRLNDLLNTTSNTLLITT LRLALLLAQRYHARQRNASATHFQQALLAAHYNIDLDKVQKLSSPFARPTVFGKPPVA PTSPAVKAREKAVQTRHDANDAAVLLREKDGWEEWGNIRAVYYPGVSELENPLKETQP SEQSQQYQAAPSTPTPLRRSATMPTPRLSRMSSNEDSPSVYTGTPSSRVADSAQNSKL VEIPAAVVSSTSLESIIGVKLGEIPTESKYDFLHRARVAQSLVRSEVTREQILTIRIL ALTNLAYLYPEAIMQQRILQQDSDQPKRLQIAYQLAELAHLGASGDLPALRTTQTAAL YALDALTKHKARSADVCAALNVNVNHGILMFLTRKTITELSADQDDGEESDEWRDALL LLLRTLPGSSSRTPETLIAAGLIPMFVDVLNLRTEKARRVYPRVLEFLDTFVHAVRDA LGTLANAKGFDAISDLIGFETKSAFDNVNEGIGIPTEYRTPSVDYDIPYFQQQSLRWL FRFVNHIMQHNGGGFDRLLRNLVDSPPLLTALRLVFENAKIFGSHVWSGAVSILSSFI HNEPTSYAVIAEAGLSKSLLEAITLKEVKGPEVEATAMAEEDESRVQSAAPSSGSTAA ANISYSLSRSADQQLARGIIPASEAMSCIPQAFGAICLNSAGLELFQSSDALESYFEI FESPVHVKCMDANLVRSLGTTFDELVRHHPSLKQSVMSAVLVMVARVGLLCKKMAYKG AGAKLWTSDEQGNLTVIGGKSSLCTEVGESFEDTAERDGQFSYPALQERSLPNGGKLN LGQVPDAQDISSTPPDADEWGLTAANYIYPVMRFLGAFFENQVNCTYFIESGGVEFIL DFATLPSLTFDFQSRQANTELAQLVHMMAETKPHLVIPSLVKRTQKSVDCLADFFKAP RPDGFFTSLTKPELGDAMDTGTTPERDIRLAEERGTYYVKHLVSTHVLTDILREIYTT PMYQTRPSQQTSAFSQVNLAEQYTNLVISLGQLHAACVWDEILVQKNLPQRWIEATKV RGYDLGSEEANEALGMSVSEDRRNPLSNVEPAPATSSTTPAAPEDRVADSAPTAPADE GAQSDDERKPAFKNTQALRYLLSSLPTTISGFFHLLGHGILGKRRPDAYSRQNASMVA DAIAGVVIRQLQLDAPNKSSDIRDRFSYLIVILSAFTQLLFEAPVERSHSHCLTAILF AFKRQNGLNLMKNLCGLFLHEINSLAPQAGGGFDDPNKSARLASAYGGLRFILTFFAE VTSVKESSQTQALLSTERERDRPDYFNPGQFLVDLRMEVLPMVRELWSSDFVDRASSS IVKCLVEILRNILDGEHENGAIVQRINGHQFYSEYPKKEFFLNQDRLNTLVERGFDLD LAHEALYRCNNVLATADEYCHAQTWLRPPPRLRPESDLESTRRTNTGGDDAPVPDASS NLSVADTNYALARIIREGPPRLVQGEGSSNNNVDHEAGSNAEFLTRALSRYFGDPEAL LNESQDDAPSRMHRRAPSESVAQSTELRRREITTIEDLDAERDKLRKNMIERCLDVLN VHHDVTFELADLISSATKKHRDLEGFRKEVGETLVQSLISLQIDDDVQPSGKKIAAYA NLLALVLQDRSIYLTTLDELKECFLTLLGFIKIPISDKAAEESSPWVGQVLLVIEKLL SDDSAPPQIQWDAPSTLDEPVDTGPAYLKPSLLLSSDEKTQLFESLLDVLPRVGKDES LALSVCRALVILTRARHIAIRMGEKRNLQRLFVMMKQLSSSSNDRLQGAFMLILRHIV EDDETVRQIMRSEITASFESRSSRQTDTTAYVRQMYHLVLRSPEIFVEVTNEILKIQR YEPSQRPQNLALKAPKAEAAPESKEPADKVVDTVEGKEPTDTKDKGKVVELKPPVVEH PDGVIHYLLSELLSYRDVEDKDSGTENVEAGTANAPEPHNEPDISVGETSPSSSTADL GVSRESKKQEKPAFKADDHPIYIYRCFLLQCLTELLSSYNRTKVEFINYSRKADPMAT TPSKPRGGVLNYLLNTLVPTGTLEHDESVSFKKRSNTSSCAMRVLVALCTKTGEFGGT NRRRNVEDEEEEPELLFVRRFVLEHALKAYKDAMSSTEPLDMKYSRLMCLADLFDKML SGYTFASGDTTFPSSTRQLAKTMFEKHFISALTASIADVDLNFPASKRVIKYILRPLN KLTQTAVLLSESSSISTLGETEEYEISSATSVSDLDDDREETPDLFRHSTLGMLEPNH EEDTSEEESEEDDEMYDDEYGDEMDYDEDLPEDDGEVVSDEDEDLDGRGPIEGLPGDS GMDIEVLLDEDEDDDTDDDDDEEDDDDDSDMDDDDEIMAGEITGDRDNDSLARDEDDD WESEDMSEDNEEAVEMLNQLESEFGDFRQADPQGDGSRFENLFRVIHEAAGAIDDFTA NRIGGDPQEDLVIDGMNEEEDEEEEDEVDELEEGMDDMDDDQLSYDEGIDDEDMEPWG WDGEEPPSRHHHHHHHRHVRGTPAWTSFPSGINRHGIIPIPPYHRSQRQMGSRNTDDG TNPLLIRSDRGPDGTGQIRGAGNEAFTDWIQGGDSTVTGRVISMDTPVSFMNAIMQAI EGQGGPGFGVITRPDGIHVHVDRRALMPGNQLQGIFELGRPQNPTNRSRDDPLQAISF ALATTTARWQEEARILFSSNHIEKAQRVINFLLRALVPPAIEEEKKRRAEEEDARRRR EEARLEKERAERLAREEEEREQRRKEAEEAARRQEELAQQPTVEAEEVDEPMNDAESA ESLAEAANAEAQETGPSEPVQRIYTNIRGRQVDITGMEIDPEYLEALPEDLREEVIMQ QLAEQRSQAVASGEEPTDISQEFLEALPPEIREEILQAEAAARRRRERESARRQVAAS GGPAHAEEMDPASFMATLDPSLRQSVLADQPDEILATLGPEFLSEARALPGRRLAQFG DVGRVEQRHRDEVHDQDNKKPQRRQIVQILDKAGVATLLRLMFMPLQGNARHQLHDIL HNVCENRQNRVETISLILSILQDGSLDSAAIERSFAQLSLRAKMPPAQKTPQSAKRPQ VPPASSSANNDVTPLLVVQQCLDTLSFLTQYNPHISWFFLTEHESASMLKLRAIRKGK GKEAKDNRYPLNALLSLLDRDMIMESPNCMEQLAHLLSAVTQPLTILLRREKEKQEEA PKGKEPERAADAANASGGATGQATEVHDTDMSEVADAAGIKTSENEEKPKKRTIEPPV IPDHNLQLVVGILAARECNGKTFRETLSTINNLSAIPGAKDVIGKELISQAQALSEAI LIDLDELLPHIEQASSGTDVQGLALSKFSPASSDQAKLLRILTALDYLFDPNRVDKVK GAEPESAPKEDVLKTLYENSTFGPLWSKLSACLTIIRQKENMLNVATTLLPLIEALMV VCKNTSLKDAPISRFGRELSVATPTSENGPGMENLFFNFTEEHRKILNELVRQNPRLM SGTFSLLVKNPKVLEFDNKRNYFTRRIHARSADVRQPHPPLQLSVRRDQVFLDSFKSL YFKTAEEVKYGKLNIRFHGEEGVDAGGVTREWFQVLARGMFNPNYALFIPVASDRTTF HPNRLSGVNTEHLMFFKFIGRIIGKALYEGRVLDCHFSRAVYKSILGKSVSIKDMETL DLDYYKSLLWMLENDITDIITETFAIETDDFGETQVIDLIPNGRNIPVTQENKEEYVQ RVVEYKLVGSVKEQLENFLKGFHDIIQPDLISIFNEQELELLISGLPEIDVDDWKNNT EYHNYSASSQQIQWFWRAVRSFDKEERAKLLQFVTGTSKVPLNGFKELEGMNGFSRFN IHRDYGNKDRLPSSHTCFNQLDLPEYESYEDLRSRLYKAMTAGGDYFGFA TSTA_078010 MSTIELIVNPRGKPIRKLPKELTVSTNAPASDIYNTLAQKTGYS VHRLRITKGSDGSVLLNGSETIESSGLRGQSVVYVKDLGPQLGWRFVYVIEYLGPLVI PPLFLYVLRPYLYFNFDKLPEPSSPQQLVCALLFVHFLKREFETIFIHRFSLATMPAR NIFKNCAHYWALAGLNIAYWVFRPDSPAATNELNPILYYGGLFLFSFGELANFNAHLV LRNLRRPGTTERGIPKGFGFGLVTCPNYMFEIIAWIGIYLLTGLSWSVLLFLVVGTLQ MWDWAKKKERRYRKEFGDKYKRKRFTLLPPIF TSTA_078020 MHHALPPRKSSKAPPFAPRTSVLSLQRRRQLKTIGIIALAVIFV LLVLSRFFSFSVSGPIGAVIPSGTANIVLVTLFDRQVLSEQYAEWIQNNREDYAARHG YATFFANASDYLYTLDEKTPRSWLQVPAVRHAMAENPHSTYFFFLDAHAFIMNPSLAL ATHVLEPKLLESLMIKDHSVVPPDSVIKTFSHLTSKDVDLIITQDGEDLVPGSFIIKQ GPWAKFFLDLWFDPLYRSYNFARAEKHALDHIVQWHATILAKLALIPQRVINSYSKDS PDVSADGTYHDGDFIIHLNDCNAQGRSCEEELHPYYSVWQRKISSSKSL TSTA_078030 MAGIPTRLMTASTSSLNATFRGLSLNTSVPTIKRGFSTSSSLQK VKTIPEHIPPYPYKPNYVYKQADSGLYGGVTISFGNKISKGRNKGKTRRSWKPNVRWK KLPSEALGEEVFVKLTRKALRTIRKCGGLDNYLLCDKPSRLKELGLTGWKLRYRIMQS PSMKKKFAEEREKLGISKSPNTFEEWLKAKQLSGVTFAVEAIEDAREVTKVLEEASTN EETLPEPETLKGSQKQEQAPVEFWGMRAD TSTA_078040 MVQISEVKGGSRENRTMAHTHIKGLGLRPDGTAESSADGWVGQA TAREACGVVVDLIKAKKMAGRAVLLAGGPGTGKTALALAVSQELGTKVPFCPIVGSEL YSAEVKKTETLMENFRRAIGLRVRETKEVYEGEVTELTPEEAENPLGGYGKTISHLII GLKSYKGTKKLRLDPSIYEAIQKERVTVGDVIYIEANTGACKRVGRSDAYATEFDLEA EEYVPVPKGEVHKKKEIVQDVTLNDLDMANARPQGGQDLMSMLGQLSKPKKTEITDKL RAEINKVVSRYIDQGVAELIPGVLFIDEVHMLDIECFTYLNRALESPIAPIVILASNR GNTIIKGTGDVTAAHGIPPDLLARLLIVPTHPYTPDEIKTIIRLRAKTENLNITDPAL DKVSEHGSKISLRYALQLLTPANILARVNGRPAIDVPDVTECEDLFIDAKRSATIVSQ DNGNFLS TSTA_078050 MANPLDTDAGSELFSSYEAELKLVQADLNQKLDQIAETTGEQRK SAIGQAERALDEAIELLDQMRMEKQNIPSAARSKVNIRFRNYSSDIDEVKRKLKSLSD DRRALFGDRYTDDPEAGDAHMEQRQQLLSGTERLERSSARLQESQRLALETEDIGRNT LADLHQQRETIERTRQNLHQSEGYVDTSIKTLRGMARRMATNRIITIAIITVLILLIF AVIFSSFR TSTA_078060 MDDYTIKHRQSLSPDSTPELNLIIRFSASIPDVQLGITSPSTTT VAGVKQLIRGHLPPDLANRRIRLICSGRGLEDTSPLTTSLKLPPSSRTSKAILRDAIP DDIPRVYVHCSIGDIVLSASDLSAEAAMASTFLLQQQQHDSTAATTTTHQERNEEQEA DDMATTTPAPRGFDRLLSAGFTPGEVAALRSQFLALQSISHTPDTMPTGAELRELEDR WMDEGSGADGAGVGITFGGGGGTGGDEGGGFGSSSNSALDDMLWGAVMGFFWPIGCAM WLRREEGVWSWRKGLAVFVGVVVNVAFGAVRVMN TSTA_078070 MSLPQVVRLTVTSSAALSRFVNPRSTQRCICSHRFFSSYAGKYH SSRRDMQTATAYRPHTLPEVPPPPRNARIPDTSIATDASHNAQNNSYNAFQSADRKPS TSVREGEAQKTTPAPSSESATKPRRSRLRPRKAAMTLTPTAVSQLRSMLSQPDPKMIR VGVKNRGCSGLAYNLEYVDKPGKFDEVVEQDGVKVLIDSKALFSIIGSEMDWHEDKLS QRFVFRNPNIKEQCGCGESFMV TSTA_078090 MEASPLTQQSRPEVFQPKIVTLYESLFQVTENIEPSEGFWREFY LLPPDREHLRRLLDGISADDTLLLQGITRRLFSRSIREAASGVSPNDAHALKTLTIFL GSISVKKYTNPSSDIITVLAGLDEVDQVFTDFVAVLDKIIRSSGHLNLRLKAIRTTIA MVSGAYKTSLVTYFMQRDFFPSIMKFIHDLDTNLQILEPFLLLGLLANYNKFEFQNPY QQRLDDFVNEATIQKIVKGVGLSCAGLRNAYVAIQDDLPEGWTLSNTLVFFGLRALTG GNKDKAATSTAEEVKETFAAQPESEAAVLLATYDFANANKLFGYSLVTSIPEKRNEES PFASFLSLTSYLLQHAYRSERVATYAELNMFSLRIIVEDPMLCKRICSDDNKRSVRLC RQRQPHLPLVSGDRVLATVIFDMMIDTITHNLRRRLDTNLYSHVIAILIRLFTYLSSN KTRLTYHWAEMWRTLLSLMRFLTTYSSDLSSSPHIDTLTISLVDLIAFTLSTGDTFLP DPASYDDLFYKIVEAGPIIARFRDAYKLTTMTPVPGSNAPQGASVNTLITVSTHFLTL LFQTDKSATESGDTAATARKKNLGPREVHQIIKQGYDTLSIQPQEGLNTWEKWREADR KLELKRAARCAVEDARKLVL TSTA_078100 MAPTSSNYNRDEKVLCFHHEVLYEAKIMDMRHVDPDDRKSPYEY LVHYKGWKNTWDDWVPQERLRKFTEENRELAAQIRREVTAQMWGKSNVTTSKTSKRRG GSDSGRGSEERQSSVPAKGTKRGRDNEIEKEDQFHLRPSIRIMLPDTLKALLVDDWEN ITKNQQVVALPAHHSVNEILQSYSEEEKPKRTTTAELDILEEVIMGIKEYFDKSLDKV LLYKFEREQYRLLRQKWESGAENYVDKGPLDIYGAHHLARLFAVLPELIAQTNMDQQS INRLREELSKFTIWLSRNSEKFFSNKYISASTEYLEKATGVPIPNPGTATSRLI TSTA_078110 MLPHQSLAYETRDLAAMAPSEESILSNFLLSPASLPTIISLQKF TELFPKRLQSHPQIRVLYRELQELRAQDMDMITEHILDEVKASEQQKVDLLQAAKASG VHGFGDSENRETNLDLEFFGQASTTQQVEYHSSSSLLAEMESACAALEQETAAVEQEA TSTLSTVKKIVSDLSDLRYGKLNKSGITPEEYAGEVVRGLHGLQDACDRANNIKS TSTA_078120 MGLSAGALPAQSQHSTLIREDTHSTLQERQSSTVSRDHEVPGGT GGSLTWVSDDTRERDNFRRMRSNIGYIAPKSPFVPADMNEWLVHRVETLEDNTGKMSR TITLRRREKASLCATDIQPALGGRRFGDFRSAVLAQTTIWRPDEAENPSRLQAPWPDS SERKHEGYQRVRSGYSRFPPLPRVPGNPTVNWKQRSPITAYDFDRVGQPVVPQDTATE HDWGMESLIGWSLLLEIDA TSTA_078130 MPSVPLHPPNKSSTVLDTTNDNNPLPPLLRTPSGLAILELQGTI NFPTTTPNDDVDMDTQTEEENTKTKIIETELGKIMFPDYSDLDPESTKWMKRVYLYAG RYQRMTGEVKKLAKPLAVIQRRPITKMQDENGTGGGNGGQDELEIVDVIKYKIIFASR PEPVGLETIAERS TSTA_078140 MDCCPYYLKYMLRPLVRTSLYTHPRQITILNKEVASTENSRHFQ ISFRRVITAAKMCTVERITLFNIPKEEDRTRLVGEYKQLVKTAVKDGKPYISNVSFGP AFPDPRSQGYNFGVKTTFATMEDMKFYDHECPAHKTLKAFLADAKEGDVLTVYYENAA TSTA_078150 MSSSPEPKDYGEVGLINIRDPFDCLDSFEDASEDNTHATPSMPQ SSSTRSLTDRRLSNGSVTTPRAEHSPAFPPSPEGKPEDNDESVAVSPTPTPHSENEDT PRRSKYQKSPLLTAHRLSTTSLDDVNLAGNKNENELHDVGSPVAEPTIGSPGAASLDS NATKQSMSFLPSVPWSNTSKPAPAAAPAAPVAPPAPPPLPARKLTSPFSWLSRSSLSG SDSKSSPTNLGNSRRSTAASVSTINTVEEGDSMSIGSKKPARNSLKDQFKLLRMREEG PDADQASIASGNAPVSAGLVGPSEEQALPAPSPVVAGTGGPFPPVNPNLPPGTVSGIS TSATDAAAPVDWELWEGIVNNGPEALTGVNSEAVNAAIKRGIPQTIRGVIWQVLADSR NSDLEEVYRELVARGTDKERHMSLNGQGPNGIASEKESLASSRSSIRSDNSGSPPPSS QTTTSPHEKEVDPIAAETARKKKLKEDTDAIRRLEKTIRRDLGSRTSYSKYFVSQGSQ EALFGLCKAYALYDEAVGYAQGINFIAMPLLFNMDEGEAFTLLVKLMNKYGLRDMFIQ DMPGLHLHLFQFERLLEDLEPALYCHLRRRGVSPQLYATQWFLTLFAYRFPLQLVLRI YDLIFEEGLESTILRFGVAIMRRNVETLLGMKDMSSLSQFLKERLFDVYIDQQPSASS ILESGFFGSSGGSDKEIYRADLMVQDACAISLTAEMIQSYTAEWEEKVRTEREREQEL ETLKHTVASQAARIRSLEERTEKSDQEHVQLASELVRMKVENEELSDKNESLQVQVQE LKIVVDKQPAEVEEKLRTEMERIMKRNMEVQNENRHMEEQMAEMEKDLVETKMKWAEL SENHEGLRQKWADLRRALDG TSTA_078160 MASHGIPRQSVTTEVDTEQTQKQVRTYRDLERSVHEKIAEHKYT CDTLDQVCELLKKNPEYYTIWNYRRLIRQHDFAQTTSESSGQPDAGEIVPIIKSDLEF LFPLLRSFPKCYWIWNYRLWILNEAKRLLPKQLARQFWEGELALVGKMLNADSRNFHG WGYRTFVIEALEDLADDDKESITQAEIDYTTKMIKTNLSNFSAWHYRTKAIQKLLDEK NASDQERRHVLEQELELSHNALIDPYDQSLWFYHQNLMCVFDPSLADRTLARGLSNSE RLRYVQEEKELINEMLEDYTDCKWIYQALVDCNLIAAKIEGTMSAESRAEVLGWLKSL NELDPLRKGHWKDIENQVM TSTA_078170 MPKDEPSVGYVSRSSSSNHVSAFKPAVPCQNIPENLRCTRHRIR LAKSSMLLRDRFVPQRSYSGNTISKFHVRKLPVDLAAHEKLLRQQENNENPFCRRRRR MVIPIQFDINDLFQPPHMSPHLLDNEVNPRQYSTHGPRVTPRQVSVGAVWNVGGASIA TRGPWLGIAESKNGYSSGKVPAPMYYARYVFDEDVSLSEETEMNMSRLAAAIDIDLTH RQLAISKPATSTDIRVCPLSPHYEKSLPLAWRECGWKRTGSAIPDAHGSQVHKRPSIY GGPFHVLRTGDSTTDDDFYHSVLAYCQASGLLAFAAKDHVILWSTMFRQWEGWLPLGA PFPDKITAIAFSSPSGRKSILAVTTERGALRLWEARQLRLIYSLRLPAKVTCVAFKPT TTLCLSAVYPNTEVPVEHLAVGDDWGTVWYYAVEINPFFEALSRVTLLARIDAHHGCL CAITWSPDYEFLLTGGNDNVCLLFELKHILGNQQSSNAAAGSFLTKGTFPRLRLAQGR LGLVVSQFARMLKSNPGPDSIDVHSGSQPMRASISNASTIPQNRRSRSMSPEDVQASI THQRVRNDALSTSTGPLTQPISRVQPSRRVASAYYSPALADFIPFATYAPHGNHVRRF EHNSAVKAVAFAPWQPTLLATGGGMGDRTVYFYHAPTGCCLAKIYMWAQVTGLVWSKT RREITVVLGYQEYDHPYRVVVFAWPSCEQLAVIPWDTNEPEYPFFIATERAISTISIS NFMKPTVDEADFDPDDECIAVASSNFIKFYRIPCKPRKMLAGSAGVMRSAILEELDGI ENPQNEVIR TSTA_078180 MGKKSSRPPASKTASAAQAAASGATITSQKSSIIRAAFSPSEYQ LALFASVIQGLDSQHLRIQDIHTGALQCEHSIAPQESITSLDWGYLGGQREQGSKKKR KRKSDVNGVAEDKFGREAVVAFGTSSSDIRMYSPAEDKVLCTLSGVHEGGIKDFKFTA GESTSQGWSIGGDNRLVQWDLTTRQSIRIINIPTISTVSALSRPLLSNPPVICATQTP IILDIENSDAGSLTFPSLTSPIHTLIPSSTRSVKDANFIAADNERYITVFDPRTQGIA YSLVAEHGVSSLSLSSLISKDKEQEENSSEKQVLAALVENGTIELFNKPFSQSIGQNG TKATSQKARAKQMVRKADAILKILRPDTGKAVSAVQVGFQGPELYIAWAEGGVNVIFE RLRWQDQDSGDLVFSGATEITGRKSASTLKSAAVIEGQQASKSHVNENSTIVESGLYS EDVEMNDAHVEDDAVSISDAEDNSDAEAENKQNRQAKKANNVNGVNGTNKDTDMQDTA GQDEDEEDEGGELTFGELVQRNSAIDVEAELEDHAGAGALVPGLSNSTNQTIAQIPSG VSLSTVLSQALKTNDSEMLESCFRTSDVNIIRTTVQRLNSALAGSLIQRLAERMASRP GRYGHLLVWVQWTCIAHGGAIAGNAEILKRMTSLYKVMDQRSRTLPSLLLLKGKLDML DAQINLRQSMADSRKYGMDDGGESLEHITHYAGVSNDDSDAERRRKRKKTLSHIKSKS ARDGLDADEEADEDDEMADDVAGGLASDSDEEEGSEEDADEDGGDIIDIEAEESLDDE DDEDDDEDDEDEDEEEDSDAASDMRDFIADSEEELSDDDQPEKISAPPPSKKSKGIHK SRR TSTA_078190 MATPPPEGATTILKADKPLLLSTVTDLPAKSPINRSPDSLPNGV SLSEKTGLSAAPVESTIEAKKEPIPSPTPVLNGNSDSQSSLTNGATSSQIKTSTPPAE VPDQSNGVKEPGDSSEKKEETDATTSPPSVKSEEGVKPVQPSAMEVSQEISLSDTIEP QQPADTTPAVRNPPQESAPIKESSEPTLPPTDVEMNDAPLSPTKVSRERELDLEDEPA AKRAKVDEDVPMGNSSFEKVDAPASTEAAPPASTEATEGITPLQHKFLVKCLTNLKRS NDSRFYREPVDPIKLNIPTYFTVITEPMDLSTIESKLKKNQYNTVAEVIADVDLMAGN AAKFNGPEHIVSQEGQKLKALFRKQLQKLPGPDEVEEKKPKKAALPPKESASRRESRV VAQPVPKQTSASPTFALNPEGLPTIRRDSTNPDGRPKRAIHAPKRDLLYSAKPKKKKF QWELKFCQEILDEVHKPKYWAIANPFYYPVDPVALNIPTYHSVIKKPMDLSTIQVKLK AGQYENAKEFEADVRLMLKNCFKFNIPGDPIYQAGQKFEEVFNSKWATKNRWVEQHDP DSHNQSGSSDEESEEEDSDNDEDQEKLQALQRQIAEMSKQVEAITQKKKKTPPASKKS GKSKSGKKDSKKSKGDKKSKSSRPEKRHVTYAEKQLISNGIGSLPDKKMQEALKIIQN NVPSLKGLEETEVELDIDELPNEVLVMLLKFVKKNAPQVVDDEDMSAPVSAAVQAKPK KNKPMSKFEQEAQINMLEGSLSRFQGGNGTRSPEPVNSVEDDDSSDGSDDDSDESEEE TSTA_078200 MIPPCDPSILDKNQQFKKLHQHLTTNLLNPDASTRADGDIPERK AIAEELKNLQIKHAKRKIIKQTLWRACLEDPVDSRNDALSSTIRETGAVIAMFLGAPS GLNEYESGSLVNDQREASLLKSDIETFHDKTIQVLIPLLSETLSSWLNSLRSIADMGN QSSTTTASSSNIPTIAANQSRSRIISRLSISKRIQTPRLSPQVEERIARIHQIQMLEL PCSRNKVAVTAANLLATRGEAMERMIVLLERTKHGSLSRATKARADYLATVAECMNNK AQVTKLETLSTIYTPENTAALENYSAHLRETITQLEETQNIANQTLQEYDQVGTSTKR RGNTGPMTDIARRYGDLAQEVEAVQREIKKLEI TSTA_078210 MADDDQISIYDEIEIEDMTFDPNLQIYHYPCPCGDRFEIAIDSL RDGEEIAVCPSCSLMIRVIFDANDLVKEEPKQEQVKSEVKVQA TSTA_078220 MLSRSTRASLLHLHHSAAPINSSTSRIAALRHPIQIQQKKQATP FQRRNFLSTPAIRKGITPDSSDPIAPNPQSNNAATAAGSDHVVEATPLSDRDYHEVSE HYLNVLLGELERVQEEGSEIEAEYSAGVLNIVVPGIGTYVLNKQPPNHQIWLSSPISG PKRYDWVIQGDQMHEKQDTRGFINGQWIYLRDGSNLTDLLNDELTLELPEDIYTPLE TSTA_078230 MLLLPRYRNSGYIKHIDLLTNRIVFPSSFSGRNPTRHSSIQIAP LTTMAANNSQFKNEKKPPLTHFLCLPLVSETSLPLLENSINKFKHDLLLAQKAGGDHP SEWLPPLFPDSAIRPLGTVHLTLGVMSLTSPTRVDEAVDFLKSLKVHEILQEVQSELK DQNNTAQDFNNPISVSLESLSPLPKAKVATVLYASPVDPTSRLYPFAVKIRQAFVDAG FIEQDVIKQRPKKHKASNQASNNEDTTNNNNNNNGTILAAEVAEESIIQQKPKYRPLL LHATLVNTIYARGGRIKRGHKRAGPLTFDARDLLAWYRDYYTDGTCTQEKHQLPVDDD DAGHSQEDTDSTSRSSDEEAHPSKSVDKLQQGHDSDSPRRPFVWASHVPIEKLCICEM GAKTLTEEDNHLAARLGQEYRVVAERKLF TSTA_078240 MKHDCDVLVVGAGPVGLTLALLLGSYGHRIIVLERHAAIYPLPR AVNLSHDVLRVWDGLGLYYDLFESAVADVRGTVSDEVEIIGASGQVLRRVPYNGPSKT GTSRTFRIHQPALEKVLETACQKRGIAVIRSTEVENVLNTDSHVEVSAQGPSGIQNWL AQFAVGCDGANSLVRRSVGFPFIDCPGSNTTWLVVDVAPTVPGAGEKWKDFHNARVHM NPQRPHASVFGTPQRRRWELMLLSDEEIAKASDSGFIWSLIAEFGCNPENALIEKSAA FSSKGGWCETFNKGRILLAGDAAHVTPTFIGQGLNSGVRDANSLSWRIDLALRYPESN WCRMFEDWTSERSGGVQELIKASVAMEARVTVTDLEQAMRRDVEYNQRPFAPQNPEKL GSPGMYALNSEEGEAFHDEVGSLFIDGTLQIGDRHGRLCDHFGVQSWLIFLSPVGESN SKTQICSDIIRRFSVVLNGKIVSIGNDIHDTTGVFSAWFMKYGALGVLLRPDHYIYGV ARTATGLESLVRDALCHLGLLGEETVTLKPELQNRPVGDFGSQSIATKSFRRSDLNIN MLLLKTIAFFPLITLTLSSPLLSKRDEASVLTGIQKIANATITLNNTVASYPGGIEGT ITAVEILSDSFAVINAIVCTTNDAKHSANFTDAESESVAEAFIATLVPVVESSLATIE SKKADFEDGFLGIASLTSLVETILKEIKSDSDDLATAIIAKLTSTWASVAPLIVAEID DAFDKAIATFAS TSTA_078250 MYSRYVSLFSTVASLASLASAWAPPSYAGYTLQWTDSFDGAAGT SPSSGNWNIITGKSTVNGELETYTSSSANVQLSGGSTIQLVPWADNTVSGGWTSGRVE SKYTFTPTAGVITMAEANIRFGTNAIANKQGIWPAFWILGDSIRHGTGWPACGELDIL ETVNGQLTGYGTAHCNVNPGGICNEPNGLGGRITIPDQSWHTWRMVWDRTPSSWQSET ITWYMDGRQFWQISGSQIGNQGVWATLCHSPLYFILNVAVGGNWPGYPNSQTLGGYGS MMETAYVAVYQS TSTA_078260 MAKDRKMEGLDKATAIPRLAELLRHPEDLDKLPALKLEYQHKKV AVDAQLREGLREQLEKVQRSLSVLTEGQRQVFKTRDELQGIDKLCAESQETVEDFSQI DKLARVQRNFEAVVMMKKGLENFDANLAKAEALLRDDDDDLENQPNLLKAHILISQLR DFRDEALDQIRRAKDSSSETTLLDYFQGLDAVIEWFDDHVGTACMNLIPLLQSDNNGM VVRLALVIAKEEKNDEHVQALQEAQRDHEELANRFKSMNIGPKTVRGYKEKFLQAIEF YAQNQFDAAKEDFLGDPDSLEKSLKWFFNDLFTVQQGMQQLMPKRWKIYATYTKTYHR MMHDFLIGLVDDPELPPDNLLAILHWTPKYYKKMSKLSWKPADLVPNVLDDREPELVR QWQSVILNAVDEWMDRIFAADKKALLERSSDALETNSDGYFRTKMLGDMWRMLNEQIG AASASDRADVIEGIIDSMFRALKARQTAWQTVLDEECAKYNNTNPDQAEGLQPLQDWL VAVANDQIACIDDNEDTGQVGYLTRFKRDIESLVTPQYMDERASVELDSLRNGYVDLS THCLSQFVNLIFVVDFRTTLPDFFTAKWYGEFAIKRMTSTFEDYMSDYGSVLHPSLSE IFIEELSDELLVRYLSAVRNRGVKFRRQDPFTEKFKDDILTVFAFFQNFPDSFQSNIK NKWRLVDWLVRLLEAEKGAGIVNVYEALKTEYWDLQMSWVEAVLRSRDDFERSMISAV KAKAAELNVERGLETIMSKVK TSTA_078270 MATKMTYFRITLMRSAIGLPLRQNMVLHALGLKKRMATVFYPVS RDVAGQIMKVKELVDVQEVDEPLTKAQVHLRRKPDPGYYVERRAEEDFEERRFSYGRL GSVRKSISRGSTTGEIFRRSNMEAPHRLEAID TSTA_078280 MASSLIPASVQSRLMGASSRNFSNATLAYLNVDLIKNIVFLFFI LRYTRKTFDSLRGYGIIGSIKRVYAAVRLWVCYLFLRAPGVRGQVDKQVTTAITKLEE KLVRKGPGINSYLTLPKEGWTTEQIRAELTQLSGMEHAKWEEGRVSGAVYHGGEDLSK LQTEAIGTFSVSNPLHPDVFPGVRKMEAEIVAMVLSLFHGPSDGAGVTTSGGTESILM ACLAARQKGYAERGITEPEMVVPETVHAAFFKAGNYFGIKVHQVPCPAPDYKVHIPSL RRLINRNTVLIVGSAPNFPHGIVDNIPALSRLAVSYKIPLHVDCCLGSFVIAFLKKAG FPSPYEDEGGFDFRQPGVTSISVDTHKYGFAPKGNSVLLYRNRSYRNYQYFIFPEWTG GVYASPSIAGSRPGALIAGCWVSLMTIGESGYTASCHQIMGAAKQFETAIREDPILSA NLEVIGKPEVSVVAFASKNVGIDTYDIADAMSAKGWHLNALQDPPAIHMAFTRPTALA VEKLQTELTEVVSAELAKAEERQRQGKSYAKQRGDTAALYGVAGSLPDKSIVSRLAEG FLDALYKP TSTA_078290 MCPGADHTANGHTNGNGTVDANGNVNHDGFTAIQTRQNPHPTSR NPYGHNAGVTDFLSNVSRFKIIESTLREGEQFANAFFDTEKKIEIAKALDEFGVDYIE LTSPCASEQSRLDCEAICKLGLKAKILTHIRCHMDDARVAVETGVDGVDVVIGTSSYL REHSHGKDITYITNTAIEVINFVKSKGIEVRFSSEDSFRSDLVDLLSIYSAVDQVGVH RVGIADTVGCASPRQVYELVRVLRGVVHCDIETHFHNDTGCAIANAFCALEAGATHID TSVLGIGERNGITPLGGLMARMMVQAPEYVKSKYRLEKLKDLEDLVAESVQVNIPFNN YITGFCAFTHKAGIHAKAILNNPSTYEIINPADFGMTRYVHFASRLTGWNAIKSRAQQ LNIQMTDAQYKECTAKIKALADIRPIAVDDADSIIRAFHHNIKLGTDKPLMELNEEER AQFAQKEKEIAQAQANGENIGA TSTA_078300 MRFSALITLLPVLVAAQESQYAFTDQVKGWFDQVKSFIPQAPIV ANPVQEAVHRTTSKVAEKAVTPVTLNNYKDVLEPSTQPQEWLVFFTGGNKTCFGRCLR AEHAWNDSIPLFAADPTSPNLGYVDCEKEAILCSILAAAAPTIWHLDLPQVHPGEKRP EVPLHVVHLNFTTVTPETVYNVHAEKTWQKEDRYEGWLHPYDSFLAETGLNVPLGHVI YYFSVIPSWLTMIGISFLSRNVMGRRAANPGAIAGRR TSTA_078310 MAAPVTSDNMPTTASYVFATAIIAGVLGYFAGQGASLGLFSSSP ATRQQSISKGATKEEDEIEEDSEEDDSEEEDEAELATFEGNQDEVKLVLVVRTDLGMG KGKIAAQCSHATLACYKYYFSKAPNSPILKRWERGGQAKVALQVKSEEEMLLLQAQAM SLGLCARVIQDAGRTQIASGSRTVLGVLGPKTVVDQVTACIVEVLIALVLFWLGTDKL QGSPPKELIKCFILYKMADALSIEQNNKIRVALGLKPLPVPGAEPPSGPVFKESKDSA EEEEPGSTLESREAQGYQNWQKLQDEAEARRKRQEKNAAIKRARELAQRDAKLEGKGL GDMGVELDTKAWLSQHKKLSKKIEKERARKLAEELAERERVAEYTAADLAGIKVAHEM GAFDDAADGQILTLKDATIDENEEEGDELENLELVDQEKLKEKLELKKKRTAYDPVKE DGTSSILAQYDEEIDGKKRKHFTLDAKGSTAEEREAKRQHVSDILNKQVVNLDIVPEA PVSDYMDISEVKIRKPKKKKAKATRQKALDEDDIFPIPDATGTSNGDSMQVDSGETVT NNAIDAPKKKSYDTSFVDDEDLQASLAIQRRAAFKKRKHLRPEELARQLREESSQTPM EVDNGDGAEEEPGLVIDETSEFVSNLQRPEIPERPERRAASPDEEKDEHKEESPESGV ADVHMEGAVQVKEEHENQEAENPKISVTGLDEESTLDEGLGSTLAMLRQRGLVQQSDA ADLNALHRDRQKFLQEKYKREAEAEKRARAQRERDRATGKLDRMSAREREEYARWENK QRDQQESRQMADIFNREYKPDVQLKYVDEHGRLLNQKEAFKHLSHQFHGKGSGKMKTE KHLKKIEEEKKREAMSALESSQHTGMNSAMGATAKKNRQAGVRLA TSTA_078320 MLSTMRVASRRAVSRESGMRVLLTGVRAGSTWANVPQGPPDAIL GITEAFKADSFAEKINLGVGAYRDDKGKPYVLPSVRAAEDKIVASRLDKEYAGITGVP SFTSKAAELAYGADSAALKEGRIAITQSISGTGALRIGGAFLERFYPGAKKVYLPTPT WANHNAVFSDSGLEVAKYRYYNKDTIGLDFDGLVEDLKAAPKNSIILLHACAHNPTGV DPTPDQWRQISDVVKEKEHFAFFDMAYQGFASGDADKDAFAPRYFVEQGHNIALCQSF AKNMGLYGERAGAFSLVCASAEEKKRVDSQIKILIRPLYSNPPIHGARIASTILNDPE LKKQWLGEVKGMADRIIEMRTLLKQNLEALGSKHDWSHITDQIGMFAYTGLKPEQMDV LAKEHSVYATKDGRISVAGITTGNVKRLAEAIYKVTG TSTA_078330 MAPSQTSKQNPKKQEEGPPDLRDYQDKIDYTTFEQILEMDDDED DRDFSQGIVFGFFEQAEATFEKMESALAENDFNDLSQLGHFLKGSSATLGLTKVKDAC EKIQHCKEDLPDEKEEAEREKAIDGIKKTLNEVQVDYKEVANILRRFFGVDPVPDTTD EKNGTTEEKKSESPKAEKK TSTA_078330 MAPSQTSKQNPKKQEEGPPDLRDYQDKIDYTTFEQILEMDDDED DRDFSQGIVFGFFEQAEATFEKMESALAENDFNDLSQLGHFLKGSSATLGLTKVKDAC EKIQHCKEDLPDEKEEAEREKAIDGIKKTLNEVQVDYKEVANILRRFFGVDPVPDTTD EKNGTTEEKKSESPKAEKK TSTA_078330 MAPSQTSKQNPKKQEEGPPDLRDYQDKIDYTTFEQILEMDDDED DRDFSQGIVFGFFEQAEATFEKMESALAENDFNDLSQLGHFLKGSSATLGLTKVKDAC EKIQHCKEDLPDEKEEAEREKAIDGIKKTLNEVQVDYKEVANILRRFFGVDPVPDTTD EKNGTTEEKKSESPKAEKK TSTA_078330 MAPSQTSKQNPKKQEEGPPDLRDYQDKIDYTTFEQILEMDDDED DRDFSQGIVFGFFEQAEATFEKMESALAENDFNDLSQLGHFLKGSSATLGLTKVKDAC EKIQHCKEDLPDEKEEAEREKAIDGIKKTLNEVQVDYKEVANILRRFFGVDPVPDTTD EKNGTTEEKKSESPKAEKK TSTA_078330 MAPSQTSKQNPKKQEEGPPDLRDYQDKIDYTTFEQILEMDDDED DRDFSQGIVFGFFEQAEATFEKMESALAENDFNDLSQLGHFLKGSSATLGLTKVKDAC EKIQHCKEDLPDEKEEAEREKAIDGIKKTLNEVQVDYKEVANILRRFFGVDPVPDTTD EKNGTTEEKKSESPKAEKK TSTA_078340 MATISDPDPSRDAHSDNRPELDEAASHVKENQVKTPWQREGSQT PPVKREGNASAMTKGKLLTTPSRLLKLILPVTTQDYNSDRKDVEPLALLLHPQQPLSY LERLIQAEVPPIKDEKGKLRPPHVSFLAAEIQDETIQPRRPKAKNWVDESNDEEKELS DNNEQRPLVATRDSQIARLRNIPDEKSEVTKDPETGRKTETDADTEAIRKGSFVRWSS STEIGDFIRDAARVKEFLVEIENSPLGKIPVAVPSFNDRTYYLRMRLRKISKNLKNLA IIKQECDVLAHRGAQRVALGGLGVMVSWWYIVYKLTFETDYGWDTMEPITYLVSLSTL MGGYAWFLYHNREISYRSALDFTVNRRQQKLYQARGIDLQVWESLIEEANALRREIKT VAEEYDVDWDERGDEEDERVTEALKHERRQKERREEAERKQEKEDGGKDKD TSTA_078350 MYYILYLASLCKRRHWSEPHYDSFQSSTGYTCVVRVNNREYKTD SICESEVMARENAAMRAYLICRNFSVNDGMYPAGHDHGGVLQGVPVAIGTGRGSSSHR VSARYGHDESDSTSVSGGSSPESHDGARLMSRDHHDRRQGIAPRALAYRY TSTA_078360 MPIVAVSGKSRRKIRFPQSGHLAASSDHRGEASETRKINKTLIT THNTTKIMPNEPSKEYNIPPLFTNPPLLRDALQTKTSQDQDETIEKCLPFLTGRGSTL KDNLNKFGLSHLLKDEHIEYLYDSLELYPAGFVAMDSSRPWMSYWALAGLTLLGEDVS KFRERVVATFKAAQNPTGGFGGGHGQMSHLASTFPAVLSLALVGGEEAYKVVDRRAMW SWLGQLKQPDGGFQLVIDGEEDVRGAYCAMVTISLLNLPLELPPEAEARKYGLRTFLD GLPEYLSRCQTYEGGLSGKPGAAEAHGAYAFCVLACLCIMGQPKDMITRYMDIPLLVS WLSARQYAPEGGFSGRTNKLVDGCYSHWVGDCWPLVQSALNGPHGEGDAIPEVPQYLF SREGLARYIMNCCQNKNGGLRDKPGKHPDSYHSCYTLTGLSTIQYYHYQTEQEPTSSR IGGIFSSSFSWKSVPAKIAMEADGQNDKDIIDDCDRLVAFHPIYTIAHKNAEALRRWC EERPI TSTA_078370 MSQQNLSNDGLVDLDYDSRNYLQAQSWPLAIHHNLPRQDDARDI TSMQTTGHAYDQSIPTGANLMTEWQFQQPLPTQVQYPHVETTGPQFTSSFGVPLQTSP VDFLPGSQAAATLGASNLLDGSYLSLSAPVDMGMPFAYPEFQELMTFPSGPLDMTSYG GITHNIPESSSPTDTYLEVRSLTSSSSDNGWATVDHRTIDHFHHADHTLFINPSQTLH DRSLSESSYSDFEPNRASWGSSWVDVQHPISSPSSDNLDLIYNPSVDRRISLDHASHG SVSPVAVSPVAIVRPIPVPSKKVTSPSHSPRSSTSSSSSSSSSSPPARKNTRKSPIAA KTAETKVRKQSQTGKPETTEKRIGKRKGPLKPDQRKQASEIRKLRACLRCKFLKKTCD KGEPCAGCQPSHARLWQVPCTRIDIKEIGYFMKDWKADYERHVTLGFSVGNIKGFSEQ ERTLFVTHGYGEIMPINAREVFVRDEECFNMDWCETVEREMGHYQVSTMKFSAGIEGI SQSKLSDYLDRHIDGNGSYEKFVDDYFDGTPFLTQMLKTAFRYYFRTKLPVIRKALKL ILAYNLTLSVTMVEGIGEDEDFLGKIEGEGSRFRGKTMAPVMINFQIKCAMANMWREL QKDVLEELSSLYSSVYSGDRLKNWPTIFILATILLAVWEEMQFDCHYRVPDVSAVDKF CHDMESTPVGVIVGLFQAISQKLPAFTDWETSKHHQLLASNPDVCQTMTEVRHHVKQH ESYLRNRSNTKFNRNDFDCLSNKFLSRLVIRAN TSTA_078380 MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNV KSKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTL TGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKEST LHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGK QLEDGRTLSDYNIQKESTLHLVLRLRGGN TSTA_078390 MPSYFYHITLELLNSSSNIAHDIYHSTSQSRSDNSLANEVVREA FLPFQRYSNSKKSTHRHRSAGSLGGNNNTSSVGGGGGSAGHQQQPLDDGKYSSASLIG KLSSLPLQERNPPTGLSIQSDLRFTPISIQGVDMVAPAHNRHHQHQRKQSNETRGGRD EITTGIGTDILGGLHTRGKYIPVDQTLADSVYGIVHLYRDAKETPSLIVDDHPLYLKG SSAAARRPPNDSATSSGIVSQNFLGGNHGSSRSRGLNDHDSADYYSSSSLQTHSPNDD DCSILCILAVPSYLSPSDFLGFVGEDTRDEVSHFRMIKTARANRYMVLMKFRNGKKAR EWQREWNGKVFNSMEPETCHVVFVKSVEIEAARPREMSDASNQSSALISNSTRSLGAA NNSSPGLSSASLSAKPLAPPTPSLVELPTCPVCLERMDETTGLLTIICQHVFHCTCLQ KWKGSGCPVCRYTLDDFARRSQVGFLDEGGSAECSVCHSELNLWICLICGSIGCGRYD EAHAFAHFKETSHAFAMDLSTQRVWDYVSDAYVHRIIQSKTDGKLVELPAADNSALDP PDWTDAVPREKLENMSVEYTHLLTSQLESQRAYFEEIVERAADKASKASASATAALEA AERATLQLQELQQRYDVLAKENVPGLEKEKDRAVRRAEKFENMARKLEKEWREEKAMN ENLVKRVELLSEEVAKLKVENADLAEQNRDLTFFISGSERLKEHGGGDEDVVEGTISI PEGSSKKKGKGRRR TSTA_078400 MRRKSSSPCTQSYPSHPFLLSTDEIISHLETNIDTGLSTQQVTS FQSRYGPNKLSGEGGVTWYSVLAKQVSNAMILVLVLAMALAYGVSDYVEGGVITAVIV GNILIGFYQEYRAEQKMDALRSLSSPSATVIRNGEVVTVPSPEVVPGDVVMVKTGDTV PADLRLIDGMNLECDEKILTGEALPVPKDAGFDPAGAIGSEDEKSSKNAEFKIGVGDR LNMVYSSATVTKGRGKGIAMYTGMYTEIGKIASSMQGKKNRKVKKSRSMSVKKYGPLQ VFQGGFFRTWDALGRFLGLTTGTPLQRKLSKLAYSLFGLAILFAIIVFSVNKFHVTNE VAIYAISTGIAIIPESLIAVLTITMVVGMTQMHKRRVVIRQLSALEALGGVTNICSDK TGTLTQGQMVTRKAWIPGIGIYSINESDDASDPSRGVVTLTSVSSDKTEKQERTQNEK STEEKQQSEEQSIPEVNPALEGFLLASSLCNLATVRYDDAAGKWQTMGDPTEIALQVF AHRFNYGKKTLEKEHKWKQIAEYPFDSAIKRMSVIYSKGTDEPVIFVKGAVERIIDLC TSVGTGSHQEEMTPEMKNNILEQMKSLADQGLRVLAIAQRPVPAGYKTGDKLPRDGVE SELNLLGLAGLYDPPRLETKDAIRECTTAGIRVHMLTGDHPSTASAIAREVGIIPKYK ESLSAEKAAALVKTAAEFDSMTDDEIDAMPTLPLVIARCAPDTKTRMIAALHRRRCFA AMTGDGVNDAPSLQAADVGIAMGMGGSDVAKSASDIVLTDDNFASIVNAVEEGRRMFD NIQKFVLHLLASNVAEVVLLIVGLAFQDDQDVSVFPLSPLQILWINMLTSSFPAFGLG REKASASVMRRPPHDMKKGVFTWQIIADMIVYGMIMGTLTLLTFVIVVYGVGNGTSDL GFDCNREQSHSCRVVFRARAAVFAELTWLILISAWEIKDLRNSMFNLDPLRASQDGAN FPFFRDVWENQFLFWSVVIGAVSVFPAVYIPGLNTDVFKHQGISWEWGLSFGAIIIFV LGAEAWKMVKRRTGWFSEPNAADYASVSESDFGLGSPTRSMTKDSRRTT TSTA_078410 MATVLWHGVDVLDYKNAYIFDISSLSETTDKTLTVVVIGLGQMG HSHALAYHRNPGFQIIGLIDRLRPGKTFPDELKEYSLLSSFEEGLALKPDVVSINIHT ASHADYAVAAMESGAHVFVEKPLAVTVADAERVVNTAQQTNRKLVIGYILRHHPSWIE FIQQARHLGPPFVMRMNLNQRSSGDAWAIHQRILHDVKNPIIDCGVHYVDVMLQITDS KPVQVRGMGLRLSDDLPSDQGQVNYGHLQILFSDGSVGWYEVGWGPMMSETAYFVKDI IGPRGSVSIVMDEGKKSADNEKGYSSANINSHTRTSNIRVSAVVDGHTQDKMLSMHGE PDHYELCAREQQFLLDAIREGWDLSKHMQDAVKSLGIVLAADRSMRENRAIDLE TSTA_078420 MLHINYFLPAAAAATRRLAGSSIRSSAYTQTQILRHHFSSSVSA DELSHFSGLASSWWDPMGPSRVLHLMNPTRHDFIASCLTESEPQANNRQGCGGGIFAE SLARTLCSRNSGTEALHPRTKAGSIVAIDPSTSLIKIARDHASNDPAVFEHLRSGAFR YENTTIESLSSSVATTQQRQDDKLFDVITLFEVIEHVDPHTSSSFLTHCLKLLNPGGW LIGSTIARTIPSFIVNKMVAEAPWPIGVVPWGTHEWSKFVNANELRGWVEEGLMRAAD GAAHRAGSEALQGMKWKVAGVVYVPGLGWNMVDGGEDWGNYFWAVQKRV TSTA_078430 MEIPTILNRKASAAVAADPRFQAQLAQAVHMHTQEMSEQATSQP GDHTVMGYPPNAQPLHQTQQMAPQLWPTHPNGAPVMPPNGYVHTGFPAVPPQQSHTPP VQQQQQQQQSRPEPAPRVFHCSTCQKGFARRSDLARHERIHSGIRPHACDWPGCGKQF IQRSALTVHARVHTGEKPHMCERCGKPFSDSSSLARHRRIHSGKRPYKCPYANCQKTF TRRTTLTRHQNHHTGTIEEAAAQTEASLRQNRERSARASEGIYSETGSGHSTPSPGQQ ASMGMGSELPPLNIIRSTGEYYIPNGGIPAHVRGDFQQGSPRASPAATSPSLSSYSSH PRPSMTSHPSGYGPPQPLEPPANNDHRPGSVTGSPHMTSMGWASPSHGSMPSPGSPHD FGYPESNVAAYASAMPPHMYFPNSTIRRPGSTEPENYEMKPRIGPDGWSTPM TSTA_078440 MAPPEAGRRNPTVLVTDSRDQHSQRPGRRTTQPATRLITVDNVL QYASDIPSMQQRRPMQQRPQMRPRSRLSASTGIVGGASGSNVSLGGAIGGVGGNIGGA GGAGGPLGTAGRLAAQARLPSRSTKVSEKLVLLPDLEDTEAETVGRIGGDGGDEDILS APVDEELVRRIAEERNMDPDTLRRRLQLAASQRLQGDFGVDNDLAPLLAEEEILRQRL VPLEKAKSYAERLPKARRAEKLPRVTAYCTAQGFKMGATASFVKEWHGARTKLYDDCL YTAYHLPLLPGRGGYRLRSSPVLKGAGGRVVLDEEIERNERRDYHEEYYVEEHEHSVG GRRPNQNTESGDSDRSQSDQKSDAQDTDSGDSRPASSEQQQADSKSEAEHRPSSTTTS TTSTTTNRRIPSNVFNYAEMFVFSYGVVVFWNFTERQEKDILADLTFSSSGTGQQIPL ATLPLAEEDIETEEFHFEYSTEISRPRVYNDMITLRSRDHMIKLAISHGIAQSTKLCF FEEAMSKEMTEAKDVPRRLAMTGKLGMKRDEVFRILGRLFKSRVEVNLSSNMLDVPNF FWESEPTLYPLYIAVREYLEIKPRIQVLNERCRVFLDLTEILSDSIADNTESHQTWII IILIIISILVTTGEVFLRFGILSSNHATENPAGPSFVSSLMMNLLGRDSSSSSSMGVG GTCVSTEGSSTLLHETALLYVKGWQFTVQFHQPPPPTPVVRDNCIKTKIDIEEQRKVT SVETAIERCLKHPPLQGGGCDSFAVRLEIVDTLQARDGRNAQVMVVRVVYIAFRKMID LSRNFMIRLILMMMMMMAVLILFVPEATLHTNLGHTMFFLGKDIPLFYGSHSLERPAV DGHCTVRLILIENIPLQNINSEQLTQKRRQSIMHSIIAFESRAYERDILLADLFRRNI ILAHSLHADSVQSDIKEKDRLVSTDFAAAIFGRIRDDPVTLDYKPNPFLGEYISLLLR WKQRPSYNLTTGWIGIGRLSLRMSLGILIDSMTPLQYDCNGRYYYESILDAQGLDRGS TSTA_078450 MASLVPEAGLSLWRGDQPGNLSPDPHAKQTQIMRLNLTQSTLDE LVESLRNDQKARLRLGKHQTLYYGSKSHQFHSHLEPHRSELYKTSPTDKENLYFSGVL SHSLEVQKAKEATAATDEALANLEQSLSAFERGKESKKTQLVNLKPGDQRSRSKVPLS KIDLDKERFFKNRSISGSPSLGAIRSPASSLPALTPTSVPSSQNKRKIRLEALKTPFI HLLAVTPVSVKYLAQTTRSSQEDCLELARKYGVENRIDPSKFNLKDKCYKELDIWNFP YPSESDRTSAIENAISAFDRQRISPSDKLWQMLNSKEERAKGVCKSRLKLQTGPPPIK VHASEETSKEGYMTGNETDRTNGRLTPNGDSTTSKPAPKKMATTKSKNTTLTGRVTKK TEKKAPAKPTGKFKSAEFVHDSDEDTDIPDAPPVRPPPPAAKKAPAASSTEAKKAPAK PVADNKPAKAASKPSSVTHSPTVKPQITLKPQPRTIKTIPASSANGTGSKTLPGSRAT KPTSPVKKSPLASSPPTNASDIDNSSQNSGQTSSSSSSPLMAQMAKQNKAKYTVTTTA TKPRAPAAKPNGTVKPAVEQANPLKRKALPEPMPTGRINRNGDDIKRRRPIESMSPSG TSSGSASPPLNREHLRQQLRQKSMEFKKLYSKYRALHDSLVNQLNPMPSDLTRLKQMH ARVQRTKKEIWDEDRRLRR TSTA_078460 MALYNVFLRISVAVEMGRLNNDIQIVGSPWSPPGWMKINGELYG NTTDNNLDDGYGSSRGLGSTGHTHAFAQYFVKYIQAHTTENTEYETLLQILQVTSSAN QSLDWAIQSSQIYHLHKAKMREWEPQGDPCTDATYCFLALGLSRAYGI TSTA_078470 MAWNSPKVGILGGGQLGRMLTEAANRLNIEVHVLDAENAPAKQI SAHGNHTVGHFNNQQSVQELAKNCDVVTAEIEHVNTYALEAVASQVKVEPSWQSIRII QNKYDQKKHLSKYDIPMADYRELVENTPEELAKVGELLGYPMMLKSKTLAYDGRGNYP VKSKEDIPNALEALKDRPLYAEKWAHFKMELAVMVVKTKDSVLSYPTVETVQEDSICK LVYAPARNVSDAINKKAQELARNAVAAFDGKGVFGVEMFLLEDDSILLCEIASRVHNS GHYTIEGCGLSQFDAHMRAVLDLPIPPKSLELRQPSIMLNIIGGATSDSHLRVAEHAL SIPNASIHLYSKGAARPGRKMGHITVTGATMYEAETNIQPLIDLVDEIRAARSDVKSS SVEPIPFKPTPTIGVIMGSDSDLKTLVPGLKLLRDYFGIDPEVDITSAHRTPDYMAEY ASKAASRGIKVIIAAAGGAAHLPGMAAAHTALPVIGVPVKGSALDGVDSLYSIVQMPR GVPVATVGINNSINAALLAVRILGSYESALQRKVEEYATNAKLENLDIKGTKIKEIGW EKYFEQMQK TSTA_078480 MPLDEELLEKVAQDGSMDYDRWPEQLEPLIQRLDEIICEFPIPK VPPEVASSYASSSYQFHDSLQSSNKENTPPSPPATQTTYSGASASTSEYNTATQTLVP DSQPSQPDSNSLPSPLLSLIASIKSTLRTYFASKPPHTVQRLAELILRPRRQYRTLPA YLRAVDRVVSVSSSADIFPLPRTGVSADDELTNGVTNGTRSSFMVTDESLGSDESLGG ALLTPIPWLRNHDLEAVQAEMIAEGSVPLRADAAVTQGELIRQEQEAGLVATTQTPPH VSSRSLAGNEEDGENSSPQPEEIPHARGPSVLGVEDLGLQDGKGVEMVLSDPNKSQED KPGMDMSDSEVGGICNEASSEIEKGNNDDDDIILDDVTENQDTTPLTETSAAPETRGS MTEQDETG TSTA_078490 MYLNDAAGSNASDALVMLQNNATADNFDLDYTDFDLVLDDGDDQ GIDETGATDIAMKDDCNNSTSQQEPQPDHSGVQKSATSAVTDFTRRRNWTQRILAEMQ DVLIVLSPDGKVLYCSPAIQPITNYESKDLDGRFLSEFVHDDDRTTFIREFNESIATG HRLRYHFRFRKPDGEFTIVEASGHAHMSKEKITLGTGKEGNPCDGFFLVCRPYPTSSN ALLDTFLEHKVENIRLNRRIADLKKEEEEELQAQQHAPTTTTTTTAATGTDQGMMLPP ARPSVTGTEHNGYTSLITLDNNLDTLSRSDTSSVLDGIEILTGLRYGEGERSRGLSTG ERDAGLIHEQADLHHLGLSSDDNDKKKRIKTTEEYVCTDCGTLASPEWRKGPSGPKTL CNACGLRWAKKERKRQGSIQSSIQSSIQS TSTA_078490 MYLNDAAGSNASDALVMLQNNATADNFDLDYTDFDLVLDDGDDQ GIDETGATDIAMKDDCNNSTSQQEPQPDHSGVQKSATSAVTDFTRRRNWTQRILAEMQ DVLIVLSPDGKVLYCSPAIQPITNYESKDLDGRFLSEFVHDDDRTTFIREFNESIATG HRLRYHFRFRKPDGEFTIVEASGHAHMSKEKITLGTGKEGNPCDGFFLVCRPYPTSSN ALLDTFLEHKVENIRLNRRIADLKKEEEEELQAQQQWVLLQSDNNSGTISPDDDVDAF NSAPTTTTTTTAATGTDQGMMLPPARPSVTGTEHNGYTSLITLDNNLDTLSRSDTSSV LDGIEILTGLRYGEGERSRGLSTGERDAGLIHEQADLHHLGLSSDDNDKKKRIKTTEE YVCTDCGTLASPEWRKGPSGPKTLCNACGLRWAKKERKRQGSIQSSIQSSIQS TSTA_078500 MFLSRKIQNQDVSFHFGALPSTSTDITPRSKIDKLSILGVRSFD NTRSETIQFHTPLTLIVGYNGSGKTTIIECLKYATTGDLPPNSKGGAFIHDPKLCGEK EVLAQVKLAFKATSGAKMVATRSLQLTVKKTTRQQKTLEGQLLMVKDGERTAISSRVA ELDQIMPQYLGVSKAILDSVIFCHQDESLWPMSEPSVLKKKFDEIFEAMKYTKAIDNI KALRKKQNEELAKFKIMEQHSKEDKDKADRAEKRSIKLQEEIEALREETHQISQEMRN AAELADKAWKESESYAQVIGALEGKRIEARSIQLTIDNLKRHLVEVDESDEWLQSSLE QFESRQLEYQQQEDAQKERYMDIKEQIESTREQLGLKQAETGKYENDKDQFERQIRRR ENMIKEFARENNIRGFDDALDESKIDEFMHRMQKMVKEHSQALERARKEGQSELRETQ NVLNQIAQRKSAFQETKNVARRQIADNDREAASVQSRLNEIDVDEGTVAVLESKKEEA QSRLEKLKDAARVATWDKDLQDANTQLRSLEDESTKLNQELIAGTKKAGDLARLAHLK KELKDRERQLETMSGAHGNRLSQLVSEQWNPDNLEREYQNVLTDASNNMTLAERNRDG VGRELDQVEYKLKDCRTALEKRKKERDECVKKIRDAIDDDPTEYSDVLQTRQNHLDQT RKDVEQFTGMHEYFNMCLEAVDSKKMCRTCMRPFKNETEMRTFRNRLEGLIKKNFSSS DEDLKQAEEDYENARMANTDYDTWLRLTETAIPELEKNEEQYQSQKEEILKKLESHDT TVDDRAEKKREIESLSRTVTSIVRIDSEIKSLRSQIAEVSSKQQQTDSTRVLEDIQND IAAVGEKSRAIKLTISKLSSEKEQSRDELNRAELALRDVKSNLDNGSHQLEKKTSLLA RVEEYKRMNAKQRESIENADRYIEQLEPEIAKAQAKFDDINRRAESKERELQQALTHL SDRLHQLNLANDEIKSYIDRGGPEQLIKSRKELEDIQQQIKTLEGEQSEITRAINKIS AQLKDSDNTRRQYSDNLSYRQSCRLLEEVQDEIQQLEEQNAEIDRSRFKEESERWTRK HNALAAQQASKMGEMKSKDDQLLQLLADWNTDYKDAAANYKEAHIKVETTKAAVDDLG RYGGALDKAIMKYHSLKMEEINRIVEELWQRTYRGTDVDTILIRSDNENAKGNRSYNY RVCMVKQGAEMDMRGRCSAGQKVLASIIIRLALAECFGVNCGLIALDEPTTNLDRDNI RSLAESLHDIIKTRQQQANFQLIVITHDEEFLHHMQCGDFSDYYYRVSRNERQKSIIE RQSIAEVM TSTA_078510 MDQAHSRALEALQPFIHLTTSSTASSPRFVANIIANATSHPNTY VFAELLETSAVQALGSADTPEEFRGYLKLLEIFAWGTWQEYQETPGLPTLNDQQALKL RLLSLLSLSSTIRPLTYQALMQALSIPTAVKLESLVTTAIYSSLIVARLSPATNPPTV NVTAVAPLRDVRPQTVSTLISILSEWEGRCGDIINGIEAEIAKIKDQTVRNSILKRQC TLALEKAIAADMDGGEDNTGLRSGGRNTKHSLRGGRTAGGIRFGGSSTGGNISNKREY SDDQDDDDDDGYFDNASDGGLDVSGSRMEIDEGAGASRGATRQTKRLLGVGRKT TSTA_078520 MVSLTSLDLEKRKRLPTLFEVLSRYTLAPVDLFSFYIYMRDQQR SVDYLDFWLDVSQHMSLCRHYVRELRRSVLVATPEGGSRPSSTILDNIPDIPTEAGPS GYFSASNEKDADSRLSAFLRSENPSQPSPPISGHSEKHSSEERPPRPSFMNSTPETRY DSSNSPGHTVARTDIRRSAEKILYTYLIPDSEREIVLPEEMLTSIIDMVERDGRDDPE VFDQAKDYVFQAMERDAFPGFLQAKALGNLVPLSILSRLAFGLISFLGAFWASFYVVL TDKSRATRCWVILPFVVASYFITTYQYKIDPFMALLGFSEYTFMNWQRIQEPYVRSLL NQRALVTLAYALLIAAALSVLFIFVPGIML TSTA_078530 MRDWLRVASTVIQFIVANDNFISILVANMSSVSEDMKRKASEEA DTPDSRHRSKKARTESFNESETKEGSVEKPPLKLVPYPEKPAVIEEKEGKIEFRVVNN DGQRESFIILTGLKCIFQKQLPKMPKDYIARLVYDRTHLSIAIVKHPLEVVGGITYRP FKGRKFAEIVFCAISSDQQVKGYGAHLMSHLKDYVKATSDVMHFLTYADNYAIGYFKK QGFTKEISLDKSIWMGYIKDYEGGTIMQCTMLPKIRYLESGRMILKQKEAVHAKIRAF SRSHIVHSPPKEWKNGVTKIDPLSIPAIKESGWSPDMDELARQPRHGPNYNQLLHLLN DMQNHSAAWPFVQPVNRDEVPDYYEVIKEPMDLSTMEEKHEKDLYPTPQDFIRDAMLI FDNCRRYNNETTPYAKSANKLEKFMWQQIKNIPEWSHLAENH TSTA_078530 MRDWLRVASTVIQFIVANDNFISILVANMSSVSEDMKRKASEEA DTPDSRHRSKKARTESFNESETKEGSVEKPPLKLVPYPEKPAVIEEKEGKIEFRVVNN DGQRESFIILTGLKCIFQKQLPKMPKDYIARLVYDRTHLSIAIVKHPLEVVGYVSMRC TQTPLDASLLTICSGITYRPFKGRKFAEIVFCAISSDQQVKGYGAHLMSHLKDYVKAT SDVMHFLTYADNYAIGYFKKQGFTKEISLDKSIWMGYIKDYEGGTIMQCTMLPKIRYL ESGRMILKQKEAVHAKIRAFSRSHIVHSPPKEWKNGVTKIDPLSIPAIKESGWSPDMD ELARQPRHGPNYNQLLHLLNDMQNHSAAWPFVQPVNRDEVPDYYEVIKEPMDLSTMEE KHEKDLYPTPQDFIRDAMLIFDNCRRYNNETTPYAKSANKLEKFMWQQIKNIPEWSHL AENH TSTA_078540 MSLNTLWETSKAPYYPIVAKEGQFTVGFALLFIALLLTGLFGLN RTFLNIPLLGVPASLAFGFGAVFMICAVGVYV TSTA_078550 MEPIFSAVTNDTYHLYTLLRCIGFAEKARIQITPDGIRFSVEES RVMQGLVFLDRTLFTTYTFNSTPQDRNSHALDDEEPAGDVYPQFLISLTALLETLQIF GIGDASQASFPSNGSIQNTPAGAFTSPALLLGRTCALRYSSIGSPLCITLSESGITTT CELTTYESDDPSFGSEAGEVDIPLQRDAIIMKIIMRSAWLYNAINELDATNPTVLTIS ASSKNAPFLTLSGSGGAFSESSVKFSTDKENVGSEVNYKTLEDNEPPKRGKIAPAVTE TFQVNPPSSMGSTVKENYRFALVRKASRAMAAASKVSIRGDIQGVLSLQFMIELGGGS NEGDAGLTRGGNARHQDEDQEDGDDVNSEGW TSTA_078560 MTTLPSEATTVSDSRTTSLHMATTTLKVDGMTCGACTSSVENAF KDVDGAGEVSVSLVMGRAVVNHDPAVLPPSKVAELIEDRGFDAEVLSTDTPQTSDNSD KSGTQSSVTTIAIEGMTCGACTSAVEGGLKDVAGIYSVNVSLLSERAVVGHDPAIVTA SQIADIIEDRGFGASVLDTKSVEPPERPLSDHSTQMMSTTVAIEGMTCGACTSSVTNA FKDIEGLIQFDISLLAERAVIIHDPQVLPSEKIASIIEDVGFDARVLSSIPHLGVSHK TSKTVRLTLYGLDGAVSASSLQETLMQKPGISSVSIDISTSRATIVHSSTTIGIRSIV EAIEEAGYNALLSESEDNNAQLESLAKTKEIQEWKRAFLFSVSFAVPVFFISMIFPMC LKPLDFGGFRILPGLYLGDVVALGLTIPVQFGIGMRFYKSSFKSLKHRSPTMDVLVML GTSAAFFFSVFSMLVAVLSSQHNRPGTVFDTSTMLITFITLGRWLENRAKGQTSKALS RLMSLAPSMTTIYEDPIAAEKATEEWNEKNDGATSHAGQTGLKAVPTELLQVGDVVLL RPGDKVSADGVVIQGTSYVDESMITGEAHPINKKKGDAVIAGTVNGAGSLEFKVTRAG SDTQLSQIVKLVQNAQTSRAPIQRMADIVAGYFVPIIILLGLTTFVGWMILSHVLPHP PKIFNKPENGGKIMVCLKLCISVIVFACPCALGLSTPTAVMVGTGVGAENGILFKGGA ALEAATKVNHIVFDKTGTLTEGKMSVAEIKLEPTWKSNDWRRKLWWQIVGLAETNSEH PVARAIVAAAKQEMSLMKDDTLDGTIGTFDVTVGKGISATVEPMSSVERTRYHVLMGN AIFLRSSGVNVPESVDQTTLKDTASAGSKMDESAGFTQIHVAIDGHYTGTISLRDVLK PSAVAVVAALHKMGYHVSIVTGDTYPAALAVARALGIPKDSVKAGVVPSGKKKIVESY QAAGDKVAMVGDGINDSPALATALVGIALASGTDVAMEAADVVLMRSDDLLAVPASLS LARTIFNRIKLNLIWACVYNIIGLPFAMGIFLPFGGAPLPPMAAGAAMAASSVSVVGS SLLLKFWKRPGWMEIEQLEEDANSGFTKPPTSSSTASRWKASPFNGAGSNRHGTVSRL RTAFTKLVFGRQSKQIREEEGYHELLCYVYERNMKNYETFSKVPFVRLFRGPSQLNVL HRSSRHRPKCSLTQRQLLKLLLALKLPGILNLFNLASENGLLALKQSKQLVKSYRLQS TAQAEGSVQ TSTA_078570 TVDNWNFLVAFPRVRMEAFKPNTIRSGFAISGLVPLDPHPVLQN LNIQVEGPTKAPTLPGSLQGYSDFQNTPYNSQQLQRKERSIKKIINQCCLHIERIYTG REWRLPLP TSTA_078580 MVYGNNSIYEKAINTHRVHSRRFGYPHFILRKPILDGVWNKYAI LLSVLLQELEKPPERRLQWLFWTDVDTVLINPNLPLETFLPPPHVLNAHLLLTKDWNG MNNGVFPIRVHPWSVELLSAAIAYPIMNTDVELFWPDQSALANILDTNDYFAKSVVYC PLRWFNAYMGLANGEGLNPKSPQHLQVHRGDLLVHFPGTPRGSLEETMGPYLAIAESH REDWELPLEQTDYVRETEVFWQEYQ TSTA_078590 MTTAIEQSVAYYNGKVYTLNDSRQVAEDFIVTPQGTFSTVGTRN EVLRIARAYGIITYNLHGHRDNTLKLDIAAHSVYASKFLANEREGSFKALIDQTPKLA TKHVRTSFVKILLDGVPLPPLFSSAGNIEQDK TSTA_078600 MFIPRTYKHHYLALYLMIAEIPFILPILILTGIASHDTYTTKLW QDGANNGFNSAPNQIVYALTNGESYKVPIVWSSVLYNYDLVIGVLSTFIILTKVPIHV LRFFYPPISAFVHGALFILYVAAACFQAGSDTTDERHPQHGPPWYITKSCKVAYSPSN IGYCQQAKALFAMTVIAVVIYFAETVLAIMSCFVSQEEKEAWRRRQQEKREEKEAEDR ALREYEEIINSPTFPPVAMPGAIYTPGPIASPYHQTHFFHSQGQYQSLNDPSPSSSSD LPFRNWGTPKTSSFANGGHVSVNISETGEQQQQQQDQPYFPPPPKKATK TSTA_078600 MFIPRTYKHHYLALYLMIAEIPFILPILILTGIASHDTYTTKLW QDGANNGFNSAPNQIVYALTNGESYKVPIVWSSVLYNYDLVIGVLSTFIILTKVPIHV LRFFYPPISAFVHGALFILYVAAACFQAGSDTTDERHPQHGPPWYITKSCKVAYSPSN IGYCQQAKALFAMTVIAVVIYFAETVLAIMSCFVSQEEKEAWRRRQQEKREEKEAEDR ALREYEEIINSPTFPPVAMPGAIYTPGPIASPYHQTHFFHSQGQYQSLNDPSPSSSSD LPFRNWGTPKTSSFANGGHVSVNISETGEQQQQQQDQPYFPPPPKKATK TSTA_078610 MTPRKDSIPHEARTAAEPRQNKLYTVRLACVEQVNPSVRLLRLA LPQEASSADETAFDSQYQEIFSFLPGQWLDVHVPGIPQAGGFTITSTPADAQPLPIPD PTIIQDGTDQLAHQQSEFGVSSAGLDGRYPYVELAIQDSPSNPPAAWLWKPHSQILGV DLRVRVGGSFVWPPASVRTDQIHKVVFVAGGVGINPLISILSHLTEEQASGPTISLPE KSFDHPLHIRFLYSTRVPSSVPDETTLDQVLFLSRLRNIVQRTRIVTKQPRNIKLDLE LFLTNITSPTAVSKAGEILNKVNDNGSVDDSPLIRVHGRRINKDDLDAQTSEQNNDTV YYICGPPPMTDEFVQFLEPIVGKESVLYEKWW TSTA_078620 MTRRIVRTGVQLGVFGLCVFLIILLIDKQFRVLPNSIHGHLPTH HAGFIVTDVTIVTCSSVNVFSSCTLDPSVWSRVDKDLYLDNSWTSAAYVHFQRKREEE LLENDKVVIDLRISRFNPGLLDSKTKNPREGVPNAADSTENDWEARPGGIWLRRSSEP HISDSKQALTSVDVLFGADAVDPRPQWEVKDTSVLLDSLTEATEARLTVRRGVPPVLK KPELRINDSERFKIMQAADLHLSTGTGVCRDPVPEERIPGEKCEADPRTLEFVERLLD EEKPDLVVFSGDEVNGETAKDVQSAVFKFVKPLVDRKIPYAAIFGNHDDEGNLSRKEL MALIEDLPYSVSTAGPEDVDGIGNYIVEVMGRSSSHHSALTLYLLDTHSYSPDERQFR GYDWIKPSQIRWFKSTSQSLKKKHNQYSHMHMDMAFIHIPLPEYREDSNSWKGNWLEA STAPGFNSGFMDALIEENILFVSCGHDHVNDYCMLNRDMNNKPSLWMCYGGASGFGGY GGYGGFVRRMRFFEFDMGPGRIVTYKRLEYGDTESRIDEMMIVDAGQVRA TSTA_078630 MGSTDPSNLPYIQTSPKIIFFTDFDGTITLKDSNDFLTDNLGYG QVKRKQGNEDVLTGKATFRDAFRDMLDSVKPGFGECIEILKQNMELDPYFTEFYNWAK ENNVPIVVLSSGMVPIISALFEKLLGHKPDPKHLTIVANDVESRDGKDINTPGGWQIK FHDDSHFGHNKSLEIKPYAALPADKRPTLLYAGDGVSDLSAAAETDLLFAKKGHDLIR YCEREGMPFTVFEDWSTILATTKDIYYGKVSVKNVAEQGLAKVKAGEAGL TSTA_078630 MKTSSRAKRLSGQSPHEYPYFHALQLMLPSYRDAFRDMLDSVKP GFGECIEILKQNMELDPYFTEFYNWAKENNVPIVVLSSGMVPIISALFEKLLGHKPDP KHLTIVANDVESRDGKDINTPGGWQIKFHDDSHFGHNKSLEIKPYAALPADKRPTLLY AGDGVSDLSAAAETDLLFAKKGHDLIRYCEREGMPFTVFEDWSTILATTKDIYYGKVS VKNVAEQGLAKVKAGEAGL TSTA_078640 MQYFVREKRKEHRLSLPTLEEYVVYTPRLVTPIYGADASLIVSL LDIHAVPPAAANKDRLEILEAGTGHGSLTLHLARAIQAANTNPPPIPQKSQVRILEER PIRPFEEGGEQQHSKEEITDAQDDVAVQKDWDEWRSQRSAVIHTVDVSPKFSRHAEQI VRGFRRGIYAGNVDFHVGAVEDWIKDQLQRRSSPAGLFKRDNATQPFLSYAILDMPAA HKRIPHVAPALRRDGLLVVFMPSITQIGECVQLIRKEKLPFVMEKVVELGTGISGGRL WDVRMAVKKSRADPSSWTKEGRDGTEAPQTGTEETDSAEPSNTSGEDSTQDNVLVCRP KVGSKIVGGGFVGIWRRIEDRRDRD TSTA_078650 MLAFSGIENTPINNTDTDRAATVDMGGITEEEGSLVLPDGTSLY TKSWKPEGIPRAIIAFYHGFSDHCNSFFDFFPNLASSGIEVRSLDQRGWGRSVISIPK LRGHYGSTSTVMADLHFFLQSLIPFTKEGTIPLFLMGHSMGGMNVLYYVLNPESPYHH QAENTNATTKVKLAGVMSVAPLVAVHPTTQPLKIVEYAGRIAKRIVPKMTMVQNIDAK WVSKNQAVVDDIKDDKGVLYHNTGTLEGLAGMLDRGAWLNDLHKKTTAANHADGKNVP PLWIGHGTEDRVTWCDATRRLAQSLDHVDDKTYKEYEGAYHKLMNEPDGVAESMTKDV TEWIEARLPKSEA TSTA_078660 MADGLYRFPQPGVGQFSFPAPLAQNTAQQSILLRNYTGSPRRAN LPSESTPISRSPHSTSDTNSNTHSMYSQGHQAQHAAMLNGTQAHQRFAMQMPKFQHQN HHPHHAQQHHHTHHNQATHQLNHQPNFPTGALTSTTPHFTPSHIQNGTPGNVDEEIDD TMNEHWQQQLQLANEARQATSPHYYARTIAQQTKGIQYTPSQSDGADNTNDNRPSATT SKDGKKQSWNAIDFTSQGLRAISTGLFNYKFLNKLYLSNNKLKALPPAIGQLRGLTHL DVSANELTELPGEIGMLTNLRTLYAFDNHIRSLPYELGYLYRLEMLGIYGNPLNEGLL SQIKTHGTKALIKYLIEEMPVHLPPSDRDWIVLDETKSSQAQADKFTVLSYNTLCDQS ATPSHYGYVPSRALAWEFRRDLILNEIRSHDADIACLQEIDQGNYNEFFREQLAYNDY KGVYWPRGRAMGMHEEEAKSVDGCATFFKASKYILLDKQMINFGQTAVRRPDAKGQDD IYNRLWQKDHIAVVVFLENRLTGTRLIVVNAHLYWDPAFKDVKLIQTAILMEEITKLS EKYAKFPPCTDKTAFRFSEAEDGSQENTTPVEPAPSAEYSSGDQIPLLICGDFNSAPG EAAYNLLAHGGLTEAHPDLEKRLYGNLSRVGMTHPFKLKSAYSAIGELSFTNYTPDFN SILDYIWYSSTALHVTGLLGEVDKEYLQRVPGFPNYHFPSDHLALLAEFSVKGKKGKV IEADFGPSRDRSN TSTA_078670 MNGPSFAGASTQRHASVSSSSTNPQHRGSATNTNSSSLSSSSQH LNNGPDADASTSSLSSSATPPDYIAKPFTRINDRTYFRDPENPYPLPCDLPEIHRQSL RTLTLIRVFGAPFCAPQLKDKRPKKVLELACGSGLWSHACHDYFLEHDAGAAKSPKDS KKTTTSFTGLDIVPIAPDLRRKGINWHFVRHDIRKPSLPFPSEHFDFVFIKDAGLCQS ATAQQMDLLGEPLRVLKRGGILEVWDSDHVFRALLPNPTPPPNLSKKDQNHADATATY TISSATPFAAAQNKYLLDYNSWIEKAFEKRKLTPMPCATIGLSFTTEADAFHNVGSRR VAIPLGAEVRWEQTSGRGKLNAEQLALRHTALTTTIQMIEGMELMLMEASGKSRDEWD RWWAAMTTDFLQKNGLANGECLEVGAWWGQKR TSTA_078680 MTTQELRRRVIQVYKELLYLGREYPLGYDYFRTRLHGAFAVKMN LTDAKEIEEGIKRAEFVKKEVEAL TSTA_078690 MTSKSSILELTFNHIAFPPKLPGKRDGQVEAVEKDILTRLRTAV RTIKAYPNDSTPSIWEDIERSLAICRLVNENGFLNREALEVALQSVKLDDTIVLHVSQ QNAGLLIRPSDDQYIFEAFEASPSAEKTLAAKGAMQWDFPTVAVSLPRQDFENPRFQE NLIYFLEGASLDAVDDFAAKTHKAGVTITEARDTTHPALVTDFLMALLEVNGARSNPP LLRKRVKDDVCWDDAELPWRRSPFWLILRVSVQRILYLTLGEEKGRAHYKFLMCVLMS QLLMDCVQASFSPELCNFLRAKLCRRLAKLESEKLLARSSALQTYSDLFESTLPVCHD AIERTTRFIEKQWNAFKSNSLRKIPLLPRFADESDLYLTLPNSGPYLRSVLKQSHHPT PSDPSIIDAATLDSSSSKTTTEFYSSLLTRYNKLQDFESHFELTTTEIPSSTSECAKK CQTLAKHIHSYMEAVGDAYDGNSEQISIFILNVLELWVYMDQCAVKVHPLLSQYHPFF ERGMLDVLLLTRLHDLRRLQVIQKYIHSRCTQAEWPLDIFADPQVGCFADQIFTLGKP ETISSLNTLCANIEAASQVSRNAKERELQQINAAYKERTENMIKHTCTQRRNPDGSHD IRGCTHCWHVRSRRKLKVEVHEDFLPVEDRNRVNDGVQKRVILFELNTPPAFSAYRTA TWDIIQRLRSPVQETSLSVPEIFLKDYPQLRKFYHYSGNFSLASTTKSFLKTHYNFRA LPTTLSKVLLPFGPRFYYYDSGRGIWASTVQRPLSIAQHFGLKLPKELSFSTLYSSTD FAADSDGPSSYEIVASIPECPSDLTIHEYTAHQSLIAGRNRRWLSILTELGFQF TSTA_078700 MILLHHVSLQAGPWLENENLRAVHVVFKDIWFCRKLLDQIEQHI KAISQSWRENYYMETLLTLTIRVCTLGHISALPRAQSLLVTIRNITLTWIKTLRHETR TTQEHDVAERSARYGFLAALLCRRTFYLGAYDGAPLDQESFKVFVEATLAMQENLVVD VAQFSDVTLNILIRDIKMAYRMRSMIRLSIHQHQLGLQSAIDTVWPNSTPEERKYSPW VSLDAPYDWWVTSTVPATESTKPQVVHYHMLEGHLLIDGRALGKLPAAMRDSKIIKDL FGNQRLVAFPSNVYGMSYVLGIQQNNYEIHLGYRGKDIVVQARNGWDRLEFVPSHVFG NESNFDLPASLVDDCVHWVNLRTGNLEARRKPKIWRSTKGNWNLDLRAYQARKKESQL VDPKSKIFSLISQIFCNFVPAHLLTIFQPARGSLVVEIKRMDLTFMVNRQKLLYCLQL KAEIDPDQDAGTFYGLQSMIVLRERSDSSRRSLLTTMGCLVCRRSGVHLEVLMGNDGE YAKYSIDMILGRLQCPPEPRLLYTKALIHAYTSFILPDPLTGRTGTEEALHCLRSGLS QPWSPLHFIHGQILQRIADLVPQRRYYPKDLRRQQTVTWKPEFTTTIQHDAYQSVVDS LVKKSQRLWLFHTNVGIPEMKTTEHASMFLCERAYWRRSLYERPDSFPDNFPPICDFP YNVRGKWSQPWRAANTREIVSLIRQNPSSLQTTQDLKDIMRTWSIIGGYKNNFTPSSI ASWLGADIAQEWGGLVNICRKVSQDSYSVMFLLGFIAFSDRANMDMLRTLTAFYLLQD LKTLGLPVVSSLSGLDMDQKPSLEEMLSLIRPFCQEYQPPTSHRGKRGRKDHSHEAAQ QLHEESCLKESTSFAQHILDQWPNRRPKAEEFSGEILDKDEALNAIYPYWDKLHDALE FSKHISEVQIILDRHYGRCHLNEPLVIGSDVEVYGSHKRRDSVIPRLAELLENMQRKV LDIKSKAHLVKGSPADNAHHTDQKSSRVDGKQRIDQKQVFRVEIKELERIVTTHFVHS DSYMRSRYGRDLARSINTFRDLKQAEGPTTKANMSLDDEIRGARKTVDVRYDCIYDQL AFQDPRYSWLSRANLWPSLSPVSILEQLRSHSCFRLRRDTKKAIIDYATAIANLQHLR RMEDAVLKGDKKRLKSEQENSGYVNWAPDDCTDWLLLEIDANIHIREDQVTVALEMIS PSSGSNSVLQMNMGQGKTSVIMPMVACVLADRGMLTRLLVPNALLNQTAQILQSRLGG LVGREITHIPFSRQTPTTETHIREYRQLHEEMLHSGGIILAIPEHVLSFKLCGLQRLS DGKISEAKHMVTIQGWMDKICRDILDECDFTLATKTQLVYPSGTLLNVDGHPHRWKVT EVILDLVAHHLGDLAQEYRRSIDVVERGESAFPVAHILRGDVEQALIRKIVDDICASR TAILSLWENTFEEQQAIRKFISQEEIDETTIQIVDKILSDASAIRKNVYLLRGLLVHG ILLLCLKKRWNVQYGLHPLRDPVAVPFHAKGVPSEQAEWGHPDVAILFTCLAFYYQGL SQKQIRQSLQLVLKSDDPATEYDQWTQNSTSLPEVLRHWNIINIDDEGQVAEIWRHLR FATVVVNHFLNHFVFPAHAKQFSIKLQASGWDVPLFSLDGKTTSKGSRQPGITTGFSG TNDNRGLLPLTIEQQDLPQLLHTNAEVLTYLLQKRNREYILATDRNGRRFSEVELLNH LTGMKIRVLIDAGAFILEMDNKALVKEWLEHDWEAQAAVYFGTDNKAWVQYRGGKIAP LLATPFADNLEDCLVYLDEAHTRGTDLLLPANAKGALTLGPNQTKDHTVQAAMRMRQL GTTQSIAYVVPPEVHQSIQDVCNKRPNDRFDSSDVVTWLLHQTCNNIEELQPLYLSQG KDFCNRMQAARTYKDFLISSRHREAFLAVLQQPEQQDLERLYSPKTAHLDENMPSSDS TGLILTGKLVSFQQDLTKRRSASSNAFSSVKSSALEEVEQEREVAFQVEEERELQRPQ RMRALQFSGLHKTIRDFVATGILSGQEGYTMASTALKRTKIGSKYGDYASRLISHLYL SIEFLRTVKTRNKTSLDNFTRPVNWILWSEVSHIAMVIIPEEAEVVIPMLRAAERSPV YLFIYAAPVTRKMLHFDTLSYYAIPNLPFLWKPPIWLSFELGILAGRLYFNFPEYEGL LEKFNAIEQYDSDSRVASSSDVQGNSKNILAFLNEWLAIRRQGQDITHTPMGYVCQGR KLRSDHPFFLQRSTKQVELPNGFTASYQKGCDEEDEDYYSDLDDVEGSIGGNEKEEDV KKELEKDSIKEEPDAVMANAMSISMDTSSEKSAVDRYKVVV TSTA_078710 MTMSPTHGFQRSQFSHLLTLGSAQRNIRLFSAASYRFSSSYLSS PQTPPTQESTAVKKAKPHRIDPRWLTDTKRRIGKCLMFGLKPAQVDEAGRILQRLARD WRELIAGTDGFLTHTTRRALFRRDVVWGDMDSMVRSRPGRLFSTRDLICARADHAYLG FRPGHINNVAYVRYAETARVNLMHNYATHIDPAHQQEWLNTVGNKGIGLILQSIKIDY KFPMTWPDKITVYHRLTQNPSDTLTKSYFQQEALILSECKQRPAARVIEQNFLYDYTQ LRKTDTAPEFMLQQFQEAWALQEESKKLWQQQVADIENEVRRLELESWNNPNAVEDMG SAG TSTA_078720 MPRSAAAARRGHHNNTNGSVNGNSRNENGHAVNGKRLNKQKSSS HLNGNASGSSTLHSAPHLDLRSSSDSSVITTSKADAAAVDGLKGDSADHVYTNGSDHK ANGDMACGQSSGIVSADCGSSSSKPASASKRHNSSSVNPFLLASTILRSCPLYDTIAI LIFLLQLPPIVLTLVQFLFASLTFMPPGGGSGSSFTSNFDIFQGPAGTPSFGTMIAMD GFCLLLWGLFMWTWAQNFALDLAHVQVAITLGGGGSGKNGGVNALCVGTVLLLHIVRS KGIQDFVIGHLTSAQIISPDILSRWSHLIPPEFRRTEPQTSPSWLRSLLAIHILAQAG TAMARRSMARNRSPQPSRSGKRIDAEASAGSQAQIDSAFESTASMTSYGVDGSISGPS ALKESRERLQSAKKRRRQANQVRSRQPFWAALASTKVTVMREYEHSRAVSKTTRGLPM TEDDLQGITLDDGLVWITSVDSSTIKFAAGDFAAAEDNSSSTVCENGKLESNAVEPFY VCVNGALWATTNMCKVTDGPKGSSAVQWRGEISGLAPNCPYTCSFIRNDNDEEICSLS VKTPPAPDTDQSVASMMPTPPRPFHRPSSPTSTLRNSIANAESKLNEKRSRLKKAKAD HKILLSRIKKELDNFNHRLSSGNDENRQKQRSLQLERNIRQTEEATAALESQLDSLDK IPEEELEEWQKQKAEFDREMERLKSAKEELLNTRTSVARDLASLESDLASAVQKRDRL QSRKARLNEQYERIISANAQGLNERERRAAEQFAREQEQAKIEANFNEQLASISRAVQ DYQMRTNQLWQQASGIEQAIQQQQQQMLFETGPLTPEGVLPGTRHLHEEEEAEGVELD KGPSINNLTITPANSRSILGASFSALRASPQTTSSFLASSTSQTVSPLQATSLQPGYQ ASPRASVNNYFGGRDFSYRDRSSSNRSARSSLYADLDFDLNRYLPEPADRLSSVSDGK GRTSPTYGPIGSPFTRAGSRGSGGSSGNSGSGSGSGSPRSTRAEGL TSTA_078730 MYVDGVDVEEVGEDFSMVLDKVVDGPVPDVARRKEVLSDMARQL IQILIVLSRHLRASGFAGNMKLLISPQLSVRATQRRGLYEFSNSQSTCQCRPFSSTTT KLSKHHHKPSDPETESSLSHKIRLLMRRIPHPVAIVTAYSSSSTSTTRPSPRGMTVSS FNTVTLHPKPIITFNVRQPSETLAALQSSGRFLVHLLAPTTTMARLARNFSRGNVNVE LDRFEFIEFDSLKQDSISSSGDNKTSERILPRLIRRRNNDEAKHDTKEEEDFTFILEC EYLPDKSVQVYDHMIVLGNVQRILSPGTTGDTALQQGPEASRHTKEDFCLMYADTRFW EMGEEA TSTA_078740 MSLNTSFRRATSGTGPSTTLSRTILKSSPTSSTSTPSTYICNQC RHASLLRRPKRPYTFTQLVALSDGSTFIHRTTSPTPVYRSTRDTRNSLLWNPTSKKLL TVEDDEAGRLAAFRAKFGRSFDLASTAAEEVAAAVGPTAAQKQTSQKSDLEQKQEAEK MDAAAEKALAQEEADLQEEEDNLLDLISSFGQQQDNTGKKK TSTA_078750 MTTEDQEEPFEYFAKVTLGIVCFIWFLATLFCTPVGRWLRCQNP RARRPFFYNPGGCERPENDEWQTIDNRRPIEADPASEVWPVGGEDDSLSLSTAINYRG DSNGEHGKDGVKKSGRCSGRGTQFDRMKH TSTA_078760 MDLDGGESPWGDEPSTSTRQTESSTTATTTAQPTTQESLAAPRS PARRGPRTSRKVSAQVTKLEAVDDTSDPLGPLGESTITEEAPTPPLKETGGARVAQPA YSSAQSSAGASVDRSDEQQRTVNPPPVQFPGTEAAPKRQTQPSISVEQAAKPTFNITV GDPHKVGDLTSSHIVYQIRTKTTSKAYRRPEFEVSRRYRDFLWIYNQLHNNNPGVVVP PPPEKQAVGRFDTNFVESRRAALERMINKIAAHPILQHDADLKIFLESETFGIDVKNK ENREPDLGQSKGMFSSLGLSVGGGGKFIEHDDWFHDRKIYLDALENQLKALMKAIDTV VAQRKGLAEAAGDFSASLHALAAVELSPVLSGPLEGLSELQLRIRELYERQAQQDVLT LGITIDEYIRLIGSIKTAFSQRQKSFHSWHAAEAELQKRRHTQEKLLRQGKSQQDRIN QSNADVADAERKVHQSRLLFEDMGRLMRNELERFEKEKVEDFKSGVETFLEGAVEAQK ELIELWESFLLQLDAGEEGNPLYPPQPENHGTEEAAAVESNNEPAQSNPDEERAAAVA AAVAAEETH TSTA_078770 MPEATNIQVVIASGLDNEENNDHLVQSSDPQHPANLIPEMCRKF YTWGWVTGTGGGTSIRHGDHIFIAPSGVQKELIQPENIFVMQFPTPKYPPSERKYIRK PKNLKPSDCTPLFLTAFERGAMCCIHTHSQWAVLVTLLVERIYGKEAHFEISNIEQIK GIPKGKGKGMHNYHDTLRIPIIDNTPFEEDLTEGLERAIAANPDTYAVLVRRHGIYVW GDTPAKAKTQCESLDWLFQLAVEMHKLGLPWDINKTK TSTA_078780 MRFNAALTSALVSSAGLMGHVLADEVEVPAPDATSSSIERPTFT PTSLKAPFLEQFTDDWEKRWTPSHAKKEDSTSDEDWAYVGEWSVEEPTVFKGIEGDKG LVLKNPAAHHAISAKFPKKIDNKGKTLVVQYEVKPQNSLVCGGAYLKLLQENKKLHLD EFSNASPYVIMFGPDKCGSTNKVHFIFKHKNPKTGEYEEKHMTNPPVPRTDKTTSVYT LIVKPDQSFEILINGDNVKNGTLLDDFSPAVNPPKEIDDPKDKKPSDWVDEVKIPDPE ATKPEDWDEDAPYEIVDEEATKPDDWLENEPLSIPDPEAEKPEDWDDEEDGDWIPPTV PNPACADVSGCGPWTTPMKKNPAYKGKWSAPLIDNPAYKGPWAPRKIANPDYFEDKTP SNFEPMGAIGFELWTMQNDILFDNIYIGHSVEDAAAFREETYAVKKPVEVAEEEASKP KPEKKIDTGLTFKEDPVAFVRQKVDLFVSLVKQDPLDAVKTVPEVAGGLGAILLATIL FIFGAISSSSPAPTKVAEKGKDAATATKEKAAEAVSSAADTAKGATKRTTRSSAE TSTA_078790 MAARRSLARGIPALRTVSPRTGFSTKSVATGTWASPKLTASTQS QLSGQTSVSHTSRRHLHVTARRSAQYGTTSAASTTTEYPTSHEQITNPIDTTNFIDNE FVTSKATEWIDLHDPATNNLVTRVPQSTDEELKAAVASAEKAFPAWRATSIIARQEIM FKFVSLIRRDWDRLAASITLEQGKTFADAKGDVLRGLQVAETACGITSQITGDVLEVA KDMETRSYREPLGVVAAICPFNFPAMIPLWCIPVATITGNTIVIKPSERDPGAAMILA ELAREAGFPPGVINIVHGAHKTVNFILDEPAIKAISFVGGNRAGEYIYTRGSANGKRV QANLGAKNHATVLPDCNKNHALNAIAGAAFGAAGQRCMALSTLVMVGETKEWLPELAE RAKQLQVNGGFEPGADLGPVISPQSKKRIEDLIASAEKEGATILLDGRGYKPEKYPNG NWIAPTIITNVTPDMTCYKEEIFGPVLVCLNVDSLDDAIALTNKNEYGNGAAIFTTSG SSASRFQKNIEAGQLGINVPIPVPLPMFSFTGNKKSVAGGGASTFYGKPGMQFYTQQK TVTSLWRSEDATHTRASVVMPTHK TSTA_078800 MAAPSDITLQNLNGKYTMNKTLSSDTDAILSLQGIGWVTRRVIG LATITLHIKEYVEPNNDDPANAPATKIDIGQFLTGGIEASPEYRITDWRAREHDDRIF GKIVGQSRLIRGSKGADGKVRPDFGLETTPREDKIAKFLRGEITIDGEEDPNGFLVDD VKDKDGLVYGDGEGLWLHNWVRSLNSGWTAEQIWGFETISGQRYYTRRVVVADKNGKY LLGRLVYDYLGPLE TSTA_078810 MSLNKKYANLPDLDLAPDVYETPDLTDGASTVPTATIRTNSDSD DESNPDIDRNNLNTDEARLHFLRASGVSAREVDFSDTIATKRKAYKSRSGHRRRRSVG GTEEIGDISDSDADGQESFERKLARLRRETEELKAELQKRDQAGQKDGVEDEETEEED DDYDGINELSKALDSIHSSSTRADKTSDAILSRKLAAAVNISSKDTNTTTTEKKASPP TLTPTPSSSGLLEHAASFDTRLTLMEAALGISSGSNPFFAAKDTQPQLQPVLPALEHL TSQITALTGTLSRPTASSTAAPATTTAQLESLSSRIKRLTADAENLANARRRASEVAA RAQPDSPSGDAFASASPSSGATEVNNADPIARTQRDEQASKIQALYATIPTIQSLHPL LPSVLERLRSLRAIHAGAAQASETLDALEKDQAEMSKEIEQWREGLRVVEEKVRESEV SMRKNIEYVGPWVDDLKKRMDRLEKQF TSTA_078820 MVHSKVVIIGSGPAAHTAAIYLSRAELKPVMYEGMLAGGTAAGG QLTTTTDIENFPGFPDGIGGSELMENMRKQSTRFGTEIITETITRVDFSKRPFRLWKE WSDGPDEEPAHTADAVIIATGANARRLDLPGEEKYWQNGISACAVCDGAVPIFRNKPL FVIGGGDSAAEEAMFLTKYGSHVTVLVRKDKLRASKAMAKRLLANPKVTVRFNTVATQ VLGEEIPRGLMTHLKVKNVVTGEEETLDANGLFYAVGHDPATTLVKGQIELDSEGYIV TQPGTSYTSVPGVFAAGDVQDKRYRQAITSAGSGCIAALEAEKFLAEEEAGEDESPAV KTEVGHSRIEPAVAEPDAQSAQKKKGNEPATAEYKQNPLL TSTA_078830 MSFRVFSCAVCGRPFYEYDDPNPKTWLKNFRILFSGPNGVSVSG VGQHNELFLGYFSAHWEYTTRWDNPNTGALDGPIGVLTQSPISNRHGFLFHEACWSLL EKIYQPKPIPWSRLYDVCKSMPLSKRGAVNISWGHDYGGLLLSDNESFYPWQRRVFNM SVDRKLRLSASMDPMCGSNIEQLLHEQPQGIPFMDKDHPTAQVKRSVDVFSRLPPEIR DIIAYSLSVRDAANLRLASRSFFSVFANQQFWASRFSPDSDRSWLFELWNKRGYKAWR WLYRRTDDACLSPALRNRKRVWKLLEHLRDIVDLQWKENSQASISHVDIPGLKYRKIT ADVRLETPSDTRHYFDEGCRLLRQQNVTIPPQLSQVAVSTVQVGDIDYVSGMRFVSSE GTVTEFGYWSGKKIYSTDVTSLEGFNVATGTRGIQAIQILDEKEHTSQWLGSRDECPK TRYLVVSKPIRTIEAGFDACKMISLAVEYEDLGPIDRSIEQTKSLRDVGYWYPEVPGR DLYLNEYSNVVDTCYPDEHRPLCWISFGGPGGIYLKYVKGMTITFRGDILRCVEFIYE GIDIPIRNRRVGRRALRGYDKVFYFPIDGPGGEIIDAVEVMLANVDENEVHDSFRNPV LKAFRVLTNRGRRHLQPPLSFVYDELKVIKIAPGTTITGFYVGQHPSLGLTSLGVISE VVKR TSTA_078840 MATTNSHTSPVPFNSASNAPPQRSGSGLGRPTSGRSRRLSIGSA EELAAQNYGNIPPAAPAAPEIPRGPPVSFRNGATPDRPHIPRSFSARSRNQTPESVHA SETVGYDDGGNGAPYEQLQYQPPGRRASQPTYANPSPIMPNSPSQASPINRMQDSRGP SEALSRSGSHASPVSRSSTVRSTGDKLGQPTGRSPLQQLETRLVGMSKEEKRARVIEA ERRLREKMARRESQRESQRASLAVQDDPLKSKANTAESQQNLSRSDVSRDTPDFQPQR RQPSVSRKPVAPEQVQYAAMHAAQEPQDALAQDNLRIPGPPLQPPQRQPSGSHRVPPV NGRSVPPAQYRNRNVQSPEAYDSSQTAAGIQRAGSIKMQRPTGPNYDSQRPMTSGGRQ PVPNPQDNRPMTSYNEQSFLDSDTGVRPTHFAGEALNQAVSGQQHMPEEARHASPALK KSKRNTVSFDVPPPTPPPLDEWRNAPVARLRLIDRDFERLDVDKGKAWWEKGASSKRR QSRALPNNYRKPTPRVNERNRFNPLLFLKCGPLLRYLGIRKSTVDNPQGPTEQEVWRG SVMIVTTDSLSSLETPPTLRLFAQPMDLLPPPPRQIDDEEGHLAPEYVDPVAGQVKVG RDGRALFVKPVDHLEEGVDLSAVESEDGLFESSPSPIDYGIDNKRAGTASIPSNRLHP INGENSGLYEEIQGFRLYSDPARDVTFWRFNIEVELSEKQERIAYRINEGPAIGFWVP AKGQSMNIMFHSCNGFSLSVDPNKFSGPDPLWRDVLNEHQTRPFHVMIGGGDQIYCDK VMQESELFEEWTRIKNPHHKNNAPFSPAFKAELENFYLNHYAACFSRGLFSLANCQIP MINIWDDHDIIDGFGSYPDHLMRSPVFSGLGNIAFKYYMLFQHQSVPEETEADEPSWV LGADIGPYIKERSRSVFMSLGKGVSFLGIDCRTERMREEVVSEHTYDLIWDRCYREIE RGQVKHLIVLLGVPIAYPRLVWLENLLSSRAMDPVKALTRAGLFSGLVNKFDGGVEIL DDVDDHWTAKHHKTERTLLIEDLQDLAAEKSVRVTILGGDVHLAAIGQFYSNPTLGIT KDKDYRYMPNVISSAIVNAPPPEMLADFLNKRNKIHHMDSNTDEDMIPIFTHDVDGKS RNNKRLLPRRNWCSIREYQPGMTPPPTPPTPIDSPDVEAENPRPGLLKRTLSLGRGES QARPKTGLIRRLSGRGPPPTKDFNLDNAQRRRFSTDATATRRPPENGDNYFTANTDEF RPGPFHRRATDLSTKASKKATNNSAPADADDNTLINLEGGLDITLNLEVNPQDPAGIT TPYKLLVPALWFESGGFDPEPYPIRKGWKKWLGRGKPKRNQQQQRHDDEYDEQEYQEH AYGPQQVRGGANGSYDYEDDDDEYEEEYHQSAGGHGAPPQSHPYGHAPMQYDGAYSDE EPDAPPKRKKWLGLV TSTA_078850 MRLSNSLPLLSLLFAPSLADLLPRTYDTHDFFALHLDDSVTPEY VAAALGARHEGQIGSLPGHHKFSLPQDQSSRVESLLEDLRVDRKLKKRSSSYGKRGDG LDGVLWSQKLALKDRLHKRVPIELVSKDEPAGPQPEAVDRQKRIASDLAIKDPLFQKQ WHLFNTVQLGHDLNVTGVWLEGITGEGVVTAIVDDGLDMYSNDLKDNYFPEGSWDFNE NAEEPKPLLFEDKHGTRCAGEVAAVRNGVCGVGMAYDSKVAGIRILSKPIDDADEAAA INYGFQKNDIYSCSWGPRDDGQTMEAPGILVRRAMVNGIVQGRDGKGSIFVFAAGNGG MSGDNCNFDGYTNSIYSITVGALDRRGGHPSYSEACSAQLVVAYSSGGGDHIHTTDVG TDTCTNAHGGTSAAGPLAAGAIALGLSVRPDLTWRDVQYILLETAVPVHADDDEVQMT PIGKEFSHQYGYGKVDTYSFVQKAKNWELVKPQAWYTSPWLRVEKDVPQGNQGLASYF DVNSDMLKDANLERVEHVTITMNVNHTRRGDISVELRGPQGIVSHLSVPRKNDEAQVG YVDWTFMSVAHWGESGEGVWSVVVKDTVVNDNTGVFIDWRMTLWGVSIDPEIQQPHPL PDEHDDDHDIEDAVYATVSIKPHPVTTAAPTATDHAERPTKPTNTEAKPTATPEVPTP VDEELSEEPTDSDTAEPTASTSPGFLPSFLPTFGATPHTQVWIYASIALILVFCLALG IYFYVQRRNRLRNNPHDDYEFEIIDDEDDAAAPLAGRRGRKRRGGELYNAFAEESDEE LLSGNDDDEEGPFRDHLKEKDGEHH TSTA_078860 MEAHDDDFDSVSWRNDPSSDVSRPTTAGTDAEESGRPHRDINGK RRMSVAQEESQAGPLADAVDLAGIGDGVLECSVDSPLKENDGTKDAYISYLVTTHTDF KTFQKSDFAVRRRFRDFFFLYKTLYREYPACAVPPLPDKHKVESYVTGDRFGPDFTQR RAWSLHRFIKRLTLHPVLRRAPLLAIFLESPDWNAHMRLHGGRTSTSTADSSGGIFDN FADTFVNAFTKVHKPDRRFIEVREKADKLDEDLNHVEKIVARVARREADLESDYTDLA TQFRKLVPLEPEVEMPLQVFAASVDETSRGFKALKDHTDQNYLGSLRDMEAYILSLKS LLKTREQKQLDFEALVEYRNKAVSDRDSLVNNPSSAYASNPLTSSPASFIRSKMEDMR GVDHEQARRERIRKLELRIDELTREVESAKTTSEMFDEEVVREVADFERIKAVEFRDS LGALAENHIEFYQGVINTWERFISEMDGEYGDDDTFRGRSEVVS TSTA_078870 MQGLNTATVADISPLFGGAREDRVPRLLGLLKDPHQPVGKPGTF SHTIKILNMDKAIVHPNFAKPPDKDTSFPSPTTTQTLNNKSSSSSTIQYDHETYLELL AGQSNLAEKTASQIYEEERARELSLRAQDPAVLSGPLDLEADVYRYEVGDGSKK TSTA_078880 MTSLTYNDYTIAWICALPLEMAAARVMLDKAHSPLPKPFTDPNA YELGELNGHYIAIACLPAGVYGTVSAAVKQWQGYAALTAAAYAKLLLLAIPISCPDVN LMKSKKVRHWVVPLARNPKFVGRQDEIMKLEGLIILQNGPRRITITGLGGVGKTQVAL ELAYRIRDRDQECSIFWIPCTSHAMIEQTFLHIAQKLGLHDVNPAEVKEQVKIYLNSE RAGKWLLVFDNADDAEMWFTGSNAAPPLEDFLPESEQGRILFTTRNRKLAMKLAPFNR LAHEDLPRDNTTTAALLERLVFLPLAIVQASAYMIQNGITLSTYLSLLQEQEQDAVDL LSEELRDPGRYNDIQNPVITTWLISFQQIQHQDPLAVDYLSFLACIDPRNVPQSLLPQ PTSRKQKVDALGLLNAYSFTNGQERHIDMHRLVHIATRNWLRKNALFSHWIQRVAKHM QNLFLDDHHTNRGLWREYLPHALTLVHENEFAMKEGDYLDLIEKIANCLSSDGRYQEA EVLYKKLMRINEEKAGPQNPSTLSSMANLASTYWNQGRWNEAEKLDVQVIKRSKIVLG AEHPSTLSSMANLASTYRDQGRWNEAEKLGVQVMETRKTVLGAEHPSTLTSINNLAHT WKSHGKLQDALTLMKQCSDLRNRVLGPSHPRSMSSSRALNDWMDEYNVLIDQTPLAKE KCPQPRREVSAESSATVVTTLLTCEEHVNLPYPLRRSAATLFLKSHPLIIAARRPSPV PEGQSSQDVD TSTA_078890 MAESKNTSTLPEAESPTEEISQPVNAADAVTPVPTENHTGSTDS SDNPPAYTRDKQVPTTNPYPGYTPITMTVVPIPLHQLDDFPAHVVCPWCHTLTLTNVR RTNSSGTIITSVLCCLFCNIVCLCVPALMGWFQNIEQICGNPNCRKRIAYRPYGGEMQ VETVIRTPDQSQTKMT TSTA_078900 MRLLSQHLVRSYICPSCRASIQKSTRRVFSTTPIARASPDIYDV VCVGGGPAGLALAAALLSSPVTSNLRIALIESQDLNKSRIWNLPPGEFSNRCSSLTPT SVSFLQKIGAWKHVDASRVQPYQEMQVWDGQTGSKISFDWSVDTSPFEDIRSIATMTE NANLVRGLLLRIQELEDGNSNGNGSLSVFSNTMVSSIENGSKSTDGPNLSAWPIVSLA PSPSSSSSVSGPITARLLVGADGINSPVRSFADITTSGWDYDRHGIVATLSLSEDSPS PLNIRTAYQRFLPSLGGPIALLPLPGNKATLVWSTKIENAAYLKSLPEEAFIAMINAA FRLSMTDLNYMLAIDPKETNQHQDELTWRLNHTPQSTYLPPLVTSIQRGTTASFPLRF RHASSYISPRVALIGDAAHVIHPLAGQGLNLGIGDVASLSNTIEYAVNHGMDIGDHLA LEPYMTARYAANTKIGGMCDLLHKVYNVPGNGPVAVARSLGLNLVDRVPWLKGFVMKQ AD TSTA_078910 MQATPVVCFARRASPARLVARFRRGKAVVGLPLTNFGSCKNNII INNPSYRFLSYLKSPDSDDMGKDKDNKVTFNLKTPKGTRDWAGADTLIRDRIFSTITN VFRRHGGTALDTPVFELREILAGKYGEDSKLIYDLQDQGGELCSLRYDLTVPFARWLA MNPEIRSIKRYHIAKVYRRDQPAVAKGRMREFYQCDFDIAGANFDPMVPDAEVLKVIN EVFEDLGWQGKFTIKINHRKVLDGMFEVCGVPQDKIRPISSAVDKLDKLPWADVRKEM VEDKGLDAAAADKIEKYVSRKGRKDLLEELLKDEDLMANAAAKQGIEEMGLLMDYLEA FGVLDTISFDLSLARGLDYYTGVIYEVVTEGSAPVTATSAPEAKNLQKSGKKTKSATG DDDDRSNDPTVGVGSIAAGGRYDNLVGMFYPKAQIPCVGISFGVDRIFSITKARMEKE KAAEMRSSEVDVYVMAFGGKGFTGMLKERMEICKTLWAAGVKAEFSYKLKPKLPQQFK AAETSGIPFGVILGEDELAAGQVKIKEMGLPEGHPEKEGVSVDIKNLVEEIKKRISNK NKGIQASATVLPESTTAAVADLTVSSDAASVPKTEDAGV TSTA_078930 MVFPRNTLLVPKEEGDKLLGKTVDVVVGHEHETFSIHEKLIRAS SLFFDKAMSGAWQESAQNTIELPDDEPEIFGLYHHWLYYGTLPVFCDDVNVEYVNLID AYTLGDKLLDTRFQDTAIDAIIERSISKGSDGKMWYPGQGVIEHAYNNTNESALVRTL LVDMYVSAGHGAWLRDCGTTDFPQSFLFELAAKSMDQRGGSRLRIDPSKYHIHGSQDG KKGSHVKCS TSTA_078950 MGSFFKNLRSNNGPLSPTSNISSPKKDNHVLPITPLEKMLQDSG PVRADGSDKFFGMENYGNTCYCNSILQCLYYSVPFRESVINYPKRTPIESLEMALARN LRYQSPEAFFEAEAAAAKAKHNAGQSSSRNAGVTPTQPPKPEDKDSPEYKKKVAIQTL PLLETKDNSASYGMSESLFTSLKDLFENVVASQSKLGVVRPQHFLEVLRRENEMFRTA MHQDAHEFLNLLLNEVVTNVEAESAKQIENPPVETTDSEQTVAVTDSSTNSTGTQVNG STGSKTPNTTRWVHELFEGTLTSETQCLTCEKVSQRDEVFLDLSVDLEQHSSVTSCLR KFSAEEMLCERNKFHCDNCGGLQEAEKRMKIKRLPKILALHLKRFKYTEDLQRLQKLF HRVVYPYHLRLFNTTDDAEDPDRLYELYAVVVHIGGGPYHGHYVSIIKTEDRGWLLFD DEMVEPVDKSYVRNFFGDRPGLACAYVLFYQETTLEAVQREQEQEGYSAAGAADLREG NLSSPLNHIHTYTGPSEDEAHHFAPLRTVPTAPQMSTHPEHAFSTSPPAPPVPQIPVD TGVSKKSEGQSRKERVKEEKGRKAAEKEKEKADKQKRKEQEAKRREDLKREDADMKAA LELSKASKAEEDRKTSSDNGENGQHKMLTGSLNRFRRSSKSLSHRFASPKDGSKRAAS SEFSRSDIVSDNGHPPDMKLHEHVIEEDVPTESNNHSTIPQTVTQIHELVPKSKADKP DKDKGNKWKGFGIRKKSMSLLG TSTA_078960 MNDEFLGTADIQEVTQIKHLLVEKQTELLSIEWREGIATLEIED SDILSDDSLDQIFMDYDKNDSEDSIEMVISEQGTVYSINEESLPLRRVEVKDFSYGLI GLWLLLICFSIAKTLEKTGQSNF TSTA_078970 MSKPTIVIVPGSFTSASAYETLASILTKQYSYEAIVAPLQSTAR APPENPATMQEDAAYFRGIIEALSSQGKDVVVVGHSYGGIVATEAVKQVTKPERQERG KSADGVVGVVYVAALVAQIGEPAIKVMGGQLGAVIKIMGDYMYFDTEAGAPYLYAGLP STEEALEAGRKYTTIHSTASFLDRATNAAVDHVPVTYIHTEKDTIVPLERQNEIVENL KSRNAKKVSTITINEGHMPQTLAPDVLAKAIVEAITQSLGE TSTA_078980 MLFFGFGRLIYVTVLLINAVAVLSEDRFLARIGWGQAQPEPGFG SSYDNSSIKARTVNLITSVRTVMRIPLIVINTVIIVYELILG TSTA_078990 MPRSADLILYSSIPTIILIHLFASPYTKVEESFHIQATHDILTY GIPSPFHLNQTSIAEKFRSEYDHFSFPGAVPRTFIGALGLASASWPVVWFFENVDRQV LVRAILGLFNALSLIVYARGIQVSFGNMTAYWYLVFQASQFHLAYYASRTLSNMFAFG ITTIALRLLLPEPDTSGAKSTKSSESSKSTKPTKVTKPTTSPSYTRYRLALILFTIAG IIFRSELALLLATNTIYFFLTRRIRIWQDILPAGIIGLLIGLTATVTTDSYFWQEFPL WPEFSAFKFNVVSGQSSAWGVDPWYFYFASAIPRLLLNPLTWLVCIPVSLIAGTTRRA SLSLLVPSLSFVAVYSFQPHKEWRFIIYIIPALTAAAAQGAADIWAKRGKSIIYRLLS LSLVLSTLGSFLFSTFVLLPISSANYPGAQAIQRVHSHGQGTQPRIALYMGNLACQTG VTRFLQHSQNLTSANTNEEDVLDTEWVYDKTEDPVLKYTPEFWDNIDYALMEDHEFEE LKASSLDPESWKIIDTITGFGGFRLIKTNQKLADIRQNDDSSSIEINAIRRIAGDGGV DYYKKLRDEIAQRLTRGYWVEMSLIPKIRVVKYTRAL TSTA_079000 MSLQMFSNIAIAIIGILTVIAYLLLTVGSHDPRLPHSSPTLPII GNLHQLPKRRLTSSNTLCPQSISNCLTKVQICRIRQKMHGGLYTVKVGHHIIAVITNR RVVRELLELKGLVSSNRPSSYISQELIGQGNHLLTLQYDPKWRKYCQLIHQCFMESMC DQHYVHLQHAEVVQMLRNLIVAPNVYFSHARRSLIISVYGVRVPSIRSTYLEHLYELL YEITALLEFGAIPPVYFYPFLKRIPERLLGNGDPTHTTLKKNYGVSPPGTSMSLTDEP NSVKRAALPDSSFMDRILNTVDELNFSDHQLTFLGGGLV TSTA_079010 MLAMTKYPETQKKGQAEIDAIIDEGHSPTWADYGRLPYVAAITK EFVRWRPVAPLGMPHSLDEDEWIDGKLIAKGTALILNIWGLNHDERYHKNADEFDLSR YVNKTLPALDYRNFAYYDSRDHYRYGAGCRLCTDVHIAE TSTA_079020 MLLWAFNFENPIDLITGQRMEPDTNPDTGYIEGLAECLESFRVR YETIMREFAKAKVDAFSKYDENVEI TSTA_079030 MASGQGANSSGMSAGTKSITFKHYTFTPAPQSSLPPYKRRRITE PAQPAYPYIKGADRETSTDGVNGSYKLDCLKQPTHVGIPNNNILGNTASFSSQSASER SYRAEQDSDLTGHNTPNTSVSNTSPWPQIRSMSPAATATNLAEKPKQVHEPEVEAPNY EEFKPRSSIPSTLPGPVYAQQCITAAYASRLNPFALHKNEQNAFQHHLCHLHVTTYLN IRNGILRLWTRNPMISVTREEALGCAKDYRWMGLADFAYEWLVRNGYINFGCVEVPQP LITPKKGRRKDDGPVIVIVGAGVAGLACARQLDGLYQQYRDKVASLKIIVLEGRRRIG GRIYSHPLKSHQKTALPKGLRPTAEMGAQIIVGFDRGNPLDPIIRSQLALRYHLLRDI STIYDVDGSAVDEMQDAMDERLYNDVLDRSGNYRHKAAIQSTAEGDREMINHGRDIPI DDGVTVHQYEEARAAGTHHLMLPAARFRRGIGHNASRILPPPSTAQISDLGPDEELPA AMECQSMGWKLRDGISPRDDLQLDNIAKTSPTQTLGAVMDEGVRQYQHMLPLTPKDMR LLNWHYANLEYANATNLNSLSLSGWDQDMGNEFEGEHSQVIGGYQQLPRGLWAFPTKL DVRTNETVVNITYDATGKIKNRKTIVHTENGPISADHVVYTGSLGTLKHRTVEFSPTL PDWKNGAVDRLGFGVLNKVVLVFDEPFWDTTRDMFGLLREAEVPGSMSQAHYTKNRGR FYLFWNCIRTSGIPVLIALMAGDAAHQAEEMPDKEIVTEVLSELRNIFKSKTVPDPLE TIVTRWKSDKFTRGTYSYVAADALPGDYDLMAKAVGNLHFAGEATCATHPATVHGAYL SGLRAAAEIMEEIIGPIAIPTPLVPRRRRAIPILHLGAPSMTKTVNKPSPPPPTTFAN STTSAEQQKHYLYKIALENHIRATLGAPPTKPAKIALNPFLTFQKDYWIRAKQRCETN KRQSTNDFEAKAARDEIRAVLGQMWREAEEEVKRPYQEQMVVNRRMNDEMAKTWEESM KEYERRSLEVGKEFTFEKWASMNP TSTA_079040 MLYAGAINTVRSSSTRRLRLLILVFLLLCGFYWFTTESSSGQAS FRKGTIKFAGSSGTRNFASPNWRFGGNSNGRSRQMEAPMQAAVPAPGPTATKGGIKKD LVVASMLEDNTTWLYDSLPDWHKSIYVVDDKYADLTVATNKGRESMVYLTYIIDNYDH LPDYMLFIHSQRYQWHNDDPYYDGVPMIKRFQLPYLEMIGYVNLRCAWVLGCPEEIHP MTDTDRDAVHAGPYFYNGFKELFPGVKVPDTVAVSCCAQFGVARWKIRERPKSDYERY RKWLLNTDLDDDMSGRIMEYSWHTTGKSTVSSLLSSPPHNLPIIDADVLARKVVEPGT AGYKAIANYFGPSTPDLLLPPDTDGKQALNRPALGRRVFGDSEERKRDRTILNKIVHP AVRWEVYKSLLYYYLRGNWAVVLDVPLLFESGMDVICGTVIVVAVKDPAAQMARLRAR DPHLTAEDAENRVKSQGDVQSKVKKALYRNRASEQDLDKGSRGVIVWNDGDKVDLAKE VDKAILTIQENSPRWWSWVLLLAPPVGVAAAVWNMAINFGAQRSWDQKVKKERAKL TSTA_079050 MMMLLKLITAVAAFTATASAGLIQRGDAAADAINTTTLATLNLY EQYSAAAYCTRNTIASPGTLITCAAGNCPLVETNGATIAYSFKDIGVGDVSGFLAVDP TNSLLVLAFAGIRDIAGWITKLNDRLVPSTTVCGTTANCTVHQGFLNSWSSVSGIIVP QIINASATTGFTTLVLTGHGFGGALAALATAQFRTTPIGNIAITTLLTYGSPRVGNTA FATYLTTTNATTFNFRVTHTDDPVPKFPSRALGYLQWGPEYWIRSPTGAPVRTFDVDQ INGTETSTGNSGTPFSLDFPAHFWYFNAIAACK TSTA_079060 MSTVTVTAMQSSKPPIIPKSFDANQPQTIRLYPLSNYTFGTKEN QPEEDPSVLARLKRLEEHYEKHGMRRTCEGVLVCHEHNHPHVLMLQIANAFFKLPGDY LHHDDDEITGFKTRLNERLAPVGSQFTGEGVNEDWEVGDTLAQWWRPNFETFMYPFLP GHVTRPKECKKLYLIQLPKKKVLSVPKNMKLLAVPLFELYDNTARYGPQLSAIPHLLS RYNFEFVDENDNVVAVTPGTPHPGIDEFKTKVLAGSAGEGEDTGMEDASEMKQENDQI S TSTA_079070 MSGVTLITDRLEQPELDDRLYRVIKLGNQLEALLVHDPDTDKAS ASANVNVGNFSDDDAMPGMAHAVEHLLFMGTEKYPIENAYNQYLAAHSGSSNAYTGAI ETNYFFEIAATGESDASQSTNGTSDKSAASSSTSLSTDSTTSPLYGALDRFAQFFIAP LFLESTLDRELRAVDSENKKNLQSDVWRLMQLNKSLSNPEHPYHKFSTGNLQTLRDDP QSRGVEVRSKFIEFHKMHYSANRMKLVVLGRESLDQLESWVVELFSKVENKNLPQNRW DDVQPLSADQLCTQVFAKPVMDSRSLDIYFPFLDEEELYETLPSRYISHLIGHEGPGS ILSYIKGQGWANGLSAGAMSVGPGSAFFTISVRLTEDGLAHYKEIVKIIFQYIAMIKE RAPEKWIYDEMQNLAEVEFRFKQKSPASRFTSRLSSVMQKPLPREWLLSGNNLLRKFD ADLITKALSYLRSDNFRLMIVSQHFPGDWNAREKWYGTEYKEEKIPQDFLKEIANALA SSPSERVQDLHMPHKNEFIPTRLSVEKKEVAQPANTPKLIRLDDHVRVWYKKDDRFWV PKATVHITLRNSLVWATPANHVKAKLYCELVRDDLVEYSYDAELAGLDYNLSASIFGL DVSVGGYNDKMPVLLEKVMTTMRDLVVLPERFKVIKERLARAYRNAEYQQPYYQVGDM TRYLTAEKTWINEQYAAELEHIDFTDVAAFYPQLLQQNHIEVLAHGNLYKEDALKMTD IVESVVRSRTLPQSQWHVRRNIIFPPGSNYIYERQLRDPQNVNNCIEYYLFVGKITDE VLRAKLLLFAQMTEEPAFDQLRSKEQLGYVVWSGARYSATTIGYRVIIQSERTAHYLE GRIDNFLVQFAKTLDEMTEEEFESHKRSIINKRLEKLKNLGSETSRFWTHIGSEYFNF LQHEIDAAAVRTLTKPDIVAFYRQYIDPSSETRAKISIHLDAQSAKTDELPVDTTDTA EGAEALHNQLTNISLSNGNATIKTSKQPIYITNVPQFKARLPVSPGPSPVVDLSEFGD FDSKL TSTA_079080 MDRDEDTVMRDSGPPFSTAPARATTEDSLQPATLLGMPKLPSSL LSAPAGNPIPSTDIHGLTKSIEDEGLHMIKSSEESDSIMEENSDWSVDDTSATAPGLP VASLATGLCYDIRMRYHCEVRPAAEVHPEDPRRIYYIYKEICRAGLIEDLDIVSSPKP LVPMPLKRIDVRNATKEEVELVHTDEHFQFVSDTQNLPDETLIMLEESRDSIYFNRLT FASALLSAGGAIETCLAVATRKVKNAIAVIRPPGHHAEHDKTMGFCLFNNVSIAAKVC QRKLGEACRKILIVDWDVHHGNGIQKAFYDEPNVLYISLHVYADGKFYPGGKEGNWDA VGEGAGLGKNINIPWPSQGMGDGDYMFAFQQVIMPIASEFDPDLVIVASGFDAAAGDE LGGCFVTPACYSHMTHMLMTLANGKVSVCLEGGYNFRSISKSALAVTKTLMGEPPARL AATMPSNPAVQVVRTVMAAQSKYWRCMYPKIPTQEGLYTDRFHDVIRQYQAKKLYDNY KLTSLWIYRASISKSFENQVLASSNYDKAVPLVVIFHDPPDMMGIHHPITNKLEPHNC WMADVVKDYIAWAHRRNYAIIDVNIPKHVTADSSDIGKFEEDDVDRPAQTEELAGYLW DNYIEPNDATHIFFIGVGDAFYGVANLLINRDSIYQRVNSVISFVAENPVRAVASPTQ TWLSRWYKDNSLVFVAHTHGVWHNENRRKPSKRYGRLLRSPKTGLNEMLLQHKTEVFT WIEQRVNVDADETDEDETEEAKE TSTA_079090 MVTKVGINGFGRIGRIVFRNIIEHGEAEVVAVNDPFIETHYAAY MLKYDTQHGQFKGTIEVDGTDLVVNGKRVKFYQERDPANIKWSETGAAYIVESTGVFT TTEKASAHLKGGAKKVVISAPSADAPMFVMGVNHTEYKPENTIISNASCTTNCLAPLA KIVNDNWGLVEGLMTTVHSYTATQKTVDGPSAKDWRGGRTAAQNIIPSSTGAAKAVGK VIPSLNGKLTGMSMRVPTSNVSVVDLTCRTEKPVSYDEIKKVVKQYSEGELKGIMGYT EDDVVSSDLNGNTNSSIFDAKAGIALNSNFIKLVSWYDNEWGYSRRVVDLIVYISKVD GNA TSTA_079100 MSAQVTNLVIILGMMQVSKRIPFDNPDVLLGVRALYLVSNVIIL GIYLYVQSKINKKKDLTTLKYVEPAPMGSGEEPRPVTTTVMEYDKQQLRQLMRSQLMG VGMMAVMHLYFKYTNPLLIQSILPVKSALESNLVKIHVFGKPATGDLQRPFKAAAGFM GMGQGEIKSDKASIENAEKNWRGGVKEE TSTA_079100 MMQVSKRIPFDNPDVLLGVRALYLVSNVIILGIYLYVQSKINKK KDLTTLKYVEPAPMGSGEEPRPVTTTVMEYDKQQLRQLMRSQLMGVGMMAVMHLYFKY TNPLLIQSILPVKSALESNLVKIHVFGKPATGDLQRPFKAAAGFMGMGQGEIKSDKAS IENAEKNWRGGVKEE TSTA_079110 MAGGKGKSVGGKAAPGKDAAGKSQKSHSAKAGLQFPCGRVKRFL KNNTQNKMRVGAKAAVYVTAVLEYLTAEVLELAGNAAKDLKVKRITPRHLQLAIRGDE ELDTLIRATIAFGGVLPRINRALLLKVEQKKKGKVDS TSTA_079120 MVSRISFSQESVEPTYNLTVFDQDGHFIRESQAMNASSVSYPFE PSDTLPDNVNPTLRSLMDKLKESKESKESSFLEGLFNTLCAAGETVKHPPNSYAETMM SILRKPLAVVQMGFSLELANAPLANHSTIAYLRLEDITSYNISMKLGDKTNRLVGLVG YFMQKKDDPSSYDMNSLFSYFAPSQARGPSVSIAEFFSLEPYYLNPADYNQNEISYAQ AHDNKRQVIAAIADPFVSVHANTEMLPIKLLSLPPWTISSGIKRVSTFYRMGPLLIPG DPVPDFVEAKKVNHDNQLDEKNIPDTTGSIPVPVALNKDGWVCLQPFWQEGNSKDGGE TEYNFLDIDGEGGQIPL TSTA_079130 MQNGLQGDPSESVGLNGWISLAEGQFWLAAGLTCTAFQVLNIQA VATLSWGSQDTKLGVFADCIAKYPLSAKNDDELFLLVELGIVTVMPYTRWFFTINFNV HFGASVHLHGPPMAGYVFVDWNIISFTIQFGDSAPQNNPLSWDKEWALLKQIDSPAGG GGGNDNAAHVFAATGGFTTSPADAVSVTEQRCNMDCLTWSI TSTA_079140 MYHDNSSSRSPGSQRHPQTLHRQPSRQFDAYGPMPTNPYEDPLA RYDNSRLDRLNPLHGGTNYAYEISGSQTWNPNGFAGGHSLAGIGSATGRMKPSRGRTG LPTPWLDQQPGVPSSFSGLAPGPLAGTQMQSSSSQDGDDELIPTAIVIKNIPFAVKKE QLVQLMTEMNLPLPYAFNYHFDNGVFRGLAFANFTSAEETATVIDMLNHFELQGRKLR VEYKKMLPLQERERIEREKRERRGQLEEQHRPIAASQLQTQSSMSSLASHIPATSPSP VSQRGPKLDVDLNDSQTLQFYSQMLLFKEDMSREALIFPSNLTPIQRRTVHTLAHNMG LSHASRGTGDQRQVHVFRAPPGSNVSPPLSSIPATVHAAEASRRGLNRAATIDFSESR SEGPGPFSTMRGQTSGFLGVLESPGGFGNPQNLRAAKSFADLRSYTPSPVPSSASFPA TLQTNGARLQQQYDGTASGTSNTPTLTPTPSGTSLGLQRDDGLLVNSLGSLSLGTSLG GPAGSPRRLRGMFSWEQDSNQGAPAGAIGSNRSIGVGFDNQSQERLPMRQPRGPAPER GSGFRRQNGHQSRGSDELRTGSGVEIIVE TSTA_079150 MPSGRLPLRPNGLTPPTQMPTMIYGTAWKKDRTADLVYTALKAG FRAVDTAAQPKHYREDLVGDGIRKAIADGIVKREDLYIQTKYTPVQGQNPHDMPYERR LSITEQVKASVQSSLLNFRYFNAAGSNAYIDTLVFHTPLSTIEQTIEAWIAAETFVPE VIHNIGISNCPLPVLKALYTSPEIKIKPAVVQNRFYPDEDYDVDLRVFARENEIIYQS FWTLTANPQLVKSESVQQLAQKAGISVAVALYALVISLGKVSVLDGTTNEIHMAEDLK LSSKIEHFSEAHQQEWQDLVSGFKRLIGDPA TSTA_079160 MVVNFLASSVLLLLSSNVLSPVSAAVIGRRTYVETDSVPEGAPT VTLKNGSYYGVHNAHYNQDFFLGMPYSQPPLGELRLQVPQSLNETWTGTRDATQYSPE CIGYGSDQWVLGNDISEDCLTINVIRPSSIAEDEKLPVLFWIHGGGFFEGGSKDPRYN QSFVVQRSVEIGKPIIGVSVNYRLSGWGFLWGEEVAKAGVTNLGIRDQRLALHWVQEN IAAFGGDPEKVTIHGESAGGASVGTHFIAYEGRDDGLFRAGIAESGGPTALTYYLNAS AWEPNYQKIVDQAGCSNAADTLACLRTVPTEKLSTVFNSSDITPSAMVVIDGDILTES ATSLTKQGKFVRAPLLIGTNFDEGTSFGIPGINTTEQFKASLLYNAPDLTEEVINKIA ELYPDIPSLGIPATLNGRPPPGSPFGTQWKRSAAYGGDLVMHTGRRITTEAWARYGVP AYSYHFNVLVNGVTDYIGSTHFMEVAFVFDNTAGQGYENAVSVNPFANEPPTFNELAS IMSSAWISFVHDLDPNSNAFSHKKGINREGLKWPAYDQKNPSNIAFNVNVTGLAYVEP DTFRAEAIAYMAENLDGIWGLI TSTA_079170 MTEIKVDNTPSVLSIPSDPEKDVAPAGNGEITGNEYVDPKIERS LVRKFDLMILPMCALVYYTHTLDRANLGNAKTMGLEKDLSLVNNEYSLVLILFYIPYG LCNIPAALLAKRFNPARVIPIMMGTWGSLSMITASTKNFGGLLTVRILIGVVEASFMP CVYLYYSLFYTRKELALRTAAWGFTGFIAGATSGLISWDVSRWHRSLTGWQYLFLIEG GMTVGLAVIVFLVLPRDTNTRWFTDAERRVAQIRLRRESNLESAGQISWNVAFQVFRT WQVWAFAAMAFLCGVGVASASNFLPTMVKRIAQSTPQGNLYTVGPNLVAAFVQIVSSW LSDRYQQRALVSFCTLLVSMIGFILLATLDLVNHVHVGYFLTYMITFGTYMPEVLMPL WLSSNLTNASSRAVALGLFIGGLNIGGILSSAVFRNQYAPVYAPALITVATCQGLLLV ALIVMRQYYVLQNKKLARGEIERPKELENNHDFRYAI TSTA_079180 MAATLSKMNLDVLSVVNASLISYIEAIAIVTILIFLLNEIYRWS IRIKGIPGPRGLPIIGNLHQIGKKVTPPEQYRLWSSKYGPLFQIQLGNKPMLIINSAA VAKDLLIRESGSFNSRPLFYVFHKFVSKEIASIGTSPWDESCKKRRKAAASALNVVQV DSYAPILRLESSDFIQELRKACDGGRTALNFLSYARRFSMNLSLTLNYGTRAENQKDF DGNSMITEINEVENNVGKYRSTSTNIKNYVPLLRLFDPLMLMLPGNSLQKALDIGRRR LSYHAALLEGLKTEISQRIDKPCIQGNMLKNPETKNLTDLERLSVSLSIMAGADSNTP TVAWGIIFLAHHPEIQEKAFQEIKSSHVLDSDPFGNGKVAYVDAITKELSRYYTILRL AMPKEVTAPVVYNGATIPRGTMIILNSWACNRDPDFFEDPFDFIPERWISNSDSHQQA HQFAFGMGSRMCVASNLAHKALYLAFLHLIAHFKILPATDDEPASVADPITGGGGANS VSTPPLYTRARFIPRNEFNIDL TSTA_079190 MPRSPSQQEKAHLPRPAALACIECRRKHLKCDAKIPVCSRCHEA GFRCSYLKSKRGGKRKYSRPVTDPDVSDSSSVQHQQQQPSPQRQWMDTSPAATLIYLN QSRPTTAILSADLANPESVATSVTTANIRNLNTRPLVDVPVSTSTGHATGNADVIASS SPQSFCSLQEQRVTQDSDGVLRGPAEETLVELYYENFHRSHPVLVPKRLYDGGRRYPS YLSAVIQYIGSHYSVISAAPLRELAATELAQVTGSENSPQIVQGRLLYAIALHGNGEI TEARNWLYEAIEMALAMGMNKKEQGNDLEAESMRRTWWELYVLDGYLTALDPRGPSSF RMSSISGDVEMPCEENVYAEGRVPLPLPSSRQELEARIFGEVDSEETTSLSSFTYRVD AIDLIARVLAVRDPNRAHRDKAQAVDNTLASWAHYLPSNKTDIVNAYGEIDEMLLQAH MMVQFAAILLHFPRSNLILDFCADPDANENTTNENLLCGPISHKLPPSSTRHIHGIKA TEASKRLSNLFSICTSLQKHSPLLIFGLSLCGVVQCSTFIAHVRFDGAGKCLEQHRDR VRLLNGLLKSASETWPLSKVFHQKLRRVAAILFQVRPVATSVAAAPPVAAARHDGNRV ASTEFNSAVGFAPHTNDLAFQMENAWMSIDGQFGSPELAPWVWG TSTA_079200 MATLNTRGNDIALNILSSTTESSQAVVLSRARGSPNSQRAWLFG LGTLGIILASVLLNPFTSTQESPLNIDPTDYAARTKHILSTTPLIDGHNDLPYLIRTE LKHQIYNDRFTFNTGLLSNTDRKKLRDGMVGGQFWSAYIHCPKDSETNKDVPLDEATW TLRDTLEQIDITKRFVDEFPDLFQFCSNSSCAREAFANGKIGSFIGIEGAHQIGNSLA SLRQLYDLGARYITTTHNCDNVFGTAASTVSAGGEDKGLTLFGEEYVAEMNRLGMMLD LSHVSHETMRDTLRLSEAPVIFSHTGAYALSKTLRFAPDDVLKATAEKGGIIMITFIN RFLRPDDPDAATIHDVVDHIWHVAQVAGWDHVGVGSDFDGTPVTPRGLEDVSKYPRLV ELLMERGATDDQIRKFAGDNILRVWSEVEKAAERIQVEGRKPNEAIWEGRTWVRSEMS PPIMFRDSIGRRIPSYLGEP TSTA_079210 MTSQGVPSRKRPAPGTSPVVHAPIGSITNYTDTTNQLSDDQFLQ WGQDPHAGTTMAQAFPIDTTPYTTMSYAAANQDGPVPVPSDQTPNQLARRPMNQLINR NRSFEQSSVSSVPDSGGDGWGESIAELEQRALVAKREAQAKRKQIPPFVQKLRSFLDE PQYADFIRWSEDGNSFIVLDEDEFARRLIPELFKHNKYASFVRQLNMYGFHKKVGLSD NSMRASERKNKSPSEYANPYFKRGHPDLLWLIQKPKNAPGQGSKGGKGAKIKTEDGEE HDVDDYVDDVGRDERPRNGGQLAITNGQEVMPKDQLAGVYRELQTIRQQQQIISSTIS KLRREHEQLYAQAANFQEQHSRHENSINAILTFLATVYNRSLQGHDGPQNLANSFSGI ISQDQGNVVDMGDDFTLSSLGNDLGSGTPRFKKQPLLLKAPPQVNPVIHDRATTLSPA GSNYDPLLNRKYSRQSTTPSHTIEEVHDVTAPQATVPTQSTQDGKSQRDIMSMIQLSN AANGVQTTFADFPNIVNSLETSGGNSALTPKQRADMLRQIARDTTVSDPSVASSNNAL ITPTPPPMPDNYSAQLANTRDEIDNLMKMQAEQDRSVQNLTNLLQPLSPTGQIPGLQD GNVPPPSLDLDQIFNSQDYFTDYNDLDNKGNLDTNDTSTNATTGVPSQAYRATADDRT ANDDLFNFDNLANEPVDTDLFGDNAQAPGASAGYFDNYEDFIKPGLNNDDLSNRNTTG AAGGDKMQNTTSPEMGRIIESLTDSESTSPTNTVDENYQALSAGKTADVVVQSPKRRR KV TSTA_079220 MVLRKNPPPGINVLSRVRNGSDPRSPVSRSSTSPRSATQQQPSR KDSWELDTDFIYSPDLRTSPAFDLMPLEEAQKSPVAGHSPWEDELVERPVGMIPFTGT SQGATEQLTGSPNKAAYQHTGSSAQSADDGYRRQPSTEESLSGTSPQRFRSNNPFVRT QNSSPNPWEASSQQARSQSRLEQGSSISSLHPGLSERNSQTSGIIPMTARLSIFDQPE DPWGQPSHQPDAGPNLHSTGSVTHVSPDNGKIHSTESDTNNFYPLNQADEWDTKNHWQ ELALRNPEPASVSNATSQNIPRPSSPQLIDLEDTPTNMEANPWSSEKRPEPPQKVQAE DQPSISEPPSTSNPLPPMSEAELKSFQEKQAETYAIRHINWTDHTGRLRESPVLVQSQ NGPCPLLALVNGLVMRSDRNPQPPIVRALKTREHISLGLLIQALFDELVTYSNEELPD IEALSRFLTMLHTGMNVNPRLTLDSPTALGSFAWTKDIEFYDSFKVPLVHGWIAAPTS GVHDCMARVAQYYEDIQLLQFRKEELEDRVIRNGLTLTPEEERLMSDIQTIHQFVNIE NTTQLTQFGLEHLGKTLPPGSVSILFRNDHFSTLYKHPQSRQLFTLITDAGYADHAEV VWESLVDITGTNTEFYAGDFRPVGHGPSDPTVPQRTSSRNNATTSASTPSQSTTEQED ADYAYALSLQFQEEEQQQQQRQRQPHHRSSSARVPNTAASSMGRNTVPVHRYSQSTAS GSTSHLQNPSLSSSSLPSRRLNNENNNVHNIPQDAPTAGDHNDDIADDAPPPSYEQVA RNKAVEMLNRQQQRGLALNTDYGPRQGQQYPGTPTTGRRQPLQTVPDRRGQAPRDKDC VVM TSTA_079230 MDSVVQPHQYVAVRMSSGMYKLVKAAPNTTILLGKYGSFYTNHI IGRPFHQTYELLPTPEEDGYSLRVVPAAELHAEALISEGSAEVDGLIEEPPESGSAGQ QPIRSNRDINDDGSAQTLTWEEIEDLKRNTTGAGKEIIDKLLESHSTIDKKTAFSLAK YKLRKEKKYLKRFTIVPLDVNILTEYMLEQKEAHRIMELRHELIGLLGCWGNVHHSGN LENVEPNGRYLVIDDTGGLVVAAMAERMGILHPPGEDEEDEEVDDGLVLKEEETSEVP TQSDPANEHRHTGEQKARPQTRPHAKRQPMTAKQNSITVIHPHSQPNLSLLKYFGYDT EEPNVSHPLFEHLKLATWLQVLDPEADNIYSEKPEEVDEATLKTYKPRQRGTYHRKHA RWARVRRVVDEIRAGGYDGLVVASVMDPDSILRHLVPLLSGGASIAVYSPSVEPLTLL MDLYSTARRSAYISKKADLEKERRSIDLDNMDVDLPSVESQLASEFSLDPTLVLAPML QTSRVREWQVLPGRTHPLMSGRGGAEGYIFHGIRAIPQSQHVQARGVNSRKKRKLDVG DNGTPDDGSTPVAA TSTA_079240 MPAYHSIFLDEADLPLIGNFPLLPLRTRTRGPAYVLPALPPSES DLDIDPNSESYDCIDEILSLFRANVLFRNFEIKGPADRMLIYGILFLSECLGKVKANM TARDAEKALINVALEQFAIPGDVSFPLNQAFERPRDRQDAEQLRQYLSQVRQELAIRL LSRLYPGGEGPSKFWLSFAKRKFMGKSL TSTA_079250 MSDYDDDVEMGSTAQSSAMKFSSDNTTSKGKKIVADLPIGAEDN LPWVEKYRPNSLDEVQGHQDILATINRFIDSHRLPHLLLYGPPGTGKTTTILALARRI YGIKNMRQMVLELNASDDRGIDVVREQIKTFASTKQIFSVAAPAAKENSLGAFKLIIL DEADAMTATAQMALRRIMEKYTANTRFCIIANYTHKLSPALLSRCTRFRFSPLKEADI RSLVDKVIEAENVRIQPEATESLVRLSKGDMRRALNVLQACHASSIPLPMRNAPKDQP SPEHELITDATIYNCIAAPHPSDIREIMTTLLSTSDVISCLNTINTLKTSKGLALADI LSALGEQLQTLEVPAQTRISWLEGLAEVEWRLSGGGSEMVQTGGLVGVIRNGCELMGD KDVAMEL TSTA_079260 MYHRNSLLAAAALIPAIQLSAAETILGAYVFHRHGDRTSKSTPP ASLTDLGYHEILGSGTNYHDRYIAAGSPYQILGIQDETVKLSQLAVSSPLDNVLQNSA AGWLQGLYPPVGSASNQTLRNGTVVEAPFNGYQLIPVTVQSSGSGSEDNPWLQSSSSC NNALTSSNEFFSSDIYLSYLNSTTDLYKSVAPVVNATFSDSQLNFKNAYVIWDLLNVA LIHNSTSDNPALSNVSNETMHELLVLANIHEYNLAYNASSTARAIAGAQLAGQVLSAL NKTIMTNAANPRLNVQFGSYGTFQSYFGLADLPTVDPVFYGIPDYASSMVWELITNAT VENGVFPSTSEISVRFLFNNGTSATTTDLTPYPLFGSSEVEIPWNDFVANTEKFAIMN QQQWCQVCGNTTGVCASSSASSPGSSASASASDSGSGMSNAVAGVIGAMVTLGVILGL EVIAMLLGGLRLVKKKSLAASAGAAETASTENIYPFIDADLQSYASSYRKIP TSTA_079270 MGDVSEVDVLVIGAGISGISAAKFWLDTHPNSQLVILDRDNCLG GTWNSRRGYDTFWTQWTVGTAEFSDQPMPRPPDEDIYLEFFKAKHTTKYLNDYVDSHS YSGWTLRDRVRLSTEVQSVQKIDGGWTVVSKERESPQQHTFQTAKLIVASGLTSIPNM PLLPGRDGFLGQVLHQDSFGSSNVLTSPEVKNITVLGGGKSSADMVYESVKAGKTVSW GLKATDTAGPGFFLSPKGAGPYKNAFEIGMTRLAATFTPSFMNGINWWTRLLHSSRCG LRLMAGFWESVDAKARAEADYQRKSLKDFDKLSPHSPIFWQNCTGGLLNHRDFFDTIA ENVRIYVGDIDCLDKNSLRLKSGDQIPTDALLCGTGWQPSLQFFSKDQCRQLGLPHLV DDESPDEKSHWAALEADADAKVLATFPQLADPPAVFLKPATKTPYRLYRHLVPLCESC NASKDRSIVFIGQVGVGNYFPLVECQSIWATAYLDGKLDLPSTEEQEKDVALFTTWCR RRYLSNGLRGNAMTFELIGYTDTLLKDLGLRSNRKGWFKDIFFPIWAKDFGGLKAEFM EKYY TSTA_079280 MGFKYSRLIDPGEYETQGLCEGIPLRMHKQPQKEDVGTIRCQRD WSRLVKHLKNYKGGLHAKWNFMSTSVPECLPERLEIISYANEFAFLYDDYAEDCDKDQ LDTSNDIMQEAFLEGSIKGSISVKRADGMRQMQALILKEMMAIDKERAVTTMKAWVEF LKFAGGRQHDKHFATLEEYIPYRSIDVGKW TSTA_079290 MAVNFNSFVFAGLGLVCVGTIYCIALITHRLVFSPLAGFPGPKF AAATGWVEFYYDFFKRGAYIYEIEKMHKKYGPIVRINPEELSLHDPAFYDEIYCVASK RRTDNYSHIGKGIDFDGSHFLTTAHDHHRLRRKPLEPYFSRGGVMRLEPILHEAVQKL EKRFTEKKGKCAVVRLDHAFTAFTGDVIGTVCCEEREQFLDDPEFAPYWYKLLHTVIK SIPLFMGLPWLINIVSLLPESIVVWIDPRSKKFIDYKNMTNRHIEIAKDRKFHSIKIG SRPSLLTYLVNSELPSSELTVERLSKEAQVLLGAGTVSTARTLDFICYYVLANEDIHC KLRDELADIMTNYPAQIPSFVQLERLLSVYLRLGR TSTA_079300 MARKGPGTDGPLQTALLESTSAAITRASEGQKIFSPIAVFLDKH RSQTTGLAPHLLRALTALSDDLASVAQQHFNAYISGISMTSILPALSPSPSSSPTLNP LPPSPPPSRPPSGLNQSTYATITQYAPVKSTPTTHPKASIKKPMPLVKQPLPDIWLFV RLPADHAARKMEAYAIYSSLRSQLNLNSAALKEVQATKTGFALCPSSPEALLALEAQK ETISTFFVNYQIECSFRWVSYRVTNVPRKIGQILDGQYSLIPINPTLLSLEISETTGL KPISISETTTSAANPNTLSSSWFLFNAHDAGNSIMHDPVHAPLDADYTAPQSILKRAM SITAQP TSTA_079310 MHRLPRVSPDVSIQYKQWTIPKGVPVGMSAYLMHMDGDIYKDPS KFMPERWLDSKPLLDRNYVPFSRGSRKCLGINLAYAELYLVLAVLFRPGEPQFELFET DESDVVQVHDFLLPLPRLDSKGIRVTIN TSTA_079310 MHRLPRVSPDVSIQYKQWTIPKGVPVGMSAYLMHMDGDIYKDPS KFMPERWLDSKPLLDRNYVPFSRGSRKCLGINLAYAELYLVLAVLFRPGA TSTA_079320 MSGEKKDNGFNSLGSPLGSNSQSQANGNRITALAAPAASTGANR PIKKIKDPNMTDGRLLVNRRCIYERKLPGNAYVTAHAERLQHGFFSSKNTSDPEIAHV DFLAVNFVMHPGDSGKHRFKSATIKATVQNFPMGPSTENPYPYPQENPKFLMHAPHLI YGAVSPETLQWTFSLAGSLGISETPISASVNPAGTRSASYKVYEMLKIQGSCRTFRSP DGPEFDVEDGEVVWSLSENSLQRSGLPREFTFVMLVQKPSAHNKIMFKIDIDPVIDAW YGKYPAWWINRPSYQPLHKRAINFRAEFGQKFVPVDSEKGFNFATLAQSLDDYVNMPG STYSSNVSPDGVYNDPNGAAISNPPRSSRPPGGNASDNDSQPIYIPEYPQYPGDRRYQ YPTSQPTYCPPFPANAGQVWETNSPFRRGWPRDASYYGEPNGNRESTINVRILLDNAV TSHIAAATLGTPIRRLDSPTMYEINDTPPPNSIRDPAVVKVQTLDTPSKSTVYEGHVN GAKQYTPLTNGVPSTTPPSSGIQRMRNGLAAGSLRRRSTSYAQNGYQNQQNRYSYPIA STDDEPEMF TSTA_079330 MSSHYNTEPPPTASVTLNTTIGPLHISLFAKQTPLACKNFIQHC LDGYYTGTVFHRVVPGFVIQGGDPTGTGSGGSSIYEDPEFEYDPEARDPNEKVVFRDE IHSRLRFNRRGLVGMAKSEDGTYGSQFFITLANTEREMNGQCTMFGRIEGDSIYNVVK IAEAELVEGTDRPVYAVKVTGCEVGEMGPFEGKLKKRDKVAKVIEPAKGDVTIKKKKK ANGAKTLLSFGGDEGENGEDIAAIKSKKPKFNTKLVSAGESLEMDPKTRSEERRSSIT EEKQPAKRLRASTQIPSTEKQTQKSQPKSPDPYTQVPPKDPEEPERSPSPTPPPAARQ SVLERTNAQIASLKASMRRDVTAPVETGRKKSALEAMIPKTSIRGRKRPASGSATMNG GGRNCSSGAEAEAMKLFNAFKAKLESSDVQSHSDNKKHKGDTRDRSQNITKPSEEVDD EEAQLCDLHFIANCQSCQNWGKSADGPSVDAQAQDEDSTDWMSHRLQFGKDTLGKDLN WKKEHREDVDSLMVIDPREKEKEKTGRDREREHKRERAGEREWDRR TSTA_079340 MAELNQNIQKYCSSATEEEAANNQADFNICMYFTALLQFPQQLR FLALRHSCYPAGSWKPNDDKTPEEAIASVAPHLSSFVQRAPLDEVTGVASLYSHRLYI ELHYAMGSPSLQMLLSKLFHTHERLSDKNGMANCKLIEGDSLCSPPFTSPVIQNLIPI PTCHACGDSTIWDGPEANILLKNSEDAFRCYQEALELFEASNSPRGQAAVHLRLAGIK HAKSCTYDFTDTERSTLLQKASEHLDKSLVLFDLDESNVQIVKASQILIEISKGQTNM AEQRKRAGEIGKWGRECRNELVSYHIGMVMIRFARREWGKYSRFDTANACYECAYECC AQLGALVPAFIALFCRVGLQNEMSNIAATAVLVDQCLIMFDSVVESLNERIRVANTET PIGKVDRQHMLTRKYEFISTFNHSVTHILANRESLDNLENYRKWQEKFRWYEQSDESF AFLRREAPDEQDTRRSDIAKQIFGQSLDDILDVFALGNAISDKFWTADMEYNQAITDG NLDSAETSMHEFIRTAQRYERTYTQYMFQIIAYGRIGEVSKAREVLDSVTDSELFNDK LEEFLAGRNIDMFFPSLANNAIVVCMIAMDWERGLRVLDLILKIRPNCFDESPGETPI DLSIRQVNAATVYFKGSHPETAFQLLLKARSLIELRRNQASDADVKVGVLSKGLVETF LQLVAVCLRCAKLNLPTSILNSYDHGHPQVTWEEHALLFMEEARARSLIDALLSSAEQ QSNEKKKKRMSESIYKRRALTHLQSIHKRTVEQHKELEELTKEVERLDIGTWSSSAND LMDTMNSTISPSDLFGYIKDDVVVIEASFNRFGCILMAITNKGIQEYESNPIRDVDIR KWAMELMQIMRDMSGLHEPEEEARKSRMEELSKYISGVLVTPFAAIIREKSHIIFSLS QPLTAFPVSALVFDGKPLVLHAAVSQTPSLTALYHLSRRRAESAVPTVSVFTKALSGD PQGTDRANEETFLPMAGVEAISISNMFSTWPIEASNLSRAQFRDYIQGQTSILHIGTH GTVNHGSPLLSSISIGEDFRVIDMSPIQSRANLIVFAACLSGLGRATAGNDVLGFTHV VLGTGCQAYIGTLFEVSDFASMVLMTLFYRQIKETPSLSLAEALRNAQVEFMHFDTEQ ATAFLDRLLEAWDAISSEMSASTGAVKSPEEFVPDGRYLLTLQKMMLPQIDWTSPIFW ASFALMGYGDFCFSHPD TSTA_079350 MDDDSFYLYGVGEKPDALTLGSLVLEKYWMPLIARHYTHDPLRG QDLEENVWSSKLENLVLHGRTRLSPGVGVSGFDIVDLKLAWNKDQERFVTASSGQKLT LKDPEAFLSNHVLMNPKARSALKLWLSSARSDYVMNMRWARRPKIWFLTGLYILKGAR TIVRKQSSSTIEVGLNSAIVGALSGVPIGGSVSLGQGDAWELQMGMEEEHVWAAQYRL IDAKYIAVSSRTRSEVMALPATMSLYKDILSVRNRRTTSQLAGRNLDMDVEVGLQPPF YRARPMKTVTDLDSMFDSVPARAPITAVPYDSTSGLTEVITLVPEEGKLELDDDDDEE SEESFEEYEKRLEDAIRMFEAAPPRFLER TSTA_079360 MSSRFPPSSGYSSRGDRSPPQRYSDRRPSLPPGLRDDPNLTPLG REPPRGPKALIDAPRGGLYSGGRGRGYPPRNDFRDRDRDRDRDRDRDRDRDRDRDFRD GPPFRRDDRDRDWPRRDRVDRDFDSRDGRPPFRRSRSPQPRDPRDFRDSRELGPRDGD IVRMRRNSRDSLVSSSSNPGDGPSGNQNIGHPPPMRGGLGRGRGRGDWEGGRGRGRGH FMDDRDNFRRRSRSRDGWWDRERERERERDFRDRDREFDRRDRFDRRDEDRRPERDDR DRPLEPWKKDILPPRSDNRIPSGPRSTSIPPTGALNVPRPEPKVQDQPTPELVRKTST VLPPVRDPRRDTERPALPLSRTETLRESIVPKGSPPPSAPQVPAFGSVTLPPSLLSIE KSASQPALQPPPTQTSSAIPSKPERSRAEIISSATAKEPPKEAPTEPKAHRAEPKAQI SVSQTKEKISEPSRLDLPNRPSKPVPTGPATSAEQSTCDASPPTAPAASLRCESFAAE PRGRHDNLASISPPLGPAATSPVLSRSQLPAASRGGSPQTSPRLTFGSVPTGPRAGIR QSSRGGHAKSKQWLRPGYNRAPPSTASLGNRQESHEDAELDESLRAVENKRPIHEEKH DEEAKIVDNVTSAKSESLTDEKEKPIDAHPVVAPPEADEKVKAQAEKIESKQEGEGTP SILPDFTRSSDEEDETEVFTEDYLQERKRLFEKSIVALQAEMPPPTLEDPVIVDLLMK VQLLGMIIDGSVPEEPEPSAVETNVEEVSKTVVPAPTYKKEMDIDEPAPTTPTKEATP VEVVSKDTITVDSLPFLVSGPPTPISELDVFQENIKTHERIQEAIRNTVARQRKEASE KNKRLREEYVAIYKPWRLTVRDLDQKKEEERKGSTPSAGTPPVTPAPAPAAESREGRR YKGNSELDFQNALKASAISAQEEMERRRRMEATARPDPDRDADIPNMLEKYEIEAGAF KDTNNKIDPADALAVYGFYPPPDDFTEEEHKLFLDAFMAYPKKWGKIAEELPGRTYAQ CISHYYMTKEEVKYKAKLNKRWRSQRRARKSTTRPKSNALMSDLKADGDDEETVQMTE TGRPRRAAAPTFGGDSTTEAENSRRGGAKDNEHGEKPASRRGRTGAGSRGGRRGRTAA QQQQQQQQQQQQQTTPAQPAQSQQQALQQQAQAVQAPPPVPAQPQTAVNLPQPLPPTA IAPGVPLMAKQEPRAIEGPYELAAKAKELEREGEELPKSKSSRGRGKDSIYVFDASAD YEAKPSYEMGYGAMQPTSYWSVPEVRDFPILLAHFGRDFEGISNFMKTKTPIMVKNYY QRKIDSGNNEFEEIVKVTEVKKMRGEPTGPLPTPNPTVKRRYEATPSAVTHRPLAPHT DIEYPEDARLGPKKAVMSPTRVAQELAPDRNLSRYPPLAQATGAPSATSGIMPDDPLR NIRQSGAPPAPRSFTGPRLGYFTEDRREPRTATGASRGPELQPSPRVAPTVQSHDVGR IEPLPQQLRARPDFHSQYQPGQPPVYMQAQPNVVPPSAMPGSHSRHPSLTGTTAPGSP AQPMRKHSPDISPIRRSSFSQGQPQSGYYSYATASVLPKTTVSPVKELPAPTPLPSLP EPTRQVPAKRSNIMSILNDEPEELPPRKRFASEMIPSPRMAYGQIDAPGSATSNLRHE EKVSYFPSTQQQQPLHGASAHQASSGRPSYSDYAPYAPSALNNPPTATGPPNHDWITR MDPRNQTQQSVDPIRQPPQGQYVASGGYQPSQAASNSQPPTPSQGNTPAGHRGYQVYA PSPHGQGAPTPPLLATNSRDSREASNSVYRHQNAVSPPPHQNVIYSSRQVQSPAQSPA TPLSMPQPRQASGPGPSYGSSVHPQTSSPHQLAPQHRSTHSGNQSYQQHVQAMVNGQQ GQSAASSGRTPIGIAAGSPSPYAASASPSQQQQQSVGPYRTSSLGNPPPTLGMPRPYT PPASVHAPPPAPTLGGIPYPNNGPGSGPGVAHMHHSPYSNPHESHGPPQHASHHRVYS QGRSAPSPR TSTA_079370 MDPVQEPAVIPSGPVPPVAPVTIESANTPGQPEEVQQTSNLEMD TSEDTTQPPQAPSTEETQNTEPATETTTEIPQQTEAPAAEAAEEPNPTEETTQPSETE PAEQPESAPAPPEEEPATWAGVEEDTSSPDEEELKKIESSDGDYSALEYDYWEKSFYN EVDDPEYRPAEKARLTWQIKGVRGTKEKPNRAKVMRSPPAYVGGYWWTIKFFPRGNGS QSLSIYVECSKNMPTVDEKLPETEFTVRSGAPTDDLKESEPTMSIKIPALENSQEWYE NYKKCYSYTGVNCSPTDTTEEGSKNVWRVSAQIGVIMYNPNEPRTGWMQSSCHQFNPH NLDWGWTYFHGPWDEIHRRQKGQRQALLRNDTLAFDAYIRVFDDPTLSLWWHPSDSEP VWDSLAVTGYRPIGDSVINHSAEVAGLAAWVNLAPFRKIIQSVDVQEHRRNCNVKPRP FCEALQEFLWRLRHQNPENQCVDTDKVTSTLRNLHEYSSDVVEFWERMRRTLEIELEG TGAVEELAQLFDSPPVAVSDPQGSNVTHTLPTQLITSVRIPVDKETTIQGALSRHFKE QSGRWSLPPVLHVELSRQCFAQSSRQWKLLYNRVDLDEVLDLAPYVAHGQSGEYDLYG FVIHRGRRTSGKFFSILRPGGPGSKWVAFDDGSHNRVECLTRKAALESHVGLDDSKLK DANDKTGHDFAVAALYVRHDVVNEYLPGKLEPWDVAEPLRTYFQTESYQTAGDAESES KAEQTIQVEVYGLPTIEESLPSIFDTYDLMSQAKRTNNVMYLTLPKSTSIAELRKKIA FSKSSDVEQIPSHRVKLWTVGHAKKQLGAGLLFDRQDDLAETLAKEDTVVRFWTYVLS EEAAKSFAVPEPTVVKTLEEKPEGAVEVRDAQDSDEERPSAEGSGSSAAAVPEHQESI QIDVATPAEPVVSQQTENSSGAADNATGDVTISGVPNTEDPTTSTVTDAEPMDVDATA LPNIEVPNAEPVPADSEQSAQGAAQDTIMEDSPSDSRDTGVESTANSSTESPTAVPDT VPTVPHVYYFVQVFDTEKQSLKPAGAFISALSDNVKSEIRKNMGWEDNKDFLIWSRID NTSVVAVSSSDTFSMSVGDGHCFIVGDRLNKEQRAKLGASGLFTNPDRLVQYIWASSR RHPTRAFTGTKTVDATFNGDYYSGEFSKGYYHGKGTHISDTGTVYNGEFIFGQRHGRG KMEYPSGDTYEGDWVEDQRHGQGTFIESKTGNKYVGGYKDGKRHGKGISYWEVADEEM DLCQICYGEEQDALFYDCGHVCACVTCAREVEICPICRKNVLKVVKIYKM TSTA_079380 MMRLKLAREATIEQNIKLTSMSPLYTSIHNFPITKGPINEMSPH APISQGNPNSNHVGQAPSSATAAVLVPSAPVPDDVRKVRGVNFNDFVDRDITVSELVS NMSTMGFQASAIGEAVRIINDMRSFCDSETGERTTIFLGYTSNLISSGLRETLRFLVQ HKHVSVIVTTAGGVEEDLIKCLAPTYLGAFSTPGAGLRAQGLNRIGNLIVPNSNYCAF EDWLIPILDKMVEEQEDSKVKAAKSGDPDDELHWTPSKIINRLGKEINNEESVCYWAW KNDIPIFCPALTDGSLGDMLYFHTFKTSPQRLRVDIVDDVRRINTMSVRAKRAGMIIL GGGVVKHHIANACLMRNGAESAVYINTAQEFDGSDAGARPDEAVSWGKIKAGADSVKV YAEATVAFPLIVASTFARASRDGVADAELKQGRSQN TSTA_079390 MPHSALSRAEVAKHNTAEDCWVIIDHKVYDLSDFLDAHPGGNVV LAQVAGKDATVDFYQLHRQEVLTKYKDLCIGTLEGEKPEVIDQKPGDLCAVPYAEPLW LRPEFSSPYFKESHRRLQKAIREFTDKYVTPEAQQKEKDGTYISQELIDRMAETNILA MRLGPGEHLHGRKLLGGVVDGKEFDSFHDMIVVQEMVRASARGYQDGNMAGMAISLTA VKQWLRNKPLRDQLLEEVLSGKKKMCLAITEAFAGSDVAGLRTTAEKTPDGKYYIVNG TKKWITNGMFSDYFVTGCRTKKGFSVLLIPRGEGVETTLIKTSYSTAAGTAFVQYDNV KVPVENLLGEEDKGFAVIMSNFNHERYMMAAAVIRMSRVIVEECLKWSNQRLVFKKPL IEQPVIRQKLAKMISQVEANQAWLESLSHQMCQMSYAQQAKHLAGPIALLKSYSTQCA GEIASSATNIFGGRGLTQSGMGKIIENFNRGYKFDAILGGTEEILADLGVRQAIKNFP KAML TSTA_079400 MGVGRRMKKQGPPPPLDEATISLIKKRKAAEAGAKADGGKKRRK AVAESEPEPEPETQKVKTKGDKTKSNGVTVNGKNGKEQKSAVVATPKKTPTKAEKSKK SAKKVSEPEPASEDDDDDESWGSGSAPDLDELMQDEFGDLDDVSDTSGGSDVADGKFD EGSVFDSEEEDHPREKMFSDDEDESDAEEKLTAANIEGLSRKLDEQRQMEEEEARQEM QDSALQTNIAGERPDIFGDADTAAKAGIAPNMQLLRTRITDTLRVLGDLATLGQPGQS RADYMQLLLDDICTYYGYTPYLAEKLMSLFTPMEAFAFFEANEIPRPVVIRTNTLRTN RRTLAQALINRGVVLQPVGKWSKVGLQVFESAVPLGATPEYLAGHYILQAASSFLPVM ALAPQENERVLDMASAPGGKTTYISALMRNTGIVVANDASKARTKGLIGNIHRLGCKN TIVCNYNAQEAFPKILGGFDRVLLDAPCTGTGVISKDPSVKTNKTERDFLAIPHLQRQ LLLAAIDSTDHHSKTGGYIVYSTCSVTVEENEQVVQYVLRKRPNVKIVDTGLGSFGSE GFTSYMGKKFDEKMTLTRRYFPHRENVDGFFVCKLKKTGPSPGASKKNGVVADETGDT KSNKSASEAGDNDAEIIDKTPIMDENGNAIGEDAFGPFEEEEDAEIIARAERNRLRRK GINPKAVLEKARKDGNKAKKQDSTSDSASEKEPSIEEQGTEKKKGSKKEKKSTEAQEE KSAVDATAAAAAASSSPSSTKSKKTKKSKK TSTA_079410 MTEVSFTRSFLQVVDSKPIRLPYDYVADPATTRLPVPYTLPRLQ SPHPPMAKKIKTTDAPGSAKSVTVQLKSARNPVLEVSLDNVPLTTTSIHDLREAVRQR VVAADGKEPPAADKIKILYKKKPVGGSSERTVAEILVDSEPELLSGGKTVEFGIMIMG GASVKPEVTESPTGAGATVTETRPYQSKPAVGASGSEVLQTEDFWKDLEGFLELRTKD TVEALRLSGIFKRAWKADTAQSES TSTA_079420 MERPSTPPATAAQNGQLLRDPITPEQQRRIEINRMKAKAIREQQ EAEEVAARQSAPSKLSGVKRSFNTMTSTQGPSTLRDAANNRPLESIKPARAFSKYVEY EFSKMTDTKGGFLTQEDDPYNKALHVSDGKEQKPANMTQKEWERKLLLDDLRRNKAGP FEPGLSVLDEKASQRACRECGSLEIDWKWEEAFKCYVCNTCKDKYPEKYSMLTKTEAK EDYLLTDPELRDEELLPHLKKPNPHKSTWNDMMLYLRFQVEEYAFSPKKWGSPEALDA EFERREAEKKRRREVKFKQKLTDLKKRTRVEAYRRNKQGPAGGNFGDDLGGKKKHVHE WGRQVENPETGMTVKTCVECGMEVEELEL TSTA_079430 MGFEAFYSQRSNASGGLGFIGPSAKTQDTLLDLREASALVPKTN LFSSFVPSSDQVLPVGIGFQLWCDDIQVAADSVKEFKPCAAWLYAPRNGQKDLDKWSL KIRTASPQTQIWIQIGTLAEVKELLRGSQLPDVIVVQGSESGGHGRTKDGLGLISLLP EVADVTEGSQIPLVAAGGIADGRGAAAALCLGASGIAMGTRFLAATETRISRGYQNEV VRANDGAVNTTRTLLYNHLRGTFGWPEGYSPRTIINKSYEEQRAGVPFEELKKRHEEA QKAGDSGWGPDGRLATYAGASIGLVREVKDAAAIVDEVQKSTLRIFTGLTSGIV TSTA_079440 MSDLNDDLSPLSSGIDSITPFPQLEDDVNETPPTNGDDEALHMK FSPLRNRTGTAHAMIHKNSLSSASQSELQSQTESSEDARNPNDEQALSPSIEIQEDTE DAEFQSQYYVENESLEHAEDISLDSTGEDFNDEDELQEPSSDEDGDDDSQERSSNGVN MHRPFMQSTSSLSGPNAFAPPFYNRPPTPLPPSPSLTSLLRPPFSTTTSRPTTPDTSD AETPNDTEAAVAKSARIATTVPRASPKVPTYEYYGFVLYLTSSCAFLMYLLWSYLPSP FLHQLGIYYYPNRWWSLAIPAWLVMFLVYIYVALACYNTGYLTLPMTSIENIVDEAAN IAVINGKGRRRPGGSEKMKPGSATSQTMSTAQNRRVHGHASSGSASGNGSLLWKDVWA ESTDAVMDIPVGGVCEVLYGRDREDDPSIEAASDQYEPVYDSRRRRFQREDMLHFDGS SSYRPNNIAEYPSHTADAGSSW TSTA_079450 MAWQSPSAMAGNGGGLGGAPGEGMPNHPHPQGTEYTLQGVMRFL QTEWHRHERDRNAWEIERAEMKSRIGRLEGDIRTSKRLHESLGKHVKMLEMALKKERE TVRSLRNGEKVDDIRDPKEVARENLRHLPQTSKTKTAFLVPELENDPAQADFRQDAER EKSRVYLSKCAQEVSYHVIPASHPPLEFSGQDLQGNLYGDQQLPQQSLEEAYHQQRQK QQQQQQNNVMVRESAIQSHQSMPANYVENNRSALAAAQAERRNMAAQTTANNTENFTS DDLTNNKGHADDSGLSRTSQASLQQKSQDAPSETGGWNFEEAAPTSAVQVDTTSHHRP DTDAFPKANFVPAKSPTRGSHRRKSSGAARRKSEGAVDRESAALQHNVDSTFKVRFAL RGHLDVVRSVIFTGGGSPSEPEICTGSDDGTIKRWILPASYGSFGPGAGNDLDITSYF THRGHVGAVTSLAACPASPNFSNGGRAVGDGWVFSGGQDASIRVWERGRVDPKATLDG HTDTVWGLCILPGTAGSIFGDVCSNYGGPDRILLASGGADGRIIIWAVSAPPQVTSPQ PGARRGAGGRRANSISSGSNFPSSPQPHTTTSTVFNYTIVHNIVRTESPSPTCISPLS LAGVNFVVSYTDASILVYDTRTGEEVVGMASLETYDGTPSTGVNSVVTSTIGFDGTAS LDPNRALTEEEAVVHGATGTSGGVEGVIISGYEDRYIRFFDANSGQCTYTMLAHPSAI SSLSLSPDGRELVSAGHDASLRFWSLEKRSCTQEITSHRLMRGEGVCSVVWSRDGRWV VSGGGDGVVKVFSR TSTA_079460 MASLVFDYVIRRDNIADPNHDPNIGSSRGNASSTSGEVVEGLTG GGSTSNSALVSTLLPALVIAGFWFGLFLICRRNQQRWYSPRSHLPNLHHHERSPELPR GFINWFGAFFQISDSHVLHHSSLDGYLFLRFLRNLCIISFAGIIILWPVLLPVHATGG AGNTQMDQFSFSNVTNPTKYYAHAVMGMIYFTYVFFVVTRESLFYANLRQTYLNSPAY VNRISSRTVLFMSVPESYKSEKKLRQVFGDSIRRIWITSDCKELNKKVDERDKLAYRL ERAEINLIRGANAARLKAEAVRKKSGFNVCDDCELADPLTDSKIKRPMHRANFFGKKV DSIQYYRSRLAVAIKEVEELQQKHRDGDAKYLTAVFVEFQTQSDAQVALQTLSHHQPM HMTPRYTGIAPREVIWSSLNLSWWQRIVRIFAVQGGIAALIIFWSIPAAIVGTISNVT YLANLIPFLGWLAHLPGFIEGVITGLLPSAALILLMSLVPPICRLCARKAGLPSLSRV ELFTQSAHFCFQVVQVFLVTTITSAASAAVSQIIKNPLSAKDLLAQNLPKASNFYISY FLLQGLSMSSGAVVQIMSAVIFKILSVFFATTPRRLFNRWTQLTGLSWGSILPVFTNM GVIALTYSCIAPLILAFAFIGLFLVYQAYRYNLLFVYDLDIDTKGLIYPRALQHLLTG IYLAEICMIGLFSIKAAIGPLIIMAFYTILTILAHISLNDMLSPLMNFLPRSLDTEEE EIQMNREAREAYQHAVTRHGRIWKWFHPNLYRDYADLRRKVRRDDIQIKYSAQELADA YYEPCITAKTPQLWIPRDPGGLSQEEIRHTSTIIPISDQGAHLDAKNKIRWNKDDEDL HMWQRRVLY TSTA_079470 MKKFAVVVAALLAFTANAAPVDTPESVASTTPAQSTPTAAPLAA TQVTVLYDTTYDNSSFPISSVACSDGPNGLEGKGYNTLGQVPNFPYVGAALTITGWGS PNCGACYNITYNGVSLYVMGVDASTNGFVVSQATLDRLTGGQAVSLGHISSLRYLMNL NGCPHRGKGSRKLFEAGHV TSTA_079480 MSYQYSHQDHGHPPLPPDWRAQWVPEENQYIFINERTGQRSWHH PEVPPGNHGSGTRDIGGPPGPGNYGGPPPPGPGYGGPPPPSGRGYGGGPPPPGSGYGS PPPPGGRGYGGPPPLGGRGYGGPPPPGPGYGGPPPPVGRGYSGPPPPGPSYGSPSPGG RGYGSPPPSQGYGTPPPHGYGSPAPAPAPAQQKSHGLALGIGGGVLGAAVGAWAMHEH DKHKEEEKEEEWREEEKRLQEREHAREREQEREIEAQREELYEEQREIQRERDERYEA EALYENERRYDDERRFEEERYEDERRYDDERRYEDERRFIEDEQIIDDERRYEDRYDD RW TSTA_079490 MPPRISVTNDIRAVSNLFTLAFRDSPPTVFVLRDRDSTWPVSSI PLDILGPKMVEWTTYKQSMGGELVESDNFAAAAIWFPPGAELPASSEDDEKIRQFRAM AARAKKEFLNGKKYWYLNMIARHPERKEPGVIRALFEPYIARAREEGATIWLEAVSEH SKQVYEHFGFRTVATMRMGEGKASPDGELQDGGEGILVYAMILE TSTA_079500 MALVIHWRNNLSLHGTPLSPRLTSAQQRIRPYIALHNAQLITTQ SIIDNTFVPYSSINDAASHRRGRRGQQDGCIRAAKRSLWFDTLHTVESPSDASALESE HVKLVLKQKHVAMLLFRIVLGALCVVLHVIMPLALILVNLHRTSFDRATHDQRDRTAV ENSGSFDGPDSVSQDLLYSATLFPGPLKLFVPENISNKKSPLIQRYLLCTLRSYPVMM LRGQNPPFIHPHLLFEDSGDLRCRQRIKTGPLAVCNELLQMFTMKNRDNVLQIWRAIR MEQERLLMGVCCTIFGKEMSYDLNQTLAALQAIAIYFILRIFEKNENATNFDVPLVQT MLHFSTVACRADEIYGKQTRNQNGVMPHYPTSKLTYANLLEYNLKNDGSLDFWLSDID EFGNFVMAAASVQE TSTA_079510 MAASQSIVVLQANNTNDTRRDLEAQKTCSSSESIEELTISEEND DPGLQDNSNVPRLPLLKLFWFFFYNFGLFAWGGPVAQIALIKEKLVVKDKWITLTRFQ RVFSVYQALPGPEATELCMFFGCLSAGQLGGFVAGIAFILPGFILMLTASYLYSLAGL ENKYFNASFRAVQPVVGAMILRATHIIADHSVIDHSTRKIKPILVVVALCTALNSALH INMFISLGLYGIIYTFAAHGMWIIAVISFVLQYVVYGIYVHFYGVPSPMSLALGIAKT PSLTHLFVLGLVTGCISFGGAYTAIPLIQVEAVLRGGWLPVHVFLDCIAISNVLPAPL TIFATFVGFQGGLAAGGLGNAFAGAILITLGILAPCFIFTIAGHELLEKLVRNKLLSH FFDGLCAAVIGVITVIAIQMLQSSVRGTHNVSSPDTSLSDSLQSPLAAVIYMLALGAL YKFTNKYTPLLLVVVGAIAGQFLFF TSTA_079520 MSQIIGNRAAWVKEKGARPLEVGPGPIPNPAENEVVIQVAYTAI NPVDVITQESPVIELPYPFILGEDVSGTVVALGSGVTRFKVGQRVTGHCDGLVTKKAT NAAFQLYATCLELLVCHLPDSVPLVNAAVLPLAVSTAATGLFSVLKMPFPALNPQSTL HKILIWGGSSSVGSCAIQLAIAAGFNVVTTASSRNQAYVKSLGAQHVFEHDDPEVIKK ITAILQPGDLVFDCIGSDDVREICTEILQQIGGGTLPTVRWFTPSETGNVKVEFVMGL VPGLVDTNIGDAVWGNYITKALELGKFQAKPDPYVIEGGLEKLQDGLDMLKRGVSAQK IVVEIARAA TSTA_079530 MPNISASKKALVGRPCLTCQRRKIKCDRAKPDCHRCQKAGLQCG GYASDSFVLVVPTRISGEGANKVRLRRTKGKENVKSTIVQEDDNGTVDGRSGGTVQVP GTSPQSYINTAPENRMQVLSYFLERYLPASMTSHRNFITPSSWVRSLPNLLGRWEVLD TALSALCLAYIGDLHQGYVHLHESQRFYNEALLRLRSMSLDSLKSANEGVLTMTMTMA MYELFHSTYGRLQGWMFHVKGACRILQLRGPPTKDTPLDMSLFNRVRNTALWDAYGSR KMLFLARPEWQNLSDTPHDILLDALVCIPGLIEASDNMLSLTQSGRVDLPGIDDLLRC WHAIYLRLTEWYVEFEKQEPVGLYDCGPLDRKGHPYLDADKSHLYSMFPQVISFRDTY VAQNLLMYWFGQLVVHTTMLQLYVSREKHQNRLNPDAFPGNYKGIHSISRGNVQKAGD YYATKICQSTANLRGGYGFQIVMVPLWAAQQFYDICQDPRYEWCQTVLRNFGAVGGYV LSAETLGFLRPAQYPGITMKGGDMVINSI TSTA_079540 MVTSSKDTTMLNVEKDSYQDIKQDSPDANISSHNNSTERQTIAD DEYPQGLKLGLLAGASIISVFLIALDQTIVGTAIPKITDEFHGLNDVSWYAAAYFMTF GAAQTSAGKIYKYYNLKWSFLISMLIFELGSLLCGVAPNSKALIVGRAIAGLGGAGLS VGGTSIISFTVPPARRPMMLGIVGMTYAVAAVLGPLIGGAFTDRVTWRWCFYINLPIG GLAVLVIFFFFYLPAAATPPEIAWTKKLLHIDPVGVALAMGGITCFILALQYGGNSHP WNSSVVIGLLVGFGLIVITLVGWEIWLGEYAMMLPRLYTQRSLSTTAPYQFFFMGSYI ALLYYLPIYFQSILGASAIKSGVNNLPLVLAAAVFALAGGIVVTVTGRAQQVMFVGSM LSTVAIGLIYTLDIGTLTSKWVGYQFFVGCSMAFAMMHGLSIAQAGADAKDLSAVTAN LLFFQTLGGAFSTSAAQSAFVNRLLATLPKTSPTVNPFLVIMTGASDLQKVFPPDLLP GVLGAYMVGIKAAFIVAIAFSGTAFLCTLAIPMGKLPTHVKGNASMMIG TSTA_079550 MAPLMRTLGRNGPSIPAIGFGLMSIGGIYGPAPSDEVRSTLLDH AHAIGERFWDTADMYADSEDAVGLWVKQNPDKRKDIFLATKFGIKFNPATYEQSLHSD PEYIKQACERSLKRLNTDYIDLYYCHRVDGKTPIEKTVEAMVELKQQGKIRHIGLSEV SAATLRRAHAVHPIAAVQIEYSPIAIEIEDEEVGLLQTARELGVAIVAYSPMGRGVLS GGYKTVEEIHAKDRFLAALPRFSKENFPKILRMITKFEQVAKNKGCTTGQLAMAWVLS RGEDVLVIPGTRTIKYLEENFATQNIKLTPEEEKALSSIIYATKFQGSRYPEGFPKGY EFGDTPVL TSTA_079560 MTHSGRDRKSCDGELLAGLGQIAKRDPELMIQTHFDEARQEVEP TMQLFPEFNNEADLYEHYGLFNDRCILAHCIYPNEYEIGRMKACNVGIAHCPVSNTTA GEWGAAPVKQYLDLGIKVGLGTDSGGGFSSSILEAMRQAIITSNARQRMTDGKDKELT REEIFYLATLGGAEVCCLDHKVGSFAPGSLCFNP TSTA_079570 MSVRASLDRKDAIAQKKSADQTADPEKSVYETRAPENYGFADRV DNLSNVEASNGWFSKVTRFASKFHAEERGIGRVDEADRVQQGLVDAFALWASANITPA TFATGALGSVFGLGFWDSVAVIVLVNFVFSWVVRFFGCLGAITGLRTVSIGRFSFGIW GTRILVILNLCGMVGWSAVNSIAGAQVLHELSDGNCPLWAGNLIIGVITAVICFFGYF AVYLFERFCWIPQLIVFIFLAEYGARHFDASAAPMGSGSAEAADVMLFIAVTYGVVIG WAGLSPDYNVRRPANINKMKLAVTIWAGNFIGTIIPEILGAAFMTAVAADPAFAAAYD TRGYGGLWGEALRPLHGFGKFLLVLLALSIVGCNLTNNYSLAFACQNFHPIRLKFPRW LWTAVGSAVIIAIAIGKYPLDDWNNMKVLPYGFAGVAFIIMAFVGAVLSMDQTWYVGV VAKSVKPNGAELGWIFSGSFGALAFVFTRCLEKKYTGRFSCMLEIILKKDYYTESTIM SADTQLVSIHQSGTNDGWHGLIKSGGEFEPEAGRYHLYIGLFCPFAHRVNLVRHIKGL QSAIDVSVVRPYPKGDSKGWPGWKFPANDQEYEGSTVDKLFGSEYLHEVYFKDDKDYK GRYSVPVIWDKKTNRIVNNESAEILRWLPTAFNGTSAISSELATIDLYPEHLRKEIDL FSEWIQRDVNTGVYKVGFATTQEDYDKAVIPVFGALNLLEKIIYEKGGPYVLGEHLTE LDIRLYATLIRFDAIYVQHFKCNLGTIRHDYPVLNAWLTHLYGKVPGFKETTDFKHIK ENYTKSHGQINPLAITPRGPFPNVEDYVPQKPTSYGKVKLPEVLDYEKKLENEVFGGN TSTA_079580 MALVLQAYTFAQQYVASYLHPTPTKSPMGLKLGVISTAQINPAA IIHPAETHPDVILYGIASRDLETAKQAAQKYNFKKAYGSYQDLIDDPEIDFVYISTPN GLHYEWASKALRAGKHVLCEKPFTSNAEEARKLVELAKVKGLVCEEAFHWQFHPSAHL FREILDSGRYGRILRTSAIMTASPGVPDGDIRWQFELSGGSSMDMTYALSFTRFAVHG AKLKEVQSAVARPYAQDKRVDEAMHSLILFEDPKDGHLIQSRIYTDMARQWVIKKIVP RVWEFPSIEVETDKAIIYYYNAMMPHLYHYISITDKTTGKTEYKKQYKGGPCWGESWT TGGKGGKAYWSTYRYQLEAFTEKVRGKHVPYWISGEESIAQMEAIDAIYKIAGLPTRP SVSKEMKS TSTA_079590 MSDSARKPTSRMLRTTDEDRPFTRDFKDLFSTLMVSLELGPRRV RFSKIDFTFTVEEALNNLSSLRFTQSARMPDPQDPSRIITTTSTTTFTMAKEMAKSIF KDFIHARFLEPLNATFTSLSKSTVCTLTAKGIAVLHRFCNRNGIAAPHVMRVLQSPRN KMTLLILERHLTTDKLLVDRATIEVIFRRFAGAQAPGASNGLPAWDDRTDYPNGLVGV EGIQDMRVSGKKYQYAFSGKSAINWLMDCCTTIDERETYQVCEQFIEHGLIVSIVEDK SYIEENGASALSFQPTRQAIYTLTPRAMKVCGWAVSDRLSDESINRKRESKANDGSSV SSNSATGPTASGDVSNKARLTYILNDPSLRLLFREFLAASFCEENLAFYLDVTEYLDN YKQSKTSGELKDYHKVQDYIAGAFGLYNSFLAPGSPCELNIDHALRNRLSAEMTRLCG DEQTVARNLEEVVNHCQLAQTSIFKLMASDSVPKFCRQPRYAVILQEHDFESVAGNPS RPASPVSTPMKSADR TSTA_079600 MALSLAEMASICPIAGAQYHWTALFAPAKIRSFITWMQGWATIF AWQSSTTSIFLLVSKQVQGLIILNYPDYDATQWQSTLLMWAFTAFSFAVNVWGIQLLP LLQLFGGIFHVVLFIVLSVPLILLAPRSTPDFVFKTVMSNGGWENSGISWCIGMLTVT YCFLGFDGAVHMSEEVRNPARVVPRIIIQSMLINGVLAFGFVLILLFFIGDVKAALDS ASGFPSIAVFYQATKSAKAATAMQCGITAIGLMSSIGVVASVSRLTWAFARDGGLPFS KFFAHIDSRFHVPFRSIGLVCMVVVLLSLINIGSTTALNAILALTTCSLYTSYLIPIV LLVIRRFDKTGTPIQWGPWTMGPRIGLMVNIYAIVFGTFIIIFSPFPTILPVTAENMN YSGPVYGFMAILLLIDWFVRGKERFQGPLKEQMQKAANYNS TSTA_079610 MAGTLPPIFPITDDDHGGYTAVSLYTLLALSVFVVATRLSARYY IGKMVQIDDYLLGISTIIAILQSVFVQLAISHGLGKRQDIVSSHDSGLFEKYIYVAQI LLIVSVALSKLSIGLLFKSLMNRGITRWVNWGLIGAVIVWAVASIVALAARCSSPHPW SFVDGKCDSQDTMFRAIGAVNIVTDVGLVLLSCGVLWTVQVSVTKKLRIITLLAIRLV VVIAVAFQIHSYIILIKSADKTWTQMNASIWDQAVMNISIIATSIPSLGRLAHELQPN VNAFAMTENHGLRAGDKYTISSLANHYPRETAEQNMLGTHTSIHGSVDGETESMEGLV RNGIHQNVLVSQTVELKVEERECNRP TSTA_079620 MTIQRTSVIVCGAGSAGLCAATFLACAGISSHHIKILERNAGPM KIGQADGVQCRTVEVFESFGLSEELLKDSYHVLEVCFWAEKEDPTATTKQLVRTRRTA DTMPGLSHHPHVILNQASINGMLLKKMYDEAGMAVEYGWHVTNVTVDFEGDPNFPCVV TAAKGIEGIETTMRAKYVLGCDGAHSTVRRSLGYKMIGDSTDSVWGVMDVYPRTNFPD IRKKATLHTNDGNLMIIPREGDSLVRFYIELPGGTEAKSVKLEDLHQTTKEIFWPYTM DIAETMWWSAYSIGQRLVDHFHKDHRIFLTGDACHTHSPKAGQGMNVSLQDGYNLGWK LAAVLKGQAPSSILETYCLEREKVAADLIEFDRFFASIFSSKKTGHNPENFPGHFIKA GRYTAGLTAKYDDSVFTSTARSQPELARGLTVGMRFRSSQVVRFCDARAMQLVRSFPA DGRWRIVIFAGDISTPACLERLNKLAAFLSYEQGPVSRFTPSTADRDSVIEPILVLHG ESSQIEQQQIPEYFTPVNKKWRMRDLFKTFVDCKSYNSGHGHAYETYQIDKREGAIVI VRPDHYISLITSLTDYQTIDSFFEGFSLSPTQEAEGLLHRAA TSTA_079630 MYAAIKAINTKIRSNKALDYFCSTHFWGPASNFGIPIAAVADIQ KDPEMTNDGRLHAHLGLVDEIFPCSEAQELFVIRNAHSQSWCSVDPGDAIRKLLVLAL WHGPGKFQTQDRRDRQ TSTA_079640 MSSHPKSQKRSHPSPDKLAHVVFRTNPEMYQAMVDFYLQILNAN IRHEDPGKIAFLSFDEEHHRLAILAVPGLRTPSPDDPPRVGLDHIAFTYKNLTQLAQL YVGLRDHLSAPLKPVWSINHGPTTSLYYRDPQGNKVEMQVDNFDTMDKADAYMKSNEF AENPLGVEFDPDEWSRKILAKMQPDGSEGRTLGQDQTFHKKSSSALFERTKCPFNMFM RVKARARASDKEPQASNYVIEKGCETGAG TSTA_079650 MWRRIWLGIYQVHDNHGPYHIERLTQLYLGAGMAVSGMINKPGD SYGRYKTEKGPLGLLGCALASQGISRLRLFEGLSIESLKAVIQLLESYKHEVAALGEV GLRETTEHDEQAILEHYPPLADPLARRLIHLDARLDVLVADLEDEHMEIYGDSIERNG WLVKDKVFPNRNLVAMKQSQ TSTA_079660 MSHLFTDSIRLITASISDAVGLIYSGIIKILAGDVLSPRRLARM LFELVLGGLLLIAPAITDVQFLLSSNRTRSYWVHAPDNFQSGQTYPAVILFHGSGRLG SDADGLAMELDLRLSLPLVQTAYSADKYFIYPNGVGGAWAGPSYAEVSVPEDLQFVSD MINDLKSKYSIDSNRIYAAGMSNGGGFVGTLACSELGSRFAAFASVAGSFYTDVDGNG CLTSRSPLPFLEIHGGSDRGVHYSGGIGYGGPLPSIPVWYADTLPSYGSNRFYILRLI WAYLKVELLGREKQMYIQLNDP TSTA_079670 MAVFPSAVDGFLPYALFVTSTMALVHSVVTYIRPIPALTAFSGP SAPPPNALTAHIYGVKNIYTGLIRLYAAYNIHNRELYTLAILTYAGVLFLYGGELFIW QTTRMREAFSALFIPTTMLYWMLIQKGWYLLDN TSTA_079680 MSIINDEDDAERQVFIPRAAEEKTASESITGLQGALSKAKRHLR LALEICMAFAIVMLSIRSIYDKETTSKSPVPDFPLKTYTFTENPKYLNESMFSTRDAT LRTLHNWIELSAAGRGYVKIDIPDASGLSEPYTIDTHSGTEPVYMMSVFHQLHCLSYL VQAYQSAFDGAELTQELAHHSSHCFDYLRQSIMCAADTTLEGKTESGPGWGSKHECPD YDALLTWANEHTVMPWRANTVDTAIL TSTA_079690 MDDILHTHTASTVLDSDVSCCHESSKRRIAIIGYSCRLPGNVSS PTELWELCTRKRSGWSPIPRDRFASDAFHHPNPSRVGSFNPAGGYFLQDDISRFDAPF FNITAQEAISMDPQQRLLLECSYEAIESAGIPKESLAGRNVGVFVGGNFADYELHNVR DVETIPMYQATGCAPSLQSNRISYYFDFRGPSFTVDTACSSSLVALHAAVQSLRSGES TEALVAGCRLNIVPDLFISMSMSQLFNDEGKTYAFDNRATSGFARGEGAGVVLLKSLE DAIRDQDPIRAVIVNSGVSQDGRTQGITLPNGLAQEELIRRVYSEAHIDPEQCGFVEM HGTGTKVGDPIEATAVHAALGNGRSPRNPLYIGSVKSNIGHLEGASGVISLIKAAMML DHGLLLPNADFKKANDNIPLDEWNMKVVTSTRPWPRGKRFISVSNYGFGGTNAHVVLE KAPLNLNSPDASSNGTNNNVHDTYDDPRHKLIFISANDKESLRQRIKDFGIYFEQRPE VFEKLLFGNFAFTVGSKLSHLSYRVALPARSLDDLGIRLAQLKVNASKVLSEPKIAYV FTGQGAQWAQMGCDLMEKYPVFAAAIQQADECLREWHAEWSLKEEIQKDPAASQIDSP ELSQPACTAIQIALVTLLESWGMYPSSVVGHSSGEIAASFAAGIYDLKSAMALSYHRG QMTRILKETYPSLRGGMIAVGAGIDTVRPVLKMLRTGYATVACVNSPTSVTVSGDLPA IDELEGVLQKKQLFNRRLKIDVAYHSDHMKKISEDYLASIADIQPSPTLRGHVVFASS VLGRIAYASTLNSAYWVQNLTSPVLFPDALEKICKHEGEHPNLLIEIGPHSALKGPIG DTLKHLGLAGPKVAYAPTIVRKVNAVESVLNAAAAAYVRGANLDPYEINFPCSGAKHH SFLTDLPRYPWQHTTRYWHEPRIVKKHQIRDGPRNDILGVMANYSNDMEPTWRNIVRL DDIPWLRDHKMQGMVVYPLAGYLSMALEAAARRAQQRNSTFAVFELREIVVGSALVLS DDVDVETTISLRPYDEGTRGVSDLWDEFKIHSWNSQRGWIQHCHGLIGVRNSLHCETS QNFGSHTTTRSYIHNQQSKIFSSSTNMISTDHLYQFLTELGADYGPTFKGLENCFADS HHSYADLYVRDTASLMPKGFQSSLILHPAFLDGLLHLVWPILGHGRMELDTLYMPTLV KHAIICRDIPSTSGQHVKALGTGSPNLSSPEPTQFDLFATTEENAADPLISLEGLVMT PIRDAGIGAGDYLRRLCYKLEWQPWVKPSDLTNGHAHPDKIFNGGTDLLRNGYAYVDG QINLDGLNGLTGRLTNGVNGRHHVNGYASTAEETQGVSIEQALQKRHCLIVRFTRHVE IEPTVSEFIKDLGQTPSETFLVSDLENSQCNERQVIILQSPDASLREVTAAEFDAIRS VLLNAAKILWVYPNISPDAQMTVGMTRSVRSETMAKIVTLGLDREDLIIASNTILTVM EAIWPNGPTEPCRESEFRSSDSQLFVLRAMEDFSSNTFVHNENSEMTLSTQPFTQAGR RFRLAIAQPGSLDTIYFADENISELKDNCVEIEVQATGINFKDVVVSMGQLDQPYIGV ECSGIIRSVGKNVTDIFPGQRVMAIPEGAYSTYARCPNTSVAPIPETMSIEEAATIPI IFCTAYYGLFDVGRLCAGERVLIHAGAGGVGQAAIQLAQMAGADIYVTVGSHDKKTFL MQHYSIPENRIFYSRDNSFGPAIRRATDNEGVDVVLNSLAGDLLRESWDCVAPFGRFI EIGKADITKNSRLEMLKFEYNVTFASVDLTKVAKFRPKLMKRLLNDICRLLEERTIKP IYPISKYSISEVEVGFRTLQTGRNIGKSVVVPSPDDQVKAVSLKTSDTILRSDATYLI IGGTGGLGRSMTKWMSNKGAKNIVLISRSGFVTDKLKTLIHELGFHGTKILVKACDVS SRADIDKLLMGELIHFPPVRGVLHGAMILRDTLFENMTLEDFRVVSACKVEGAWNLHH CLKQSPLDFFIALSSVAGVVGNRGQAAYSAANVFLDGFMEYRRSQGLPGRSIDLAAIT EVGYLADSDPSRQQEVVKNIGGATVNESEVLALLALAITSDLNKSSQCQFITGLEVTD SLDNFWLHDSKFSFIREAAESVLSESQKDGKEMSLRVAVQSTSSREDAIHICYEALVT KLATVLSMNPEDMDTSTRVSSLGLDSLVAIEIRNWIAREAHANVQVLELLSSESLIKL GGLILTKSKLPLRF TSTA_079700 MIYASNLLIKPLRKELEIDQAATFHFINAPVTVSPPEGFSEYFG PGPHYRWMDDEGAGEESMINRIRELPVGQNPEDVMRNLASTHKTWRNRDAVMQYLYDT LEQHPAVEGVVGYSEGSAMAATLILDEMHRLKNEGRPRRIKCAIFFTGWPPVAQDNSL VLSDESEDVVDVPTLHIVGANDPYRYGALALYNVCDPDTANMFDTGKGHTIPRTGKVI SELGDAVRDLISSMEE TSTA_079710 MTVSSLAFRLLILATFIVPSIAQAINPADCASSVHLLLLRGEGT GDDLNVLDTIQNLVLEQIPGATSLGVPYQHGDSDKQFAAYNGSVLLQQYIAGYATTCP DSKIAVLGYSLGAVATMNAICGVSSLLITHAVEALDFKYASNVIAVVAYGDETYVPLQ SWNVGNCTIGAGIYPRLDPGACEPFASSLKSYCDYGDYQCCSILPDDANAAHHTYFTK YNQDVVAFIQSRLG TSTA_079720 MSTTRPVRTAIIGLSSSAVTSWAADAHLPVLVSGRYSDLFTITA LCNSGVAAAESAIQHYHLDPSVVKPYGNPADLAADPDVELVLCNTRVDKHYETILPSV QAGKSVYIEWPIASNLAHIEELVAAYRANNNPSQLAAVGLQGRFAPPVVKIKEILQSG RLGKLLSTEVRIFGGTKDRQVLPTGLKYFAQREVGGNSITIGFGHVIDFVQSVVGDVV DGTDHTHFGIQRPEIGLRDPETGNIVETIHSDVPDLLSVHGSIAKSDYTAPQASLVAY FARGQPFPGDPALSWTLNCEKGTIRLNSPTGIALQANAYESDVTISVHLFETDKVEQI AWAWSEAQLDVPMRARSVQTCLVNIAQGYKEGYVSLEDAANRARQISKWLDSFPA TSTA_079730 MAPRRRTARKELDPCMRARICELHTSARWAYKRIHKAHPEIPIS TIRNTIKKEHQRVNQRSLPRSGQPSKLSSEQKENHVQLTKENPHIKFYELQENRWICD KKGFNGHRDWQRVIWSDESTVERGKGGQLIWTWNDPSEQLVEHDVREIRTGKSIKKMF WAAFQYNIRTSLVPLTSDGSSRGGGITATVIRQTYMDQLPELLENGDIFMQDNAPVHT AHIIRDLLQEMQVEVMIWPPYSPDLNPIENLWAIMKTIIRQDHPELENAPDNDTTLCA LIQAGIEAWESIGERVLQNLSDSMPHRVQAVLNADGWYTKY TSTA_079740 MYCNTNRLQILQANCWKSRDEVQMHLFGESEVQNYDILAIQEPY INKHTDPLTTYSLALKGSFHILLQPTPKEEYKKRPRVCFYVNRGLDPATWEVQYHNRD LSTLTLHTAAHGTIHIHNVYNLGVNSNEESVISALQTAMAPRAQHIVLGDFNRHHLLW AGPRYRHVDEEATKLINLMDEHGLEQLLPPGTITYERVNAKSTIDLVWASHNLANRVW NATDWDLFLKLMDIYNWHPRELNDNEAINEAIRYLVEAINQAAEQATPTKQISIYSRA GYTPEMAKLKHHVSRCRRHARRINTDQVWEDYAEARKEMKRRTNELARDLHRQRIEQA TESIDGFWRIARWVRNRGKPRATFTPTLHYNNTSYTAPKEKAALFREVLHPEPPEADL SDIGPQYRYPKPYTMPPITLDEPDKAPGPDGIPNLVLQRLLPTIEAYLVNLFNACLRQ QYCPDHFRKSTIVILRKPGKPDYSDPKAYRPIALLSTIGKALESVLARCLSYLVEQYN LLPKQYIGGRRGRSCELAIHLLLEETHSAWREGSRVASGLALDAAGAFDNVNHIRLIH DLRKRQVPDDLIGWIESFLSNRRTSITLLEGNIGEFLVNTGIPQGSPLSPILFLFFNA DLIKQILAECPDVIVLGYIDDIFIMTYGTSAAANCHTLTKVHQVAERWERTHASKFAP AKYQLTYFWRKHQMVPKPSGRLDVPLIIKGVEIKPTDSIKYLGVYLDTHLTGEVHVQE MRKKAAKLVVGLSSIAGSTWGTPLVHLRKIYTAVLQPQIMYACSTWYIQGGRGFTGAQ RAAEQAIRSIQDQALHQISGAFKHTSRQALEVCLHVPPAELTLAKLAEEACLRIMTSP LRSTLYQIRGQAHCNDPYTSPLHRLETAIDRKLGSDTSQRIETIYPFVVPPWWEPPEA RINNTRKEAIKAIEAISGTDTTIQFFTDGSGFDNGIGAAVYSSIGQAYKLVGSSNTHT VYAGELEGIDAALEILLRSQPCDDNPHEATIYTDNQAAIRATCQPGRSSGQYILRRIV RHLGLLRDNRSRWRVRLQWVPGHEGVPGNEKADQLAKLAAVKATRRTQENARIARISA PNQTTPHAARMSYIPNQSTILMAVCRQRLHAGFAKRWKEQWEHANHGRHLYRIIKAPT KMVLQLHEGLRWA TSTA_079750 MGPKRGKKGTPWPEPRFADDPDIRAYVAAAIKDLEALKKHQEAL EIGMPQSYVQAWGRKVPSNPPTVPSVGLSSGGSLPSTLYPSQEDLEVYLEHTDPNILN PIRRFPDKVVEKANLAIRSTQDTTIAHRRIAAACILPSGDIILLLGTVDDVDQLTRKK DWIRAFGNEARIRKRTWGVVVHGVNTNINPKQPQFITTLTSENAPVFAQLPASMNVTH TGWLLSEYKIKEQKLTNAHLVVIFDDERIANFAIQRGLIIKGRQHNVSIYDKAANLQQ CFKCQMYKHIARHCQRQICCAYCAGSHDTGDCPTPKEKEYAKCANCTAENVHIKDPAK RLNTKHFAYARECPIRATCLAEAHQRRTYGPQYHTPVIRPGNSQPGAISLNDPTPAEA ANTERSPRAPACTATTRRSANSRSKSAAAAQKRVAERSEPEPISPTSGDPTNRSSKKP MRAQWDKDLVIDADSNPEPKTGPETQIKYTYNTRAR TSTA_079760 MGTATYLSPVSHRRHSETGLATQSSPDSSIASQITSWKLRPGVH TPRAFITELAIIFESILVQLGPDDPSTPSSPEILMDDLRSSLSHEGRESTLPLPDWNE PNLSEMTKQAKRFAQVLAQVLYQYAAEFQHSVPGDPQLVVYSPCEGHKWVPAAGRLLR SNRSSPIPMMPYNGWLHQITCLRDALLAFENFEDVQLDFSDTIQRGTCPMESIREAFL FKIQTYKVNHSDIIYVAKALADPGLPSGGYSSQYRYGVVLPAALFAPSSSRLLRYIPT QLDINGEECVMFDFRTKYRRK TSTA_079770 MEKAPAETESATRSKRPVPTNERVSRKVQKTLNLALAPLSTQIG LMKATYTFLGRFEFGSLICAVSTSSNMLIIGRAIAGMGSAGTGNGALTILSASIPLEK RAYLLIILTLIGLVGQLGVVFSPLIGGALTEYTIWRWYFCLNLLIGAIAVAALLWVAV PKIKNEGPKRMNFVSLFRDLDLAGCLLFSPAMVMFLLTLEWEDRHTLEIVQLLSVSSA DLLGVRGMAPTLSEVYMLPGILGRMVFGIMAGLAVTRLGYYLPWSVISTAIAAVGSGL ISTFTEDTNTGTWIGYQIIGGLGRVSDITDTLGQPLVAVQNNLPASQIAVSMAFLKFC QNFGGSLMLSLLQNGFRYAPGVFADTVSSIGVSGIRTMIPQASVKGVIMAYVIAVQEV FYIVAGTAAAALVFSWELGWKSVQKAKNRPSKA TSTA_079780 MQWRVMLITAFLMFSVNFGHFMATAPQLKMFEDIICENYKRSLD QETPHKNIDFGGNICKSEPVQSELTFISGWKNTLDVLPALALALPYGVLADETGRRPF VILSTAATALSECWPRVLCTDALALTRSRATALFRLVSLPIIAEVVATSISATTTNIN PRIPFMLGPIIVLVGAAFSTLVPETLNESNRRLMLEDPGEREIAGSLQAPSPKESFLR LIISKAGHFFSVSAYSVCIYKVPLEYIPSKLSNFNSRLYVMTLVTFLVLVPLLSSYLI RQLHYTTLKKDLTVSRVAAVSGIIGYFLIFIASDPVPLTIGCLFVSLSMPFVIAIISV ATSFTPTERVATLYTAMSVSQSIGIIIAGPTLAELYAAGIHIGLEWSDLPFAVAASLF AVILVSILFLGPDS TSTA_079790 MFDSAFDCVVLDGMVVTAADVGRYDIAIKDGKIALLAPARSLAK VQAIRVIEAQGAYVMPGGVDAHVHLSEPQLFGKGRPADDFSTGSRSAIAGGTTTIIAF APQDRSDPSILNALDEAQRKAQGAAYCDYALHLLISNPTQQALGEFAVLRERGVSSIK IYMTYEVLQLRDNQILDVLLQARKDLVTTMIHAENGDMLTWMTEQLERRRLFAPRYHA TSRPQILESEATNRAIALSQLVETPILIVHVSSPLAANNIRTAQTSGLPVYAETCPQY LFLTRKGLDQPGFEGAKCVCSPPPRDGEADLESIWAGIENGTFTILSSDHCPFVYDDS VDGKKSAISADAPLGRFRYIPNGCPGVETRLPLVLSANRLSVEKFVEVTSTNPAKLYG LYPQKGALIPGVSDADLTIWYPPSSLEPFPVTNAALHHNVDYTPYEGHIVSQWPRYTL LRGEVVWDRDNGGVVGQKGYGQFVKRGPSAWCREQPEWDVEKF TSTA_079800 MHVRTYESIAPPRSEAQKPMQYGSLTVIPVLRSEDSVFGAEVSG VDWNRSIPEATVAQLVELQDKYGVLIFRETGLDNARHIAFSQQLGAELEVNPFYYGRE NDRLGEPLLFDVGNIEMDRTLVKPDSRRWHHSLGNALWHTDSSYHQRRSKYSILLSHG NPVKGGSWTHFADTRRAYADLPDTKKKEIEDLVVEHDLWHSRKLASPIVYGNPLPHEL AAKPPAYHRLVQKAPDGRQTLYLAAHAKLILGWSFEESQKLIWELIDHCTQSEYVFSM EWLSGGDMVWWDNRQSMHRANPYTETMTVRDVRRSTIIDDGPMAYGVSIEDRRAIASK TPNATDL TSTA_079810 MSDDYHGCEAVRAVAETSDGISLRDKNRFYEIQKLLGKRDQVRN VILIAAGPYQFLGHFESKAPKTSALFRSLLPYHQKLIHVRWSGEGLWIPLGEIYFEVS YENHTSHPSAGQILLYPGKISETEFLFCYGGVSFASKMGPLAANHFLTVKEGNENLRE LGELVLWKGAQDVVFEVADEDK TSTA_079820 MVQRALRPGVYVPLPTFFDENQEIDYFSYKEHLLNLATKGMVPV CAGSLGEAVHLSFDERIALIQFIRVTLDEADLTSTPIVVGVGGLSTRETIELARAAAK SGADAGMVIPPAYYAASLSADAQQVIQYYIDICEGSPIPLLLYNFPTNAGGQDMPSAV ISEIIKKAPNLCGVKLTCGDSIGKLVRLNAEIQGDPNINGARPFPFLLLDGLIADLAP WVQCGGHGTVSGIPNFAPTASTRLWELLNTHPLTPEEATECARLQAILSNADVVAVPG GIRAMKYALHKMHGYGMAPRKPLLPLKESEGQDFMNALRELIDLEAEYIRK TSTA_079830 MIYEKRSETAAGNVEETPVSTGTVKETYNVDVVSANDHSLQRKL KPRHMQMITIGGVIGTGLFLGTGGNLENGGPAGLLIAYCIMASLLFSVMVALGEMVSQ FPIPGGQFALADRFVSRELGFAMGILYWYKYSNHAVVLPAEISAAAVLVSYWTPAGDV NSTCTAGICNNALWVALMLLVVWAVNAAGTRVFGEMEFWFCSIKVITIIGLIITGIII TAGGGPNHEAIGFRYWNQTGGFVQYEGIEGAKGRFLGFFSVLIQAAFAFIGTEITAIA SAETANPQKNVPRAIKAVWIRLVLFYVCSAFVIGLLVSPSDPSLDLSSTAAKSPFVIA IKNAGIPALPSIINAAILTSAWSSGCADLFVSSRTLYGLAARGHAPKIFLKTRRDGLP WVSVIFCGAFSLLSFMAASKGKAGTAFGYFSNMTAICGMISWTCILWTSIRWHNGLKI HGIDRKTLAYRAPLQPYLSYYGMFICIMVIIFGGFGSFIHKFDTSSFITTYFPIPFFA VLFFAYKLWNKSKIIKYVDMDFVSGSSVDIPEERGSDDVLSLGTRRHSLTNETAEMLS SLPTSKPMLVLAGYDAPSLGRTALAFFDFLPLVNTLAMPTLRTRLHLKIHM TSTA_079840 MDTKDELHLDDKHEKADILHSEVLGNADLMNDAFDGENREHEMG VWEAAKTHPWACFWAFIMCFTIIMEAFDMFLNGNFVAQKAFQARYGVLVDPTTNTYAI PTRWQSALFQSGQCGAFVGVFLAGPITNRIGYRWTTILGLVLMNATIFISFFADSLTV LTIGQAFEGVPWGIFIANSPAYASEVVPLPLRSACTATLQMSWSIGQIIVAGATYATN KRDDQWAWRLPLALQWIFPTPLLILIFFAPESPWWLIRRGRKDEALRSLESLGEKPEN APQALAMIERTVKIEEQMGGRPTLLDLVKGTDLRRTIITCLMYASQNFAGNLIANQAT FFFEQAHIKPDRAFQLNLVNSCLQFVANICSWFLSSWFGRRTIYLYGTAVNVTLLMIL GIVASIPQNDSTNLAQASLAILISFVFAGAMGPISYTIISETSSVRLRALSTGVGRAA YYVAEIPMIYLASKMLNTTDWDMAGKCGYVWGGTAIVCWIMAYFFLPELKNRSYREAD ILFNRKVPARKFKSTVIDVRENE TSTA_079850 MPTTPHYTRPNRSHGGASAEEIQDEPDWTKSHKHRIGFREHSTE RHTGYTHAGDDWISSEERDFLAQARKEAEELEEEIGQKDLVNVREFMTKQEDYHLRFR EDHPPGWRYVLHTTEDFIKYQQDWPINIKRRGMEVEDKQKTDQEKTDNQKPQKEHEWR RSRGENETHHPAHATDQNKSDANGPERDENGEEKNDLQDKYSPQELSLLSLLQSESEN IKTLEENDGKVTSPVVQTQFQHMSIDEADQSTPDNWIPRSPHLIRLTGKHPLNGESDI EALFNAGLITPNELHYVRNHGYVPHLLWETHSIDIDNGLMDLSMDDLANEFDAINIAV VLVCDGNRRKELNMIRQTKGFNIGPGAMSCAFWKGPLVRDVLLAAGIEDYDPYHEPRR RWVNFEGADEPSEGKYATSIPFAYVMDPENDVILAYEMNNVRLPPDHGYPVRLMVPGY IGGRCVKWLHRIWISDTENNSHYHIWDNRVLPSFIRDKDSEFAHAMFNHPSTACNEQN LDSIIVKPAQGETIRLSDVNTNKLYRIEGIAHAGGGHEVQRVEVSLDDGETWLYCVRN FPKYPVRHGNKFWTWIYWHVDVGLTHLVRAQSIIVRCFDVFKNTQPKEPSWNIMGMMN NCWYIVRLEIRNDNEDNGTVLFFRHPCEPGTGTGGWMQPSAESFIEYIKHEVAYPQKQ FTREEIEKHHKEDDCWIVINGKVYDATSVLNWHPGGKAPIMAHAGRAHADTTEEFESI HDDYAEQKLSECVLGIVTDKTMGFIKRQAEDAAKERIQSFAESSGIVLNPHRWNSVCF KGKEEVSEDTRRYTFSLPDGMKKVGVATCQHLQLGFHFSDRLVIRPYTPTRPVFHSEE DGTFDLVVKTYFPDQLQPGGTVSNILDCLRPGEEIEVKGPTGEIKYNGQGTFTIDDKE YCFRNISLVLGGSGITPGYQLISRILRAKDQGEEEDKTNIKVINANKAQDDILLRDDL DRFARDHPDQFKIAHVLSRPEADWAGIRGHVSKEILQEHAFEPEDTNVALLCGPPAMI QKAVLPALKEIGYKEGDNLFGF TSTA_079860 MARTKVSARKIAKKGATKTLPGGSKHPTRRVPIQGTTRRRCPRY KWGTTALREIRRYQKSTDLIIPKLPFQRLVREIAQDISLTADLRWQSSAILALQEAAE AFLVKEFEMTNLCAVHAHRVTIQAKDMELVDRLRRIMTGAPPTNQQIEESANYLLGKD FSGPGEAPRAGKNWVHDFIKRLPKQYVRIVQKPQEKERTVAEHYGEVERWFIALELAI QQYKIRPQNLWNFDETGFIVGQGKDEAVVTAYPKTSKRVSSLSSRESITVIEGINAEG KIIPPLLIPKGKVHLEEWYRHIKDDDWLVAPASNGFITDEIAFEWLQHFDHFSRPGAF PDWRLLLMDNHTTHLTIQFVQYCEIWHIRPFRFPPHSTHFLQPLDGVPFQQYKHVHGR VVNKIARLGGFDFDKNDFFEELRDIRIKTFTTRTIRHGWRERGIWPLNPRLILDMMLQ PEEAFEALVAEGDALKIYGEADDTIPSSPTTKSISPPSTAVKLRRYVNKIEKSIDGIK DILDEVSPGLSRRIKVVNQGSLTLAELGDLHRESFAKVRDIATRKNQKTTKRQVKASG ALYVKDANHLIKRRHDGDLLKIYKSHVVGVPQPMEEVASTEPQNSGFFFDTQGDR TSTA_079870 MPAGRPPSQIDQYKQEISTSFQNGQSISNITKMLSDEYQITIHP ETIRRRLKQWGVSRTNPGPRELEDKIKELYFKQGLRDKEIIRALERDGIKISQSTLTT IQLWIGLQRRVVKPEDIQHTDNIVREAVREQLNSGHIEGYGRGHLYRFFRIQGYNIAR NSIQMGFSVGKMISTGDEMNM TSTA_079880 MTKPLDNFTILLSESDVFTINTINQLVHELEPRCRELRQLVQSK FQYTARLASTASEKKAEFLSDTVLREGFNNMLLNLEIDTRKKREASGPQYKLHICFGK YPKSQSDGECTVNISNNIPDDDINYYTDNTNHIFTSLDFNSQSDALKRRRASSASSFP VSKPKKIQTQYETSADPIIKKEKEPLGTTHTRVDYEIISISSSDEEATPNDNDKAKLS EAFRLFKKVLRQTKKKSSLAEGQDKKLKVKHELGGKPIYQISQWRKSIYQKSQRRKLI Y TSTA_079890 MLRMLKFAFGAMPGLFGGSVSVLTKILEFLTFVKDILDVVKDIV AIVKELKGQPRWGHQISTLSAIPYLSQPIYQLFLGFFKALPSAESSFVSVFILFSF TSTA_079900 MTVEMTFHSVWSLIILPTLLTFTLPFLRKGIKGKPDSKSKEPSR VFWLGRGDGLFADARSKLWTFIKGRQLFADAYFKYSSKNVVTKISTISIPLVLVPKDR RKWFFKERSDVLDHRATGFDAVESLYTMPCGYILDFPAHVKLIHKVLTRELPNLTDDL IQEIEEGLLKDWGTDAEKWRGVEVWATVLMLVTRTFNRISVGNPLCGDEKYLTNTRKY VTSIHAMSSIIRVFPGWTKPVVGSFFSIPCWYYYRRGKGYAMPLIHSYLEEARMELET NGEFSNRHNVFAMWIVQEALRSQIPREMDPETIYARLMTMNFAGIHTSSFTTVNMLLD SLSRPDIFEVIKEEVNTTYSRHNSKWTKPSVDELRSTDNVLRESMRLSGPIVRLIREV KAEDGIEINGVVLPKGTKIATDMHNMHRDEEIYKDANTFNPFRLDPSTGQRMLPAVET SENFLPFGHGRHGCPGRYFASHEIKLIIATIILRYNVKFLEKRPPNVWMGDSMIPPRT ILQVQRQS TSTA_079910 MFQALLHGNEYLQLRPLDGMPFLHYKRIHRRAINEQAHLGGFFY DKIDFLANIARVRAEAFTPRIIRKGFSDRGLWPLNPDIVVDPLMEKWDIQMGQDLQIF DGDEEQDIPSSPTNASFSPPTTAYKLQKSIAKVDAQLNDLNKAIPGIRRNLKKIFDGS LTQAHIKDEQQEQIDRLQTLNERKSAKKTKRQVQVGGILSVADANRAIKKRATAEEKK AERKRLKELRNAPLGSMPPPLTTDDEAAIDRNTILNLVEQAYPRRSDPNLIR TSTA_079920 MAPPLVTIDAAEPIEKIIEIIEKDGGVIISNFLSPDLLKETMDA IEPHFKGRKITIQKLPTMNLVPSSFPRDLSSLLSKIPVQLTKIVRLSVWKSIMAHFLN DEFSFHTGETLVPQKSGYMLASTATLRLIPGAKPQPLHRATAVIPGSHLWGADRAPKK EECTYAEMEPGSALFTLGSTYHGAGENKCEHTDPEALRTLIAVFAPDQDEVLSTPIEI ARKLPEDVLRLAGYYKAVSGVGYVQDQHPIEMMDISQ TSTA_079930 MQQQGSSPYAPAGYQVFRNVKDYGAKGDGVTDDTAAINKAISDG DRCGADCGLSTVYPAIVFFPGDPRVCGPGESVASAEGHPARS TSTA_079940 MEAIWHFHHEPWSSDDFPAGESKKETDQRLRHLSSKPWWENTKN EVVRFLHKELTSQSPWGYTIYRTVYTPESDQHWDAFLDAISKNTYAGLGSDLHDDEPS RIFKEGYRTLVFNDPAQFNGATLDEIRKHFRAFRESDTNGNQEVRFRWCLVIDEGALQ SFIRHPSWVTVVDPNYRGGSSYNTQYYPGYLRLYLSDLWSLTRIGRALGLDDVCGTMK GPDDVPWFDSDMY TSTA_079950 MEDLNNSKSPGKITTMGKDHVETADTFGVGQVILANCNTELNHK SDEAEFSAALIDAINHQRLRPSQIQFAAIAASRMVDPAVGVAVGWNYFFAQTSYFIFK ATIINTLVEYWGYNQSPAVLISVTLVLFLALNIYRADLFGEAEFWLALGKIVMATGLI LYTFIAMLGGNALHDPSSRLESFINAINVAGFCMDSPEYISIITGEARDPRRTMPRAF SSLMLRLMIFFIGGAFCVGVNVRTRVSIPYFLQFCRVLANLPRLTNGSDTYAGGSPYV ISMQRLQIPGLPSIVNASLIVTIISGGNAYTFGASRSLHAMALDGQAPKFLRRLNNKG VPYMAVLVVLLLSCLAYLALGSGTVKVLNWILNNWVVMSFTWLRFNAAIRAQGIDRKS FLPSLSKYQPFAGYWAFFWAFLFLWVQGYAVFTKDHWKVSTFIFNYGIIALAGSIGII WKIVKRTPFHKSTEVDLQSGLDFFETLTEHYQQERENVPSSLKERIVVKIF TSTA_079960 MAHVVSIATLLAVGLLVDSASAAVSQAYTWKNVKTGGGGGFVPG IVFNPSAKGVVYARTDIGGAYRLNKDDTWTPLLDSVNNSNWHDWGIDAIATDPIDTDR LYLAVGMYTNEWDPSPGSIMQSKDMGKTWQETELPFKVGGNMPGRGVGERLAVDPNDN SIIYFGARSGHGLWKSTDYGATWSNVTSFIWTGTYFQNSSSSYTSDPVGISWVTFDST SGTKGSPTPRIFVGVVDTGKSVFKSEDGGATWAWVSGEPMYGFLPHKGILSPAEKTLY ISYSNGAGPYDGTNGTVHKYNISTGVWTDISPTPMASAYYGYGGLAVDLQVPGTIMVA ALNCWWPDELIWRSLDSGATWSSIWAWDGYPNIDYYYSYDISNAPWLQDNTSTAQFPV RIGWMVEALAIDPFDSNHWLYGTGATIYGGHDLLKWDSIHNVTVKSLAVGVEEMAVLG LISPPGGPPLLSAVGDEGGFYHADLDTAPDQYFHNPTYSSTNGIDYAGNNPSIIVRSG SSSTLPTVSISRDFGLTWSADYAASNNTVPGSVALSADGDTVLLSPTTGSPLVSKYSS TFSAVSGLPAGAVIASDKSNNTVFYGGYSGSLYLSTNGGVSFNKTVTLGSSTAVNAIR AHPSIAGDVWISTDTGLWHSTSFGESSVHIDSGCTAGWSFGLGKAATSASYSVIFGFF TVDGVTALFKTEDQGINWQMISDSTHGFGASSANIVNGDMSNYGRVFVGTNGRGILYD IANQCRVVYNYAEINDYIYFQHIIHSINLSEIHCSHNDQFGHRYNCIPIWPVWRFWVY GTNYLSQWVDMYV TSTA_079970 MCPAAHYHRGFIQITPKFLDLQAKTCDDELKDFVKEKAPFKLND YKSHRVAIEDSPETVVENYKKYMEGPEMPSEGDKMTHEFLQFGNIKLSIFTPKNQRPP AGGRPCLYFIHGGGFVVNNQCSGITSIFPCIDRLNAVCVSIDYSVAPECKAPSQVEQC YDGLLAFWNRKKYSGEINFDEVAVIGRSAGAALLVGLNLKILEGKEMKIRCNIMTFPM VDDACNTGSHSKFRNAPFLPHETVKDCWGHYLNHRGGDKYVVPGKATIKDLEEFPPTL VEVAKADVLHDEGVNFYEKLNSAGVGITIKSFNGYHCFDSTDTEISKRAKRERVEFLE EQGMRPIR TSTA_079980 MLPEFLHSSYARYKADTNTFATWLLETASQCGYQPPTLSGTVPT AKKGRRKGKNDDSNAAPLHYSATTKDLQKLAEVVAGSALTVPKSILTIAKRAIKLRKA VTCWFLGQGDSTNNERHAHFITALEQICETLEWKTNQPSKPDSKQPPPTSKPQSDDTD ADRFLNKFAVLTVEEPQNTAQTQPTPTESKKVVKVTVVEEDDNEEADSFFDLKNMRSF ISITWSEYRDKKIDLMNAAVVTDSALQLARDLVREVEADWRTSLTGKRDDVQNIVYNL AVFTRGISVTPSTEIGLPYNKNMADIAEWCYVPTKVLLESFADVLQANHQPVFKKGYF GTYNPKAHRELMSLGEKFNEDKIILLSILPEFCLIDTFKIQMPTEDAITRGLSEFAKT KRVTLWLCFASQIFLDVHHIMRHSTLGAFGDLRMAGLRIQKTIDDYLQLSKTHPQPKF WPKEGDEEIRNISSTVKSWIIQDLFLDIRVLSELDRIGSPPEKHALFSQHAILCGLIL FNLNIRMQFVGQQLVTQWYDVQQLAFLHNLIINSPKHNDLRWPDMDAFIKIHGESHIF VGSRPKNANESLNRLELATGISSAVNFARDSKSKRFHSPDGKNPRLLKPAAAVANLFF SQYVGGPEKDAGIVNFDKILDELSQKSKLESSSKELQRANPEHIITRKWSNTHNIGTL QLLAFLKTKLFEEEPIILYNYFGMHKRSIELLRLIRDKEHQKFVQYFTSAYMPDESFI SNIVILIHHVAQGSAQNARAMGLASGGVEVVSRIVTSAGDVMREYLEKNGNVACKELR VFCKNKKPIQDDVAYDKGESDELVYSWLSLEDVLGPKGMASLMTGIPIA TSTA_079990 MTFKLYKEEEELIAKALNQEKALILWIEYLDNIGAPPTNQQIEE SANYLLGKDFSGPGEAPRAGKNWVHDFIKRLPKQYVLIVQKPQEKERTVAEHYGEVER WFIALELAIQQYKIRPQNLWNFDETGFIVGQGKDEAVVTAYPKTSKRVSSLSSRESIT VIEGINAEGKIIPPLLIPKGKVHLEEWYRHIKDDDWLVAPASNGFITDEIAFEWLQHF DHFSRPGAFPDWRLLLMDNHTTHLTIQFVQYCEIWHIRPFRFPPHSTHFLQPLDGVPF QQYKHVHGRVVNKIARLGGFDFDKNDFFEELRDIRIKTFTTRTIRHGWRERGIWPLNP RLILDMMLQPEEAFEALVAEGDALKIYGEADDTIPSSPTTKSISPPSTAVKLRRYVNK IEKSIDGIKDILDEVSPGLSRRIKVVNQGSLTLAELGDLHRESFAKVRDIATRKNQKT TKRQVKASGALYVKDANRLIKRRHDGDLLKIYKSHVVGVPQPMEEVASTEPQNSGFFF DTQGDR TSTA_080000 MFNVSNRPVVTEIDEARGVGLNTHAYGSPTTTARVNKDMKWAYM DGLSDAEREHRNKKDTWEYMPRLSNVQREKSILEFLRSAHHWSIKDFIQQLAHPEKVP YAHGAQVRIYQLRQAIIEQPEVLKIFMEDKQLIPSMLVEGVSAIVREELYTLMQEVPL LSKFHVDSSPKDLNVKDFYKEVGGKAPILWRLLQTISAQLEDDTVSNQQNGSFGLIAA LLSHALAPRLSSGFQAYIGLYFHSLGARRRLIDLLHSLNISLSYDSILRYHNEIAKIA QVH TSTA_080010 MKKKGRKKEPSIGPEEAKVDRDIIDHVFDGHKRFIQYIETYYMF KQAIQYEDVGHIRWLYPCFALLFFGGNEIKYSILSLYITWLTGTNAASKELQDAILAN SLVNIRGANDSWYEIDQLNEFLNLEMKRIMISRRTSTQSLEDLFRRTALTASYCIDLQ NQIDYLSSRFVSSKHTPKESILDIYRLAIRLQELGSLQHMDSDRDAEFIPKDLIAAAV GNVIGGKVALFN TSTA_080020 MDSAVSSPRPTMPPSQRRSSLLELSEMLRELAEMQAKPETEDVE SVPEFRDLIHQLCQEEPAVAQAIAAAIRENIPDLSPRLPSKRKRRQDPSYRPSHAVQS VPRKRQCTDEENVVNEPMTPESRILESESPETRDALDSMICVDTAGLRPAPKHESKSD VHSTTTIAVNKENALNCENMYRSTTPISPNREQTEPPPTPEGDVTKDQSFKDTIYKMV DNVSLLKRHPDDLPRTVHQKILQSLHTNQGPIIESQAGQWSDGKTWLEVLERGSATNR RCIIFNMLEYMGASKWYDSQIEVAKQTVKTKQNQPVDEKGAAMHVLCRITHEHGLLNR KTITNQFSRGKRVRLLVKELGLGILFSPDIW TSTA_080030 MSITSGSLHRLSDENEERRLRALMAQNPPQIPYHGVYVRQADGQ AGQPAEPMRHWDPRGLIDPIYEGKRRQQAVAKKVKTIQAKVQKELNSISDQRPALVDQ IQELKGELEKDFNDLDRLIVSLTVHWVHIEDEIAREYGYRQANDDQLKILGLAYTDAK EKWYRTHSER TSTA_080040 MGGGIPFKNLGHLTDGTLVPGNPDHYHGARPEQLDKQIQIELSH QIVPSTQHDLPIAPNFFLAVKGPDGSASVAKRQACYDDALGARGLHSLQTYGKDGPEF DNNAMILKPFEKVRPGIGMAGTGHWAKEQRDEAISRANEKATQEATQDIVESGAANTS FSTVCEIPNTESVASITEQSYFSFNGTNTTSTHSLRKDWDFSH TSTA_080050 MSGTLVPTGSGNFQQSGQLDWVSLSKIPVTFGFDVLVRLSKAEL NPATIAIGRFVCGRFVIKAEAQKRIRDALSSLKSFSSFGNLVWFGFGIKPIVKDLAET EQGLTCVALCACLSISYDSFYVAEVLREICKLQETPSDFIPSLHQWKTLVRMCAGSVS NSKFPTLLEGLMRLAAPSAGASFDQPTTAEALAKAIGALADVSNNKLANTTIAGGLDC MWLAAFSEWCLALDVEVRLSSGSTVYRSSANDDHCLPSVTIVFDSQNEQSIQLSKCHI VPKGCRFWGIPDPDQSRFRGGRSEWTNILADTFGRHMDILCTGAMQENFALLLFHASN LADGCYRYGPVKRDRRPGSDGHSFPFRRFHFSHLSSGGQVFLQFASKRLPELASTIDI LNQVEIRTFTSATWQQTIHNLTLGCTCERCQGEEMAYQKSNPVKDIRNTRKHWGHTQP AYFPTYDMDILTAALTVFSGPIESNGKESSALSYDGICVFFKALEDLNLSPEEASRFI VVAGHIHFEGSKYERIRDLTANAGIPKLDIGSHISYTLVAQETPQQGSLAAAYKISSN GRPYGHLLGISQLEHAIAKSIQAPIQCGPSCDWTLLYQTRETVIFVPAPTTQRSGALL PLEPQWSLLSAPRIGKAGQIKLQVMQAPIYQLYLEITKEVETHQLVYLSLCSRCLNGF SPSPDLIHENIRLSQGMRRLPHRRPEGTITVTFPSEIGASMNKCFEIFVVRNFSDKVK EMSTGNGQVPELVTTVCENDKKGISKPVLQTAATVGHRGLMQLLLQTGTEGSTMSGGE TPLHCAAGKDHDEVVKLLMVRGAELDSRDKDGQTPLSRAAHKGHKSTVKLMLRYGAYR DSRDNYGQIALVWAAALGHIAVVELLLQEKADINAVPSKNGRTALQAAAEGGHLAVVE RLLQEEADVNAAPAVNGRTALQAAAGGGHLAVVERLLQEKADVNAAPAENGRTALQAA AEGGHLAVVERLQEQLCRRQYVKCKV TSTA_080060 MGKEDGGQPVACIHLNTAPKALVIQLINQGLDAALSLDELSSKQ QDSQLSARIANPGKPLKRKEWTPEEDARLKQPREKDKLPWSQVKKHFPTRTAGAVQVR YYTAVKDSTSPSIEPVLQNRTKDTLDTASGVTSRSRPVRARRAVERYSPS TSTA_080070 MKQTLNREWLLGLALSAATVPTGSADLTTTISSAANWGTWEGFG VSLAWWAKAFGTRSDLADIFFSTEWTTYNGQSIPGMGLTIARYNAGACSWKTVNGSAM VVSPDMIASRQMESYWVDWFNSSPSSSSWSWSVDENQRNMLSMAKARGANIFELFSNS PPWWMCLNHNPSGSDDGSSDNLQSWNYDQHAVYLATIAEYAANNWGVSFQSVEAFNEP SSDWWNGKTGTQEGCHFNFSTQATVIGDLRTELNNRGLSSTLISASDENTYDLAISTW NNLGNTATSNVGRINVHGYQYSGGRRDTLYSLASGAGKRLWNSEYGESDATGESLVTN LLLDFRWLHPTAWVYWQAIDGSGWGLIDGDNDNVSLGAISQKYFALSQFTRHIRPGMR ILDGGSDYTVAAYDSTAQKLVIVAANWGAAQYLNFELSDFSSPGVSGAVVPRWITQVG TGDQYSSHNDTYISGTKFWSYFPTGAVQTFEVSKVVLLLWTEDRYDPSGFRLDSDKG TSTA_080080 MPSHQSINSRKIDFNASIREHRIWNPSTGRIVRARDVIFNKDKV FSRDIQNIKDNLLHVSVEELTILLNKIDIQVQSGEVKDNANFGDEMKDLVFDRNRHNN EHTTTTDSVTGSRFEDLSQLGSDYPSGPTLTLGEGLLEGIDKYAYPTSPDTPPSALLA ASITIVYENDLNLSRTSTSGVRPRGSLVQEATIKEGPRGTLSGV TSTA_080090 MEITQKKLSFDILTTTATDLCRLLEAGQTTTVDIVDAYLAQIER HNKTGLKLRAIISTASREAVLSRAAKLDTERLNGKIRSALHGIPIIIKDAIVTSKALG MPTTAGAFAFKEAYGKRNAPIVELLIQSGLVIIGKASMTEFCGLKATCITAGWSAVNG QTQSAYIVGGFREDDLFCGRSGPGGSSSGSGVGVSAGFAPLSVGTETGGSICMPANRA GLYSMTATLGTVPTDGLFTLSRSFDGLGGMTKSPEDLEMLMNILLSTQARDELPLKWE DISVGFVDPMVWNAFGFQKFRDEGVERQILDEYEWARTQIAQRGAKIAYPVKLPSMND LRYEEKSVSHSVSFFEFPRQFEKFCVELYDPEVSSVPELVEFNNKNAAHAMPEPHTDQ TDLIQTLESTMTDETASAAKAHGKLLAGSQGIDAALTENKIDVIIGPGDCEICAVAAL AGSPKAMVPMSRLKGSAGLGQPQGLMIIGGAGSESKMFQFMKLWKEVIGKWKVPPLLE TAERVIS TSTA_080100 MYSTGRGTTKYPSRRLASPPSGWESAGAQRTPELNVQNCKNRNP AFSTVQNTAKMTAEQYNNHQGCLRRVNLEFLARGSIAGLTGHPLAQPDSQRIDNMGAA PTPRQIEENANYLITKDWTGQGEPRRAGKDWVYEYLKRLPKEYQRIVQQPQEVDRTAA EHYGQIERWFIDLKITMKNLKITPANLWNFDETGFIVGQGKKEAVVTAYPKTSKRISS LSSRESLTVVESINAEGKVIPPLIIPKGVVHLEEWYKHIKDEDWLIAPASNGFITDEI AFEWLQHFNRFTDDGKNWRLLIMDNHTTHLTIPFLDYCKMCRIQVFAFPAHSTHLLQP LDGVPFQQYKHVHGRVVNKIARLGGFDFDKNDFFKELHDIRIETFTPRIIRHGWKDRG IWPYNPRAVLDLMVQPEDTIIDDGNTLKIYGENDDTIPSSPTIKSISPPSSVQKLRRY VNKIEKSLDGIKDILDESSPGLIRRIKTVNQGSLIMADLGELHRENFTRIRDTAERKA AKKTKRQFKAVGALYVKDANRLIKRRHDGDLMKIHKLWVH TSTA_080110 MASSSLDVKMAEAQEVLPTPETKGVEGKNGAFTRDNDVEQGVKY EPEEGVTALVETATDLVTKVIHVEDDPNMTVVTFRVVFLGLGLSIFGSVLQEIFYFKP QTIFVSLIFLTVWAYILGDLMAVLIPTEINWEIGGLRITDGGFLRFLNPGPFNSKEHA AITIMASAASQSALATEALAAQYLFYGGYPSKAAGIFIVLTSQLIGFGVAGLLRDVIV YPTNMIWPMTIPVSSLLETIHRDRKETKRKMRVWYAVFLAIFVWEIFPEYIFTTLIGI SIFCLADQHNLFFTNFFGGATGNEGLGVLNISFDWNYIAPFFNPLWYPLQSTVNTLIG IVGCYALFIGLYYGNIWEAKSLPFLSQELFNLTDANSTTYNVYNQSLILNDKFEIEYE KLDAQGIPYLTATYVAYLITTNMGMTATLVYMLLWNWHDLKAAWSWASPSILQKVFST QGLLFWRNQETPEERLRRKENDPTLDPHYKLILRNKYIEVPLWWWTAVLAVCWAVGLG CLYALESTLPWWGFILATLFTFVFTLFFGAQYGMTGFQFNLQPIFQMLAGYMFPGRPL ANFYFTCFTYNATNQAQLLAKDLRLAQYSHLPPRITFVIQIAGCVVGALMNWVMMETI VSAQAPILESIQGTSIWSGQNIQIFNTLAIAWSIAPKMFSIGARYEWVTVVFLLGFLV PIPAYIMYKVTGNRLWGYLNPSIILWFMGNLYVGINSGFTTFFIIAFVFQWYIRKFYP RFFVEWNYLISAAMDGGTQIMIFILTFAVAGGSGTAHPFPTWAGNPDLSKHNTDYCMV NPANQ TSTA_080120 MLPGTLMSHARAAADFTAFQHTIPSLDIVIFSDGSRLIDGRVGG GYIRIQAHHQFLRSSLSYGHGKEVFDTEAEAALAGAQAVIAYPTAQFATNLWICLDNL EVATRLLSPSTGSSQEVFESFRTLAAAWPLRERLPHTKSGSIQIRWVPGHTKIPENEA ADLAAKEKAVSISPSPYKSSYASLKRYTKTQSLSAAQTRWQTIAPQTY TSTA_080130 MKDVFDMSKWHKSTGTFRSPPLKDPLRPNSFPAVTIHEKQDVLV RNLLQNSAEAGDIPLDSPAVPTTSLYFPDITMAQVEESVLQAGNTAPSSDEIPTCILK VAWPLIKDKISIHHKVLVRQQFGALPLRSANDLTICLTHDVEQALNQGMIASLLTLDV KGAFDAVLPGRLIRRLREQGWPTNLVLWIASFATGRSVQIRLDGEIGPSTDIACGLPQ GSPVSGILFMLYIAPLFRLGNPRNRFGYADDAANLAISTSLATNCKALSDSLQEALNW GAAEGITFAPDKYELLHFSRHKADQDPTYTPSVKAGSIIISENTKQLYLSSKALTGIL SMESNLIYYSRLYQPVYSIKHTMAQKPSGQDILALGLPKFQIELENILRN TSTA_080140 MPQQAAAEQVKLRKLSQPCQRRDLNLLHNRWQPSLQRSPTTFAE PFINWLDLQGLVLISDIDCPTHERGNVLDLSFASSPLALAGAKASIASHLDATSDHQP LITTVPWDQRYKETAQKLRFDTLDHTSFLSLLASNLAGTESSAATEEDLDALAEKLTS AIQGAYRGSAKRTMIQGIG TSTA_080150 MARKGPGTDGPLQTALLESTSAATTRASEGQKIFSPIAVFLDKH RSQTTGLAPHLLRALTALSDDLASVAQQHFNAYISGLNQSTYATITQYAPVKSTPTTH PKASIKKPMPLVKQPLPDIRLFVCLPADHAARKMEAYAIYSSLQSQLNLNSAALKEVQ ATKTGFALCPSSPEALLALEA TSTA_080160 MPDDETIPTFEEVNGRKFMTFEIAKLDRTNVRSWKNKYELFLKS QGCWKVLDYTYKWRKQSEKIDELLKDDKWSAADSLSKLYILQNLTEKAGLQQLEQRHT ELVDVSGGEVKLPEKTIMVIFLEGLPSEYDSMKFSILGAGDLSRGLVLSRLQQQERMQ GGSTNKTIGANESANRASDIKCFNCNEMGHFARNCPKPDKRKKSKEESRDDSQESSKT RSSRKKAHEKRSKKVRFKGKARNASKESDTEDESVSEESSEESAYKVWIGVHYADRAT SIDPDQSEQKVKKWTIDGGATSHCTGDIQCLEKLDTRYRGVLKTAGGNLKIMGKGIAR VPLMDGGVARLNNVLYVPDMEENLLSTQVLYRDGIYNAHEKDGYRFYRKDRKTLATGY NIGRTSYLGSVESQDTLMTRSRRMNKDEEARIVSREPDWDLLHKRFGHPGKPRMKRLV KRMGLKLPESYDFTCETCIQAKSVKRQNRGEVPKEKEPLKRVYIDFWGPYQGQYYLAI VDDATRFSWLYITDNRRTETVIEILEKWMAKEERILGKALINIRLDNAKEFAALGSWA EKKGIDLEFTEPYTPPQNGPAERLNRFILEIARAMMQQMNVPKKYWRYAVRMANFLRN RTMFSPREGEKRKSAYEMIYKKKYNLAKLKVPFCKVWFHIETKDKLDPRAQEGVFVGY TKSSSQYLVLDRQGRVRKVTNPIFLEDQRGFISDEAGDREFTNDEAYNSLIENPSVFN HTVNPVINSASTTAMSPTVLNHKDDVDATTAVDESHSQESTTSTTPDLADPNPSTTPS LPKTSQQDASPKRRSERIRQPTQALIESQQTEQIYGRKSRQERRREEREASKVSTTDS SSQVSHEETRLRETANLAVAIELLLGEDDEFALRVDKRLEGEQIPIPKTYEEAVNHPI YGPRWREAIGLEIRNLIRFGTWKFVKRPIGRSVISCKWVFDLKYGADGRLERFKARLV ARGFSQQEGLDFEDTFAPVIRLESLRVLFAIAASYGMVAHLLDATNAFVGSRIDKEMF MEIPQGLEDHGVGPTEPDQVCEILQSLYGLRQSANLWNQKVKSFVNTIGFKPSTADSS VFINERGVIIALYVDDILVFGKATKDIDWTKRKLKKFHPMKDPGLAKKILGIRITWLP NGGIRLDQEFYATQMLKEFGMNHSKDRILPLGPSTNLSADSQRLPKDLHSKFRRIIGR LTYLAGGTRPDIQFPVNRLSQHLAEPTKVHLEAVKRILRYVRGTIKYAIIYRA TSTA_080170 MASSVSFHGSNDGMQIGNNYGTVNASFTDPLDKLPIAEGAEFDS YADQHEDFCLPGTRSELLSQIFEWAESSDGKCIFWLNGMAGTGKSTIARTVAGSFKKR GQLGATFFFKRGEADRSNAKYLISTITKQLVTKHQPLVPAVLKAIEKNPNISSKSLSQ QFDTLLLQPLLGLKVDQPATVMLIIDALDECDRENDLRTILKLLFRLQEVKSVCLRIF LTSRPELPIRLGFRQSNNHQDLVLHELPKPVIEHDIRLYLEDKFSTIRKERSFASNWP GDEVINELVRMSTPLFIFAATAYRFVNGGRHPKRQLQKFLASQAATSASQMDKIYLPV LNQLIHSDEDDPTEVLKEFQDIIGTIILLATPLSIISLARLLHLSAEDIIELLDPLHS VLHIPTNSGAPVRILHLSFRDFLINATSIFHVDEKETHQKIVLHCLSIMDTGLKQNIC GLSSYGTQRTNIDNEVINKHLSADLQYSCRYWVYHFEHSHSHITMPSAFDFMKKHLLH WLEALSLMDIISEAVAMMDILQSGAGDDVDTEFSNFLYDAKRFILKNTYIASLAPLQL YCSGLVFLPMQSTIRKIFLDSRPKQIHMLPQVEDNWSPGLQTLEGHSGLVHSVAFSHD GQMVVSGSYDNTIKLWDAKTGSELQTLKGHSSWVYSVAFSHDSQMVVSGSDDNTIKLW DAKTGSELQTLKDHSDSVHSVAFSHNDQMVVSGSDDKTIKLWNTKTGSELQTLRGHYG HIYSVAFSHNDQIVVSGSDDYTIKLWDIKTGSELQTLEGYLRYIYSVAFSHDDQMVVS GSYDNTIKLWDAKTGSLLQTLKGHSSHVYSVAFSHDSQMVVSGSDDKTIKLWDTKTGS ELQTLKGHSNGVYSVAFSYDDQMVASGSRDNTIKLWNAKTSSELQIFKGHSDSIRSVA FSHDGQMVVSGSRDNTIKLWDAKTGSELQTLKGHSHMGVNSVAFSHDGQMVASGSSDE TIKLWDAKTGSELHTLKGHSHWVNSVAFSHDGQMVASGSDDHTIKLWDVKTGSELQTL KGHSGRVKPVAFSYDSQMVVSGSDDYTVKLWDTKTGSELQTLEGHSSWVYSVAFSHDG QMVVSGSGGTIKLWDAKTGSELRTLKGHSGDIYSVVFSYDGQMVISCSDDNTIKLWDV KTGSELQTLKSHPDSVNSVAPYNSVVSALHAEELTSTKPTSISQRCDGCRPTSHNFNL QASLSDSWVALAGENILWLPIEHRRFTASAVKEATLALGYDDGRVSIIGFHTGKGI TSTA_080180 METQQPPTPAQIDNWHALGFIYEVEGIVTEAPTDILLESPASPP STIPFDEDSPPWQLPSERVPPGEEVGEAAGSSSPVIEISDGEENHHTRPRRRRRGRPD YAYRDYQDTMEHAISAPTVGKRKREDSNVVDFQSRIKRFVKEVTEPYAALEQENKRLN QESYQLKKGKEQLQLQIQYLRR TSTA_080280 MAFVLSGIKLLSLFCATVLAAAPQPYGPEVAHAANRRLSQLDGI SNKTNADLTLNWSGAVLNAPPSGTTFTSVSAEFSVPTPKPANGRAGSSSVWVGIDGST YPNAILQTGVYLSVTSNGSASFGAWYEWYPDYAGGFSGISINAGDRISLCVVSTSPSS GTVTIENLTNGQKASQSLTGTAPDSSSTLNGQNAEWIVEDYEEGSHLVVLDNFGIVTF NNVSVGLSNGSSLETEGAEIMNMLQYKAVKS TSTA_080290 MQRRVLRMRLYHWYMELQKELKVPEKRPALRRGEKIQTKAIDMI LKDSYLDWEGASDLEKKSYRDEFHRNKDVGLKWCTLVQYFGEGIAVICGKEMDLIIND TKFKPKSLHALATFVLNFYPDVPHICRLFGFPLKFFIQDIGAGPDEYHNWQHSLDQEG AIIMVNSGLKGPQVPSEPPSTWIVPDCLEMDLTGFIRGVLKDRRRRLYPAPG TSTA_080300 MPPIRKKDPLKSAQNEGKIGLAISDLKNGRIRSIREAARIYTIP RTTLQDRLHGVPFQHAIRASNHKLTQFEEESLVKWVLDLTRRGLPPRHFLVRDMANYF LSQRGDQRVGDKWVYNLVQRRPEIESKFSQKYNYERAKCEDPKIIQGHFDRVRDIISE YGILPEDIYNFDETGFAMGLCSSAKVITGSDRYARPKLLQPGNREWVTAIEATNSTGW AVPSYIIFKAKKNVRLGWFDDLPSDWRINISENGWTTDQIGLEWLKTHFIPYINDRTM GKYRMLILDGHGSHLTAEFNRICTENNIIPICMPPHSSHLLQPLDVGCFAVLKRHYGQ LVEQRMRLGFNHIDKIDFLMAFPQARTVSVDTDFYLQYMNGDLPTELLQLLTEFLETE KDISALSRTSRHCYSVFIPCLYRYDRQNKNSFALLWAAKYGNESTARISIQYGANPDP KDDHSSTPLSYAASEGHEAIVKLLLNMDGVNLDSKDNDGRTPLSEAAQKGHEAIVKLL LNTDTVDPDSKDNRGRTPLSYAASEGHEAIVKLLLNMDGVNLDSKDNDGRTPLSRAAS RGHEAIVKLLLNMDGVNPDSKDRDSRTPLFYAALRGHEAIVNILLNVDGVDPNSKDYS RQTPLFYAASKGHEAIVKLLLNTDGVDPDPKDDGSTPLFYAASKGHEAIVKLLLNTDT VDPDSKDNYGRTPLVYAASSGREAIVKLLLNMDGVNPDSKDRDGWTPLFYAASEGHET IVKLLLNMDGVDPNSRTNNGLTPLSMAAYKGHEAVVKLLLNIDTVDPDLKDNNGWTPL SRAASRGHKAIVKLLLNTDRVDPDSKDNNGWTPLFYAASKGHEAIVKLLLNTDGVDPD PKDDGSTPLFYAASKGHEAIVKLLLNTDGVDPDLKNNDGRTPLSIAAYKGHEATVKLL LNTGRVDQDLKDNDGQTPLSRAASEGHEAIVKLLLNTDGVDPDPKDYSC TSTA_080310 MANYLLSQRGNQQVGEKWVYNLIQRRPEIESKFSRKYNYERAKC EDPKLIQEYFDRVREVISKYGILPEDIYNFDETGFAMGLCATAKVITGSDRYARPKLL QPGNREWVTAIEATNSTGWALPSYIIFKAKKYTRLGWFEDLPDDWRINISDNGWTTDK IGLEWLKTHFIPLTNGRAMGNYRMLILDGHGSHLTAEFDRTCTENNIIPVCMPPHSSH LLQPLDVGCFAVLKRHYGQLVEQRMRLGFNHIDKLDFLTAFPKARTMAYKAQTVRNSF TATGLVPFNPDRVYQQLTVRLKTPTPPPSRSSDTQSSCLQTPQNARQFKRQMTTTKKR ISRHTRSSSEAIGEVFTRASKAYEMSINQLTIAQKELHDLRAAHEKEKQKRQKSKKQI SHDQGIPREEAQALVQGQIEASQAVTTAPAEPELPVSHPPKTSMP TSTA_080320 MIFTLPNRISLYQSTSDSNSGYSYNEYHMSTADDTTRTSSPTDS LVLGAQSHLPTLLNPVRAVETMTSILWNCVKLNNTIGKYSRQIQQIWSTTEVEKMRLY VDDIAQREYEEAVGIARRENALLAGRDMQGRYNETVYWNIISKGAKLIHPATLHMPKG PLGEFTMAEKVATEVFMQEAGFGTSVENQRRCRNLWKKLSEMRRVGISKILLYRRKEF DTYRKSFTQDAESLTEGVISWESVYGSLLEQLENRMMKQGDEDFTGLSDLLQFKILME TSTA_080330 METRGKRQNYFALNDSYNNKALPKDQLSSPPPESLIPSTLTQLE YNAFLGIPDVEINPSESTSQSLSYLTTSTAIDSSIVSYTQHLLPIANKEAWFWAYFSK HEILPFQRIFSNILGVALPFTSRLSCKTIALSLDIWTSKNHLPILRIISYWLTESFDY RESVLEFKELLGPHSGENLAAAVEDVPLELDLQSKLTTITGDNASNNEKMVSILSQNL RQKLGVNPLFRGSESYVCCLAHILNLIVKDILKTLKSSNIEEAHVVSETFSKDLYPSF LDTLKSLTKAMSLAVPIYYKLHDLLDKASKRKERFLDLDKDISLAVKEAMKKYKKHYT FIDTSDIYYTTLILDPQIKGDLLLNKLEDKTTGREILQALRDNLHRDYSVATIELSLP TGQSLLEHNIKHSDVESQLLKRL TSTA_080340 MACSFSASSIITNKSNTLYAASPSYRPGMPKPQGDEYSKIIVTP QMQKEDTSWIATELPDWDSAIYMVEDPTAEHHPPMNKGREAMVYLSYIIDNYDRLPDI VAFIHSHQFSWHNEGVFGSNAATMLRRLNLSYVTRKGYMNLRCSWSPGCPSWIHPGTL KEDLTKQEEIVFARSWAEIFPNQPIPDVLAQPCCAQFAVSRERINSIPQLHFVLWRDW LLHTEQSDYISGRIWEHLWHVIFTGDNVVCPQEHLCLCDGYGLCF TSTA_080350 MGGDKRGIKGISQDVLQLGLKSGKAQSEYVLATRFQRHRDFADY HERFNHDDAYLLCRCGARKAPLHFLFCHIAKRRAPRPPGPPSEVISFLLGTAKGAQKL ATWLAETHFFEDICGSLQLANTQNPLQVDLPVRPRQLWKRAKESLICT TSTA_080360 MSYIKQEDLIEAARVLRNGHARVVPNSLVLPEPKKAVADTSKDG YDKGDGILGILRNIWTLPGSWRNADGYTMLTLLMSKGVTVEWNQSVKYYLTKMAHGDC FGAGAHTHNWSKGGHTPLHFAVESNDCKYAEVLEGFEDALNFDGITALHHAILLGKKE IIEKLLSAPHPIDKRRVVLSAILTNREGKSALQLARDKKLQNVVETIEKYAGEFRDCA FVLDQFSIDRVQNAITDGGVDLFRHYVYRWPNDCLGWREKETENTILQWMLRNTHNIH DIMFDSTPYYMAMILLDVASQEPYKEKHGGFLNYTNKKKETAEHIAIKTGQYEYLDLF HSRGSNIYAKDNMGNNALYCFLSTENGLYDGGDAPYLDSILCLDRYYLGNPGKIAGSC IEPKDGDLINGSDDKYALELVLTKYNDANADVNANVNADADNNDDDDDGARVAKMLVQ MWPEFLTKLPKAKYKELWEAQKLTEKKLKKVLYTVGEIFVGNQDKNLAVELLDHPVLP SLGLKNYTNSPLHWFVKDYNTYMGEEENFLLSFKDVDMNSTDFYGKNIIFYAVEYRAF ELLKLVINLGASVGPDDISRASEKQDFEMVEFLIRELAKESKIEIGKGSV TSTA_080370 MTEVGPFVPEEVNFAPNDPEYPKNWPDSRKFFIVLLVSGFGFLT PATSSMVAPALDQIATDLHITNSAESQLILSIFLLGLGVGPLLLGPLSEIYGRAPVIR WGNLFYLVFNTAGGFARTNSEMLIFRLLAGVGGSAPLVVGSGVISDCYHPEQRGFAVS IYNIVPLLGPALGPIAGAFITQSISWRWVFFIVSLADVTLQLMAAVVLRETYPPVLLE HRKSLLFESTGNPNLRTPYDNERSRDLRTVLRQSLFRCLQLLVTQPILQVLAIYLAYV YGLIYLVFSTFPSVWETQYHQSSTIGSLNYISLGLGYLSGSLICAVFVDRIYGELKAR NDGRTEPEYRVPIMAAASLLVPVGIVLYGWSAGKSLFWLITDIGGFIFSCGIIMIMQS VNNYVLDAYPLYAASAIGAVTVVRNIPGVVFPLFAPYLYDAVGFGWGGSLLALVAVCI GFPAPVIIWIYGRRLRISSQFASNNERE TSTA_080370 MTEVGPFVPEEVNFAPNDPEYPKNWPDSRKFFIVLLVSGFGFLT PATSSMVAPALDQIATDLHITNSAESQLILSIFLLGLGVGPLLLGPLSEIYGRAPVIR WGNLFYLVFNTAGGFARTNSEMLIFRLLAGVGGSAPLVVGSGVISDCYHPEQRGFAVS IYNIVPLLGPALGPIAGAFITQSISWRWVFFIVSLADVTLQLMAAVVLRETYPPVLLE HRKSLLFESTGNPNLRTPYDNERSRDLRTVLRQSLFRCLQLLVTQPILQVLAIYLAYV YGLIYLVFSTFPSVWETQYHQSSTIGSLNYISLGLGYLSGSLICAVFVDRIYGELKAR NDGRTEPEYRVPIMAAASLLVPVGIVLYGWSAGKSLFWLITDIGGFIFSCGIIMIMQS VNNYVLDAYPLYAASAIGAVTVVRNIPGVVFPLFAPYLYDAVGFGWGGSLLALVAVCI GFPAPVIIWIYGRRLRISSQFASNNERE TSTA_080380 MMNQMGSDRHFTNARNDLADLISSPLRSGFAHPLSRSWHREREI TKSMFILPVFVSDIDDEESPIPLLTDIPRLGLSKLIPYLQKLVNLGLRSVILFGVLGD KLNPPCKDATGTAADDPKGPVIRAIRSIGAHFPSLFIITDVCLCEYTNHGHCGIFSSA HGDDKVSTDNNASVTRIADIALAYAQAGAHCVAPSDMNDGRIHAVKSKLIAAGLGERV LLMAYSAKFKSCLYGPFRTAAGSTPSYGDRATYQLPCHGGGLARRALVRDINEGADVI MVKPAGSCLDVVFQAKEIGKGIPVAAYQVSGEYAMIRAGAEAGVFDLKTAAFESVEMI LRAGANIIISYFTPAFLGWLEA TSTA_080390 MEPSSRNTKARFEYLKWSPLYEKERPFQTFLAIPDTAPDQRRHN LVFEKGEEQVIFDLRGQEQNFSLDIHGFITAKHHSVLSPAQFKDSANIEQVYLPECVD LVKKLVDGADEVFIFDWRTRQMDMDEKPGRLIDYTDALRRVGPTARVHVDQSPLGAER RVQLHFPHRVDELLRGRVRIINLWRPLNGPIDDCPLAVCDGRTVKESDLIETDHIKPQ FTACTQHLLYNPEMRWYYISDQKNDEVLIFKNYDTESGVTRCAPHSAFTPVDYPGGPF RRQSVEVRALVFTKQPIH TSTA_080390 MEPSSRNTKARFEYLKWSPLYEKERPFQTFLAIPDTAPDQRRHN LVFEKGEEQVIFDLRGQEQNFSLDIHGFITAKHHSVLSPAQFKDSANIEQVYLPECVD LVKKLVDGADEVFIFDWRTRQMDMDEKPGRLIDYTDALRRVGPTARVHVDQSPLGAER RVQLHFPHRVDELLRGRVRIINLWRPLNGPIDDCPLAVCDGRTVKESDLIETDHIKPQ FTACTQHLLYNPEMRWYYISDQKNDEVLIFKNYDTESGVTRCKPRFILLYSLMWIADT LLGAPHSAFTPVDYPGGPFRRQSVEVRALVFTKQPIH TSTA_080390 MEPSSRNTKARFEYLKWSPLYEKERPFQTFLAIPDTAPDQRRHN LVFEKGEEQVIFDLRGQEQNFSLDIHGFITAKHHSVLSPAQFKDSANIEQVYLPECVD LVKKLVDGADEVFIFDWRTRQMDMDEKPGRLIDYTDALRRVGPTARVHVDQSPLGAER RVQLHFPHRVDELLRGRVRIIKSLWRPLNGPIDDCPLAVCDGRTVKESDLIETDHIKP QFTACTQHLLYNPEMRWYYISDQKNDEVLIFKNYDTESGVTRCAPHSAFTPVDYPGGP FRRQSVEVRALVFTKQPIH TSTA_080400 MFTRIMSMSSIKGRLGLPCLSTVSYSTGIPSFKKDYTRQAAIAQ NEIFHGTNIFDYRQAYRLALDAKKKDKTYRHFNNINRLADEFPRAHTSSPKDRVTVWC SNDYLGMSRNPVVLDRMTKTLSKYGAGAGGTRNISGHNQHAVDLERICARLHKKEAGL VFSSCYVANDATLSTLGAKLPNCTILSDSMNHASMIHGIRNSRANKIIYAHNDMEDLE RKLASLPLEDPKIIALESVYSMSGSVAPLEIVCDLAERYGAITFLDEVHAVGMYGPHG AGVAEHLDFDAHRNYSGKGGTILDRIDIISGTFGKAFGCIGGYIAGDAELIDFIRSYA PNFIFTTSLPPADMAGAAAAITYQIEYPEDRILQQLHVRELKEKLHNANIPVVPNPSH IIPILVGNAELAKKASDLLLARWGIYVQAINYPTVPHGQERLRVTPTPGHTSELQEEL TEALTDVWQTLGIKTVADWERVGGFLGVGDPKVTKPSPLWTKEQLAGLKSTPGT TSTA_080410 MSFLDHQTIFFRITYPDEQEYFAVVNWRQAPPLAPLGPQVLFRC IDVAVTMVELAPSSHLEPWAPQSRCPCAIYCVDTRSGHSQKIDLSSNNNSLPWHFLFK IPGGPFGPMVQYFVKLVLSTTSGYLSRCDFLERRMLDCHWASQVMGFYKPRQYVSALS LEGEGAGLLANVSSSIGGILLRQGNNAMPVTTCLEELDRELFLRITAPFLSKESIPRK VIALVDGRRNLNLSPAAEGIFRAARALGIGIVVVDQTGHWLQSKDHGYLRDDFLAIDM KIDDNLPGRIVHAIRQYGISVDGITTFSDKYLLPTARAAAALGLPTSPDSAIFRCTNK YETRNLDSSKASQVYRISSQEGLEELIASSESTLAFPMIVKPCSGTSSAGVYKVNNVN ELRLVVNQMISSANLTSNYGLDFLLEPYINGPEVDVNLVLVDGEIIFSEINDDFPSPG DSSTAQLTDTFIDTTNLFPSALPEDEKALLRSHAYDVVSSLGFRNGVFHLEARVHNSS MGYARGHKGGLAVDLQLKHRSANISSQTGNATNTIPSVFLIEVNARMPGYQGTTAIER AYGIDYYATYLLAAVADYSRMRDLSIPFHSDLKHWYAVVRLHSDRGGIWISDDACQEL LDRRPDLQENVSYCRCCFQRGQEVYQSTANDVPFIAYFIVYSRRSRQHLLSVVDVIKK NFRYELISKDEVGSSVGK TSTA_080420 MSSSLKLEGLSKASSPSDDAPAATVYPLGDEEQKSLTKAALSHI NSMVHHINDHPDQPFRPPPECPASDVFKAVRVGSRNSKMALIQTSSVAAALYKAHHGF FTFPVSTHSVQGDRDKTSAFRDLAKAHGNKQTAADTAKSLWTLEIEARLLAGDIDLIV HSLKDVPTTLPDGCEIGAFPHRNDPTDALLVKAGLPYKSLEELPAGSVVGTCSVRRTA QLRHYCPHLIIQECRGNVDTRVAKLDANDSPYTAIVVAAAGIVRLNLEHRITQRLSGP AYTYAVGQGALGIEIRKEDQKARELVACIDHWPTRVRCLAERALLRFLQGGCSAPIGV HSAFVRTPAKGEPNVNDNHYEGTLYLTGTLLHPDGGMEIRAHEKGQVKCTADSETIGK SVGKKILDLGGAQILDIFKAM TSTA_080430 MADLEEAFRQAIDATPDDHPNRAMYLNNLGAYLGNRYFRTGAMA DLEEAIQVGRRAVDATPENQLDRAGRLSNLGAFLSKRYSRTSAMADLEESIQVARQAV EATPDNHPDRVARLSNLGAFLGERYSHAGVMADLEETVEGSLRLKPRPLSPKLGGRRR DKQGLDITQKFIGVSVCAITRNGAFDDCVPGLGLPPNNPSAAKPPKSPNSSPNERTEQ LPKWAHLHTVSMIMVMILNYPEIVPDINFVL TSTA_080440 MALDGRPTNYNDFRDQLNRDLNPCGPNDGGLIVCKGGHILSDNS IEKWLMNHASPPGHPNGLASPTMQHTLSQVAGLASDASAVALDSQQPPLVALQLLEQG RGLLAASAYEMRMDIMNLQEADPVLAEKFIAVREQLQSPIVSETNIEQTDRPSWKSQS DHRHKADEQFDKLVAEIRGKQGFENFVGAPSLEEMQDAAASGPIAVINVSTYRCDAIL VETHQTHVLTLPSLNREEIKEKTVNVHLGSPELLQWLWDVVTEPVLNALGYIDRPSSD QWPHFWWIPTDLLTRFPPHAAGYHDRASGQTVTAHQRFFAP TSTA_080450 MASMELALAALRSADPGEKPNISLVARTYGVSQSGLYKRFHGIT GSKEEHYNTQRILTTSQSKALIKWINQLTERGLPPTNSMLANFAREISGKEPGKNWAT RWLKAHSDKVISRFSTGLDVDRKRADSALKYALYFALIGRKIEQYNLGPEQIYNMDEK GFMLGVTTKRKRIFTRRKYEQGGYKQHLQDGNREWITTIGCICANGTALAPSLIYMAK SGHIQDSWLQDFDSQVQRCFFAASESGWTNNDIGYRWLVDVFDKETKSQASRGWRLLI LDGHGSHVTMKFIEYCDSNRILLAIFPAHATHTLQPLDVALFSPLSNAYTKQLDDFIN DSQGFTRLTKRDFFRLFWASWNEVFTSKNINSAFATTGLYPFNPDIVIKNLTRKSPAG HPQVNPELQLSLKMIGDGLRRLETVSQLSTKLILLENENQGLKRALINAKKPKGKKQP LLLGLPSEQDGGALFMSPSKVQQARDIISQKNEQAAQEQARKDDRKLQQQLTKQAKEA KKAEKAQIQQEKREQREREAAEKQQLKDEQELAKLAGLQLQTDVISTPKASKSSKKQA SKQAPPKAPLEADSKDDGVVVTTNRRGRAIRPPARFRD TSTA_080460 MAGDFNRHHPIWSRNQIPTTAIEHAEELVSFFQGLGLHSCLPRG TPTFWSLSHPGSTSTIDLTVTDQPENVTRCHLYHDHYGSDHRATYSEWSLQTMRNAEP KPRKAYERSDWEKIGKLSIAELDKTVERLISCTTRAVDQHTPVAKPSPYAKRWFSPEL KIQQGEVNRARRRWQESCAIRGRQHEISNALFHDMQTKRRAWTRAIEKAKSHHWKEFL DKAGEGHLWKAASYMKPRESFGCIPPLKDGTNEVVDNKCKAKLFMDTFFPKMATPETT ENTPPKEEIRWEPITKEEVHRALQRMKTMKAPGEDEIPTLAWKQIWPYLNEEIFQIFT ASINLGHYPQQWKTARIIVLRKPNKPDYTKPETYRPISLLNTLGKVLEAVVAKRLSFY AETYNLLPNTQFGGRPGRNTEQALLVLSNAIDQAWIRGRVVTLVAFDLKGAFNGVNSK VLDLQLKAQGIPIIIRTWIASFMEDRTASIAFDDFESTRTTLDNAGLAQGSPLSPVLF IFFNAELVDQPVDRKGGSSAFIDDYFRWVVGPSAEDNLRRLQSDDIPRIEQWARQTGS SFTVEKTELIHLTRKKTERNKGQLTIEGSTIKPSTTAKLLGVVFDNELRWKPHVQQVL KRATRVNTALGGLRHLRPGQMRQLYQACVTPIVDYASTVWHSPPKDKMHLRALNTVQR STLIRILSAFRSTATATMEVEMFTLPTRLRLRQRAQLTIINMLTLPWDHPIQGVLSRA RRRRDHAGIGSAPRFPLAESMKTMRVEQFNGLETIDPKPTAPWKPSVFKEIDITPDRE KARKKAAALLVDPSRVVYSDASGHDSHLGAAAVALDRHQKVVASRTTTIGPMAQWSIH TAELIGIFYAISLAFRLSHQNKQPSRPGTGEMITILSDSKSALQAIRNPSNKSGQRVI QAINQSAYELDSRGISLRLQWIPGHCDDPGNDAADHLAKTAVGLDKTHPFCRPVSREK AAIRKQILKEWEDEWKASRKGAHLRRIDSTLPSIHTRRLYQSLPRNRAYLLTQLRTGH SWLATHGKFLQFREDDTCECGAKETVTHVLIDCPRLATERQKLRKEVGEAFGDISLML GAKDHSSKSNTPQGSVLGAVLDFAEASQRFCNRGPTDPPERNPRQRPTTGP TSTA_080470 MAVEALFVRLSSPGRSPEDGDIVTAETIHTDESTFKDGSPTGES AQKDATSGEPTWEEDASPLPIEESAHEDALPEEPTWEEDASPLPAEESTHEDALPEEP TWEEDASPLPAEESAPEDAPPLPEELPVDETAIVEDAHQDEHPFTGLYLSTAEALPSE GHLGAVQAHLVQVSTRDLALYKGWGKLTSDMKASRAQKLAVKGLPTPNEDGFVSIFVT GAGA TSTA_080480 MDIISIYAITAGGVFVTLFLLSVLPRLYLLFKNIEVYISRYVIF PFFLRRHRFLGPWTRGAVLIHLFYTGINLFCLCFGVSSPTQFADRSGTLAVVNMVALF AAGHVSFYSDILSISRHTCLQIHRGTAWMGAGCVVGLTLFALPYIRRHIFEVFLRTHQ VLAYILLYATWRHVPSGNRLLRICIITTFVLLSIALLLQLGFLIYHNRFFSLHRWPRA RVSCNRPKIEGKNDSDVIIQVRVALTRPMRIKAGQHINLWMPSVTWWSWAQVPPFMVT SWSHSAQETLDLLIQPRSGFSRELLKHARAAPQGSASLCAFIIGPHGMSNKVDRYESV VLVANDFGIAAAIPYLKKLVYSYNTSASRTRRVHLVWEVDTLDIAIAVQATLNSLLED DILKKRYILTISIYVRFGQIIGDVMKFGNHDRAVVYNRRANYDQILRAELSGKLIERL PNAQEEKGESLVIVAASSRVRDQVRLVLRDYVHQKAKMAVLEYQP TSTA_080490 MRLHVPNGIKIEHVEIKRNTDFEGSLLGKFVKMCFYDQKIFACG DWKWTQFAHQCSYENRTGETCGLKMINMARYDSNDCRICKKIQTKIRRRQRELQRLQR WSREGRGLVATINRSQLLVSDLEEEISQLQKRRYYSIRKKCSSGSKEISASTKLKRTG HHVKRDTELGF TSTA_080500 MPKRKKKSSRSIIQARAKKEIGNSGLAAIVPCSPSRAIRPSTPN SHFTLTVEKVLNRYPRLERRFYESLVLLSVLDPVRGCRFTNSIYTTDDTLDQLRRSFV DAIAMICDSHKGGDTVMAVALQSTPFRTVIWLAANKNPKTGTVQYLQRIVDTLRKATP DTRVRVADEISDCVVEFCRSSSIKDIINVCMKCRIYFPMLSRFSRRETDHHQPVTRTK HFIGRLATYSRTVNTLLDVAFDIPQLLENCELRICESSRHLPSPLNPDASTLDGIVRR MFPEFTANEVRSGLDRLNVFGNLHERTRRACSFKSRVHAELLVLEKFRSNGWEFVAGD KYIGCSKPSCFCCYHYINSLPERYSVSGCHNKIYTHWRAPDLTGVQDLEAVKVREDAL NAVVAKLRAEVCRCIDERPVKIRPHYDSVTGSTSVIRLEEAKK TSTA_080510 MPPIRKKNQKNLEEQEGRILLAISDLKNGRILRVAQAARIYNIP RMTLQDRLNGTQQRSLVRANHHKLTQSEENSLVKWILDLDRRGLPPRHSLVRDMVNYL LLQHGKLQVGKNWVTNLIKRRPEIDSKFARKYNYERAKCEDPKIIQDHFNRVRDAISE YGILPEDIYNFDETGFAMGLCATAKVITGSDRYARPKLLQPGNREWVTAIEATNSTGW AIPSYIIFKAKKNVRLAWFDELPSDWRINISENGWTTDQIGLEWLKTHFIPYINGRSM GNYRMLILDGHGSHLTPEFDHICTENKIIPICMPPHSSHLLQPLDVGCFAVLKRHYGQ LVEQRMRLGFNHIDKMDFLTAFPQARTAAYKAQTIQNSFAATGLNQEINQAVIRLSKA YEILANDVLLTRKENYDLRAAHEKEKQKRQKSKKQISIEHGITSEEAQALVQGQVEAS QAVTTTPAEPELPASQAVVRRQFRCSGCGVEGHKINRCPSRTSS TSTA_080520 MVFIEYDLNEFGSNITILQPSFNPDLTQDPVVISISRDQFVAFR IPNEYGISVIIEQHLTPKEIVVLLVNNDYALIGRVSVYNARDPSYWGKQLNGALTEQL HWRDKLADSKLFVWEPKEEIPEEFTTSFLKSWTSNWNLHATREVYEVMAKETITAMEF NKHKITRTLDSWTKCGVESKTLYRSDYLSKL TSTA_080530 MGTSWHALILRSFPESPYFRFLRWLRQIRNHELGLKSFKKSPRF AKVGKWELPGGGVDWILRETILRAGQRELWEETGLDLASFDDYVGQYQFQAPWMLWMK DNLKIIFIGSVKDGDEGLKNIRLSPMEHEAFCWATESQIKEMSLHAEKLALVMDGCEA MPKPDQWKQMAFISEEGKHYAIEAFNRLRN TSTA_080540 MVEDPHESDSAPSDDENDADYLDHSETEDASESLHPSKRRRRSP GDASSATQEVPKTLSSESPLADQPESIPENPSPESESIPIQGFLRLRTKGTEFVLSHG VAQGYDLPTEKEQIRYFTVVPANLFSLPHVSPSITSTLTTNDLPSLDTLLQEYHTFQT QNSVSYTVNDSQHISEITPWLRTTGIHVHLTRLDLETVGDLYRLPNHDETRLDLICAS VDRIWRQTEQLLHHNPVSDIPRLSRYNARLLNTFTRGEISQNPIQPLQNPQSRSRYIQ TWQSHQQELEQNNDEALHQLQQEMDKQTLAFCLEIIQQSVSLRAFNSILVSFAALLFW IPDKKQWMTIWILALSILEQQNHPTQDLGDIIIRHRDRWLLNDTKGPVAELLENRLYA FRIAMSEVPPAQVRWDREGQVITFQDVSLSLLELSRLIREGISTAQAIFEQELCLSGP ARPATEIPQFDLSNLMDNWDATQAGASFLTDSRNHAYVVPYQDWLFRRVSQDAVLFPI FWELGVDQTWRISPKMVEQYEATIQRFLEALLVPFFIGSGQQARRTEFLGIRWRNTLL HTRDLFLHDGQMLFILDYHKSRHRTNASRWPARFLLPEVGHLVTQFLILIMPFRQWLQ HQVQTMHSSTSTPLCDYLWASTTKPWSDNHLTWTVIRTGEQILGKKIHIRAWRQITVG IAIKKFRTLASQFIEDSLDNEDDLIEDHNGSMAAVFHYQAAHTPHTGNPIYGGTINFR AGLTDAGLQEFRQASEIWHQLIKQPSQYSTPSLLKRRLPAVFTQSLQPANVNIEWEWD ESPSKRVRSEATESTLVQRFHRCHEPRQSQQRWTMEQAQTILKRMYGPEAQYRTSNQQ QALQRLPAVFTQSLQPANVNIEWEWDESPSKRVRSEATESTLVQRFHRCHEPRQSQQR WTMEQAQTILKRMYGPEAQYRTSNQQQALQYIIQGFSQVVAVLRTNEGKSLLYLLPCQ LPGARTTVVVLPLLVLKQDMLLRCQNAGIKVTIWNQQDESRHLGSSPLILVSAEQAVH INFRTFLLRLQLANQLDRVVFDECHLTLTASSYRKGLALLPTLRDIQCQMVFLTGTLP PVMMAEFEQTMLLSQARLIRSLTTRRDLSYQVVSCPMDQDFFKFAIPWIQQERTQLDS QERAILYCQTQAITEKVATILACPFYHADSGTREEKAQTLETWRNGNPNWIVATSAFG MGIDHPRVRLVIHLGAPSSLIEFTQEVGRIGRDQQGGRSITLLPPSWSITKSSRPGHM ISSDVQAMHAVLDQPNCRVAAMSSFLDGAAVACSAPDPLCDQCRFRQENPESSSTDPT TTCSPNPEENVDCDLTIGSQMRIQQIQQESQQLQQYEDSLQALRGTCVICRILPSSSA DTKKHSFIKCWNPRRQDFLESKKRAQQEGKRFQGWMQRYAGCFRCYNPQAVCSQQGQG TCLYPDLVMQACWAIYQIKAWTEGLLPGLGGEHVQSNEAAYMLWLGQKRATFGVEGLN AAWVAYHVFQQLLTKIGNWF TSTA_080550 MICRKCRYGVWPSQIEGHLKRAHCYILPVVHVQLADELDIPPVR TQAIPQLVGPLGGWQCQLSPRSYLYGHMDEANPWLRQTGWVLYLASFSSEQLLTYIDM PAPPMDDAIKDPNERAIYAIWTAMGELGQVSQQSVIHTGVFVRMEAIQTEQHQTWYQL LEAYQDPETIVEQESRTVNYIITRTALSVGIQLITYVYSPEDDINSDLELDPNLDPLI DKAKEISIPLLTSI TSTA_080560 MGSSATFHGNQKAIIQSIIQRQSPIIAIMPTGARKMVKIEVYEP CKEAIAEQMMTWIEQRVQQLHHGKVVIYTNIIATVQQIVRQLQCPAYYSKAIDKKGML ASFWKQSPGVIMAMSALGMGIDIPNIQLVIHVGRTQSLLDYGQESGRAGRDGGASQAI ILIDGHGRGWGDPPQVDPQVEEYIIGSCRQQILDEYLDGNVDGYIWQQCEDGEAICDQ CQTITSTNTKSIDEASDEASDEASDEASDEASDQASSENITKGIRDPTPARYKRPISP YLIPPVAKRHKNPIFPSPAYYQAPIQ TSTA_080660 MESFRHFIQTEIRQAQQSLETLFLLHDEETREDVVPIIPLSRLK DDPTESRCGWNFLQDVQNTEALPNGQRWLLNYVLKTEWLQEEFLDIQQPSHQFLELLL LLCHITGGQPARGTEILSLRHHNTVHGRHRSIFIEQGLVSTVTSYHKGYHVTGSTKII HRYLPQPVSEMMIYYLWLILPFCEKLEILAFGKTEAPSPFLWPKAHQGEDSSYLSKIL EREARQHLQTKWNITYYRHAAIAISRAHLPSGGFKRDYGVNEKVTDQQGSHTSWTAGT IYARGLKEAPGHVEERRMQYRAISREWHSFLGFKVQLGPRKRLLVERMNLESSTQKRR CV TSTA_080670 MSPSHLLAHLAKKHGHDYRIKTQIQRQQILEEMLKKPWIDPQKQ SLQFPLSTSAPIPYLPIFQGYQCPSTTCPYVAVAKETMRIHILKHPEIPKNPRGPPRA FTHHIHIYPRVHCQRFFLSRVGSQYFAVTPSLSLINQELQTTPLSRANRIEAEVNTAL AQSEAIIDTASNMIQSHRAPTEASPWLEMTRWGDYLCGYSFQQVALLGARPDPLQEPL LAEFASSVSRIIQQAHQSIQEDKINVFDQVQINSFLQHRRAWDRPLFIQLKKATYRSY EHLWQRLLSFVHRSTQPEQPVQLRHRLTPRQLQHLDEMVEYGIEVLAYQGQITRPLPT VIRGSTLAEAQALLDQACLRDLYESAVVGFLAVLGVDAEKRTFRDAYSYTPSLSGLVK MAQMLVVQEAIIQADEDQVEHPADALDEMRERFLIHGTRSPFAWITRLRTYGKKIQNT TTSLGYIYWSED TSTA_080680 MVEYRPSLGSTTQLWLPAAAAAVLVLVSCIFCTVSIQRTGNAKF IAPFVGSKHAWLARWSFFYAAETVIHEGHTKYEGKPWKLTGNDVIVLPHRYLNEIRKL PFHQANAMQANLDNMQSKFTHLDILNTTRLFVQVLKTKVNPQLALMIPTVRRELDAAF ANEVPPPSINEGDWTSVPAFQTIHRIVGRVSARIFGGQELRDDSNWLNTAEGYLHNIF VTAITIRLVPYGFKTVASWFLPCSWKISWNFWKAKRILYPYIRYRKSIVEEKAAEFAR KRRDEFPDVLQYLIEQATGRDAELMSLASMVLSLSLASNHTTAMALTEALYDLCTYPE YQTELREEVRSVIEADGGWRKTSLVKMRKLDSFIKESQRMRPPSLMGYKRKITENITL SDGLQLPAGAHVEFAIVPIQQDNTINSTEFDGLRYYRLRQAPSQAHRHQFATTSESVL HFGHGQNSCPGRFMASNVIKMTLGKMMLEYDFKLDQFKRPEGIHAFEYNFPNPEVHLL LRKRNQMPSV TSTA_080690 MISFLIFSVLMAGLLFAIKRLYFHPLSRFNGPRFWALTLLPYML AFRSGQLAHKVKGFHEIYGDTVRVGPNEISFINPHSVKDIYNKRPNAQFKTLPKDPVR QPPTRPGHPCSILEAGDEDHSRIRKAYATLFSTQALRAQEPLIVSYVLKMTSQLKTRG SQNDGIVDLQKWFTYCVFDVICSLSFGEDFGCLENDRYHEWVGALVFSLKAKVQLASS RYYPWLFNLLVKLMSQSAQAKLIEHKRITREKVQKRLGQHTVRPDFLSYLQASKHDLT EGEIVTNAETLIIAGSHTLQTAITGIVFQLLHNPEALGRVTNEIRDAFASETDMDTKS LMQLPMLGAAIKEGMRLTSPVPLGLTRRVPDGGAIICGSYFPSGTVVSYMQWAANLSG SNYTDPNRFDLERWLDSDSGAGSRYKNDRKETTQPYLQGPRDCLGQNLAQSEIVLILG HLLYNFDISLPEGLGSQAVNNWEDQETYAVWVGNPLPVRLSPRS TSTA_080700 MARKGPGTDGPLQTALLESTSAAIIRASEGQKIFSPIAAFLDKY RSQTTSLAPHLLRALTALSDDLASVAQQHFNAYISGILTTFILPALSPSPSSSLILNP LPPSPPPSRPPSGLNQSTYATITQYALVKSTPTTHSKSPVKKPMPLVKQPLPDNWLFV RLPADHAARKMEAYAIYSSLCAVLKEVQATKTGFTLCPSSLEALLALKAQKETISAFF VNCQIERSSRWVSY TSTA_080710 ASDLLFLQIFSPTGKSTLIVNIYNAPAGFIRAGEAAKALTTLPE AYLPQATILAGNLNLLHNRWQPSLHRSPTPFAELFINWLDLQGLVLISDIDCPTHERG NVLDL TSTA_080720 MKDVFDMSKWYKSTGIFQSPLLKDPLRPNSLPAVTIHEKRDVLV RNLLQNSAEAGDIPLDSPTVPSTSLYFPDISMLQVEESATDLTTCLTHDVEQALNQDM TASLLTLDVKGAFDSVLPGRLIRRLREQGWPTNLVLWIASFATGRSVQIRLDGEIGPS TDITCGLPQGSLVSSILFMLYIAPLFHLGNPRNRFGYADDAANLAISTSLTTNCKALS DLLQEAFNWGAAEGITFTLDKYKLLYFSQHKADQDPTRTPSMKARSITISENTKQLYL Q TSTA_080730 HVRETASKALTVANTLCSLGNTVRGVKPNLLQQAISACVLYKAY YGAETWWLGRTRPGPSQISNRVGEHLEKLTKINPLQYAPWYPREPCGNAQARIGAPMG RTKEQAAANFMAFQCTIPSSDIVPGHAKIPENEAADLAAKEGAASIPPAPHKSSYALL KRYAKTQSLSAAQSQWEKVAPQSY TSTA_080740 MADKSADLPAINPLQYAPWYPRKPRGNAQARIGAPMGRTKEQAA ANFMAFQRTIPSSDIIMFSDGSRLADGRAGGGYIGLQAHHQFLRSSLSYGHGKEVFNA EVEAALASAQAAIVYPTAQFTTNLWICFDNLEVAIRLLSPSTGSSQEIFESFRTLAAA WPLRKRLPHTKSGSI TSTA_080750 MALNPNPNGFEPEGKNHTQLDTYVNGKLTEYREGNDTILWAMFI QDFSKWTLDNLKKLIMLLKSHSVYVDEMNEHLVA TSTA_080760 MVVEIRDITQAYTQAKTKLQRIIIVNLPKEMRGKYPLDSLLLVE GALYGIPKASVHWFGTYHEYYKVKIDMETSMYDPCLLMIKLGAKSFGLVDMQTNNILI IAIEKFARDEERALQEVRFKAKPKTQLSQDTPLEFNGIEPVGTIDRAQKYIEQQARGA YLASICQPEASYDLAITAQLQEKDRFKDDYLALNKRLIWQAENPERGLRFIPLDLTKA KIMIFTDESFTNNQDLTSQIGFLIAMVNEDFSEEGHFIATGNIIHWTSSKCKRVTRSV LALEIYGLTTGFDYGITLVSTIKMIMDRLNLPTIPVVVYTNSYSLYECLVKLGTTKEK RLMIDLMVLRQSYEKREIDEIRWIHGDNNPADAFTKANLNGAL TSTA_080770 MGEETEQDNPPTIASQFMIDRHNKVEVRRLHRQFDHPSVNRLHK LLEQASHDDVDHKSLAEIERYCHHCQMNRQAPRRFKFMLTNNQEFNFEIVVDVMYLDS EPVLHVVDSATSFQAAKFLKSLSTKDTWEAIHITWIDTYLGPPDVILHDAGTNFAANK FKVEAMMMGIQCHQMLVKAHSRIRKVKQYHAPLRYAFNIILAEIGSTVSKDVVL TSTA_080780 MDKSVNPYQWGFAIYRTVYTPQSDELWSSVLEKLESYMELSCKD PGYRDELSNIVVWDREKFNNASIDEIRKDFRREMLIPEMGDDEIKSDDDAATEDRKQD LYCQKQAELAEELGDEALWGEVNGSYCLLIDDEVFQSILNAPEPAKGMKMKWGTYEYI GFVKVVTVYERSFRNEHWPGWGKIDFRLLWWLRGEDEIEREAPVPLPEREGVYELPVI TGDL TSTA_080790 MSSYAITGASKGIGLEFVRQLAVDTSNTVLAIVRNPKAAEISQL ASKYPNVHVVKGDVTDPKSILEAASTAATITGGKLDVFIHNSNASDSTTAALNPSQIP FDSEAVRAIFEPSFSTAIYGGLWATNAFIPLIEKGSQKKIVHISTAMGDTDFINKTGV SYAVAYSVAKAGMNIQVAKYAAELAPKGIKVLALSPGWVNTWEGEKPAEVVQGEEYML KQFQVVDPELKGQILPEESVRKCLEVIGRLDEKRSGLLLSHHGDRERWT TSTA_080800 MEPTVPSVKRRACMACTTAKAKCTPHSVNMCQRCARLGKSCTYL DISQTKRKYRTSPSRVGLLEKKVEQLTSQLAVLARQNGQTLPDTFTPLTNNDLHLSRD YEADSTDIAALLDAAKDPSHGINPPTSSVFEGQPSIVDRGLMSEAEAERLVTTYRLDF VYRFPFVLLASGETAARLRAREPFLFLCVVGAAMSTAHPLRKTVAEEIMKHVTLRVVA RSERNLELLRGLLVHSAWYSYPAERYHPRLLLLIEFCVSILYDLRLHRKPGLNPDEQR ALLGMYWLSNGLSGSLGRPSIMKHDARIDDCVATLGSIGHLSDRCITSFIHLQSFLTT MDQVYASIHASGGRALVQVTRGSLQRQFDSMRVYVEKDLSNCPPSTDNAMRIEMKYAE MRLEELSLRDELWISELTSAVRTTMLMGIIQRSKELMYMIKNLPEPEMNHMTITTSAR LCAAIGYMPKAVSTLLNLISTGSADSTMEAQVQAVVDVAEYPNLVTELANALETKFEG MSAADKEADIVGSICSKMRLLARCYPYQIRVIVGNAPPSQDARPDTAMMAVDANEVTM TQVWPSIYGDLDDMFPINDIQWDSLLSDFTGFS TSTA_080810 MSNINKDSISSKYIDQFTKLYKRGILELIRSPFFKVLFERKPQV LSFPAI TSTA_080820 MGIPEGMDLDPKKYVLKLRWSLYGLKQAPRIWWDRMTLFLLKAG FYQCDAELAIFIRSLDNKFLILLLFIDDILLTGDQDVIEEFIKECCNEFKTQDIGTPR RFLGIHIEHWNGKVILHQKAYIQRILERFNAPMNPVATLLDPKHPLIKKLGIKYAAAL KRVLRLATTSYEVIWLWKLILAILSQYVEHTMPSNIIYCDNQGAIATANQPSHSPSTR SKHIDICFHVIREAIANGLIRLEYICTTEMTADILTKALPKELHKRHVKGMGMKSI TSTA_080830 MSASNTFTSGEKLPILDYSNWVDWSEYWQDHLILYDLWQYIDPT SIVMVPPPTTNVNRDIAKMLTENLTKIRQHVSPECRKLLVGHTNPRDLWSSLKAGCDR GTTLPLIAQYKSFHNNKWELKDTISTYTSRFRNIFLSLENTSYKIHRDIAVHILVDRL PDCYKTKGQMAKQLNLPFIEIVTYLLANIKDSSSEGDNMSGQALVTRGRCPNRRTSSR NLRNGGNNSNSNRRERSNRNSRNKRLICNWCKREGHYERDCHIRQQQLDSGAAKLDRG RAYLVQQPSSLQPPPQPLPLLAPPPPQANFSSSQSQSSESNAYSYPSHLLLTRASYIN SEIQQQDYLSWILDSGATQHFCNSKLDLKDYKHFLEPREIYLGDNTTIYAEGSGTQHL QVGPYILVLNVCKSFNRVHADLIPLDGISLGGSKYMLLLVDDYTCYAWCYFASSKNVP AITPLLQGFINLVLTQFNAIIKSWRTDGGTGEFINSMNSVLERQVQTIKNMERSMRAG AGVLDDYRLQAESLATSVFLTNILPSTTLGNISPHLLLYKKQPPLTILKPWGCLVWIH LRKEHRSSSSDPRCRPAMMSIYKCLDLHTLQTSNHSEIKFDEDLFPGPWLKRPASFKL SIAHKRNLPGSAVNTVLGQSVPGALLNVSSVPFSLMNPFWLQQSQPPADPVNPEDPAK PVDPMELADVAQRALDSPQELVEAALIVQGMESLSCPSWQTAERIQTDHNGDPLSYLD ALLQDPIRWPPAVQEELKSHEENGTWIVQEISQMPKGCKPIPGKWVFKRKPSPDEGIR YKV TSTA_080840 MTSPLKDLDNMSKLPPEANGAVVVGGSNAAIYATFISAKAGARA AIHHDCGIGRDEAGIRGLLWAEQHRMAMAAVATDSARVGDGADMFQRGVISRVNRIAA MCGVENGQTVVQAAELLKTAPWPHADVQPPVEGRTFIHGVLCIDSLLLGNPEDSGLVV ATGSHGGVIAAGMTSSFRPRLAFFNDAGFGADRAGVACLPILDSEGIAAATVAADSAC IGDGKSTLTQGIVSAVNETAYRLGARVGETALKVARTVVEIA TSTA_080850 MATPPRVQLVFGAGGIGEGTITHAWTTGEQTSELLDVLKELEIT ELDSAASSPPGSPRVSERLLGESRAAGKGFVIDTKIERTAISGGLSEAAIDSSLKKSL ELLGVKQCNILYAHFPDPNTPVEESARAFDKHYRAGLFRELGLCNHSYKQVREWLQVC KRHNYVKPTVWQGHYNAIRRAPETELFPFLKENVIRIHAYSPLAGGFLTGKVSLATEA GTLSGGRWEPGRFPFYIKAFDKPAIHTAMIAFCKKCDAAGVTPTEVSLRWIVHHSALG EGDAIILGASRLDQLRSNVEFCKKGRLNKQLLEAYEELWKGVEKDPPEWF TSTA_080860 MAEITDQPSAFKCSICRKSFEQGCIPVHNRHANATSDVVDESSP HHHDRSPVPIVSAGIAPEHWDTISSDVLESWTYQPILDGGQTIIDNSLTAFGETSLVS LGASFPPATSSFGMSEIYGSSYQLQAPYSLSPLPLPSETMTLSDPSSQMLIYCPWRQR DSGIPVVASKPLSELTLVGGEDKLSPIRSRWINPLFKPPNRTVSVNQASARFMTQVLK CYPKMIARDGTLPPMIHRLQTTSRTIPTPLVDCLAWTKMWEHRTGNLDAILLNAMQGE VERLYKNVDLLAALQASLIYSIMICLDSNTTAKYGTQLVLGQLQEMAYRLLAMTEFPR ADIFSKVPDRELWAIASAAQRTVLAIYVFDCVVCFLNRIPVYSCDELDFIPAPVCRRL WEAREYETWREEYGNWFKAWKGREVLMGELLIQHTESYASKRVEEWLSEADEFGMLIF TGSLLSVNSN TSTA_080870 MANQSIIPEISHFITSYDPQGKSTFLAAPNPPLVQQSNDFLRVD YIYSTVASPNGPVLTDMDDYRKNEDVRREHPYVMFPLAGGSAAMVASFAPNPDGKDGF MHRSQTLDYVFIIDGELELTLDSGEKRIMKPGDVCVQRASQHSWKNLSKTEFARFGAV TLGIEGAKLNEMIFPEAV TSTA_080880 MATVAPVADYASNVWMHACKAKGTQYLNRMQKQGAIAVTGAFRT VATAVAEAEAGIQPFHNYWKQKQKWKYGDQQAENVNQKSNCFKLKQLPSDLLSMQHAE HNVERDILPMYNDEGMAFMPYGVLGSGYFRTSAQRAAEKQDPETKREGRNIAFIDKPR KAIMADTLEDIAKARDTNLTSIALAWARSK TSTA_080890 MRWRDAISITQQVKIKKGTTGYTEALDLNPLCEPPVKRPPKPIA CFYIRFPDEEKGDYYRALYLSERTVSNLTVKIAEKCKVNPGCIVRIVRVSLSGVETAV DDDIVQQVPEGQDMVAKVTEVSRLQTSTTSISTPPMATVEIRLTY TSTA_080900 MEKSDLPSETARRICQVYGRAPGGLAFQHKSQKERKYFDSGDFA LTATGKTTDNGVLNTGETHPRRSNISRPHASVPGNSNVQEDANETLQDRKSSDFVMTK SPLHQHTDQQSKKSARPKSHEAI TSTA_080910 MGPKRGKKGTPWPEPRFADDPDIRAYVAAAIKDLEALKKHQEAL EIGMPRELSTSVVDAFLYLARRVKNTPTNEQLAQRLAKVELHVEKTQKEAWGRKVPSN PPTVPSVGLSSGGSLPSTPYPSQEDLEVYLEHTDPNILNPIRRFPDKVVEKANLAIRS TQDTTIAHRRIAAAHILPSGDIILLLGTVDDVDQLTRKKDWIRAFGNEARIRKRTWGV VVHGVNTNINPKQPQFITTLTSENAPVFAQLPASMNVTHTGWLLSEYKIKEQKLTNAH LVVIFDDERIANFAIQRGLIIKGRQHNVSIYDKAANLQQCFKCQMYKHIARHCQRQIC CTYCAGSHDTGDCPTPKEKEYAKCANCTAENVHIKDPAKRLNTKHFAYARECPIRATC LAEAHQRRTYGPQYHTPVIRPGNSQPGAISPNNPTPAEAANTERSPRAPARTATTRRS ANSRSKSAAAARKRVAERSEPEPISPTSGDPTNRSSKKPMRAQWDKDLVIDADPNPEP KTGPETQIKYTYNTRARQNTKPPPGTPVLQLDIAPLEISHVQAVRTVRQSKSVRTIPD DDSSEDELTQPSIHKAPQDLIELAQEADTLMTTNLEDSTWANNQ TSTA_080920 MHLFGESEVQNYDILAIQEPYINKHTDPLTTYSLALKGSFHILL QPTPKEEYKKRPRVCFYVNRGLDPATWEVQYHNRDLSTLTLHTAAHGTIHIHNIYNPG VNSNKESVISALQTAMAPRAQHIVLGDFNRHHPLWAGPRYRHVDEEATELINLMDKHG LEQLLPLGTITYERVNAKSTIDLVWASHNLANRVVSCDTKPEWWYGADHVPISTQFNL TAIHWDLFLKLMDIYNWHPRELNDNEAINEAIRYLVEAINQAAEQATPTKQISIYSRA GYTPEMAKLKHHVSRCRRHARRINTDQAWEDYAEARKEMKRRTNELARDLHRQRIEQA TESIDGFWRIARWVRNRGKPRATFTPTLHYNNTSYTAPKEKAALFREVLHPEPPEADL SDIGPQYRYPKPYTMLPITLDEVRTAVTNVKPDKAPGPDGIPNLVLQRLLPTIEAYLV NLFNACLRQQYCPDHFRKSTTVILRKPGKPDYSDPKAYCPIALLSTIGKALESVLARR LSYLVEQYNLLPKQHIGGRRGRSCELAIHLLLEETHSAWREGSRVASGLALDAAGAFD NVNHIRLIHDLRKRQVPDDLIGWIESFLSNRRTSITLLEGNIGEFLVNTGIPQGSPLL PILFLFFNADLIEQILAECPDVIVLGYIDDIFIMTYGTSAAANCHTLTKVHQVAERWE RTHASKFAPAKYQLTHFWRKHQMVPKPSGRLDVPLIIKGVEIKPTDSIKYLGVYLDTH LTGEVHVQEMRKKAAKLVAGLSSIAGSTWGTPLVHLRKIYTAVLQPQIMYACSTWYIR GGRGFTGAQRAAEQAIRSIQDQALHQISGAFKRTSRQALEVCLHVPPAELTLAKLAEE ACLRIMTSPLRSTLYQIRGQAHCNDPYTSPLHRLETAIDRKLGSDTSQRIETIYPFVV PPWWEPPEARIDDTREEAIKAIEAISGTDTTIQFFTDGSGFDNGIGAAVYSSIGQAYK PVGSSNTHTVYAGELEGIDAALEILLRSQPCDDNPHEATIYTDNQAAIRATCQPGRSS GQYILRRIVRHLGLLRDNRSRWRSNHVDSAWMDQPLDTLEIEPGTKT TSTA_080930 MKKRISRHTRSSSEAIGEVFTRASKAYEMSINELTITQKELHDL RAAYEKEKQKRQKSKKQISHDQGITREEAQALLQGQVEASQAVTTAPAEPELPVSHPP KTSMP TSTA_080940 MPPIRNKNEKNLAEQEGRILLAISDLKNGKISSVYQAAIIYNIP RTTLYDRLNGIQQRSIIRANGHKLSQFEEESLVKWVLDLDKRGLPPRHSLVREMANYL LSQRGNQQVGEKWVYNLIQRRPEIESKFSRKYNYERAKCEDPKLIQEYFDRVREVISK YGILLEDICNFDETGFAMGLCATAKVITGSDRYARPKLLQPGNREWVTAIEVINSTGW ALPSYIIFKAKKYTWLGWFEDLPDDWRINISDNGWTTDKIGLEWLKTHFIPLTNGRAM GNYRMLILDGHGSHLTAEFDRTCTENNIIPPLDVGCFAVLKRHYGQLVEQQMRLGFNH IDKLDFLTAFPKARTMAYKAQTVRNSFTVTGLVPFNPD TSTA_080950 EALLNWIILTSQPFTCVEQPSFKTMLRSTGSQDSILSADTILCR LSLWLDAVDSELRGLMSSASSIALSLDGWTSQNSLPMLAINAYWMSSDFQQYQACIEF VEIKGNHLGENLANIVTIALQRFHISNKVIIITANNASNNNTLYQYLHQ TSTA_080960 MLPDGEKIIVRIRAFLKKAYPAQKKPISTALSANYKSLEYRFLG TFQPTQYNISKSDIDQYFDTPTISTGFDPNQSQTEFIRNWWKANKLKFPCMAKVAQDH LTIPAAEVDIERLFNGGRDILGIRRFSMNVRKFVRSGIIINSSAAHTEHLRRLGTTAV LDLQAAPNDFASAIGDDAALDSILDTISANVTKQLSVDIVKAIKLTKAGTTRIITVKI KELDFEAFDADNEPKANFTTILGTGNLPSLRYMSEPFAKPLGGNEDYIARSLFEPNRP VVLLEG TSTA_080970 MATKVLGFAAIAALFHKVTCQQAPTPDNIASLLTWKCTNSGGCI QQSTSIVVDWVYHWIHTVNGSTSCTTSSGLDSNLCGSEQECYANCEISPATYDSLGIK TSGNALTLNQYVTSNDNAGKNYEMLQLLSQEISFDVDVSNLPCGENGALYLSEMDVTG GRSQYNPAGASYGSGYCDAQCGTGTWFNGSINSGGLGSCCNEMDLWEANSKATALTPH PCSVEGPYGCSGSACGSTGVCDKNGCGFNPYALGDQSYYGQGFIVDTSKPFTVTTQFV TSDNSKTGTLTEIRRSYVQNGKVIANAVASASSGFSGANSITESFCTTMDSEAGTLGG LNTMGEALSRGMVLIFSIWNDAGGYMNWLDSGNSGPCSSTAGIPSTIEANDPGTSVTF SNIKWGDIGSTVSDTGGSGSSSSSLISTKTSSTTTTSATRTATSSGATQTHYGQCGGM YYTGPTVCASPYTCHVQNQYYSQCL TSTA_080980 MFDMQVRKTSGSSHMIIDEQYGPARHEWYKTVAVLTKNFFVGYD IRNAMRLVPLAEDVLALGARTRSTKTAVTAVETTLDKVYPLSVTLAGSERISTPVGLT DASQIELVWRERWYLEFFRNNTALQCSGFFMHDFWQRLVYQISEEEPAVRHASIALGA LHWNFERSKTGQGEPDPVFPLQQCNKAIACLRLQLHSTSRPYRAHMETGLVTCLLFVV LAFLQGDAHTARRHLQSGHIMLREWQNTVSEENSEIKSTLVKAFAHMQLHWSTVAEPE TSTGEDDMDTDDGYIPLSNFGLSETIDSLEKAGNLLVGLGWIVLQAHPQLSASTKANN LLKYERSTILTKLQRWMTELTYSLVRRGDVLMPRDRATLMVLELWSEIIYIKASTWSE TNERVFDKFYSNFQRAVQIAKELLTSNSTRSPLPTFSVGTGIIPPLFFCASKCRDWVL RREALLLLRGWQLQEGIWKTSLTAQILEQLIQIESEGFLPEDVIPESARIASMHVEIL HEDQKVRLWYRRSAHFEKRYIQLLSNP TSTA_080990 MRSDVRKELGYALLTELLAYQFASPVRWIETQDVVLHQQKSERL VEIGPSETLLGMAKKTAATKYKVHDAALALQREYLSFKKHAKELYYESGEGDDVSDSP DKLKPTEPTPLSTQAAKAIEPTTVANDVKSDSSTQNALSTGRGSNSIADKPLSAIDII VALIAHKLKKSWRELDRKQTIKQLAGGRSTLENEIVGDLGGEFGSVPDAPENMELIEL AAVIETQGMWSKSLGKTTQAMVNRLMSSKMPSGLGSGPVRDHLRSKWGLPPSRQSAIL LRAVAQQPASRLPDTAGALAFLDNIVAEYASDEQLDLSNTSSPSGQVGTNTNIVVDQA AVAALAKTQDERTNSILELYAKQLGHDLRAGDKAASKAQETISQLQDELQLWLSEHGD IYASGIKPIFAAEKARKYDSFWNWALQDSIDLFYGLLSGRIDLTGRAVEIMMGRIARR SNPKLIQTLKYFKILLPGVENPRALDIEDILGRLITACDSGSSPQSLQTLANRLVISA PKTMIDGQGRVQYAEVPRSGIEATPPVDLKTLGSQGWETRADLTQAFIETLRLSDTRP LSFQNAQVLVIGAGRESIGTEIVCGLLRGGAKVLVTTSNYSLATTQRYQQMYAKEGAS GSHLIVVPFNQASQQDIESLVSYIYDSEKGLGWDLDHVIPFAAIPEGGREIDSIDSKS ELAHRMMLTNTLRLLGSIKRQKDMRGFDSHPAQVILPLSPNHGVFGGDGLYPESKIAL ETLSNRWRSESWGNYLSICGAIIGWTRGTGLMNANNSVAEDIEKLGVRTFSQVEMAHY ILILMSPPIAAESEITPVSADLSGGMGHFPDFKGAVSSIRQHQKEVSEIREALAKEEE IERLSLTREPIAGLVETRQPKARIDMQFPRLPDYKAEILPLARDLRGMVDLERVVVVT GFSEVGPYGNSRTRWEMEAYGEFSLEGCVEMAWMMGLIKHHNGPLNGRAHYHGWIIVE TKEPIQDLDIKKRFEQHILNHSGIRLIEPEINDGYNPERKKFLQEVLLEDDMAPFKAS KEVAEQLQLEHGDKVDVIPDGEDFTIRLLKGACLMIPKALRFDRAVAGQIPTGWDART YGFTDDLINQVDPVTLYAVISTVEALLGAGITDPFEIYRYLHVSEIGNCIGSGLGGVK ALRKLHKDRFVEKQVQNDILQESFINTTAAWINMLLLSSTGPLRTPVGACATSLESLE CGFESIVSGKAKMCLVGGFDDFSEDVSYEFAKMKATVSSEEEIKKGRDPREMSRPAAS TRSGFMESQGSGVQIITSAQLALDMGLPIQGIIAWVGTASDKIGRSVPAPGRGLLTNA REAPLAIRSPMLDISYRRQRLMIALDRIEERVADEISAAEQEKELLKRGSRPSAQQRL EEITQRIRYIRQEGVRQQKDVLNQYGNHFWKNDPEISPLRGALATWNLTIDDLDVVSF HGTSTVLNEKNEVDIVQRQLKHLGRTKGNPALGVFQKYLTGHSKGAAGAWMLNGGLQV LNSGLVPGNRNADNIDSALQNDYIVYPSRSIQTTGVKAFSVMSFGFGQKGAQALGVHP KYLFATLDEATYEGYRARLQLRQQRAAQYLRSGIANNALFTAKEKPPYHDAEEHQVLL DPTARLSEGSSNSFTYGMNLGKGDDKFDNGI TSTA_081000 MLHSSVNEPWHSVQISIAASLGGLFFLTLLFCAYQLWFHPLARY PGPFLAKFTRLYAGWHAWKGDLHIDMQRCHEKYGNYVRYSPNALLLNTSTGLHDVYGY KSNTQKSQLYNAMVHRAPNTLTLINKKAHGRKRRIISQGLSDAALRRYQPAILKHVNE LCSVLVGSDVKQWSIAHNMAHFCNYLTFDIMSDVLFGEEYNTVTKDEHRFVVKAIEES NVRTSVLSQAPILTFRRLDRWLFAKSIQGRNKFIQFVNKLLQSRFKSAKSTRQDVFTF LMDAKDPETQQSLTLAEIGAETTTLVVAGSDTTSTALASTIFYLSHDPKWYNVVAGEV RAAFSSVDQITLGTTLSSCVYLRACIDESMRMSPPAGSALWREVGKGGGVFDEQHVPE GYDVGTGVYAIHHNPEYYPEPFKFRPERWILAGSDQGGLGTATRESLTAVHNAYTPFS IGPRSCIGKGLAITELTLALATILYRFDLSLGGSNEEMRVGEGAPGLEPGRHRTNEYQ LYDHITASKDGPMIRFRKRDMA TSTA_081010 MTFAPPAANLDPSIDWAKLGLAVTDIVNGHVECRYDAEAGKWLA PTFVRDPYLRIHGLAPGLNYGQQVYEGLKASRNRDEEILLFRADRHARRMAHSAYYVS IPPIPEELFLDSVRLAVAENAEFVPPYATNAALYIRPLAFGSAGHFALTPPREYLFCV FVQPFVTYHGSGAADALIIDEFDRAAPRGTGSAKVGGNYAPVMRYTDKARAEGFPLTL HLDSKTQTEIDEFSTSSFIGVKSGNDTVSPTLVVPDSKNAIESVTSDSTVAVAKAWGW TVEKRPVLYNQLADFTEVIAAGTAAALVPIRSITRRSTGDKFTFESGPLCQKLSTYLR QIQRGESSDFPEWVEVLQPPEVVYNKYKTAELPTYGNGHENGLAEN TSTA_081020 MTPSTLKVGCAGAGRMGKRHAMNLLHRTPRADLVAIFTPDADER EWAKANLEPYGVAIYDDYDSMLQHTGLEAVLIATVTTVHAEQAIKAINTGKHVLCEKP LSTSAEISQSIVDAANKRPELKVMCGFSRRFDASYRDAWTKMSAGLIGKPSVIRSQTC DKIDPTGAFVAFAATSGGIFCDCSVHDIDLILWFYGQESKVKSVVASGITSLHPELRE YNDCDNAVGIIEFYDDRVAYLYCSRMMAAGQEDTTEIIGTRGKLVVNGHAQRNLLSIF DASGARRELPAHYYERFEVAFVDEVNEFVASCLDDTQLPMQLSGAVDAVKIAKALQDS LVSHQRIWFKQNGERSDKALL TSTA_081030 MSPSINSADNATSPNVEYTNEFIRTQAVYRGTEATKDESGSVIA TPYEKKYEFKINRKVPRVGVMLVGLGGNNGTTVTAGIIANRLGLTWQTREGEQSANYY GSIVMGSTIKLGVDPKTGEDVNIPFHDILPMVHPNDLVVGGWDISSMNLGDAADRAAV LEPGLKNQIRKELSAIKPLPSIYYPDFIAANQGARADNILEGSKASRTHLDKIRQDIR DFKSSHNLDTVLVLWTANTERYADIISGVNETADEILQSISDGHSEIAPSTIFAVASI LENAPFINGSPQNTFVPGVVDLATRHKAYIAGDDFKSGQTKMKAALVEFLVNAGIRVK SIASYNHLGNNDGYNLSAPAQFRSKEISKSNVVDDMVAANTVLYKPGEHPDHCVVIKY LPAVGDNKRALDEYYADIFLGGHQTISLFNVCEDSLLASPLILDLVILAEILTRISWR ETDSSSSISDYQGFHSVLSLLSYMLKAPMTPPGVPVVNALGKQRTALTNFFRLVIGLE PESEVALQQRLP TSTA_081040 MIPAMLLSELTWQSIALAIGVIFLVTNLKGIPGFWHLRLIYCLQ QHIIFNRNRRVDIVTHGAQSLFYPVVTTSRNWLIECDYNMHKSNATYFTDLDINRVHL IASLFKDQMALGFSGGDLNIALGSTACVFRREIKPYEAYEIHTRVLCWDEKWLYLVSH FLKTKKGSPIAKGSASSEAINKSIAASAITRYVFKRGRVTVSPETVLSAAKLLPPRET PTESIEGSPTSTWTWDLIEAERQRGLQIAKSFLALDALHGTLNSNDGFGLGLF TSTA_081050 MITKNTPASSASSEFAVLTPDIAASLPVSSDKATLVARWDNLET HIELPASTLSFANRLWEDCATSLRSLGSQSDISTNEEIVTEFLDFCMMRALEHGYGDD SRDALLIVESLLDSLEQDYLDGNEIHAALQSIDIPLKRKLSIVRTYFNAQRMLDRSPE SLPSNLLQAASKGSARIYALFGGQGNDEKYFDDLREMHSTYPAFLKIFIDSAARLLEC LSAEPEFKIHFPKDFKLLQWLQNSDSEPDAEYLLSAPISMPLIGLLQICHVVVACRAM GLKPRELCSHLSGVTGHSQGIVVALIFAVSDTWEDFYRHSQDALRILFYIACRCHEWY PPQFLPEAVRQDAQDNGEGTPSPMLRVRNLRRETLQKSIDQVNKHLPESAQISISLIN SPMNMVVTGPPLSLHGLNLHLRTMKATKSNSAARIPASQRKPNLESRFLFVTAPFHGS CLKDVSALVLQDLKGLKISASQLHIPIFGTTDGQDMRKFQEKNIIPDIVRMVVEEPVH WEKATTFPGATHLIDFGPGGSAGLGTVTVHNKGGLGVRLVLGTSMKDSEEYGNKAEFF NRDPGCPVQYATSWAEEYKPRLITDPTNKVQIRTKFTEIFGLPPVMVAGMTPTTVAPD FVSSVMNAGYHIELAGGGYYNANDLENAITNVAQSVIPGRGITCNIIYANPNSVRWQI PLLKDLRSKGLPVDGISIGAGIPSIDVANEYIRTLGIKHISFKPGSVESIQQVIDIAR ENKTFPIVLQWTGGRGGGHHSYEDFHDPILQMYGRIRACKNIILVAGSGFGGAEDTLP YLTGEWAQLFNASSPMPFDGILMGSRVMIAKEAHTSIEAKMLIADTPGVEDSDWEQTY QRPTGGVLTVRSEMGEPIHKIATRGVQLWATMDRTVFSISDKALRVAYLKENKNQIID SLNNHFQKVWFGKNHLGKACDLNEMTLSQVILRIVELTFVRHESRWIHPSYQKLTLDL LQWIEDILSTPEDALNMRFWRSMAQIEKPTLAIEQFLARNPKVSSYLMDTPCIQTFLH LCRRPGQKPVPFIPILDEDFEFWFKKDSLWQSEDVQAVPGQDVGRICILHGPVAAKHS TIPNEPVKHILDNIYHQWVSALVNKGHDITSTQIPLPGTENVAQLAATGISSNETYDP AMYIEKPECLDDWMNALGGAKGSWRHAIFTQKTIVQDHNICENPLRRIFAPRTGMQVE AHSYTCRGQCVVRLLETTADGMSQALTAEVRAVDQGLITVTLFENRTVNAIPARLELL FSYHPEITFAPIPEILPGKIDRIKGFYCQLWFGQPSGSFDNLRRDDEFQGGIMVIRRY NIKEFSHCINYKLYAPMDYAIVVSWKSVMKPLLTKGINGDLLKLVHLSNQFRLLEDSD PIHEGDVLSSSAHVRSVLNEDSGKVVEVVSRIRRDGDSNDIMEVVSRFMYRGSYHDYE NTFQRSKEPKFQVSLTSAAGIAILRSKKWFISNNPELDLQGLTLTFDLETHAEYHDKH VFKSLRCSGPVYGRTTAGRILNIGHVDYRAGSSFSNPVIDYLNRHGTTIDQPVIFEKP IILGNGEIRFKLPPSNEEYARVSGDFNPIHVSTTFAEYANLPGTITHGMHMSARVRNI LETLTAAGSPKRVRTYKCSFVGMVLPDDNVEVVYQHVGMIRGRMLIRAEASRVGTSER LIIAEAEVEQPNTSFLFTGQGSQEKGMGMDLYTSSPAARRVWDRADKHFFDNFGFRIT DIVRDDPKELTIHFGGVRGRAIRDNYIALECEMIHPDGRVTSEKMFKDINAASRSYTF RSALGLLSSTQFTQPALTLMEKAIIDDMKEKGLVADNCSFAGHSLGEYSALAAIAEIM PVESLISVVFHRGLTMQMAVERDALGRSNFSMCAVDPSRLSKTFDEERLGYLVSLISS ETGWLLEIVNYNVSNSQYVCAGDLRALDCLSEVIGVFKAQDEAFQDILSGRSPLDEIR ACMLRTIRKCANEVKGKPQPILLQRGVATVPLRGIDVPFHSSFLAPGISTFRDCLHRH IDKYSLDIDRLVGKYIPNLTAIPFEVSEEYFHEVYRRTKSPVIGNILAKVSVYPFTFN DRVLTMWKLQWNDYANMDVVH TSTA_081060 MLNVDNINRQVSYVVLASIQTTLVVLWSLPGREKARSTLPAAIL GVAAALALGVLSNLEHARSIKPPALIEIYLFFTILLDAVRARTIWKLSSDKTVFIVFI ISMFVKFALFVQESWPRQSYPSSDSYTPEEKAGWLNRRLFWWINPLLLLGTRKSLEMK DLPELSHDLRSQECWKSFSESWKEASPIFKTKCNGLLLFSCWAFKSMLLKAVVPRLCF TGFTFAQPFLIDAVTKYLQNSASEANLSQGHLLILAYIIVYIGIAISEACYQYMTYRT IILMRGCLVPLIYEKTLSIKMKAGQESAPLTLMSADIEKIAFGMRYMHEAWGNVIEIA LALWLLYDQLKYGGLSPILIATLCGIVAATMAPAIGHRQAKWVNSIQKRLGVTSYMIN SMKSLKLEGLTSWFMNSIQNLRIDEIKYGNQFRSFLIYAVSLSFGTTVISPVVGFGIF VATSNGPLTTHKAFTTLALFSVLQTPMSMLLQAVPNLISALGSLERIRLFLLTEDPPQ EITYKTLHKSDQTLDTLIELKFTQAEHPNTIVAENWSTGWDHDVPIIKNITFRIDSSS LAVICGPTGCGKSTFLAGLQRETPYENGHLRCQTLGAAYCAQEPWLQNGTITDNVIGP SAYEARWYREVVEASGLSKDINLLPLGSHTMVGSKGLSLSGGQKHRVALARALYSRKA LLLLDDIFSGFDTGTERLVMTNLFAEDGFCKKHKVTVILVTHSANAISFADQVISLDK KGHLIENDGSLLSVSMKMSTTDLHEDAVDKGNMRHGIARNSNLQVELAAQVETAEASQ KIGDYEIYQYYLGIVGWLNTIVFFVAVAVFTFGLTFPSVWVQWWAAADEKDPNHHLAL YLGVYSFLAVLAEVALFLGLLTQCTHLMSNMIPRASQKLHFILLETVLRAPMSFFNST DSGVTINRFSQDLQLVDMELPLAIVETTAAFALCIAQLIIIFVTGKYIAAIIPLCLAV FYLLQKFYLKTSKQLRFMELEAKSPLYSKFMETVSGLTTIRAFGWQKHFLEQNCSLTD ASQNPYYLLFVIQRWLTLVLDFTVGGIAVLIVGVAVGAHGSIGAGSAALGLLNIINLS ESFKQLISNWTVLETSIGAVSRVKQFKVDVQPEQELEDPLIVSESWPENGHIEFHNVS ASYSQDGKPVLSNMNLSISAGEKVAICGPSGRSLEASEGTVAIDGLDISTVSHDQIRS SICCIPQEVTILPGTIRQNIDPRGISHDNDIVEVLKEVRLWDRISTGLGGLDGYIHGD TFSQGQRQLLRLASAVLRIRKVVVLDEATSR TSTA_081070 MASVATPILEITNYESFPQERHIESITNDENRYVAIPRTHLPTI NATLDRSFKSSDVACIAWALAVRCYTCDSVTFALEDCHLKRLISVYSSEFQTVKSVHD AIRAQLAKSDSSSKNLDVEENSSQLFRSRLIDHGELKKRSMLDRDSLEHVSEYDITVH VSHVANELEIVLAYKPSAEDLAMSTADTLCQTLDMVVNHPSADLAKANFLGPKNLRMI SEWTSNPADPSVACAHELFRRRAELQPGSLAIDSWDSQMTYGELDSLTTRLSFLLVEA GVNPDIIVPLCFEKSAWYVVAMIAVLKAGGAFVPLDPAHPPARLQEIVSQVNPPVILT SSKNNSLFTHVDVNKIIINDDLASRLPTQPSEDDRVSNVTPENLAYVIFTSGSTGMPK GTMIEHQSFCSGALRQGEAARMSSNSRIFQFASYAFDVSILEILTGLIFGACICVPDD QLDRADLARSMNDFRANWAFLTPSVLKIISPEQLPLLKTLIVGGEPMSQSDISTWAGK LQLMNGYGPSECSVAAAANTDLTPHSSPQNIGKAIGGNCWIVDPNNHDILLPVGAVGE LLIQGPIVARGYLNDPAKTASVFITNPAWYMHRNNHLWNRFYKTGDLVRYDADGLLHF IGRKDHQVKLNGQRMELGEVEHHLWTDSHVQYGIALVPKSGVCKSRLVGIVSLQSQLS ATPTQESREFHLLNNEQVTTELDDISKRLGNLLPPYMVPTIWIPLDHFPITSSGKLDR KAVTEYVTHMSLEAFQSLMGSVDNNSDSKSLSQSEKFVRKIWSDILKLSEDKIHQNST FLSLGGDSITAMAATSELRKFGTKVTIADILKHNLTKVADILEESAIAGIFQDGDVDK KTQELPVSPYQRLLLDRLLEGDTNLHHVTWFKLEQKSRLRQIIHAFDSIVYAHPALGS HFYMKDDLWLQRFVIHDELDTPSYHLNVSHISGLHELHDTLHHRQATLDTFGHPNFLV DVFIAHDAQYISVVAHAAAVDSHSLTVIVNSLRDTLKSLPDDLGVQTEHGSKLRQDFF DSWNHFLTTNTSGRTVFFGASNSKSTASTSAEVFPYVRKRFQLDASHSCLLKGSCNLA FDTTEDELLLTALILSYEQTLKSHDPSNTPVILVEDERITSLSNPNTKTYIGQFSSTR QLRVPVERDTLMTRQFIREIKDKIRLSGSFSSQTETLHSLDIVFKYLDRSKVEDYPFG RPLETADLNGRYDFIVSTPLEVAVTFSNKQFEVVILSTKDQESKLSLFSDKYQENLLK IIEITSSNTQREFTLADLPLLSLDYKALDKLNHEQLSKLELDVDDVDDIYPCSPMQNG LLMSQARHRGSYDISVAWEVSSSADIGYIDIAKLESAWRTVINIHPALRTIFISGFDG KEAFLQVVLKKPRIQTSVVREVDADIESILNQSVDLESQPLTPPHRFAIYVTEGGKVF CRVDASHTIIDGVSKSLILKDLKQAYDGELLSGSPGVKYSDFIRYINTNDSPASISFW KKHLSGIEPCYFPVLTSETTARELRDVSVVLEPSVEAIHNFCASHNITVSNLIQTVWA LVLRCFVGRDDVCFGYLASGRDAPVDGIVDMVGPTISVLICRAALDDSSTIKDALRTC QMELLDSLSHQHCSLAEIQHALELSGMALFNTGISFQAISAMDKSIETGSINFQDIVV REPTEYNIALHVTDSPNSLDIKFAYWTDCLSQSQAQSISGTFSSILSSIISGLDSRVD QLNYVGAHDLSQIAAWNEEEVEHSNVTIPDMIYQQISKAPNDVAIQSTSVTLTYEMLG KMASDLSKQLVQAGIGAGHFVPLAFEKSVWAIVSMVAVLATGAAFVPIDPATPIERFR EVIDQTGAKFLLTSSKYAHKLKELGQTTIIVNEITLKAGDKITISQEELDRVRPSPHD DAYVIFTSGSTGKPKGCVVQHSAFCSGALVQGRLASLSPASRVLQFASYSFDVSLLEI MTSLMFGACICVPDENLSKDIKRCINQFSINWTFLTPSVLKLLDPADVPSLKTLILGG EPLSKGDILKWADKVQLYNGYGPSECSVAAAANPKLDPNTDPANIGRAIGGVLWVVDS KQPSKLLPIGAVGELLISGPILARGYLNAPDKTAAAFVEQPSFISSSAGKERFYRSGD LVRYNTDGTIHFIGRSDGQVKIRGQRVELGEIEYNIERDENIQHALTLLPSRGPFRKQ LLAVVSFKDLRYSTSSTNDICLIPEEHRLEAMEVTTRISDTISSVLPIYMVPALWVAV NRMPMLPSGKLDRKKVRAWIESLDDSTYEQIANMGSQAVGRSPSTPMENLLRAIWANV LNRPEEQISMERSFQSLGGDSISAMQVMSKCRNAKVSLSVKDILQCPTIEQLALRAQP LDKDVDSTEELGMTPFNLSPQQTWEFGLKATCDLNRYNTTFLLSVHSDLDILPYLDAT VERHPMLRARFTRDDTGHWVQYIPLDRSNSYLYQTLEVPDMESIKPFIAPNQPGFDLQ QGPLFRAITFQLPDKKRFVALTVHHMVIDTVSWRILLQSLEQGLAGEDISSGPSDSFA LWCRLLKERAEKEWTPETVLPFQVPTPMYDYWGMAESKNLFETEVIEEFTLDSKVTAL LMGKCNEQFRTKPLDIFLAALSYAFSSTFSDRPLPAFFVYSHGRDEFGGNLDISNTIG WFTASTPVVVSPSNNIVESLQAVRDVRASVPGNGVPYWASRWLSEAGNAAFAHHSPFE FSMNYLGQYQQLERDDSLLRYVDLEKPKAQGSGSRLIRTALVETQAIVIADSLQVKFV YNSLMERQAQLREWQENVKKGLLEIAQRLGESK TSTA_081080 MYTAVSTWPDVASAVSQLTQFLLNLGPEHHNAADKVLCYLERHR AYALRLGGGRDFSVSTDTSFTDNTLDRKSSQAYIMTLFGGTIVITSTTEAKLLALAQG VKEGKYVL TSTA_081090 MDRTSGSRADFKECTDGALNLRDSMDYLDKTHGRQFPGDRFSKS LRKNRECFGCRLQSVLIRDLPPAPKSHREVGNHPLGWLFEEAEKAHLKSYDPSDSWTT VPIGKARGKQILDCMWVYVYKQDKKGRLVKCKRDSW TSTA_081100 MANKLADLPAVPGHAKIPENEAADLTAKEGAALIPPAPYKSSYA SLKRYAKTQSLSAAQSQWEKVAPQSY TSTA_081110 MGFSSINSVQQSPQDVDRRCTASSDSGSLPGVQPLTRPNAPGNT MARKGPGTDSPLQTALLESTSTATTRASEGQKIFSPIAVFLDKYRSQTTGLAPHLLRA LTALSDDLTSVAQQHFNAYISGISIISILPALSSFPFSSPILNPLPPSPPPSRPPLGL NQSTYATITQYALVKLTPTTHLKASIKKPIPLMK TSTA_081120 MSSNTAMNARAKDVIGILQGTQELLKKPINLPNDDFLTAPAAPI NPIPATGANRIGAAASAGRQHHNNTAQVAATQGKDTQPTPTPDSQETVQAETMNKVTH TNKDLDRATKIFNVRLNLYKQCYFE TSTA_081130 MSDPATTITAPPPYITSSQQCTLEICPLSYAHTTYLPNLGGNAF FVAVFALFIPIQIYLGVRTRTWGYMVGMVCGLILEVLGYIGRIKMRDNPFIDRWFEMY IISLTIAPAFLSAAIYLSLARIVSVYSIELTRIKQRGYTLIFVTCDIFSLLLQAAGGA ITTSNDNSTVQAGINIMIAGLSTQVASLTLYLGICLDFAFRVYRLNSQKQSGHMFRLV GKRHSSALSNDEEHEDDHGHAATGLNPDFASLRTSRKWHIFLVCQGLATICIYIRCIF RVAELSGGFNGHLANDEVTFMVLEGAMITIATAALSFWGHPGIGFAGRWDELNYPLFS KKKRANSA TSTA_081140 MLTCFGIRKGDGNRPICTNCQRKDRQCQWICDVGSPRVSERLIS PPALVAEHREEYISTQDPEQALQDPQVAQVFRHYIRDLAGWYDLNDGNRHFKDVIPAR ARRNPLLLSAILAFSAANLSHTAPNFESHNFAEFYHLDCVQRLISVTKNLDSLSNEET LAAICLLRSYEIISQDFGSQNHLQGCYSLVVNHHIELSTDLFSAGFWNYLREDITVSL IKQRDLMIDLSTWSLPQTPSNDSDFANYITFLLGKVINRCLREDLSSMDLFEWNVLKS QVQKWRESLPPSFEPIRTPGLKKQSRFSSVWTLGDWHASSLHYYHTAMSILWLAEPTA KPSNILQRVEDYRVLHHRLKYHATEACSLALSSDSAPVWVNAFGPIAFCGPWLRDHGM SAEVIIELKIWGGKTVGLPESECLFYGSELSVANVVYVYAYQHNSLLRL TSTA_081150 MDKIATRVPMPTRNSCQVRNLSMQLGKLTGYPTSIRPSEREIRN ARLSDQNLEIAMHSLHRDGLVVIENAILHDCLDRLNEKMVQDAYTLQARKRDSPYNYN PGNIQQDAPPVKKYFDTRIFMNPIATQITSTALGPRPKWTFCSGNTAMPPTADHPPMS QPVHSDADFAHPDHPFAYVINVPLITMSPENGSTEIWLGTHTDTGLHVQEGKHGERAS GRVRIEELEKRRATGPPCQPVVPRGSLVVRDLRLWHAGIGNQTEIPRVMLAMIHFAPW YRNAMKLELADDLKPTIENQSDLDVPVNWVSESEAMSRYLNRGFGNAYDFNQSS TSTA_081160 MAASRPNTKIVVVGGGGTMGSSTALHLIRSGYTPSNITVLDVYP IPSAQSAGYDLNKIMSVRLRNKLDLQLSLEALDMWKNDELFKPFYHNVGMIDSSSSNE GIANLRRKYQSLVDAGVGLEKMNIWLESEDEILAKVPQFTKEQIKGWKGIFCADGGWL AAAKAINAIGEFLKKRGVKFGFGDVGTFKRPLFAADGTTCNGVETVDGTKYYADTVVL ATGAWSPTLVDLENQCVSKAWVFAHIQLTPQEAAEYKDIPVVYDGEYGFFFEPNEYGV IKVCDEFPGFSHFKEHQPYGAPSPKLISVPRSHAKYPTDTYPDASEATIRKAIARFMP RFKNKELFNRSMCWCTDTADANLLICEHPRWKNFILATGDSGHTFKLLPSIGKHIVEL IEGSLSQELAQEWRWRPGGDALKSRRSAPAKDLSEMPGWKHDAKL TSTA_081170 METSTYDTCLLHCRNPEQGFSIIGIQTDDTLIAANKAFAGHEEE QIQRVNILCKPHELLTSEKPLQFNGAIITETAQGITLTQERTYKNIRLVQEQHADIIS SRGKVRKNASPHEQEDIKALNKRLQWQIDNSTRGLQFVKIDLRTAKLYVFVDALFANN KDSSSQIGHVIVLADAQNNANIVHWSSTKCKRITRSVLASEMYGMANGFNAAAAIKLT LTQLLHLTEPLPLVLCTNSKSLYECLVKLGTTWEKHLMIDLICLRQSYKRQEITEVRW INGNSNLADAMTKSKPCRALQELIDINKLCIDVNGWVERPSPNRNPEPKAVQFATPLE SPKQ TSTA_081180 MWIAQSKDPALEIKAHFETPKHKRTAQSEWSAISLDNIICSNPG KSISECLNLMITELQDLFYCLPDKLQNQMYWHMKLIEATSTHPGTNDDNKTAPMVSTL QTDTTICVNCHVHRTKVVHHIDEDTPKDAATYFILEHEGTPKDHNTQLEAYLAEVDIP VQYDLPDRTSDSQKTATGYFTVANLDYKGFAPLIASELANRSAAHYLSCLLGNHQEYT EKPTLDNEDEADVQTAPSSHHLTIPEYAFLAEDRYSSNNFIGLLIDTGAATFSTAGYA QYLAYRKVARGCIMDTSTAGSVTIRFGAGEALQSLGLIDLDTPIGNVRFHIVEAMTLF LLSIKDLDRLKVYYDNTKDLLVRHELYLTAPVVRRFGHPFLIWDYSLALYITQSFNED QCFLTDRELRRLHRRFGHPSVGRLHKTLLRAGYDTHPKVIERINKFCHHYQTHGKSPG QFHFTLRDNIEFNHSIIVDIMYINGKPVLHIVDKVTRFNATFSVPVEAHWSISTVERY HAVLYRSYKIISEEVPELALEMALQMAVKAVNDTAGPDSYVPTLLIFRVYP TSTA_081190 MAPNPDLNGFEPEGKNRTQLDTYVSVLRLAKLIVLLKSHSVYVD ETNEHLVAQNVYDAA TSTA_081200 MADVNTDKKIPVPDFQDDSFPVYQQDTLSVGATHEVNMEEYRAT VPLWKRVWQHSLTQMILLSIQAFCGPAMSDAITGLGGGGLATPKVSNISTAIRYAMIA IVCLMGGPLVNKIGVKWALVLGSLSFPIQGSAYYCNSKFGNQWYLILSGAISGVGTAC WYVAEAGAIMTLAPSGARGKYLALWIVSRNLGQLVGGAINMSKNHHPGAEGGITPDTY IAFVIIECLALPFALLISPFEKVVRSDGTKIHMAEALSTKQEFKRILKTVTSSLIVLS SIWAFWSFFYSGSWSTYLGVYFSVRVRALSSLISPFFCIIGCFALGFILDMKNLSQRR RAQIGLYTVAILNVGVYIWSIIMQVKFNRHNPGKIDWSDHLYASAFLPYFFVQTTGPM SQSYMYWLLSSFATDAQENVRNGAVFRCIEAVGQAVAYGMNTQTKSSPLIGFCVTFGL LGASMLPMIMLVNTTPDRIPADVIAEAQDTARQKIEGTA TSTA_081210 MATLQLPGDIQASLRRLLDNTTSSTKDPVAGLVYCAVNRDGDLI FNHASGSRSYSSPSKTENNPMTLDTIFWMASCTKMITGIACMQLVEQGKLALDDVEMV GRIAPELKAVKVLEGDLQTGFRLIEKQRGITLRMLLTHTAGFGYPFNNARLRDYTQNS NCIEFGGDRKGLVSLPLVNQPGVKYEYGINIDWAGILVERVSNASLDEYFQKYIFQPL GIRDIGFFPNEEMKTRLVSMHRREPDGSLHVVGHVYQFPLSERKNPEMPEDRFCSGGG GCFGTMVDYCKIIAALLNNGIYAKTNTPILKPDTVDEMYKDHIPHCPRRPNLPSQTIS PLITKSNPLRPLANPVEDEKKTEGYGLTFALSHQAKNTGRKSGSASWSGLPNLYWFAD RETGVGAIIGSQIMPHGDTPVLDVNDEVEKLLYMGLKRT TSTA_081220 MKQILFPESKSIPDVSVSMDAGLDPHSQRTERTDADPDIYDEEI KPLQKWSMTLLLVTYFWILALLQWISVQEQASNTLATYIISIFGNLSSSPALSQAAYI VSGVIDIPLSKIIDIWGLDIGFLIMTFLNTLGLLMVAVCKNIATYAAAKILYQVGFHG ITYVITVFIAELTDKKHRGIVLSITTLHSIAGSFAGAAYAQRFETDSTFRWAFAVLLI VGFVLCLPVWLVIRYHRKKAWKQVSSSERPPPNRRPWFDAVKGFCVQIDMIGVILFCS GLTLFFIPWTLAAKQENGWTSASILVMIVLGFFLLVALTIWERVFTPKSFLPFHCLRN RNILGTCILSFAMSLSNYCWDPYFRAYLQIVHAQSIANSGYIANIHWDGIAVGSILAG LLLLYTPHYKPMSLAALVLSALGSGLMIKFRHSYTNIGYVVMCQVFMAIGAGVLNITE YIALMDSKADQSTAFLFALSVMSSKIGIVIGQSISGGIWTNLLPGELYRRLPEDLKPK AKRFADSIYAVLHYHVGSVEREAIDEAYSAIQRLLTISGTSMLAFAFVGVFIWRRS TSTA_081230 MSAPASTRSNDKLPEQEIKSNNDIEDARPVAVDAALIKKIMRKI DLHLMAPLWIIFAFGFLDRINLGNVAVLGIIPELKLGGNGLNIALQVFFVPYILFDIP SNIVLKKVRPSTWISVITFLWGVACMCQGFVKNRGGLIACRFFLGVFEAGFVPGCAYL MSMYYRRHDFQKRFSLFWVAGLVAGAFGGLLAYALFHMHGLSGYSGWRWIFIIEGLLS IAASIPAKFLIADWPEDAKFLTTEEKEVLHQIQLADAGGGAQMNRLDRAAWKRILTDW KLAVGSMVYIGITVSGYATALFIPSIVASLGYSGIEAQIHSIPIWVVASVVTLAVSIA TDRLKHRHGFIMFGVIFASIGYIILLCQGPLHGGLNPHARYMAVFFRAIGLAIQVGIG NIGGIIASNIFVSTQAPRYFVGYGVSLAMLLFSGVMSTILAIGLIVENKRRGKGKHDD RLRLGESVLNNMGDDDPRFRFTL TSTA_081240 MPLFSHYGDLPDISYCLSYLSLFFSIFTPIIVIARLVSRKAFAR KVGVDDWTILASAMFAEVVSIQMIFLCEWSFGKHVNQLQDKSQLVKTLKVYFVAQILY KVNIGLTKISILLLYLRIFVVQWFQRTCQAWIAIVIIFTIGTVISSIFQCTPVTFAFD KSEKGTCINLTAFWYANAAFNIPSDLVIIFLPIPVIRKLQLPPQQKFLLSGTFAVGIF VCITSILRITTLDIATSHLDVAWNSIASSMWTIIEFNLGIFCASLPAFRSALSSFFPA FFGRVHSATDYESRSRPRINKANMRAIDQWNELHECDSRTHIHSDLVNDSDSVQK TSTA_081250 MADVSATSKTTAQDAATDKKQPPALATFQALPNNEDHHIDRVNA LRLIADSVAQQRQEASRAIITHPITIATVLALCAVFSHYIGDLATIVITTAGCLMAGM SGVGYLTYGYLELAERTGTWSFLRADENTDKEDILIVTKYGDDIIGALVLRLVVVGGN KKSPKFKARYRNKTFGTALLEEAIALGRKNGWEGPEFAPDHANSKRILPGIFHKNLDR REARAKNLLEKLKAESK TSTA_081260 MAEQGKLHDPVVEAYSANSSPTIDPNERRRQALEQIDNAEFGWR HVRACIVAGVGFFTDAYDIFAINMASAMLGVVFWVDSNKGKIPSNSDTAIKVSTSGGT VIGQLAFGWLADHVGRKKMYGLELIIMIFATLAQALSSDSPGMSIVGVLVFWRVIMGI GIGGDYPLSSIITSEFATTKWRGAMMGSVFAMQGIGQLTGAIIALIVTAGFKESLETA ATVAKCTGVCQLAVDKMWRVVIGFGAVPACIALYYRLTIPETPRYTFDINRDVLQASQ DINAYLAGASEGHPDEVQRAAALQKDSQRLAAPKASWSDFINHYSQWKNGKVLLGTAG SWFFLDVAFYGLGLNNSIILSNIGWSGGSNVYHIFYRSAVGNLILICAGAIPGYWVTV ATVDTIGRKPIQLGGFIMLTILFIVIGFAYEPLKHSHNGLLALYVLAQFFFNFGPNAT TFIVPGECFPTRYRSTSHGLSAASGKVGAIIAQCVFGPLVHRGAPAGSSDTPWLKHVM QIFALFMLCGCFTTLLIPETKRKTLEELSGEDLYWDSTPTLGAPAEKVAEEGLAGSHN GNGASH TSTA_081270 MKLLYPTSLKLDIQSLKGFAVTLHPYDVKVPIPEELIDAEVMVT WTNTSENLTDAAKRMKNLRWIQSLAAGPNDVLAGGFDTSKVIITTGSGLHDGPVAEHT LGLLLSAARRFHEMRDYQNRGIWPGHLGGSFPRSDFTTLQDARVLIWGFGNIARTLAP YLTALGAHVRGIARHAGVRSGIEVLSEDKLPELLPETDALVMILPGSESTRDALNAER LKLLPKHAWVVNVGRGVSIDEDALVDALEKGEIGGAALDVFKTEPLPESSRLWKAPNL IISPHAAGGRPQGSTELIADNLRRFLGYQSDLSLDHCKRVAWA TSTA_081280 MPNIESLETTPLLSPSSTASADIISPGHPDRSVEHDTLPETATY GRNISWSSAYILVISRVIGSGIFATPGAIVKSVGSIGLALSLWVVGTILSACGLAVSL EYGCMLPRSGGDKVYLEFTYRYPRFLASTLVAVQAVLLGFTASNCIIFSKYTYFAFNI EPSDLQNKILAVGWLTSVTIIHGCFMRTGIAIQNALGWVKIFLVFFMALSGLYVILFR QPGDLTGVTARGEGDIWKDLWADSDWSWNTISTSLFKVFYSYAGLNNANNVMNEVKNP VRTLRSVTLAALVTSCLLYGLANVAYFTVVPLEEIKESGELVAALFFERAFGPRWGRT FLPLMIAVSAAANVMVVTFALARVNQEVARQGFLPFARTISSSKPFDSPFWGLIIHYI PSLLVIVLPSQGAVYNFILDVEGYPGQIFGLAVAAGLLLLRRRRPDLKRPFKAWLPAV WLRIVTSIALLAAPFFPPPDWKGDVDFFYATYAIVGVGIILFGVGYWYIWTVLLPRLG NYTLEEETEVLDDGTSITKLVHKSKM TSTA_081290 MAIKIADYLFTRLRQLGVDSVFGVPGDYNLRLLDYVEPAGLHWS GNCNELNAAYAADGYARIKGLSALVTTFGVGELSAINGIAGAFTEKAPIVHIVGTPPR PKQEGRVLVHHTLGDGDYRHFANMAAHVTVAQANLTDPRLIPDQIDWVLKQAMVHSRP VYLEVPDDMPDVFVEAKNLETAIKLESAHQSESEKAALQQVLKKIYNAKQPLIIVDGD TRAMGITAEAEALVRMTGWPTWTTAFGRALINEQLPNVYGMYTGPFGDAVWKQYHDKA DLIIILGPHYSDTNTSIFTTLPNPAVSVTFSINTIQIGDITYRDISNKSLQRLIDSLD GSHIPGAVGPPRPVITEKALDPSGCITQKHFWQKANRTSSYGSQTFSLPKNTRLFNAI TWLSIGYMLPATLGATLAQRDRTKDDKTRAFLFIGDGSLQMSVQEISTMIREKLNIII FVINNGGYTIERAIHGRNQKYNDVALWRHTQATSFFGADENHAKHNNFVIRNWGDLEE VVKSENVQGGSGVRVVEVFMERDDVRGALLPLMQARIANGD TSTA_081300 MEKLQTLLIANRGEIAVRISKTARELGVRTIAIYTKADSTSRHV SVADEAVLLPGEDATAYTDGDAIIEIARSRNVDAIIPGYGFLSENANFAHAVASAGMV FVGPKHDAIEAFGLKHRAREMAIEAGVPIVPGTGGLLATEDDAVSAADELGYPVMLKA TGGGGGMGLVICNSPSEVRDALAQVRSRGAALFKNAGVFLERYYAKSHHIEVQVFGNG LGNAIHFGERECSIQRRHQKVVEECPSPFVDKNPDLRRRLTSAAVALAESVKYASAGT VEYLVDDVSGDFFFLEMNTRLQVEHGITELCYGVDLVKLMLEQADRELAGQGGIDGKY LKSQQPELPVGVAIEVRVYAENPARNYTPSPGFLQYIQWAELEGTRIDTWVGTGARIS TFYDPMIAKVMVHASGRNEAIEKFGCVLSQSIICGPPTNLDFLHAIVQSAGFKSGHTL TNFLENFEYSPTAIDVISPGVYTTLQDFPGRPNAGQGIPHAGPMDPLAFQVGNLLVGN PRNTEGLEITLRGPELRFLAPAVVSVCGAPITLTLDGVDVPMWTRLYIKHGQVLSIGK LLETGGCRAYLAVFGGFPAIAQYFGSKSTTPLLGIGGYQGRALAPGDLLTVQKVDDFN ESASVVSLPQSLRPKYTNHWDIYAMVGPYDEGYMGAEDIEMIYNTIWKVSHNATRGGI RLIGPAPTKWARKDGGEGGQHPSNVIEYGYPLGTLNWTGESPCIFPVDAPDLGGFLSS TTIVKGSLWRLGQVKSGDTIQYRRISLEDALWIAAQLEKFLDDVASFVVGKRQIDEIE PVDGRSLLESTVSGNFGKAVIYEKVLEGSNLKITFRQGGDDFLLVEYGDGGFDLNNRC RVTSLEKVLRKSCEVDQIFKNGIYKTIGCCNSLLVHYDGLKLPRKKLMDLLISSQSEI GDLSSSKVPSRRFRLPICFESPAQQEAIQRYMETQRPYAPFLPDNMDFVAKINGITYD ELVRVFLTTEFMVICVGFFCADTICLPIDPRYRLTCPKQNPSRVYTPEGSVSWGGSCM NIYPVDSPGGYQLTGQTIPCFDQLGIKPGFASGQPGLFRDFDQVTFYRVDKQEFERDM ALFRSGRYEFKIEEDVFDMGAHNKLLLETREEVSLFKSRQLAAQAEMLALEKASMERW MAEKSKNTTPADELEILKQDPNNLTLDSPLDANVWKVNVNEGQLLSANQVAVILEAMK MEISVSYKKEAADTQLKVIKVLVQPGDTVKAGDTLMFVREL TSTA_081310 MATTQRREHKRVYQACEPCRERKVRCELGAVDQPCKPPCARCRR ESRECVFAASRIRDVAESKARHRLSSSTRHLKRHSSSPIVVDRASAPTGVYSSPASPN PRPIISNATPTSREQRQQQSHLLPPNGRATAVLLRGHPRTYHDALTVLSEACDNAERR RDFPAHLNTAVHSQSSPSDTGNASAGHASNTFSPGTREALRAWSGLRFVRGGLFTPEE ALNMVDHFYKFHAPFSPVAPECFRAHAQHPTLIEEEPILTITILMIGSRYKKWTGLAA ASRSFLIHDRLWRYLQGMITRLFWCEDIFVGEFASLTDPFNGSDNASFPTRSNIWSNG FRTIGTCEALLLLLDWHPQGLHFPPPDEDTTSTIVREPKRRRLAAEHKRHRGPGSGHD WLARSDRLCRSMLSTASMLATEMGVFDEEELVSESENNPQSMRDMASHQQRFCRVRYL IWVYATQQPGRPGWRILTPEPSLLFPSTDDDTIKCWARVATIMKYANELLFSSQKHTN EIIRNGEYIKFLQTLQPLLQETILEFDQSKLTKQMRSILTIEYAHVQLCILSVALQAV IERRYYCDDTAKEPQPIPTHVLKQEEGYLNETVKCAQTILLTVLDDFVPDGSLRYLPV RSYSRLLGAALFLLKCCAARIKEVDTRVSLSLIKQLVAGLRSIYVDDTHLSPRWGDLL DQLSRRVEAHATVAKNCPQPANTLIPSDPTILGNTASGLHTPMSTVLGPPSQPMLLTP SEADGSCIRTHVESHSNNNNSLQDFDPWAFSGNEEGSNFEAFSMWWDSHIPQSIATNY TSSHRAPWTADGNEQLFGHTADGGGIVGSAPGFPAYLY TSTA_081320 MAGPIRHKALINVDMGEGYGNWAIGPDDDLLPFIDHANIACGFH ASDPLIMMETVRNCIKHGVKIGAHPGLPDLQGFGRREMKLSADELTAITVYQVGALKG FLDREGVALHHVKPHGMLYGMCCRDYETAKAVFLGIPKGVPVFGLAGTYMEQAAKDLG IEFIAEFYADVKYDADGKVVVERKKGGWKTEDVHTRVTQQLETCTAPAIDGSTCSFPI NDYRVSICCHSDVPGCLNVVKTTREAVDAFNKKYFPDP TSTA_081330 MVHAMTHATFPIPGTVQQVDVNHNLRVRHGEGQKDIVLIPQPSS HPDDPLNWSFKRKTLNSFCQMAWCFFAAALISGLSPAYLLISKDTGISVADLSTGNGI MYLFMGWGTLITQNLAQNWGRRPVLIIGMLGASLLTIWSAYVKSVGEWYANRVLIGIF MSPQEALIELCISDVQFTHDRGFHMGIYNWTLWCGAFLAPIAGGFVADNLGWRWIQYI LAIICVCVAIFIFFVFEETMFFRKANLNQEDFIPITESPPSSSVDAEKGMTDVEKGLN NPKVEVDISSTPASSGTLSAKTYAQKLKLWGLRSPEQPYTFLRSIYLSFRLLLFPTHI FSGLLVGCILAWYNVLGGSLAEVLGGAPYNFTTDQIGLTYFASVIGVSVGCYCSGWLS DILAIRLARRRQGIKEPEDRLWMAVIAVVAHPLGCLLYGVGASHHIHWIGVVIGVAFL CLTLPMGSGLAITYIIDSQKELAGESIVTIILIRNTIGFAFAYAVNPMIDNIGLQNTF ILIAVLGVLFWCGCFLWIGVGKSARKLIAEPYWTIVRKHGLAAH TSTA_081340 MTTQSVQPIELAEDSVGLSEKYAGLSINATRLMSTLDASCSWGN TPDGGMNRLALNDDDKKVRDWFVEETGKYGCHHKIDEMGNIFAIRPGQNNNLAPIGLG SHLDTQPRGGRYDGILGVISAIEVLKVLHQHDITTYAPVAVVNWTNEEGARFPPAMLC SGVWGGAFTADWAHSRVDHAGATLKDELQRIGYLGTVPSSCDVNPLLAHFELHIEQGP ILDRAEQPASVVKGVQSMRWYNIQITGREAHTGTTPMESRSDALLGAAKMIVATNKIV TEGAIFERGGRATIAVINSAPQSINTIAGNVQLGLDIRAPADSDVELIESLCRKQFQK ISDEHGLKVAIDNFWVSPAVNFDATMVQCVRDSCGSVGCGTIELVSGAGHDSVYTSHK VPTAMIFVRCRDGISHNPAEYSKPEDCAVGAQVLLGAYLRYDDLIRRSTEAKI TSTA_081350 MDSNIIYYVAAILDPQVKTSFIRAQMSKSDTDVIVSDIHEYLKK QYPASPTSSSSAERLPANAFNYSLMLKAVQDYLPIPSAEVGVERLFSNAQDVLGIRRH CLNSETFRWLIFLKGQYGKEHRDSA TSTA_081360 MSIKLGELSELVQARYVVHLSDGKRLVLFRLPSIDPSRQKPHES LDGWSYYAMEAECPHAGGPMAESSVDIEDSAYVVSCPWHAYDFNVETGESSVGIKTCT YPIYIRDQIVFLNYPQGGREVRLARLEAVSEKVKLKHDQNSDVLTTQPLNEQSSFCDW AVEILGTADPERKIELTHRLYTVFNEKEVSSSPMALGKGRVKPPDQPPRDGLVEVNPW EIQNPGRGGTVRSRIAMLHALANIELWAIDLAIDICVRFATFQTERNVHELPRTFFRD WLKVANDEAKHFSLLRTRIEELGYYFGALSVHHGLWESATRTAHDLRARISIIALVHE ARGLDINPMTIEKFRKAGDTESVAALEIIHHDEITHVTTGHRWLTWICDQEGTDPIQV FRSNVQKYFRGGIRGPFNEEARLQAGMDQRWYKIDNAVTA TSTA_081370 MTGAKSPTAENTSVPTTAAMSSPQEALDLEQLPNGYYRSKNFIG SLIAVCLMGISLYLGYVLPVNSLAAINKDLGPDPNYTLISTMFTLISGVAVLLVGRFG DIFGRRYFLIGGQFLGLIGAIVCATAKNVPTVIGGSALCGLAAAVQLTFTFVIAELVP NRLRPAVNAGIFLTTFPFAAFGGLFAQLFIANTAKSWRWSYYLNIITCSISVILLVFC YFPPGWNSKHKGVSKMEGVKKFDYIGFVLYAGGLILVLLGLSWGGASYTWSSGHVVGV LVVGFVSLAAFVFYEILVPIEQPLLPMSLLKHRGYSATVCSALVGNMVYFSMSLLWPE AIASLYTTNTIKAGWLSISTGTGVIVGEIAAGILMKPIRHSKYQLIFITLAITAFSGA LAAINQNTQAMGLAFTALGGFFVGYLELITLIMCPLYCKPEDIGLASGFLGSAKQVAG TIAAAIYVAILKNRLTTNLGVIPEVAIEAGLPASSVPTLMEDIAGSHPFSNVPGITDK ISVIVADAIKTAYAHSFRTVFLASIAFGGLAVIAAVFSVPIDSKLNNTVAAKLSGTGV SDEALDVEKAQQ TSTA_081380 MVDVTSPEYLAQSKVASIIVCNAILISCSGVAMAVRLFVRSRFL SGIGWDDSFCLIGYIFTFVECLVCILMTNYGYGHHIQTILQNEHKMSMFLKLDFVTEI TYLIALWAVKVSFGLFYLKIFPGRTIRVLCWILIILVTAEWIEETFVVIFQCSPVQKA WDASGKVSGKCLQLLSFYYISFAVRLATDLAIFILPLPELLRLKMPLGKRLGLILMFG LGLLIVVTSIIRATYLNNFSTDHTWELVNPLNWSSVEIGVGVFIACVPSFKALITFCF PSLKHVLGLSSDRSYGPQYELYGASGRRTDDPRSWHGKSQNNTKLNTITRTNVEASRN TSEERIISHTQEGIHVTTDVSVDRVSKPVDTHLHSWHEDD TSTA_081390 MADTGQHAVVFGCSGINGWALVNQLLSGYPSAGAFSKITAIANR PFTAHEARWPADNRLQIVSGIDLLARDDAQISKALADKVSSVETVSHIYYAAYRASDI PAEECRTNKEMLRAAVQSIECLSSKLSFVTLITGTKAYGVYLLDKFPYRGQIPLREDL PRVPVEYAKDLFYYHQVDLLHEISEGKSWSWCEIRPDIIVGVAPFGNANCMAQTMGIY IGVYRALEGEGARVPFPGNETTWRLTNTDSNQDIIARFCIYASFQPREKVHTRAFNIA DGKTPVSWSQRWPILAKYFGLEGVGPDSSSLHPTEYMDCHWSELQALCRKRGLKEDVI YKSMHNTGSRMGSLRLMDFDRPFDLGRARALGFTEEMDTATSWYTAFDRVRKANIML TSTA_081400 MKDVFDMSKWHKSTGIFRSPPLKDPLRPNSFPAVTIHEKQDVLV HNLLQNLAEAGDILLDSPAVPTTSLYFPDITMAQVEESVLQAGNTAPGSDEIPTCILK VAWPLIKDKTNRSPISTWQRTGALGGTEYGMDLYILQSISKATI TSTA_081410 MPRKVGQILDGQYSLIPVNPTLLSSEISETTRLKPISISETAIS AANPDTLSLSCYAFLALLPMLVTSLREQQLSNAHNAGNGIMHNPVPAPLNAGSAAPQS ILNRDMLTTAQPWSLISALLDVYTAMDYILLISQNASCALKATLNILKLNK TSTA_081420 MARKGPGTDGPLQTALLESTSAATTRASEGQKIFSPIAAFLDKH RSQTTGLAPHLLRALTALSDDLASVAQRHFSTYISGLEQSTYATITQYALVKSTPTTH SKAYVKKPIPLVKQPLPDNRLFVRLPADHAARKMEAYAIYSSLRSQLNSNSLALKEVQ VIKTGFALCPSSPEALLTLEV TSTA_081430 MSNPDDYTVGWICALSTEYVAAQEFLDDEHEPPEFVSPNDTNDY TLGRLGKHNVVIAVLPDGEYGTASAASVATNMLHSFPNVRISLMVGIGGGAPSRKHDI RLGDIVVSAPCDGEGGVFQYDFGKTIQELAFRHTRFLNQPPTILRTAVTGIQAQHKRK GHQLEEAIRLVLEKNPRLRQEYARPQPSTDRLFHPHVIHDSRGCVAFCAKDPSHLVER QRRATYEDDPAIHYGLIASGNQLIKDAVIRDRLAAEKDVLCFEMEVAGLMNHFPCLVI RGICDYSDSHKNKEWQGYAAMAAAAYAKELLSRIPPNKVEAEKKIIDLLFSEEVHETA QKTNAAIEILDSDRRREKVIAKLPYAKGSTFDSLNGTLDPRCHPETRIDLRRQIREWA ADSQGKLMFWLQGMAGTGKSTISRMVADAFDREGKLGASFFFKRGEADRSGVAMFFTT ICAQLLVKIPSLIAHVEMATDTDPNICDKSMGEQFEKLICQPLSQIRHHLPEASKLIM VIDALDECAQDGDTLLRLLSQTRNKWSPSLQVLITSRPEQQIRSGFKDVPVDVLDYTE LHGIPQPIIKQDMTTFLEYRFAQIREKYAKDGRSLPFDWPGVEAMSAMVEMAVPLFIF AATLCRFVEDEVYSNPIDQLKKVLNYRNMKSDSEMDKLDATYSPILKQLINGRPEKAQ KSLVERFRIIVGTIVHLAEPLSRSSLASLLHIDIQEIEGQVSSLHSVLNVPYSADSPI RMLHTSFRDFLVHPETRKTNPFWMDERKTHSEILAKCLHLMTQSGCLKSNICNLENHG VLRTEIDSNSIANCLAPDVQYACRFWVYHLNESKTCISDNDPVHIFLQDHFLHWLESL SLLGRITESIHLIYILRILAIDKDMEISRFLDDAMRFIRKYSSIIDRAPLQLYASTLI FSPMTSIIRNFYINEIPSWIQKLPQVESAWSAVLQTLEGHTGPVVAVAFSPDGNLVAS GSHDGTIKLWNPVTSSLLRTLIGHTGWINAVVFSLNSKLIASGSRDKTVKLWDPATGS LQQTLKGHSSWINAVAFSSDSKLVVSSSSDKTVKLWDPATGHLQRTLDNHNNWGIAVA FSPDSKLLASGSNDQTVKLWDPATGSLQQTLDGHTGWVVTVAFSPCGKLVASGSHDGT VRLWNPATGSLQQTLKGHTGWVNAVTFSPDGKLVASGSHDLTVKLWDSATGSLLQTLD GHTGWVAAVVFSPNSKIIASSSHDWTIKLWDLATSSLQQTSSSHSSSVVAMALSPDGQ LLASGSHDKTIKLWDLATGSLQQTLKGHTGWVNAVTFSPDGKLVASGSHDLTVKLWDS ATGSLLQTLDGHTGWVAAVLFSPNGRLTFSPGGKLMASGSPDETVELWDATTGSLQQT IKTGSTDTVEFSPGDNSLETDQRRFNIESLRVLSTSPTAGGLCNNILVKNEWAARDDT NVIWLPVEYRATCSTVYESTLVLGHASGRVTFLKLI TSTA_081440 MIKEQRERSEESEYLLLAAYANELEWTAGKSGAESRYTRNVIIH GGDIKYAIRAIEFLEELGELQPIIATVPEEIVDVLNKRGILQKPRMWEDKFPKERTKW IKDCDQVIKAWLHTGEESYREDEVKEKRFRLSQWMADRVEDIKRRS TSTA_081450 MTDSDAGSHSESDIDLEASQEDQLTAKDGEVPAEIDVERFISMM QSAMGIFHEQKAMGNKKFLERGDPEVQKPAYNAYNMGSK TSTA_081460 MLSLLFVYQSQHRSLVSRSRKHYLSEHHAELSTNIEKRGHAHYA QSQFQKCYFAVLPSLNLNGRQRVLMKCDPASRRVQHGQNTLRMKDYSFHEDTFRPRGF VMVSIASVYSIQGTALVIKTDKRDWLVIRSTSKLRAFLARSEIGKSTECTLHNPTRAV SMAIQIFSAEPSPRAFVIPSATTFESYGLVFMEERCAYVSETKRSFEACNVAIVSVGF SIPILTMSYANLQSVLCEIERAMVPLQFGENNASTCNIGLELIYKCSQGGCFSLFGIG NQRGPYLRRSGFYTYSPTLVFLSTALVRLRHVQTSNSDVGASPAWDYPLLNCKSPTVL LHLGKSEEVIVENLDRLLAKGQKKVRVEETKIHLSSRPGPFISPLYEEPAPRTAPKAS TNETQAISDGGLKAWLQVLGAFFISFITWIIINTFGAYQTYFETKKLASSSNISRIGS VQSSLLLILGLVTGTLYDDGYFYALLYSGSFMIILGQMMTSLCNEYYQALLAQGFCIG IGVGLIFIPSVAVLCTYFSSRLTLANGIAAAGSGFGPLSVFKVRVIPSSKRKPMNLSA FKEPAYSIFVLGVGPFNIISLCTIIYEALMFALVNLSGVAGNLVGTPVAGVILQNKDF NSMWIFGGIMSISKGWTTMTLLALPSCANNRLNHMAKLAKRKVISFTHRPHPGTQRIG LTLRNLCIERDNLPCDIHQDDDFIQPFPPIGFYQKYCSKAKCGTVTPHHMSFGGPAAR AQPVLNDKARSGTRCLNFAMSSFFSAPVFIMRGCISNVCKPLSSIDEASCMTVTTCAS LIPASFHECRVIDYQCNFQLFGTTALNEEGRADPSTRAIESSDLYKKETKMLSMTDYK IEDSLLVGFTKRLIAMNGRSHSPSNSQTSSPLSRRDQRKLARLHGREVVSQDSDEQAD LSDAGKIPRQPICRIIDLIQFLSSYDNDVGKIERALASLKERDQKIRSLSTTIRELKR SNNEEVRGLQAKAEEASHSLRELEQQKAVLKENQEQLERQRKQEKARQTSFIQEQQIK FEKRLEEEKDKLVKANAQHFERAKKENATLKENIDTLREEKAQIEKTLKLYVQNSNDL ESQMDELKSRYSAQSLPIEQYEKGFSRLREKIQAITQNFLSNLPPDNELKDIEETQKE FSHLNSIFGTISLSASVTSKFLRVRGAQCTIVEAICSRFWQPFYITAKPLSSETTATL SQISQALSEEDRHKESLWRYLSFKGLEIPNSPQDIHAEITGIMEVLRRLIPVKEHRAF EVELKDLLLDSISIWNELKRDSCVVEFDLRPPLFCGPDWVAEDSPELEQIGVKVNDES GDKSKMQQPWCLFPKIVFHPVDSKKKIIPGHAIFVDSLAFHESCDEMRRQEEEIAQVR KNLVRRPTIRRGNGPLST TSTA_081470 MDINSRQSVADAASQAAYSMMRWYAGNETGQIPGKLIDTWWEGG AMFMALIQYWHFTGDTTYNDEVTVGMEFQAGNGDYMPSNYSNYIGNDDQMFWGLAAMT AAELAYPEAAKGYSWLSLAQGVFNTQVQRWDTSACGGGMRWQIWSWEAGYTMKNSISN GGLFQLSARLARYTYNETYADWATKIWNWSTAGQTPLVDTQQWKIGDSVSMSDNCSTV DHTEWSYNYGTYLSGAAYMYNYTNGSAEWLSAVNGLLGSTLSKFAGSDYGNVLTEDCE TTELCNRNEILFKGLTAGWMAFVALIVPSTYNTIMSSLQTSGVAAAASCVGYNNNTCG VKWYTKSWDNQNGLEEQMSALNIFWANLIMTNNASLYAPVTSRTGGNSSSNPTAGSTD PVSTTKKLAAISTADKAGAGILTALFAAVIIAVVYWTVAGSE TSTA_081480 MSSDLTNPAPAWLDKGDQAWQLTAGTLVALQSIPGLAILYAGFV KKKWAINSAFMVFYAFSATLICWVIWAYKMGFGKQWGNFPLVGTPGPVLTMQQNLAQT ELPAAGLSTNFNLSTMIYFQFVFAAITVIILGGSLLGRINILAWMVFVPLWITFSYTV GAFSIWGGGFLYKMGVLDYSGGYVIHLSSGTAGFTAAYWLGPRLQRDRDSFYPNNILL MLVGAGILWVCWNGFNGGDPYAASPDAGVAVLNTNICTAMSLLVWTMLDYIFFKKPSV IGAVQGEITGLVAITPAAGFVTGWGAIVIGACSGSIPWISMNILGRQKWMKQVDDVMG TVHTHMVAGFTGGFLTGIFATIDGCAAFGLTNPGGAIEGNGRQVWLQIVGALFIIGLN LFMTSVICLFIKYVLRIPLRMSDEHLMVGDDAVHGEEAYALFFEGERSHLSLHGAGLE SGQVTEGGRVAVTSPTSGTVSPQHSARDKEEVKID TSTA_081490 MSKDTIHPEPSGIPQLYHVLLLSYHLQKDPNAVIQKLRVTGSYT SLAAAKTAAYSCLFDAGILKDRDDGKMISATAPDGTTFSVQILNSVLGDGDGNTAGKL WNYIVEEHDQRISTELYYVVQVKTHYDDEQYRETNIDGTFTTYNAAREYAKGVLLNPA DGIDTKSYAQYDEAGPDQRDCGFGANVIVHAVGVNGENFLVSVVKSQTMESVRLAEAA MRIA TSTA_081500 MSAYFQFDLNNLNMANDDFLLYGDEANALSVNKTPTDQMNDLLW NFDYNNFAVDDTDGELFNNNVYNMSIEDNQIQSDSQWQQLLSSPASTSPSLTDGASPR SRSTSQVPDELPAVVTKPRTTKRKRSPVVNKEAHNKVEKRYRSNINAKFAALNDILPV SDAVQSLLDRQFGDEPAQQHRNKGEVLSEAMRYIKQLEERSRLLESEVQILKDNLLPR RRRVAPL TSTA_081510 MASNPPGQCCVASFQHDGVPRGEIGKIDQTSTYFTYPDTHTDAT KSSDTAIIFITDILGIYINSKLQADLFAQSLKCPVIMPDLFHGDAIPADAFEKGPVDL KPWLEKHSVETVDPVIERTIKYLREEKGVQRIGAVGYCFGGKYVVRFLADRSPAVDTG YIAHPSFIADDELAAIQKPLAITASETDSIFTRELRFKSEDILSRTGQPYQITLFSGV SHGFAVKRELANRHQNWCKEQAFEQAVSWFREGKQARNGPELRASACYNFRGLSKPLP AWLLHLNSMFPLRSSLSLFKVRRCDTKDQPDQEYKWNDLNDLRPNRHSQNRRLLNIHH GFKLDQNAKICENLFEPWKNKIFDWEVLREIGATRDKHRGGVPDELFAPKRGTFNTWI RMKFKDGGSAVMRFPCPGASVFPEEKVKREIAVMRFLEHFTNIRVPHILHSGMAAGSP CGLGPFIIMEYIDHDYDFIDALNIPGRWRQERPLMNPDISSERLNLVYRQMADILLQL SDHYPCLKKRSPYGRLTEFHRPFSVEQRCCFESGFGRILPCLMITAQTPHFQPGWLDA SKWTMSPCLSIVSRRDLRVRSVAGCTCVSKKAWCVSTASRGLSWCWQQMGLHSRDDFL YARGLVNYNLTAQHNAIPLCGVCRANYDSDSDPGLAIMPTDLQFFIDFEKRDRRDRRR AATRSGLMPQRTVQNGEQYTRAGGIYKPIEIKKYLHPGIPTIAEFIAPYLQPKEWNGA PLAMLRRCFSLLGNPRCGFLEQRNKLQELYSLYFGAVVQDDTEDSNDPSDHDEAISGT TRHEKRKRSHGEGSHDDYRPSGKKARSETTGTRKSQDAEREAKHYTSWCWEFGPEYST AEVVERFAPLVFPQKKTNCAS TSTA_081520 MVKSRTKNDPSYEGKLSLAIDALNNEKITKLRDAARTFDVSLTT LRRRLKGSVPAHNASITRRKMTPTEEAVLRGWVFSLERRGVPPRQHMLHEMANILLAQ RDPTKIPEKRQPDLKAKFARRLSYSRALCEDPVVIGGFFEEIKQLKEEYGIADEDIYN FDETGFAMGISSTAKVICSSDRSGKPSLIQPGNREWVTIVECVGSTGTVVPPLIIFKS GTNRAECYTSPKLPPDWSITHSPNGWTSDEIGLHSHLTPGFDQACKNNNIIACCMPPH SSHLLQPLDVGVFSVLKRLYGAAVESRIRIGIYHVDKLDFLDMLYSVRIQTYTTQNIK SGFSHTGIVPYNPQKVLSQLQIAVREATPASIRPSTSSSSTWSPKTPYNARTLEKQAK SVKRSLNMGDLDSNSPSCPAFNQLIKGSLVVMHQAAILARENHNLREANDILQKRRTR RTKALQADGILTVAEGRELAQELPEEAQPPPPPNGSAPLQPAQRALPSSLNQVGCGCA TYPGAPFTRETRYRLVLAFA TSTA_081530 MVRSSAFIILFYNLSPDFIVGVMCAAYAGVKGNVKVRSVRNATL LTVLAREFAQLAKPAVVGPVSICLQTIKIVEFVTELLSSDPSNCGSCGNVCPSGDICS SGTCNSCPTGQTACNGTCTDLTSDPSNCGSCGNACPSGNICSGGTCSCPTGQTACNGT CADLSSDPLNCGSCGNACPGGDICSGGTCSCPTGQTACNGTCADLSSDPLNCGSCGNA CPSGDICSGGTCSCPTGQTACNGTCTDLSSDPSNCGSCDNACPSFDACCGGSCRYLNT CPFNCGRCGNVVGFPCCFIVSCPNGTICSNGICNSCPTGQTACNGTCTDLSSDPLNCG SCGNACPSGDICSGGTCSCPTGQTACNGTCTDLSSDPSNCGSCGNAVGLALLSFTISS FLASISNTD TSTA_081540 MLVRIKKPAIFLMMLLSRIARSPQRIQKWDNWPGCTKAINYDVD TRWNSTFIMIERAEECRRQLKDTVNDELEIEALRLTSDDWRQLSNIKKILAPFNEYTE YISQDSLSIHMAARLYEELHSMLLAIRERQGDWKNLSAEATILVSDRISLLERYYDYV KCNDIYYIASILDPQIKTKWLKMLPDGEKIIVRIRAFLKKAYPAQKKPISTALSANYK SLEYRFLEAFQPIQYNISESDIDQYFDTPTISTGFDPNQSQTEFIRNWWKANKLEFPC MAKVAQDHLAILAAEVDVERLFNGGRDILGIRRFSMNGRTLGTLLRLKDAARWKSE TSTA_081550 MARNRSEPNKRSLQTALQETTTAATIRAAKGQKIFSPIAIFLDK HRNQTAGLSPHLQRTLAALSDDLATMAQHHFNAYITKPATATQQTKPPMKKSISDAKQ SLPDHHLFMCLPPDHAARKMDAYAIFSSLQSQLGINSNALKEVQIIKTGFALCPASME ALSTLKAQKEVISTYFGDCQIEWSSH TSTA_081560 MARHWYHDSDNSDKDRSSTESDSSDTDLTEPEDEITSDMDLTES EVNTSNADLSETEDEIASDTALIKLKDETFSNAEGSVTPSHRISLEKVAIYKSRRYED PSDNPTQNLSDIDPDFIKSDNTKKLQLRIVDRWHRFCKVKVKEKCRRLNWSKPEAALR RASADWLYRFLHWCCRLQYGKDNRVCKGYGSADSLKTDWKYLRGYYQRVKKRRMKKSM ATRLRTGIAQLIVEFNLRTQPRKNDPVYIEDMIPFNETILRTREKRFYLGIQRIMLCL ALMLGLFTASRKTAILKLQYKHLRLSLQRNPHGGPPVLSIDIEPEYIKQLLGTKALNT FSFPEIIYGVSLVFSPHVFIMGLLFHANAFQTNIKSMDDLRRLFVMRGCQQLELPLKK EMDDYYLFCKVEEIEGEPRIIREEPMSDGAFYAAIKSISFIMGLLNVFFFHQFRYGTG KLLDKTGWVSDSERNLIMNHADTSTFLKHYRPRNHTQMQQVVFGLDADQPWDRALNSM NRNKDKRRPRFLDDTEKALVESDPELQAAIRELDSLQDDYERNPIPELASELAQAQGR VLNTRQRLRYKRLAQVRRDFGPKQAVIDANGQLDGTILPDDGAVEVLPEDDMPLQQVR LVEGLTTVPTVWTLEGEWQRRNVGVEVVTMYCDFQEGGPLRGRPKRKQNVSDDDTSKK AAKRSNNVENDKLAIAKKKHEQDQKHVKTARKPLICFQCGKKFSQHQSLLRHFRPKHL NDQMCNFCADGKEYLEQMHWQNHAAAVHRLNT TSTA_081570 MEAYAIYSSLRSQLNSNSAALKEVQTTKTGFALCPSSPEALLAL EAQKEIISAFFVNCQIERSSRWVSYRVTNVPRKIGQILNGQYSLIPVNPTLLSSEISE TTGLKPTSISETTSSAVNPDTPSSSWFVNFPEGMKTPLPIQLRLFAQQAEIRKSCATN LAKARTEGGCSPQLFIGTQETPMAIDEVPTQPPTHEIISPFRSVTPPPRAPTEDPPIT ARAQAASEQVKPQKLLQPCQRRISLKQQYLQAISTYYITDGNPRYNAALQL TSTA_081580 MYQDNINLCIVLLVTLIFITTISARRYVRVLALESFNRKEIYST PTAKKIHGRENHLTPLKSRSSQNESLQQTFGIQNAFTSAEEGYVKEFVKMSRGLVNIS SDSWDIIASTTQDAVERWKSDVQGACESRFEVELVPIVQSLTLNAVLTALFFLKKEAA TKGVPFKALTKLAKAINDSWIMSKQKDTLIAFEHNDQLRTALIEVLPDENISNPRENP LNLILPGFETMWRIVLRCFLEVAYKTGKAHSNWKEALVNYSEKPTAEQFKRVFLPDRV SANSLVKEALRLYPPTKRIHRAWREASSPKPKEVAGDIEACHLSTSIWGLTAGQFDPS RWEKVTREQEEAFLPFGSKPFECPAKPLFGPRLVGLLVGTLLSAFSHDLTLVSVYGDT VEFGTKRLNNERSGCYDLYLELVRN TSTA_081590 MAILTLSHDDYTVAWVCALPVELAASQIMLDERHGPLPQAFSDD NIYTLGSLNGHNVVIACLPSGIYGTTSATTVLVQMLATFPSLQFGLMVGIGGGVPTNV DIRLGDVVISKPTGNGSGVIQYDYGKKTQAELQLTGFLNKPPKILLTAVSHLEKDRMQ GKRVIKQIVMRALESNNDMRKQFSRPTNDLLFHATYQHQDGTHDCSTCDRTQLLSRPP RVTDEPDFHYGIIASGNQVMKDAETRDRVAKGQNILCFEMEAAGLMDQLPCLVVRGIC DYSDSHKRKEWQGYAALTAAAYAKQLLSVILPRQRKQFTPEEEACRRSLFIIDPEENK NALKRRKGDRAPGTCDWIKDTDELRAWLASPRAPSERVMWFYGYPGTGKTTMAITLVD ILLNQCDMIGQKKALAYFFCDSSSPEGCTATAILRGLLSQLVKQRPHLMKHLLPKFKE RGEKLFDSFDALWAIFIEVGYDNAYQQLYCIIDALDECDQSSQRMLLTQITQSCGNQN DPTVGRIHFLIISRPFPEIREYLHPYRSKDLCSYQEVQDDLQGFIDQKINELALRKCY TGRTKRDVSRILKEKAEGTFLWVGIACEELARSGSRDAIKKLQSLPQGLHSLYAKLLE MALEADKDSFDTVSRILAVVATSRRPLSVPELSIACKFYQEEDNEHRLKFTEEYIDIC RLMVVIQDRVVRLLHKSVRDFLLILTHPFSINELQVHASLANRCVEYILDNIGLLDEQ VDQKRDSFLEYATLYWPEHAGSAGMEFNILPEYQRFFHFDSVEREVWLDSYRRHRPVP QRFSILHVAATWGIKYLLISLLFTDSTIYGLIKEYIRSLRIPHKIQPCIDTIDDSGRT PLHWAIMESQNGVVDLLLRQGANPDVQDNKSRLALHFAAEVGNENLVQLFARTPKSLE AKDVYGQSPLLIAVENMHAATIYRLVDAGAHIHTFNNMHQNALHHICKAQKSGNSCTL LAYFMARGVSIKEPDVQNMTPFLYAIACGRQDLSLLLLQNGYDVNLAIRRRSWTRQIQ DRSIIYKLDEKHENLSNKSFSPGMTALHFSALNAGVGMTEFLCRHHADPNAVSETGDT PLHLAIRRRALGLGYEDYWTTGEYSVEELSNYITDWESEEASEIYEEIHTARVRIVDV LLSTVSIDVNIANEQGDCPLHVIPFDKCYASDLLLKLIEKGADTSKSNKKGQTCLHLA CDAGNLDAVRILISRGCSTTSQDIHGSTPLHCAIRENRPSVVRLILEQHPQQLLGNCQ QSGLSQAKLLHHHVKSLCCSVEIINLLLECGIGPNEQDENGDSVLSLYLRSFHLRLQV DVFDCLVRNGASMNWSGKGKESLIHLAMQQFHRDNFLILKHLLQYFDISAKDAKGRNV LHYGAIHGAFNKDLTNFLQEKNIFNSLHEKDLDGKTPLDYAEEEAQRKRHPHLFEGKR WYESVHNLRSLQEDPI TSTA_081600 MTAFSTDALSGICTQEQLSLLDSVDTLRLQGISHYVSLPQIIVC GDQSSGKSSVLEAISGVAFPVKSNLCTRFPTELVLRKSSQIGVSVSIVPHPSRSESEQ RSLSTFRETLDSFDSLPILIENAKSIMGISTHGKAFSNDILRVEVAGPDRPHLTIVDL PGLIHSETKQQSAADVTLVQEVVQKYMREPRSIILAVVSAKNDFANQIVLRLARTADE SGYRTMGVITKPDVLIPGSETEKMFVSLAKNQEVDFRLGWHVLKNMDTEKGRATLSDR DAEEREFFSQGVWEFMPKNLLGIDGLRDRLSTLLLSQIATELPNLIDEIKVQVDKSNE ELKRLGNARETLQQQQAYLFHISQAFQTTVKAAVDGTYNSAFFDDIHADSGYRKRLRA VVQNLNETFADNISRHGHRRKIAPSSDPKNLDHDGQIMLTRAEYIQHIEKILKRTRGR ELPGTFNPMIISELFMEQCTLWEGITSSHISQVADAVKQFIGSTIAHIADNATSTVLM REIFEPKLDDLRQAGQEKILELLLPHTHGHPITYNHYFTENLQKIRNERREKEIMRSI QAFFNASDMKTVASEVGSVDLQGLSRRIIQSTEPDMGRFASAEALDCMLAYYKASVAL KRFIDDVAVEAVENKLVVPLSDLFSPIAVFEMTPEMVTCIAGETKEYRSLREQLTKKL DILVRGLETCKKFVGIRGIVIESNIKPASASVIHSPRGELHSSASKQSENFSLLAGET DAQVTPPEDSQLSPIILSDQPERIDLVPVESLSDCTPLAPAEDQNIANSIPEWIQDTI PDALEQERSADVTVNIDPPGPAEEEKSSLMIGKSKKAKKNKKKKKLAGKELDMSMEQN ALE TSTA_081610 MSSFCCSFITPYREARLMHETKFQRFMAWAGNSQSSPVSNSDSY FRNPNNVPEFAVQFVQQVNYGPVEAKRYFIPDPDSFAESQGGFLEVTEQDLIIGNFQK LNAYKNYKCGAHNKFFELNLYQKDPVNQHHWRFNISRPASDIDI TSTA_081620 MKLIDLPTELLQLLTEFLETEKDISALSRTSRHCYSVFIPCLYR FALLWAAKYGNESTARISIQYGANPDPKDDHSSTPLSYAASEGHEAIVKLLLNMDGVN LDSKDNDGRTPLSEAAQKGHEAIVKLLLNTDTVDPDSKDNRGRTPLSYAASEGHEAIV KLLLNMDGVNLDSKDNDGRTPLSRAASRGHEAIVKLLLNMDGVNPDSKDRDSRTPLFY AALRGHEAIVNILLNVDGVDPNSKDYSRQTPLFYAASKGHEAVVKLLLNMHRIDPDSQ DNSRQTSLSEAAQKGHEAIVKLLLNTDTVDPDSKDNYGRTPLVYAASSGREAIVKLLL NMDGVNPDSKDRDGWTPLFYAASEGHETIVKLLLNMDGVDPNSRTDNGLTPLSMAAYK GHEAVVKLLLNIDTVDPDLKDNNGWTPLSRAASRGHKAIVKLLLNTDRVDPDSKDNNG WTPLFYAASKGHEAIVKLLLNTDGVDPDPKDDGSTPLFYAASKGHEAIVKLLLNTDGV DPDLKNNDGRTPLSIAAYKGHEATVKLLLNTGRVDQDLKDNDGQTPLSRAASEGHEAI VKLLLNTDGVDPDPKDYSC TSTA_081630 MEVELNSSARRSKFLKKLDLDEVNGDLNSLQLTSHPNLLNLMRV SVIEDGIYLHYERPGVSLSKMKQFKLIDRIAVATICKKVIQGLIYVHDVLNIVHGNLH CDNTYLNEDGEIKIGDIGQSMMQARDTKDISREVEAVYDIAESLLSLDGSADERSLSW VMANDFVKSANGISLKTLSLTVNCGAPELMNV TSTA_081640 MDTHIMLCEVFSEELLRWDIQVTMSIGLSNSIDCDALRWWDLQN LTSHAPSQPTSVKKMNPTLLIRYESPWNTYEKELTCDLAGDTAIVVHRGAHSETFTLS HLQHENVLSAREYYYQEESMYALGEDLSITLEDVVLCDPFLSEAQLAAILCQVILPVS FYLCLQRSEDHSAVGLTDPSRWPEDSDAVRFLAATTSVRSADELAKKTPWLEAQAHRA GPACHCSSNNFLLLSIGYAQETEDKLIEPIQVLVVPLDNEYIVRNGGLPEPVTILRIA KKRKSVMVLGTCLIPVGDLQHLSLRGNIIGHVSLHFIVVLEGCDSIEPNGVIRAICGE VSWNA TSTA_081650 MDILVLYAIVVGSLLGLLLVLQALSFISPWTTVFARVVARHFSY PYFLRRHRLVGPWTRASLILHILYASLNTFLTLFRTASVAAAGKRAGTLALINLIFLV STPHLSFLSDFLGISLRVCHRVHRAIGWTVAALLLFHVSMALFSQRADFSLQQANDLF TLIGAISFAMLFVWCLPLFRSLSYGTFLRFHQGLTGVGLYGIWRHLPTGVGFPMMCLY ISLGILAATCLMQIFHMLYHNGLLAGRGFPRAIASGDGDGSSIVRVRLTLPRAIHVQA GQWINLWMPTLSWWSWMQTYPFIVISFSPGKQGILDLLVQSRSATSTALLHRVRTEKL KAVSMRALFSGPHGISQPVAHYETVLAVASGHGIAAVLPYMKKIIHGYNTSTTQARRL HFVWQVETLDVVRGGQDLLNHLLEDDTLDNGYILSISIYVTKDKLTRDRLPFGNHKRA ILYQGFPNLRDLISQEASGEMIERLPHIEDEAGKMLVLGKGLKHSQVSSG TSTA_081660 MESQFTDTELRLGIERSDRYKGTAKIDFSDIRFNSNLSRPLEQQ NIVRLREIFLQEGCRRFEIQNHVTAVVSQRHLRMALEAAGATDTDLFTSDPNQMPHLQ FSESQVNCLHGQHRIQAAAEILPPDDRWWTVDLYLEDISLELRSALIDEYSNERQPSD GEIYRKIRQYQQEHNAPFQKRWWARLTEEKARRLRQLSDNAELCSSFDAILGIPGLWS GMSLGNLGKLMALNCDEEIVHYLSSHLKKFWVLLVSPNLSNPDVEAMMKIDVHTVRTL ELMAPGASHLDARKVQGWLASGEILREFSVAERNRMWEWLRCYDGIIPSLRTFFKDID YLKSCGDALKRLIRPSKSHPTMQKAFKYHRAPALSAGEGFAIQTSESTFDMRSMSHGT YRELAYRQIWLYAMRHYPKMPRDPTKPNRLAKASNEKADEAVIYDMVVLAYKLGFRST NITTLMGRSPDALIAQDALLTARKPDLYEYNADEYLGLVQRIVECFSKARLRENSWTP RPLVKSAADLKARCGLPSNEVQESDRRLLFLDHLHTAEAPVTETISTFYVRRCVYFAF FGRLPAHLFTCPATVHSSEGSRHLRPSMSPLFLPQADRFETADPNSESRTNLVDPENT EMEVRRDYGDQDERTGRLVHILHEVGDAEPSHPRWRATEIAGTTISEGPGLVSEETAT VVVEECLSIAAEETAVAANVQETRDIRNERDSEIAEPRQVYLERQEDAFEAVHTISSP QRNENSLQGISTAPTEMETAVQHDISIHSAPLQGAGAASRPITEIDIENSLPNFIARL RESNSPPEPDQPALPGVEAKPRAQHVRVEKPSLKPRQTQRDLNRAGGRDAAIADQLGW NLEVSDAVEEDVIASPTQLIAESHVESHEVGPVTEIMSERQVAGIFQTSERDPTQTNS VEQELQIASAIRAAEDALTRQKAKQRVENARRAPEETVDDFTQTRTAEDLFDGGEEAT SSAVDNRPAAVNHKRKIVIPDPSLPSQQHDDIPSYPGAPIGVMFDNQTPATVESTSAN ISKNRRRPPSTITFRTWKGGRWIGLETVEINPTDPFHVERVASRYEVTEHATLYSRNF RRTRVGQCFRTARLDGSYSIFMLFGDKQAITKAMKVAANKI TSTA_081670 MGSSHRRNLLQEMPNALQKHVEYSQGINLQTHPVSSVRITNKSP WDTYAKVFNCELAGDVVIVVHRTEPSEVYALRPYREAIGAKVLHRLTQLQHKNILSAK ECFCTEESMYALCQDFPLTLEDIITCDAYLDEIQLSAILTQVSLPATHLRVVD TSTA_081680 MELMEKKYEENGAAGVRDLQRWPMDSDAVEFLAVTTSASSVDEL IKQPLIQKHQNSRQTLIGIARFALVTTTTFYSYP TSTA_081690 MHRVIFSESEWRTDQERRAKYLGTAKVKINQILLPLEYDQDKVQ GLRDLFYRQGCDRLSPRNHVVAVISKENLLATCYNSHINIEALINNRSDSYHQLDFPI GQLECLQGQHRLRAGKEYLAPSDQWWPVDLYADDISDELRTELAEEYSNENAPSDGEI YRKIRQYQYEASARFEKRWWDRLSPTKMKRLRQLSQPEHAYIRSAFDALLPIPGLWKG LRIGNLSRVLALKCEEEIVHYLHFVKNFWSSLVHDDETQMNKIDSVTVERLQLLAPGI SPGDRKVVQGLVLSGQVFGASAHPNADPYGVFFKDMSYLEACANCMKRLVVLPKLGFT ICSAMKASYQGEDCDESYVIQDSETSFRRCLGTVHDRRDFGYRQLWLFAMRHYPKMPK ASESNDLLAAARCEDADERIIHNMANLATRLGFASPLIDQILQQSPDRHLARAALLKA REPICYRYETLEALVTRITECFDEAVPVNSQPERRHFAPRTVPLRTRCGKPRLEDHEE EQNFLFLDQIHTEIAADNVVSAFYVRQCVYFAFFGKTSVTPRVWRDEITPQSPLFVPD SSQDRGFRQCRSSILSDSVRSFHAREDAGQIKQRQPNQERHVRRRQRKQERRQYERHW NRDGPSRVPSWERDDTESFQERPLPSFNPRTGRTSALGHVEETLGLNDVDSESIRFDR SVTEGRE TSTA_081700 MGCISRPIAFVKRVVVPDIDRRRKVLHKTCHPNLVNLTDVFINQ ETVYFTYEKSGLSLRELQDMDDVTFDRIAVATICKEVLKGLIYMQEELGLHHGHLDSD CVYVNEDGQVKIGDVGNSMLAKEENQGTAHDIQSVYNLAADLLDLSTSSEKDTMSFHL AEDFTLLPSDVTFQEVMTHPFLAIAQDPWYLAYLGLMYTFGLQQHTWFKTPLEQGKGR KFSERS TSTA_081710 MAQDPTQDRGTVILVAMWSTTSIATIFVGARLYTRAKIVRSLGL DDYLISLSILLGLLFVSLTTASVRAGDGRHADSLTPHAFERAALLNTAGFAPGILSFV IPKLGVVALLCRIFNPTQRWKIFIWSFVGGGGLLICGCIVIIYAQCNPTKALWTGVGN CWNPSILVDYSIFAGALSAVIDIFLAIYPAAILWRLQLPLRRKVLLLVAFALGAWISR RIRFYLQHLRACNLDKVMTSIGSPWTLLLTYFSAESNAVIIAACIPTIIPLIEMLFFT RNAKSFQLRSEHEMWAPTAPSCVRPALSPFWKINVDGSRQIRRPNDNNRNQISVNEIR RTDEISVFID TSTA_081720 MAQDHFDHTSQLDGVAIQAGDGPSVKSLIGEMISYSDSPGNERH RLSLLRLARALVQALETPRETVLRLCWAEPTLYGAIITAIDCGIFSTMGKNPDSPISV IQLSEATQTDPALLGRIMKHLAAMGVVQERAVDVYFPTSLSLTLALPKYADGFTCMAE GAMRAIYKLPAYFQSVGYRNPDDATAGPFQFAYGTSQHWFSWASDHPAVCQQFNNHMS AYHQGRPSWMDLDFYPVEEALLTGAKSDSDAVLLVDVGGGLGHDLAEFHRKHPFAPGR LIVQDKGDVIQPISGNLGKVEAMAHDFFTEQPIKGLTPIRSLLQLRDLTVPGARAYYL HSVLHDWPDHECHLILKRIAAAMTPGYSKLLINENVVPDLGADWQITGLDLMLMTLVS ARERRENEWRQLLEMAGFRIVNIWSHVNGVESLIECQLA TSTA_081730 MDLNTTQNSVEPGQLAVQLAEHLKSLILLDAAEFQKTIAHVQQI LPFDIRAHKTEQSIEIKIEDITPVDNRMSLQNLVHGLTDSSDGVPKLMDKGYDSDTTE ELPYTPAELEGDTFLPTYPGRYDLEMFDAIEWTSWVNDDILYVLDGEP TSTA_081740 MALLSNFSSPAHRWLISGLWTTFALGGMVSLALALSMLLDSRLA ETGLSFLKVGFKAPVDINADLVKFNFSTLLPTQYLYDRSQDLVDIPSVTFTDVQPILS SLPPVVGSAASEVQAAQSTVRSELWTIATDAAAAIQSEVAATDLRNFVLGGKMKPGIA TSFH TSTA_081750 MPGTSEHQHDTQPGVTRDTMEYSPAYITRLELRLDKVRANLQRC RERCAEHQSQLVQIRDARQELEMHVIQLEDQHKVLLTSWQELNQEKAELESRYIHICF MNRSLLQILSKGRDVDIFGLERLFVEHGKQQYTIDGLRDQLRYQEAQVRAALCLLQNV QSPYSPDDDTDSEATTTLDPNRAGEVSSYGNTHHHN TSTA_081760 MAMTSHNQSPSDMFVELDSEWMQKLFEGQADARCFNEIHEVFQQ PLAQCTGSGWGTGEIRAGLSEPPDDATITEQDEVVDQSLVIQALTERVASLESQVRTQ LGVMMAMLHENTEETDAWCQKILEAIKVLSQRKEQPPDTDPRRRHGPRTRRETRLSHK R TSTA_081770 MARMQGGRWLDVAASGTSFSIQEHAALCRLMEKMPNPATQYPRV WAFLGSDRKNECLQAIFAENTFVRADSYTTIRLRGDAASLHSKSPIFFADGDPWDDST VSYSKVARRGSIFPIEWSGSTTAAEVHRSLYSQVLFLFADVVCLFLEDCRPGYDITKL IGNHESVNGLPAKTRPRLIIIVGDPEKTNWSSAVDYSQHSGNFSEISIFPMTGGERVP DPDYDRLKVLLLMHSQEIQTLRQDYIGRVSTTELERYLHFAIKHLAKSNEHSFDFVRS CRAPKEIPPDIGEKVNRVYELCVASGLSDFDSARFIASALIMDHYRPEMPLMDPRLVY QTFYRADISHSFSTNLSSPMKWVDLVEDAMLIEFAPLRSDAVTALELRKKFLISQKGH YSAVKSNRACLYCVVRAAQHFTPCDHPLCDLCAQKFGHPAADKEHHYDVDRCLLCCAT ISMAIETLPPTMDPTVLAIDGGGTRGAIPLEFLILIQEYLGPCRLQDLIDLDVGTSSG GLIDLGLHALDLPVSVCAEIFSQLARCIFQKRRPPAFPWLPRTVMLRLRQWYSWWRHD SCYDGSIFGEVLQHLYGDQPVFRSISAGPSGTIRSSTKFGVVATSIGTKTEAVMIGNF NAADSTADDCGYRLIRPGNIEHEPKVCEAIFPPIDLSVGTFQDGGLTDNFAGGIARRT VRLIWPSCREPARLLSLGTGSTPPPSGGSLPHFRNSFLDGFPRRAFSAWMKCLEPEGK WKEMKSQLDESISQNFRRLNVPLEETSSALDNVEMIDRYRDLVLRYPGSATMAKDAAL DLLTARFFFELDACPSVDQSPFRLYGTIRCKGSARLLVAAMERLAPHPLEFVTDQHRL GWLVPDRDICPSCNRFCWPVSLLCRNSDQLLHIYIKSGREKRWNLNSFPTTAAKLVCR QQLDVPFGRIDHGQPSRQPCAACECGRLPFRGRRRRRNLDSAGQEWRKKRVRVQ TSTA_081780 MAGTEPSRERTKYLKRKQTLLKKIWELSTFCDVEVHVRFMHALE TIIIDSTDDAHWPSEDEMEETEDRPIHRYNLESLGQYLRDKEAEAFPLEQDEIQLPEP PRLRRLW TSTA_081790 MTTFHLEAPIRRIDPPQRAATDITIRTRYMIMLLEYDRGIPFSH TCMAAVSQWTLLAGYLVVPGTFTSLQRLNEFSGASATVVRAIQNPPLLAIACICFFAG ASAMSWLAWRWRFNDIWLSHLFRPTLLNALAGLLTTLVNIYSAQDGDWSIMALLTVIL TATVMVVSGTLTAYFYFFKLAEIKKEHEADMILESRLRWRRELELQS TSTA_081800 MDKTKDTPDHVLTDVVPESGGGADAMMIGAQDDSVAGSENIPSE MTLSVPKYSQPDSNDVSGSRSLEYPAIKNSGTDASPISHTVSGPTPDEPPTVVPHTIQ ASPGIALRGSASGVPRAKAPTTKNGSAPRETLGSVGSMSAEELTKLIESYCDPTRWAS DESRKDWKMYETEEDGFEDNAFGDEDPDDMGDGNHRKFLYHRETVGLLKLLSDTASAQ PHLGNLVEKVRQWMPKGLLLSSRQLLAAIHPDRFQNPEMKRQAHAAFTAIQDELKRDP SEKFKGLNQSDDIPMDFLEEYHEKAHVQATPHLSRLYEAFENGQIMDKDSPHLTDTVR AAYREIDLINTSIEDQNAKIRNEPEFGMIYPEQIIHCWRLHKESHDPSMLWKLCEARH YPMGWTHEPPREDFSYDLDRGQYWTSMQDQITTVLRKYWDHSLQNLSTSDISNEIVTQ ILKTKADYESQMISFNQSHERPDRLNIVNVADIMAHYCGLKAFSKQTMAEQFTNLKAH LDRYLASNDLPQTWSPSQPMGSPNTTSALQVKQLGNGKAVIKSMPHTVDCTVKPGYTT SGEKIIAMQKIGAYSARFVTESEDGSRRIVDSGQAGGKLAMEGASKAGVPFTTTSYGD IAEIKAALHRGESWGLTYVAVSQWDTTRVNANGETKLPYTVAGISLKGSEFHVSKSVL TFLVGASAAEKNIVRCLGGDEDNGLSETLKSRLQLEDFPHLLQSGAPRSLSSQQSLMI QQSSVSQEVSKLRQDVDGLLVLRREMEKLTAAVTQLVRRMHI TSTA_081810 MEGIIAGYNVYIECRKCDGDRATILPSLSVEQYEQFVLSSASGA RQYLLQHIVDYSTRRYDVLPLCELAALCPFYLPRALENIQETPQDLTLWLKAIQNGNL DRRTYATLFRILRLLLSESESYKNILNLLNVQMSILQCHISRLDFTLPLLETTRIFTE HLMEGDPWHNRIRDLKMINSQFSTMVPSLEEFEKSCVILHDVQKVILLGNRYLPESFG TADEVVDVNLEIPTSQLQAARNVLVWMETHDDWFHHLQPSLAIPTDLAEFQTYLATPH ARIRTQYILRRLSNEGFYSRQWLLLLYTLAALCPLWFPTAGAGGDLILLVHAFHINEM TPTSFKVLHQILSIATFHNPPSKLAKDLQTIQKRSQWTAGDFEESLASAISYFQDMFQ LQSQFYGMVPILAVKHQVKSEEPLQSIATLLRALKHRQEYQISNPSEGPQLFSESPRT HSFQSPSASIHKSISGTNFLPDYIEGGAFPSTLMGYEDRTWISTQDSRVGKSYTLPIR HGRSYPEHDHDQVSKSVPPSTETRLLCPPVADMMPKGVHFDPYMISDPSKNPESVPFM RPSASTCESTVDTDCSPLAFILTTDSPINPASDQKKIHEKENLRTHSFQIPSASTLES ISSTSSASHNERLSVLPSPKSATTFYECSWEQCGKSFGLKADLERHYRIHTNKRPYHC TEPNCNKSFAQKCSLTVHLRVHTGEKPFVCDYKDCGKAFSDSSGLRQHRRIHDPKQIA EQRLLSRRWFCDQCGKSLRHKYDLNRHRRIHTNDRPHHCTEPNCNKRFIQRSALILHL RTHTGERPFLCDYEGCGKDFHSVSINRLVQLSRVVAD TSTA_081820 MSHSDLLFVDDEDGYHSDGSNLTEIAAEIRDNAESKTVTVLMID QKELKSALHLGESQSALHLCNLDSPHNILDIGCGTGEWAIAIAEKYPSARVIGLEIND DLLPPLLPPNLTFYLGDHHTDLIFERRFDLIHIGHLDGCVRDWDALFRDIFRILKPGG YVECLEQSLTIEYGKYEEERKDAYLTGFIAELLTESQNRGMPLDVAPRLSSHLEKTGF AIARLTTRKIFFGDHGGLERRRFKAFADRLLAGAPDEVIVKAALAAGQLQEDDYMTLY WVSAQKPIQEFAPKVMKGYYFSGSPAIGTDPQSTVDSRLESIHANHPLYPSASDESIT SHNKRLSVLLPSPKSATTFHECPWEQCGKVDTAPIFHEEVNFTNIDQSFERKYNLQRR YRIHMNERPYHCTVPGCNKRFTQRSALTRHSRTHTGGKPYYGSKRFILPRLSTKRGGG GRGISAKTKTGDWLL TSTA_081830 MSTVSESLSILAQVDIALAWKSQAAISLLVHRVRGLDAQLLPQV WFPISPINVTGAVLDDCLRTLYTASDEELMPFRATMEYSIFTRFRVLPYVHSLLPNED LPCHTYLTNVAENLAYRLYFYSSIWTRSLVQLSRAQNNGAPLLGSRNLSPEDCFRLVI ISRRHALRYVQLFPDAPLIPESSDRRHTHVNAKLLAIERGLDCPGISLIMFLDVRELL DMRARDIYQMIQLLGLFGRFPEVTKAACGLSSDLHIYQKDYDLKI TSTA_081840 MEAQQVRRDDEWQWEAMDSQGYAILHASEEPSEELREFILTESA KLPREGPEDSPLYYIQPHWDTELWKEIPNYVQAGINPFHLHLNLDGLKPRIMKDLVRV VGCRKTEAKRDASTNYMLGPPNYHMHDSMVLIRPIAQNVTFKPIAGSHRVTKSEFDKS DPKSTPVNVRRIQALEARTTLWMQWADLSESDGLLLAVVVYANGPADKPDEGEDFPGR VDEGDVAPFMRY TSTA_081850 MSVERPASLDQLFRHNASEKLPPEDLDLEKQCTGPEETKLTWWK QIWKRILSLIFKTEALAALSRADSNLSSMPNTRVHSNRPTIETVRETKVVNPVAIYTA GLTRAKPESQSIPTFEETIRVRSTPPTPPPTPDTLDPCQDGLLSDRELDDLISFIQNA SHISPDVILIGRLQERDSTTIPPSLYHPHPVFGFPSILVNIRLLITTLFRFQAKVTMD TPKAYVSFFHSPMRAGLKHTQFLRIPPYTKDDCIADAKLRQTNPKTWVSENVTRVTSW LQEHEFDCHPVAPLGCGWCCLFDLDEETLTRLKEAGTCKLFDINDTNYPSLEYMEALR APELESKAVWLMELREALSLFYLYTEEIDLKVLIFQEYLIVETNGVMEMMKQSLLE TSTA_081860 MSKVPSNRQVIDTLSTLPENDPFGSVKKDDEKTRQFFKGLYNEL KKSFGKASGSSSANLKAIQEIKKLELSHQDRFPQYIESARVFWESDVEVQRYFDHEEK TKAFLESAYQLKKGVDEVLVLRRFVELAVYHLYQRAFSNVSSVTTENVKNFLVESKVV MRDCKDDDDAQKRSEIIKKYSEMATRGRKMHEFCVEIAQVPGEGTVDNSIYGVLFIKA IPDIFTPRHLVGTDYDKSVEHIEGLWKGEEAVEAGKVAKKVLDYHSQKLQWCYEITAD KGRKKRYRKDHTGARQRKKQRATAAEKPRSEGAPARDVSDQVLSDSAAAQILNQMTRN VNDDVHGLSADPTDHITDPIARQVESNSAPFQIQNAEDPSSARLSASSTGLDSALFVY QNDSSVVNDESIPTQQTRARSFRHDLSESCAHVMGNEPFQSAPASNDNVTDLISKRRH QANMRQGPRTVSLQPLQIASSQWMPAGAGCNPQLQSDKNEPSSQQAASLAIRNQQAAL ATPLDSFSLPQNSEGFRQPARYSQQSESTQNSANYAQIPVASNDGELLAISGNPATDM DSLDSSNIAALGVHPSTNMDFLDSNDGDLLATSGIHPTMNMDFLGPSDSEYIAALGVH PSTNMDFLNLNDDDLLATLGLYTG TSTA_081870 MERRTISAEALDKLRVVADWFQQPGIKVKTISANMSKCIDPARS SKIAPLLVELGHRDKGHSLWRLSGKSVFYKDPGFDNLFYPFAPVEVDGTKLEPGDFLR FVEDKPLTAELDCLIICVPEIDKES TSTA_081880 MSDQQPLYISGRQLQRRRTVETSIDEAVQACHPRLRSALEEICQ RPYEVTTKEQLQEYRDAATGYNAKSFLKEYHAQYAANLEHVEWSFERDGYIINLTIFR PSDDSPVGSRPCFYYIHGGGFVSGDPLSGMRTIIPLIHNHKALVATVKYRLAPEWKAP TQLEDCYYGLLEVWKERKALGINDRVLLVGRSAGANLAVGVALRVRDSQDEEKPRICG QMLYNPMLDHTSRMALKEFSYSPAHTQKNGKFFWSCYLGDEKESVTIYTVPYTAAVQD LVGLPRTLIDIAEVDCLSTEARDFGDRLKAAGNDVKINSWKGLFHCGDRTLQAQVGMG WGFLV TSTA_081890 MVYTLLRYLQEPNPRLRVFERASTFTQGGQWQSIERIENWPEFA DLKRKFLGRLDRPIEPDSLTKVAEQSGFNSIYDENGLAQLHGTTIVIPVGKALPEDTF IRPGGEISVDQPDLRPDWGATMETASGGRRPIVCGDTKLGWNVHAAARIVGSNRHGYE DVEGRHLVLPLEQLQHYCLGFDYVVISYCRLNRNVLRGQKGLKGVIINTREFSPLQRH RAD TSTA_081900 MASSYVEELLRQLAEERERADRERERANREREHADRAERKLAEE REHAEQKLAEEREHAEQMLAEERERANRAEAKQAPTTLEQYLRLIQQRLVTTLLVESN PVNSASGSVTAVNEKFYPLELCRWDDFEKQLERTFIEISSVFSSRSLFPSETDILGVQ RELSPTSRKDEQDIRPFVRSAIEKPAERIVRAYYDLTNRPEKFCFQNNAYSLEYRDPE TEIERRSPSKRRSPERKKSQPIPDRWGICEEEGGLRRVCVGEYKAAHKVPDEEIRQVL DTASKNLFLEVLWRKQSGTTNSEQDKAKELVAQILCQAFHYMIQSGLLFGYITSGRTL ILLRVDEFAQQRQARESEAQYAPATQLATFALLALRAREMPRDWIVQAENCGIYQWPL VPNPALHQNISLQPQTYGGETSESSGENDDPNDSDYGASQRQSRSQPDQQSRSQNERR RSPRKRTERSVGEYCTQACLLGLVQKSPLDVSCPNFSMHKRSSARGKHPITKDDLCCL LRKQLDRSLDQSCECLDRKGFFGDVGVLFKVTLTEYGYTFVAKGVQKANERDLAHEMK VYSHLVPLQGKNIPVCLGSIALTRPYPLVSMAKVTKMLLMSWAGTSLCYNTWPEGVDI KKETDKTLQTLAQSGVRHDDIRQSNLVWNAERQRVMAIDLQQATITSVTKRQASPPVL HTRKHRKIARSSSHIKGIVI TSTA_081910 MDSVVSSPRPTIPPSQRRCSLLELSEKLRELADMPVKPETKDIE SVSEFRDLIHQLCQEAPAVAQAIAAAIRENIPDPSPRLPSKRKRRQDPSYRPSHAVQL VPRKKQRTDDKNAVDGPMTPESPIPESESPETENALDSMICVDTAELRSASKHESKPD VHSTTLAMDEDNAAKSDTIHCNTMSMDPTGQRAESPPVPEQDPTESMSFLDTVHQMVN IVHLLNRYQTDLPRTVHRRILQSLHTTQEPIRDSTAHQWSDGRMWMEVLERGSATNRR CSVLNMLEYIGASKWYDEQIEHAKRTVCTMENKPVGEKGAATHVLDRITREHSLLSRK TITNQCSRGKRLRELVEKIGLGILISSKIWDYTKRTGPQFNQLVRDFKADTQRLALFR ILTPQVEQLVHKGCTDPEALYGALRENDIVSEDELQEMKAKHQSESGSTSAVTLSKAV DRLTGQVSTQLFNKRKLDVNDTITINGSVELSIDLFARLRAGEWLDSWAIMAAMRISD RPDFVRFGESIPLDSIGRHGQMRSIKRPFQVWAGKIAMFRRDNSRPLIFYCPVNHDNS HFTLLEVNDSEKAIRHYDSQAPLTAINGTKKTRIAALVEDEFGDLGYKYTEAPTPQQR DGWSCGTRVVWSFRRLANGLDIGSWDTVLSSERLNMDIVSGLQASVEWNAMQKYNRSR KREPKTNAQTPAR TSTA_081920 MEDKISGRSCNHILPEQHPPHTENGRTYHGYRRGIYMWPCDEQE QDRLDLLHKVITEARIGDGLLYAPHPSNARVLDLGCGTGIWAIDVANKYPEAFVVGVD LTKIQPFNRPRNCDFYAPRDFEDQWALGEDHWDVIHMQMGCGSVSSWSSLYRRVFMHL RPGGWFEQVEIDFTPRCEDGSLEYTSMHKWYRWLQKAMEMSWRPIGHSVRETVSALEN QGFININHQVVGLPMNPWHTDEHEKEVGRWYNLAILESVETLSLAPFCRVLGFSPEDV RRLATDVKNEANNKKLHDWLRFHWVHLEDDIAREYGYDQADDDQLKILGLAYTDAEEE WHRTHSQM TSTA_081930 MGIIEIGSPAQTIDVQFDTTFNGVLVRSTRENPTDIDGILVYNS SGSRSWGYIYNSIPENTYTQTFEDDAYAIAFAGTETFNIGGKLYSDIAFGQLEQYYPN TSGQAIPFGGTSGIIGLNYNSMQQPLFPSFMYAIQDQLMEWKCSLNSSREWQNGTWIL GSLESLDDRAAIAWADRNIDQPTWSVNVSAVSAGSRKNPPISTWSATVSTAEQSLVWP QKLLDWYFSGIDSTWSAFDNTYRYPCNASLPDFIFSIGNGTFTIPGTYMPYQRDPTST TCISIITGDNSTDSSHEYTFGSWWAQLGVLILDYEHSQVGFMNKSTPLPKFTTAALDI IAMN TSTA_081940 MLRAAAAAGYPKIVQQLLKNGANINAQGGNYGNALQASAEGGHL EVIQLLLEKGADVNTLGGFCGNAIQAVS TSTA_081950 MVMYQHREPKKLPKSPAIPHGISSFQSHMISSMSHPLTICPHTS TVQAASPSLLIKENHSSIPHIYLNTAHTQTQSDDFHQPAVAVPIDSDETYKEHGVCDT MICQNGSRNESQNKDEGSCNNYYVDGEQGIAGLTNGTEMPTAEDLAAVWSPELDAPYH HSHSEQPGSTIPESPVQEMPPDVANKSTFPAQWSDADSFDQVSISPIHTIPVLDPMCP TYPTITILEDKDYQPTVHQISPAHGNRAIAFPPTQFQQTDSRTSALRKKRQLNNSQPT QSTLVRATSERLSVTVPGRNSRSSNTSRARRHSRGSPSAQSTESDDSEDSDYHEHSHA GDQITNLESEPRPAKRQRRADAGTHPCRQRRGRLQERDHSTASLQSRPSLESMVQNST SSETIRIDGRLLRKVSLGRAEYCCWFTEAQGSTALSPAWSDCLAAFQKQANERDQWTS MSDLQVINIEGIFTRELNPCGYTWSCSFKERYTPPQTDKSSHEERLTPDEDGFMEDNE VSQLVEMPVSSKGNEYTPEEDKLIIQLKEVEKLPWSRIAAHFRGRTKSALQVRYCTAL KDKRHKSQTKGRRKPRTSQPRVAAEKSRQDIENSTPSRQYSLRQSRQIPDRYVPE TSTA_081960 MRAKLKPTFWSQDCHLSRKSVILCHYDLPGVQPLTRLNAPGNTM ARKGPGTDGPLQTALLESMSAAITRASEGQKIFSPIAAFLDKHHSQTTSLAPHLLRAL TALSDDLASVAQQHFNAYISSISMISILPALSPSPSSSPILNPLPPSSPPSRPPSGLN QSTYATITQYAPVKSTPTTHSKSLVKKPMPLVKQPLPDNRLFVRLPADHAARKIEAYA IYSSLRSQLNSNSAVLKEVQATKTGFTLCPSSPEALLALEA TSTA_081970 MTTSLLTLDVKGAFDSVLPGRLIRRLREQGWPTNLVLWIASFAT GRSVQIRLDGEIGPSIDITCGNLRNRFGYVDDAANLVISTSLTTNCKALSDSLQEAFN WGATEGITFAPDKYELLHFSQYKADQDPTCTPSVKAGSITILENTKQLYLQ TSTA_081980 MARKGPGTDGPLQTALLESTSAATTRASEGQKIFSPIAAFLDKH RSQTTGLAPHLLRALTTLSDDLASSTYATITQYAPVKSTPTTHSKAYIKKSMSLVKQP PPDNQLFIRLPADHAARKIEAYAIYFSLQSQLNLNSSALKEVQAIKTGFALCPSSPEA LLTLEA TSTA_081990 MIPSGQRRWSEDFPHLLHQERPQNLPSRHSYYVFTRDAAAMLAY VDWQSYGKCEAQIDRILDTFDKA TSTA_082000 MSSPAIHTEFWTKEDESVLTEVTYEDGREQVRTSNPTGLSRIQS GVDVERAERDFAELNQQFSNISQQARRLSKQASQRSKCASVHDLEKTGISNASSDELW DLETSLHGSKAAENDAGIRPKHIGVIWDGLTVRGFGGVKTFVQTFPDVVIGFFNVYAT IKSLLGLQKQGVEVDILHNFRGVLKPGEMVLVLGRPGSGCTTFLKVITNQRYGYTSFD GAVSYGPFDSSTFAKRFRGEAVYNQEDDVHHPTLTVGQTLAFALDTKTPGKRPAGVSK KEFKEKVIQMLLKMFNIEHTVNTVVGNAFVRGVSGGERKRVSIAEMMITSGTVLAWDN TTRGLDASTALDFSKSLRIMTNVYKTTTFVSLYQASENIYEQFDKVMVIDEGRQVFFG PTTEARAYFEGLGFMLKPRQTTPDYLTSCTDPFEREYQDGRNSDNVPSTPDALVKAFD GSKYRALLDQEIAAYRTQIQEEKHVYEEFELAHQEAKRKHTPKSSVYSIPFYLQIWAL MKRQFLVKWQDKFSLTVSWSTSIITAIVLGTVWYKLPTNSSGAFTRGGLLFISLLFNA FQAFAELGSTMLGRPIVNKHKAYTFHRPSALWIAQILVDTAFAAVQILVFSIIVYFMC GLVLDAGAFFTFVLIIITGYLSMTLFFRTIGCLCPDFDYAMKFAAVIITLYVLTAGYL IQYQSEQVWLRWIFYINALGLGFAALMVNEFKRITLTCSTSSLVPSYGDIAHQTCTLQ GSSPGSNIISGSAYLSAGFSYETGDLWRNFGIIVVLIAFFLFTNAYLGESVNWGAGGR TITFYQKENAERKKLNEELIAKKQRRQNKEAVDSSSNLNITSKAVLTWEGINYDVPVP SGTRQLLNSVYGYVQPGKLTALMGPSGAGKTTLLDVLAARKSIGVITGDILVDGHKPG ASFQRGTSYAEQQDVHEPTQTVREALRFSAELRQPYHVPLEEKHAYVEEIISLLELEI LADAVIGFPEIGLSVEERKRVTIGVELAAKPELLLFLDEPTSGLDSQSAFNIVRFLRK LAAAGQAILCTIHQPNSALFSSFDRLLLLQRGGNCVYFGDIGEDSRVLIDYFRRNGAQ CPPNANPAEWMLDAIGAGQTPRIGDRDWDDIWRESPELAQIKEDITKMKNERAAQNRS SESSSQEVEYATPTWYQIKTVVRRTNLSFWRSPNYGFTRLFVHAVIALLTGLMFLQLD DSRSSLQYRVFVLFQITVIPAIIIQQVEPKYELSRLISYRESASKTYKSLAFAIAMVV AEVPYSLLCTVAFFLPIYYIPGFQSASDRAGYQFLMVLITEFFAVTLGQMVAAITPSS YISAQLNPPLIITFALFCGVAIPKPQIPKFWRAWLYQLDPFTRLIGGMVVTELHDREV VCKNSELNTFSAPDGQTCGEYMAPYFAAGAPGYLVNNATNTCQYCAYKVGDQFYEAFD LSYDNRWRDLGIFACFIVSNIVILSLGVSKLSYMFNYKPTNSTARHDT TSTA_082010 MSTTLKRPTALQLGERVLVTGANSYLASNIIDLLLSLGYFVRGT IRAEKPWLDEYFTSKYGVGKFESVIVPDVTHKESLVKVLGDISGVMHVASDLSFSADA DKVINGVVKATQSVLEAAADQKSVKRFVLTSSSAAATLPKVNVEGNIVDENSWNDEVV KLAWDEKADPRKPAYVYAASKTEGERFAFKWVKEHNPHFTLNTVLPNLAMGPFLSPDF NGSSGQLVVDLLRGNHTIMMAAGPQYYVNVQDVARLHAIALLDPELKSDRLFAFAGTF NWTEIIDILRKLRPNINLPTPPKNEGRDLSVIKPAKRAEELIKTWFDRPGWASLEQTL KEAVDSAGL TSTA_082020 MEDGKRIEGPVVLKPANNGDSDCTVGQMDTQSDRFGHVERRLKS RHIQFMALGGAIGTSLFLGIGSSLSTAGPANPLLGFTISGLAVCGMMLSLGEMTTWLP IPGAIPTFCSRYVDEALGFAVGWNNWYFASIVVCLEISAASVVIDYWPGAQGISPAVW ITIIIIFILVLNVSAVGIFGEAEFVFTSIKLIAIIGLIILSVIVMAGGASNHEAIGFK YWNNPGAMNELSPATGAEGCFLAFFSVLVYACFTYAGVEMLAAAGGETQNPRVNMPIA FRRVAYRIVGFYVLGTLFVGCIVASNDPNLLTALAHNASGAAESPWVIGIQNAGITVL PSIINAVILTSAVSSANAMLYTGSRYLYSLARVGQAPRVLLYCIKNFYLTINVYDCFS RWFNCLSLGMNSTHPGRIAQQIAMLVTDLESAFYISWKLLKRTKVHPLEDVDLVTGKA EIDALDGDLGTEHPKTLAARLVKFI TSTA_082030 MSMSIHIYKSQIDMARPLDTYDLLSFDCYGTLVDWESGIFQGLK PLIDRLGNQNSLRDDKITILRRYMYHEGRVQKAFPTLKYASILSKVYEELASEWGLRE TVTDKESAAFGASIGSWSVFPDTLAALLELQKHFKLVILSNVDKESFSKTLAGPLAGF KFDAIYIAEEIGSYKPDLNNFQYLVQHCEKGLQVPKEKILHTAYALIHDLTPAHKLGL STCWIERKPNAMGGELSQVQGQLSLDFRFRSMGDMADAIRSL TSTA_082040 MPRRRRDRTFTCDEQQPNCRACQRLGQPCDGYGAKLVWIEDEGQ PYRSDGRRYIDCDATWAGHAILDSGLVDQLIDGCDPECAESSSSQPTLGLPKSSPFGC FRASAKNADHPFGLLSNAYRLLTIDPTDPFSSSSEARYIFYHYTSHVSTIMIPLFHPR NPWYFYYPAVARCYETSEQKALYNAMLSHAAYNLAGLASLPDKMFLLATEYYTNAIVQ LKSSLQRENPDYGGTLATILTLCMAEVYSGRPGTWRLHLSGAWTLLCEHSEKQPWTES DFACCSTQSLCITKVISDTGKGLKGTSGIEDSWTRIPFLDEILFGSPDNFSTSIMDDD DAERSLVSRVSSTPEFGFTIGSTKSLLACISSITIAGRKMRTKALHSQQEADLLDEIL SKIFSCLDKCKEEIAYNICDSEIGSFDDDQSRILSNHQRQAFISATYIYLYRVLFDLP PYSVRQYVSEVLLRISAFHSASHGNLSIWPAFIAAVEVYAPEDMALARNWLHHTTMFG IGSRVCVRRLVEEVWKRRDEAAAELGIDKGLISIDWRAVMEELDMDILLI TSTA_082050 MTTDLEASMAATAINSPALQRLLAFPDCKQFRGVLATAPENQAD QLTFTTLAGPGKISCPPYVATSNEKGELLAFYHLGSQLAGHAGICHGGLSAVLLDECM GRVCFARFANGVGVTVKLDISYRAPIPVDSMVLVAAKIEKVEGRKAWVKATIQDPEES TVFVEAEALFIEPKWAREMSNTLQNSSMTARQSIDIKPLSIPNSAAASSRLFQTSSTS LRTQTLLPIPNMVV TSTA_082060 MDANPVLVSHPAVGIVRLTLNRPKALNAISVDLLDGLTASLREH GSARVIILEGAGDRSFCAGEDLKQTLAPKTGSAEELREAFQKLQDITRLTSSASAIVV AAVQGYAIGGGAEIALAADFVIGGPNAQFKFPEATIGHAVTGGISLRLVPMVGLLKAK QLLMLGNFTGAEEALSIGLLTEIAEDPKQRALELALELATRPGIAATNSKTSLERAVF PNMENVLHDEVNVASYCFAQSAAADAFSNFASRKVTLTKTNGAHEAIKSGGFSRNATE EIVAKLRAVKDINTAFKNALDTMPDRTFLRFGGHDLSFKEFDVSVSALAGGLRERGVG PGDRVLVMMRNSVEMVHTWIATNRLGATWVPVNVELKSVTLQHVVQAADPKLAIVDAE FFQDIQLTNVLKQEDIYVQGGTDPHSLTDLYDFDKAISEAVEVAPSTTSAFLYTSGTT GRSKPCVLSHSYFIHQASLLIESFGIHGEDVLYCPFPLFHADATALTVIPAILLGAVA ALSTRFSASRFWDEIRATRATVYDFMGATLALTYKQPASPKDLDHSVRLAWGVPIPSF AEDYERRFGHPLYTLYGSVEASLPITQRGARVPGSCGTINKGFQIRIADENDEPVLNG TAGQLLLRSDYPGAFFDGYFNNHAANEAAFKNLWLHSGDLASIDDDGNVYFVGRLKDV IRRRGENINAADLEEEFLRHPAVKTAAAFAIPSTLGSGTEDDVKVAIQLCEGAEVDET ALWAWSTENMARFQVPSVIEIVQEIKKTPTGKLDKSTLSPEGGQRFDIRSIRG TSTA_082070 MANHLLSQHGNQQVGEKWVSNLVKRRPEIESKFSRKYNYERAKC EDPKIIREPFDRVREVISEYGILPEDIYNFDETGFAMGLCATAKVITGRDRYARPKLL QPGNREWVTAIEATNSTGWALPSYTIFKAKRYAQLGWVEDLPDDWRINISDNGWTTDE IGLEWLQTHFIPLINGRTLGTYRMLILDGHGSHLTPEFDRTCTENNIIPVSSQQPD TSTA_082080 MRGISWTWREPGDRKDGLYTSPCDNRACCGKSGFCGYSSTYCGT SGTSPNDLSWNNCDAHAECGKDLVSGNRTLWFLWSWLPKWVQYTRLGATNSSTQNRII GYYESSANRKQCMGININQIPIENLTHLNYAFGYITPGTYEIGAMSGVDASTFFDFIA LKSKNSDLKAGLHGPGTAAQPIVFSDEPSPLSRSINLNSLGVGNPSSMKALLICWYSL VALPPPRDIIQQDDVARLKAKRAGGEMEEDPAVTTCVGRVVVSSTRAREALEGADGTD ATAATRKTTLKAKPTVVRKPAS TSTA_082090 MSRSFSQLLTYRLTPNAPVEHVLNEAFRNLCKDEALEELSWGRW VEDESFVDVLITWQGGINSINPEKAFRYLSPLNAHLRGDIPPVSLVLESSLSGLHLTR DDGAHVTITSFRIPASAYAADPGIKQRIVDVFRALAHGDPRHGSHYIPPPEDEIPLSH RIGTAAGHWSSAWVESGSSSTEKNDDKRWIACLQWDIPHLETEFKARQNRHFLLRLQK IDGIHGLDIEDSSDAKFEEDDPVLASRAFWKPITETWDTSFEEHHVLFRKIDKYSMVG NGSWSSCIIQ TSTA_082100 MKLFNSCFLLSAISMTIPYSSLAIATTTTATSPATAAGPVGGVW STIPSPQGGNCDGYDVDTMVNDAKTLAQNAITAIQKMLNGGLKNADKTLVETAFTSWG VNYRQISFLNKIWITSGQDTLQTALSNYQNVLNILGGSTSTRARLMCTDAGWKYANKL GDVGQTPPDDPLPGITPAQPGFSWNPYFSVLIPDIEYDSSGQYGSSICTPYNSKGQQL SRPDGITWWQASLIMMCAGAFNGKTLSDRISSQSSLAVGTSLDSQQVPGGVFLHEMMH FIGQNIIDVVITMDNGSKVKAYGFDGSLMMAVNPDYAGKAVSNADNYRNFAMAVTLSD IDWSETGTKQS TSTA_082110 MLHISLFQGLLVWLLAVTSATAASSSSSAAVSTRIPSSSVSTPA PSQSVVSSSGTTSTLLSSSMSTSSSSRSNSSAVVPKSSSTTSSSVSSSTISSSTSLVA SATPTSSSIMIVINISKLFQHESELYSCDQLFSAYRPFVYIVIKLFCFDDYTTRLFSA DHTKHKPDIYLKVFKIFPQDQDLIIDTFFIGSFQTSSASSTSASATSTTPSSSSSISS APVTSSSSSPVAVLASTSYVVTSGSSTITPVFQQTTYSQYSTLSSTLTSSTTESNGHV VPLIIWPGGLGWTCILFCGGGGGGGAVFGGDIPFPTAPPEDPNATPTNTDTQPTSTTQ SSSSSSSSSSSSSSSSSGTFSISVYPSGIADSFDYVSADGSISSYLAKIRSAISSMDN GSSSVAVQSSSFTTSASPSPSIPVTTPTSTTMPVSSTSPASTTGTPSPSSTPVVSTSA SSTPAPICSEGNNSLMGFNNDPSSWCFCGGRGPFSTIPSATTSYCAFSTLPTETISLT SISTSIDTTCSITTGTFTVPGSASTTIDTYCQCGETMAGIATTTSGSVVLAGCEVGTP MPIVSTVSTIPPPPYQTGTCDLHIFEASESYQDPLYVQFNITDGANNLLTSQDFQLKW GDNATVSATDSKLPYDIDVEFFRSASESKVKRVGFPPPVTVNWENWILAITAGGTSWT DKDIDSSKLPYCSVGKWDNGDFWDWLDSVITLGGDEHLPVS TSTA_082120 MVYTVQYLAVVAAVLPSAVLAQNNQSYANYSSQSQPDLYPQTLA TLNLSFPDCINGPLKDNIVCNTSVNYVERAEGLISLFTLEELINNTQNSAPGVPRLGL PPYQVWSEGLHGLDRANWAKSGEEWKWATSFPMPILSMAALNRTLINQIASIIATQAR AFNNVGRYGLDAYAPNINGFRSPLWGRGQETPGEDAGFLSSSYAYEYITGLQGGVDPE HLKIVATAKHFAGYDLENWNNNSRLGFDASITQQDLAEYYTPQFLAASRYAKARSFMC SYNSVNGVPSCSSSFLLQTLLRENWDFPDYGYVSSDCDAAYNVFNPHGYAINISAAAA DSLRAGTDIDCGQTYPWYLNQSFIEGSVTRGEIERSLIRLYSNLVKLGYFDGNQSEYR QLGWNDVVATDAWNISYEAAVEGIVLLKNDGVLPLSEKLKSVAVIGPWANATQQLQGN YFGPAPYLITPLQAARDAGYKVNYAFGTNILGNTTDGFAAALSAAKKSDVIIYLGGID NTIEAEGTDRMNVTWPGNQLDLIQQLSQTGKPLVVLQMGGGQVDSSSLKSNNNVNALV WGGYPGQSGGKAIFDILSGKRAPAGRLVTTQYPAEYATQFPATDMNLRPDGKSNPGQT YIWYTGKPVYEFGYALFYTTFKETAEKLASSSFDISDIIASPRSSSYAYSELVPFVNV TATIKNTGKTASPYTAMLFANTTNAGPTPYPNKWLVGYDRLPSIEPGKSTELVIPVPI GAISRVDENGNRIVYPGDYQLALNVDRSVVWDIKLTGNAVTIENWPLDEQEIKPDHK TSTA_082130 MYVDTSKPPPPLPSPRCTEVSSGVSMLQPLSRRGTGPGMILIVP DAPQSNLSIINGVPSPLIKWAEESYVVVEIQERAMSSEGILQRATQAIFRSEDCVPKE KVGIVVYNGRLWDLVAPLLSSTTEISGAVIYASPDDKSPLSASPIPCLIHLTGKPSYS TTENKNIPPNRLYTYPSAKSSSFALPFQDDFSYSLEALSHTRNLTFFKPLMNGPYFDL EQIWDEHTYFEFENRSVQWTMSTMVQEPYVNHIPTMTGGVGREKLTHFYTNHFIFSNP ADAELEVISRTVGIDRVVDEFIYKVTHNREIDWLIPGIPETGKKLEIPMTAIVNIRGD RLYHEHIAWDQGTVLSQVGLMPEYVPFPYRIAGDAPADGRYFEVKVPVAGMTTAMKVR DRNAVESNGLFEYKVREIGTS TSTA_082140 MTKGLPVIDTTKDLSALFIKQVAVSPDAVALEDGDATYTYARLD AEVDALAGRLRGYGVGRNVQAGVLLPRCAHYVIACLAILRAGGAFLALELAYPPDLLS DVIEDAKPAVVITHSTERSKVVKQVSVICLDQQQLSTELNGNAKDSGPLPADDDLDRL AFVSYSSGTTGKPKGIANPHRAPVLSYNLRFLVQDVKPGDRVACNVFFIWEIIRPLLR GATVVVVPDEVSYDPAALVDLLAAKRVTETLMTPTLLATVLASNPDIKSRLPELRTLW FNGEVVTTDLAGRSMKALPHTRLLNCYSACETHEIACGDIREMYDKDSLYCPVGPPMD PEHTYILDEQGKRITTNEVGELFIGGSMLAWGYINRPETTAEAFSPNPYDTTPGARMY KTGDRARILPSGVLEISGRVGTMIKLRGYSVVPGKVESEILKHFAACNCSVVAHGEGL ERQLVAYVVRDREASQDRPPIAIDELGRSPEARRALAPYLAHYMIPTLWVEMDALPTN EVSGKVDVKSLPPPPNPAQVNGNHKREISDSVSFKDVAAFWATILKVPQSSLKPEDNF FDLGGQSLMLADLSSRLSKNYGFRVPIARLAEVPTLAGHLTAVRAARDGHSAAIQAEL PSILRKDSTLEDDIRPHNVNLCPIDKADTVLLTGVTGFLGAFLLNDLIESTNAQIICL VRFGEPTLEGQPEAVARIRKNLLDLGLWRDSIMERVEILPGNLSLDCFGLSHEEFDDL ASRVQVIVHAAATVNLVYPYAALQKANVEGTREIIRLACKSGATLQYVSTNGVLPPSG KEGWSEDTILPVEEVPEKLPDGYGQTKWVAEQLVLEAGRRGLPVKIHRAGTISGHSTT GASNAWDLLSAIIVESIRLGYAPDVDGWRAEMTPVDFVSKSIIHLATQIAPQQTIFHL GDPDPITIRSVFEQLEQLGYPTKPVGWDEWIKLWNERRGIGKGGDGAFTVDILRSGMP TVDFLNGIVVLNNSKTRPFRIAVERPKVDTALLSTYTRHWFARGWLPRAPRKGVAMTR KSANSSPLYGRVAVITGASSGIGAAVATALVERGCHVALGARRLEALEAVQRKFGTSE AKSIIRPTDVTEKKQVEELVRAATDELGPVDILVSCAGVMYFTMMANVQTEEWERTVD VNCKGLLHCLSSTVPGMLSRGSGHIIAISSDAGRKVFPGLGVYSASKFFVEATLQSLR LETAGKGLRVTSVQPGNTATDLLNMSTDAEAIKKYGEPTGAQILDPADVANSIVYALS QPAHVAVNEVLIEPRDEPI TSTA_082150 MSSQDNISTDYEASKASQPWPEKGEKDVQSNVPYDQEVGEMTEE ERRGHAKYNRLGWKRLTVVLLVEAIALGSLSIPSSFATLGMVAGVICCIGLGLVAIYT SYVVGQVKLKFPHVAHYPDAGRLMFGRFGYELVNVMMILILVFLVGSHCLTGTIAWMN ITSSGVCSIVWAVVSAVILFLLALPPSFAEVAILGYVDFASIIIAIGITIIGTGVEAH NAPGGLSAVNWSAWPKEGTTFTEAFIAVSNIIFAYSFAMCQFSFMDEMHTPKDFVKSI WSLGVIEMIIYTLTGALVYAFVGQDVKSPALLSAGHLLSKVAFGLALPVIFISGSING TVVGRLIHGRIYKNSPTRFINTKMGWITWAALIAVITIFAFIIAEVIPFFSDLLSIMS ALFISGFSFYFPALMWFILIREGKWYSSKNLFLSIVNGITFIIGAITLVAGTYASVDD IINNYKSGSVRGVFSCASPE TSTA_082160 MSQVRMSCDTASLGVMIPLKPEAAGCTERSIKNIRSNLRLSGSV KTPLNRVGWPTKHNTSDVTVEALCDNLLEKPDLYLAEMLLPLWDELNTLPTKFTIS TSTA_082170 MAPGKKSHPYQKHTSKKRLPPETKTNTHQRFREFDLQGKVFVVT GGRRGLGLAMAEALVEEDAQIHCVDRLPEPDDEFRKAQKRANPAVGGSLHYRRIGVRD AENVAKTFGEIGELNKRFDGILCAAGVNHVDKAVEHTPKEVDEVMSINYTGVFICATE AAKQMMKYRCRGAILLVASMGGFIANKGMASPVYNSSKAAVIQLTRINEKGEGGIRVN CLCPGHIMTPMVQMVFDKEPETKELWQSENMMGRLANPEEFRGAALFLLSEASSFMTG ASLVIDGGHTAW TSTA_082180 MSTRKLSRASNTAPELSPELGTPVIHPRYHEGQKVRYKDVTERY GTDTSIGRVKTVLKDVTPPVGREEVRYEVCPDKCV TSTA_082190 MSTQYGHRLLVATLEEKAHSHPEQVFCLLPRSSNLQDGFYEVNY KQVQTAVDYTAQWLKTKFGQFSPNETVSYMGLPDIRYNIIFYAAVRIRLKVFLPSPRN SSVTNLSLLEQTQCTKLCYSPESPDIAASAQALQKASKTLQVLEFPSLDELLKAQCAS VPYSVNFDQVRQEPIVILHSSGSTGIPKPVTMTNGSLAVIDNDRNFPTVPGRQNHDLT TWDFPPGSYLYVPFPPFHMAGFLNNIMVPIFTNTIPIFGPATRLPSGGLVAQVLRCLN VKGCFLPPMTVADLYNEPDGPELLKSLDILCYAGGPLPESIGNELIQHVALCQFFGST ETGQIRQLFPLKEDWQYIEFHPSENIELQKAGENTFELVIHASDETENFSLLNHNFPG VREYRTKDLFLPHPNKPGLWKFYSRRDDIIVLATGEKLNPVPLELGVQAIPGVAGALV AGESQARVALLVELQPDHNLGPNPEDTLWPSIRHLNSDIAGPGRVSRSMIFIAKDDKP FIRAGKGTVVRKLTLEAYQEELNNLFRGSPKQPVQPVQSFLLKPTAFRLDDVKALIRS IIENVLDGVAVDDSSNLYTQGLDSVKSLETVTQLKAALESLNLGKPSTWITLEMIYTY SSINELATVLLSWLNEGTYPENIDRVSRTRDLLSHYEQSLPVGSSALESGETNFQEQM NVVLIGSTGYLGQYMLLLLAQDPRIGRIICLNRSATAHKTWATHPSTEQLFKNKEWQD KIHFFQIDFTQPRFGLADKVYSDLNNECDVILHSAWQVNFVLPVGSFKDSFSGLMNTI QLAANSKRCPRIFFISSVSASGIFSPQSSAEAKLVPEGRITDPNEAMQTGYGESKHVA ENLLCIASAKSKVTTSILRVGQIAPPTATTGEIIWAEKDALTGLLETSKSLQMVPSDL MDIDWLPVNSVAEIVNSLLHQDRHSKEAILFHNLVHPAPMPWSEAVPVIQSWCGQSAG AAPLKDWIAAVKAREKEEQDSLQVLPSLPLLSFFDLLSDRGPSHKYSQQNLLGGGHTN HIKSIDANQIRLWLDALS TSTA_082200 MDLINDSWSPLSAFYVIKSYFTTLWLFTADDCFSILIPNVVCGS VLALTGIPFSSDFLLLEILGRTHVDAAIQEDAENKPWRPLPSQRISQPQSKLLHCALR PLLLVISAMTGGIVPSVCIQILTFAYNDMRLGDQWYFRNCLNAGGYISFLLGAIQAAL HKFTLKYSKLACIWLSLIFFAVTTGIHAMDLYDMSGDLKRGRRTVPIAMGERCARITI VISVSVVSLSAATTIGVSSLSSVPVIATAAVIVRRLYIADELNERAHKTTFKIWCLWI GLLYLLPALHNLSFYLQSSC TSTA_082210 MATQASLASRCIAFSLGILIHRHIFAYGEWHLCATAIMRIHILT WISIYLIDCYHMRHVEAIQDSSLIALCYAVGLFGSMAVYRALFHPLQEYPGPLAARLT KLWHVSKCLDSRNHLLMDEMHKKYGDFVRTGPNELTIFHPETLATIYEGINNPFNKPA WYDNLRPYTGLNTHRSKYVHKHRRRIWDHAFTTSALKSYQEALKSISNSLDEVIMKRV GQPIVINDPFYWASWDLMGQLGFSKSFGMLQGQKWHFAIQMLRKGLEFVGPFTPAPWL VRIALDLRPLPSVRNFLKMEDWCAREMDKRIKISSHLVAWSVDHDRLQKDLHTLYGDS IAIIIAGSDTVAHTLIYVFYHLARDPSQLTKLREEQAGIDLQDLRQLQGLPHLNGIIN EVLRLHPAVPTGGFRETPPEGAEIGGRWIPGNTLICAPRWTISRLEACFVQPLEFIPE RWYSKPEMILNKKAFSPFASGPYYCIGKNLAYMELTYIISLLASKYDVQFAPGEDGRQ VVEDLQDQFTAAPGKLRLVFHQRNTC TSTA_082220 MAAYIKQRLIRVRHHGSGIFKVFGTSSCFSVRPRAARKEWQKNY ELERKQQQYRDPCAPSSQMKCTYDEVIIDEHFNIRESRHNRWCQKCAYGTYRVHVSRG TLY TSTA_082230 MVLNRGKVEEDTKYHWNVLQIAAKQGHVEVVRLLLDYGAATNAE MSFNRAALHIAAKYGYLEVVRLLLDRRAAITSKDHAGQTVLHQAAKHGLLLDRGAEIT AADHANRTTLHFAVQSGYLEVVRLLLHRRATIEAESRPDGTAPHIAADYGYVEVVRLL LHRGAKITAVDYANQTALHIAAAGGYLEIVKTLLDRGAAIDSKANDGLTALDLTLENT HPDVVKLLLSRGASINARTENGWTTLHLAVQDGRAKVFGVLPKQHPAIEARTNDGWTP LHVAARYGRRVVVSLLLKQRASVKAITNDGRTALRLAASYEHADVCRLLRGTGALRPF MRLINKSDNVDM TSTA_082240 MASVQERKHRKIKSLLLPSSQSGLSTSISLLEQAIFVNASLCTP SVLRGCVKLDVSKHVQLRDLSIRFTGLNKVHKFGRFYDKERVIDQTWLVPRLEARSSS LVHVIQRDAKLWPILQPGHYVYNFELTLNETLPETFDVGGCKLSYDIQAVANISGHRP QSSPSQEVAVVHCPHDEFYLHEASQISLSRIWNKQILYNVELADKGAAIGGNIPISIR IGCSDIMYLAVQVYLAQKIQFPGIPGRQSQLRKMLLLKTKCNDLSTGKFRETPSLRLD QESDVTVIAGSVPLLNEPNAQLRLHPDVHFKKVTATHTIMFLIDITIPNPQDSRKTTV CRLTAETPFCVRTSQTHLYGLSVPEYSETNNLATDSDHFNSSRLLPPPFPETSSRQPS ETSSTIDTEFAWSRSPSTYSLSSDELKPVAPVNPPPAYESICG TSTA_082250 MSNIVHKVKDAVTNHHDKPSHSTNAGPHDSNVANKVDPRVDSDR DHRAGYSAAGTGNTTAGTGISSGTGTTGTSYGAGTSGYDSTNTTASGPHGSNLANKAD PRVDSDRGQYGTTGGVTAGGAYNSNPSSTNAGPHSSNLANKADPRVDSDLDNRARHQN LGSNTAPAGSSYTTPGSGGAQQTAGPHDSNIANKLDPRVDSDLDNSRTVGGNATRY TSTA_082260 MADNSNPGNFSNRSREEVQQIGKKGGQSSHSGGFASMDPQKQHD IASMGGKASGGSFKPGDPKAREAGRKGGSRTGGGQEEDLDYPEE TSTA_082270 MDKRPAKFSCWPTTRRSKLLCIIICTVTVIALAIGLGVGLGVGL KHRHHPPKVTATAFCSNADGSFRVANLSVPVQQHPAASSPLLTSPRKNYLNITAWNLT VDDTPSGYKQVVAGFGATVTDATVSTFNSQPNATQYDILRKLVTSAGANFTLMRHTIG SSDLSSEAYTYDDNRGKTDNDLSNFSLGSQGTAMAQLLAQMKQLNNDIQIVGSPWSPP GWMKINGELYGNTTDNNLDDGYGSSRGLGSTGHTHAFAQYFVKYIQAYANLGVPIDAI SIQNEPLNSQAGYPTMYIEADESGKLINEYVGPALKNANLSTTVWALDDNTDDADYAY TVMDYAANYIDAVAWHCYASSLNWTVLTEFHNQYPNISQYMTECWTPNNLSWTHVVNF TMGPLQNWANGITAWTLGTNDNAGPHLDSGGCSTCDGIVSVNSTGTRNSANSNSTDYT FNFSYYVMAQFSKFIPSGARILQTQGSAVDSNGDGIQAIASLNPDGSRTVVIENMFGN DVNVTVWLGSDGSAWMGNVPNSSVTTWVLP TSTA_082280 MKMHLITLMVPLLASIQTVHAIPLETPAPTSLSISTSLLKTSIP TISPNTLARRDTTSSASTTQMDSSPIQTLEATDSCTPTIAPDKNGYVPPTECNALYNY YPSFATAVAFSVLFGIILVTHIVQMFVYKTGFVWVIVMGIAWEFGGYLVRAFSTKHQQ SEGMVTVSQILILLAPLWVNAFDYMILARMIWFFIPEKRIWFFKPSLLATIFVCLDFG SFVVQAIGGMMATPGAGASTIQTGLHIYMGGIGVQQFFICCFLVLAVQFHREMHHLER LGVLSGEKRRWKGLLYSLYFSLIAITVRIIYRLIEFTSGVGLNNPVTTHEWFMYAFDA FPMLLAGGVWCALYPGKILTGPDAKLPSSGLGRILCCGYCCCCCCRRGRKKKVAVSRG SERQELEELRLEEYHWVNRESYTSASSNWEPNKNMAYEPYRSQGL TSTA_082290 MLASASSFGSGSDHGGTRQRRQVDKKKPSTEIAKPPNEKSNIEK IRRRKYRPVWKRLANFGLKHRWPIPLIPLLVLILTYLLNPTESDIVHHFIFLSYKNNN QYGKGLRDIAFVLFYTIVLSFTRELIMHEFLRPLSLYYGITSKRKQDRFMEQMYTVIY FGLMGPLGLYIMRYSAPEVWYFNTSGMYASFPHLTLDASFKAYYLFQAAYWGQQALVM ILRLEKPRKDFKELVIHHVVTLALIALSYRFHFTRIGIAVYVTHDISDFFLAISKSLH YTNSPLVAPAFGICIIVWVYLRHYLNLRILISLLPGGAFQTVGPYELNWEMEQYKCWI SNVITFGLLACLQALNLFWLYCLGRSAYRFVVHRVARDDRSEDEDEVGYGDH TSTA_082300 MEETISHARSIITFAIHQPLNFVYLVIQQVLNWIFAPAPPPPTQ RLHRPRVAIIGAGLTGVSSAAHCVGHGFDVKIFEARSREKGLGGIWSRVNSTSALQIH SIMFRFHPSVKWDTAYPTQENIKQQIASVWKRYGLDKKTVFDTPITSVKKNSSGQWII NDNEAEHGTFDGIIAAVGSCGDPQMPRLPDHEQFSGPTFHSSEPDGKNVKGKKVLIIG GGASAVEALEFAVRNNAAEIDVLSRSDKWIIPRNVFVDILLSLNIFGGETSFSWLPEW LLRKFFYRDLQDISPSEKGLYTDTPMVNSELFNQIRAGKARWLRGDILACKNEGILFN HRAKGVPKGGPGHESVVNGDVIIMATGYKRPYLAFLPKYVFEKNYEPPNWYLQVFPPQ DPTICATNSTYVNAIGTVGNYHIGIYTRFLLMFLVDPLTRPREGLMKLWIDFTRVVKR YAPTGAFDFFTYAELIYWYCFVSIGNPFRWKWAPFIFFGLFRGLPLAIVEREDRIRGQ NGKAK TSTA_082310 MATPMLDQFLASIADLVSKRQGDNLQDYLQVVPEQMRDGYRHMA LELQKAYPKGPGDERLLKRCEALVPKTADGTTWPAFPLFIRSYLAYLRDGNVSNLLEA YKALSALLNQCLQALGDSQMGAIVLRTVVYLSQIVASLAMTLEKNPQLLAQLRLDRPQ DAFERTSLVEDAANVVREGFIKCLSDRGGAAGPKARPEGKRAGIYLMANHCLKLLHKC GKLRSADTIFKSISAQSPPLEYYPAAHRVTYLYYLGRYLFANNSFYLARNALQEAYNQ CHVQCLKQKRLILIYLISCNVIMGRFPSLQLLQKPEAQGLYDIFYPVCLIIRSGDYLA FRKHFDAGSPTGQWFLRKSMLYQMRNRCELLVWRSLVRKVFLLGGFHGDPSAQRGPPP ILHLSKVETAVRLLQARHGVKVSLDISTSKSSGTNIITIRLPADSDYDGIAGLVSKTS DPDVDDYLFAQSYYDENGELIENPGGQIVPGPEYDEYADPLDDLVPDIHGGYTETEHV SRLLQEIESIVASLIKQNLLGGYLTHNPARFVIPGAKHVGAMAKGFPNIWQTISSQQH SYGEDVPAWIIAKQPLPTQSAFPAGAPGGGRVINLKGAKAVGS TSTA_082320 MSSAPENDEGLTITYSSGQEGAPDLRLIHYNDVYHVESGSSDPI GGVERFQTVMNQYRSAPRYSGLSEVITLFSGDVFNPSLESTVTKGQHMVPFLNKIGTD VACIGNHDLDFGVAQFRHLRSQCKFPWLLANVIDPALGDDVPLANCEKTVMLTSSNGI KIGVIGLGEREWLGTINSLPPDLIYKSASQTAIELVPKLREQGAEIVIALTHQREPND IKLAEKVPAGFIDIILGGHDHFYAHSVINGTHVLRSGTDFRQLSYIEAFRKPNSKGWD FNITRREIVRSIPPDSEIGVLVDKLTSTLKAKLEKPVGYTASALDGRFTTVRTRESNL GNFICDLMRFYYHADCAIMAGGTIRGDQVYPPGLLRLKDVLNCFPFEDPVVVLKVTGR EVVEALENGVSQLPALEGRFPQVSNITFEYTASSPPGSRINWVKLGGEPINYKQHYTL ATRGYMARGKDGYTSLLVQSEGGEIEELVSEENGMLISAILRQYFLSLKVMGKWRRMS KSLHKHWDGVHSRLHAQIGGSWIKTPSPAVERKEPNMSSHFPANSAAFKTTTTTTTTV EYRIQHTHLKHKPRPHLRRYGRYYEPHRHHAHDDTSTKQKSETSLSASYPSSDDSSAT KHSIDSHLDSDSDSEPEILVSSHEERNYVTTTAKTPAEEEQRIRLARKVLKKWMRIAG VHGKTGVVEEAGEEFTPSWTWGIAPRCEGRILVRTRVVEDGGVGLGEGKRTENINDGM FHTQKYILYGTVS TSTA_082330 MASNANPTQDLPPVEYARMFKEAMDLKMIPYDTRCGANAASVKQ LMRLHQELLSDLFKLTAEEIGQWCLEDRFASEVRTRMPSKEIPLACVSEKPDHDLWYY QAECCFGEDEFHQGTGQTRRYLYFVFGMNVWRIQPKPEWALRPGHRMVDAWYELGYAT CCTDYYVRCLRIAYYPVCSESRGIGSGSFVGIRDLAHIKEKMLEGLQWPQRPPRQHTQ VIFYDNLTNFIKNQATGSEESVWRLQHAISLNPRRDWREIARNSLFKQAAWDYRLTTL LDTGLEDSRVNADLQEAYRVAIQYKYDPMILHMACIQGRLEAYVTEANLYHQDMPRQV SEEAITILRIADETNRMMKKYESPTIEGELSTTE TSTA_082340 MEMPQDAKIGKPPAVVIIARHGARLDAVDKEWHLTSPTPYDPPL TYGGWIQGRALGARIASLLKAREDAVQDETIPATNSSTSLASSSTAESTASSEGIHVK VHKKVRKHKVIIHSSPFLRCIQTSIAISAGMSQFYRAENENSFDQTPVTTPASEEISP MGTPIRSSTPSSSDQLVRHNGKDRVHHGKRTKVAPRTLLRIDAFLGEWLSPDYFDQIT PPPGSVMMVASAKAELLKRGEFIHRANNVGSSSFSGHFPGGWRSNQTTPSTETPNETP SFGMSSLADALPSEGQSRSEDGNRLSRPLKPITIRDVLSRSPSHSREDCSAGYIPPVP SYAISPSEPIPTGYVAHARDACVDVDYQWDSMKDPQNWGDGGEYDEEWSAMHKRFRNG LLKMIDWYSHERPDKSHYHHHHHHHHHHHHHHHHHHHHDEDDTDTVLIIVTHGAGCNA LIGALTNQPVLIDVAMASLTMAVRKDIFKTKASNHRSIDTITNGQSDNNSLPSTIPLY HIYDLSLVASTEHLRVTSNPLSIPQLPSPTTLERSRSIFSYRHRTGSNPLRATINHLD SNKSARPRGLQRSATTSAGHHHYPTRSTSGLWGASKEKENSIDEIPNYEFEQRNAQTN NSTPQTVTIAKPSTPKTQEHQQNDKDRIPEQPKSQLGLWGGAAIAQSREPALKRRWTV TEQPGQ TSTA_082350 MKSFKLSVLYALGLAVLALAGPDNYINLYDDRNCTIRSGPLPIF EKDACHNAPPGGKPVKAISGNVIKKGCNILAYEDAQCGGNSVKLTWADPNHCFTPSSD DASFQAFQLKDCN TSTA_082360 MWLRSPISLLLAACMCLPYANADTYLSSTSLSTCQDDSLITASL FDVTFFPGNDTIYYDIHANASVTGNVSLTVQVIAYGYYLQPIHVDPCDGSSLSTNFCP IETAGEPIDLQASSPVPADIVTRVPGIAYTVPDLDGKIRILIDSTDQNTTVACLEAEL TNGKTVYQKAVGWTLAVIAGLALTASAIASGLGFSNTAAHVAANALSLFSFFQAQAMY GMTSVSMPPIVEAWTQNFQWSMGIIRVGFLQTLGTWYQQATGGTPSTLLASLSVQSVS VQKRSLDLAKRALHTLTARADNTMYSGNIVLKGILRVGFRAKIESSNIFMTGLIFLAA FFIIVILLILVFKLYIKLAIKWGWMPPSGFQDFRNGWTSVMRGILFRLIIIAYPQMVV LCLWELTRRDSAAEAVLAVLMLVSMTATLVWAALNVVRLAKRSVAMHQNPAYILYSNP KFLHTWGFLYVSYRATAYYWVFPTLFYIFAKGAFIGLSQKSPITLTIGLLIIETTQLI FASIFRPWMDKKTNTFNIAICAVHFVNAVFLLMFTSVFDQPPIVNSVMGVVLVLYNAI FALVLLLMVLISAFYAIFSKNPDLRYEPVRDDRGSFIRSQTQLDAHKELDALGATARG EEKLIY TSTA_082370 MKAVALSTVVFATSVAAVNITSCAQMCYNNVVGLASSLGCEAND LACLCNNQDFNYGIHDCTVEACPDDNVQDVQSYAASICASAAASSGSGSTTRPATTTG TDSSGTGSGTASGTGASETGASSTPYTTEEVLTTFTSGSSTITSTVGSSTLYSSVSGT GATATGASSSPYTTEPVETTISSGSSTSTSTIGSTTLYTAVSGSGTLTTGSAASAEGT TTHTGTAGSATTAPSTGGGSSATSLASGATASSSTSHGAAAAMATVGSGVAGAVGIAA LLVL TSTA_082380 MASQDNSTSQPTSQNLTQPATPVRLHNREFKAWLVEKYNKCNVE FPFLKSIHPNYKDPAEIDSQIVNLSDLDDPPADFQIAYLINRNPAMPAAIALVAHHFW NINAEKALKNSEDRIAAFRKLAFNQDERFRIRNVHELSQLSRDAGPRLLKPEILRRLG KLPISRHYPDFSEGTDWEEQRTRPVFPPENDGENVGDSDGGSSGNLTLEELPPNLKIV RECLERLLVQLRYQGLAVASKGIDATGFHALDDTSEGSRRRRLPLRKIPLALDIKHNV DHNNFNPSRMLYDSRERGPVHNSNEVIAKSKYDCIAMAAKFLDVGITEIDIENLQESG IQETQSHRLFVHLSFHRFFDSLGAQSFKDLLHKSTFLRGQFSHTASPVVRCASNHETT LVRATNSLVWLESSTIDDGPAGATMQKILQTLFLQSVNPPAPAGQRQGDHCAVDNCKS KLVGTGICQSMPLRIAVSLPPRISPTDHTSNNIRINYHDEKEGKEDVAVYRWLGGIYA NSDNEYSETTRYRVYWTDSLRGEKPRGTISMYDPFQADGQVIEGVPPSHQNEPIPGEW WKDTFKPVIFYERIFNPDLNDITLAAAVLGDIKTASDEGRYILQLPSCDWAFPSSSTD DSDNQGDSAPSNSNLPSTSNNGTSGLPQFQGAEAFAGASFDALLNSSDNSGDTQMQDV VDYGDNDYIQVTGNTGAAENEYTVNQHGGPVQSMIPVSPRNDVGFVAYDSSMQQSAPD QPRRILEQQYTNPSATSNHIMGRNPLNSRNIDISSQGPHQYILDQINNGHVQNFHSQN LQATSPMGLLQPHDMHNFHSTNPSFGNGISSNTAEGMEFLQGSPPTPDIFSDVHQQNG SYGEDNDSNYGLDGLRYGFY TSTA_082390 MVSQPGDYAAVRKDIAAQLEKPGYDDGSAGPVFVRLAWHSAGTY DAETDTGGSNGAGMRYEAEGGDPANAGLQIARAFLEPVKERHPWITYADLWTLAGVVA LKELGGPDVKWLPGRTDYVDDSKLPPRGRLPDAAQGADHLRHIFYRMGFNDQEIVALA GAHNLGRGHIDRSGFEGPWVNNPTRFSNQFFRLLLNLDWKPRTLSNGVKQFSYSDPDA PEDEKEEPLMMLPTDMALISDPGFRPWVQKYADDKEVFFQHFADVFAKLLELGIKRDE NGNVTNTDNVLGGYVSAPKKSSAPTGPLKSEEQRQQVVAKAKL TSTA_082400 MAIRLSKRIRLRLIILISTSFFVVEIAVGFYTHSLALIADAFHY LNDLIGFVIALVALELTESTQSPPTWLSFGWQRAQILGAFFNGVFLFALGVSTALQSI EKFIFMHNDITRPENILIVACIGLVLNICSVILIHGVLVAFLFPMGSTHFLLGQIMVT IIHILAMDGQIGDVKSSHDLNSLGVLIHLLGDALNNIGVMVSALVIWLTKHEGRFYAD PAASMLIACMIMLTSAPLALSSGRILIQSLPTNVNCEDVNRILTSVPGVLAVHDLRLW RLNHHKNIASVHVLIDGQTSMRECSSIMKSIRQRLHALGVHSITIQPEVVRASYVVTG VAGDNEGVVPEYGK TSTA_082410 MPKIITKTDQVNIKMPRPATPKPGFSLLRLNNSKKPHNIASLST QAKINLINSIAQDIEGCIWAVGHYVKLGVLDSTHTLGFDQVLNDIYKDERSDNEEVLL WALRSMERYKKQARAERKRAKRLDAKLRRERGEEQGNGDHSDDENPIDSDSSTAYPEM KSMKRQGLQSSQGDQTQDVADTVMTSPPPSPTQGCMDVDGSSAPF TSTA_082420 MRVRLKASILIPGRGEPIENGALIIDGPKIAWVGQQSAIPTKYQ DVDFEYLPVLMPGLWDCHTHFMGLSDESDTMQAVFGSAALAGAIAAKELETALMAGFT TIREVGGVAGEIYPAIKNGTIVGPNVYSSIGVLGITGGHSDVHNVPIEAVIAKRNEGT FVVCDGVSDCIKTVRMMVRRGATLIKICATGGVGSLLDDPEDAQFSPEEIKAIVDEAA RSKRIVAAHCHGKEGIMNALHAGVHTIEHGSYLDEEVAALMKEKKALFVSTRLIIEEG LKNPKLWPPSSYRKLTKISEAHKKAYALAVKSGVKIVLGTDWTAGENGKELAYAVEAG MSPLEAIEASTARCPETLGSHFAPLSGQLKEGYGADVIAVASNPLDDIKVLGEPKNIT HVWKGGKLYKGTL TSTA_082430 MGSGPGVYNATSNEEKLKVYRPIARDTSILFKYNDPERGGGHNY TNQGWGHGGRNVWMLHCHILQHMILGMQAVWIMGNAAEITHGISPDLVAGYLSYGGDA YGNATYDPFVTHFYED TSTA_082440 MSAAQLAVTSGLFWTINNRTWTETNEHTGDTPYNDTTLTGGKAI PNYDIAFQNDGWDPELNVYAAKMGEVIDIILVNEPAGNFSGYDAHPFHFHGAHV TSTA_082450 MTISSPRLWYTLLANLPLAIAIRQPHYILRIASENITVACRTRL SAVVNGTVPGPAIYLKENQTTWVRVYNDLLHDNTTMFVAPYSDGTPQASQWLIKAQNF FDYELRPEIGAAGSSFYHFHVGFQAVTASGPLIVEEADGEVPYDYDEDRMISFSELFN NTDKAVEDALTAPLKRLQVNGNGFPALLGNETDAFGKLPDNAPPSSSNDTCHPEIIQV EPNKTYRFRAIGGVALSPLVFTLEDHRNLTIVAADSYYTQPASTDIIQMGGGQRYDFL LRTKTEDELKVLGKTDFWVQIESRYREENSTFYALLSYTSNQSASAAPSSPPGQHPVS IPYSLQDWMEYTLEPLNQMGFQALIK TSTA_082460 MGVLDNIRGRDTSRVNAAAHQADTTEVPADEKYPGHAQDIPTSD SDTLSLKARNEKEIQEHPDQITANADIGVQKAEATALIWTKKTVYAVYAWIWVCFFML AFQSAIGNNLLYIAYADFAQAPAVSTASILASVIGGVLKLPIGKTLNLVGRAEGLLFF VVIYVLGIVLLASCNGPSAYAAGYVLYWIGYDAIYLILDVFMADTSGLRNRAFAFGFA STPFICTAFTGSLAAQSFVRTSGWRWGYGVFAIIMPFVFVPLAIVFKFYERKAAKLGL FKREDSGRTAMQSIYHYFHEFDVVGAFILMAAFVLFLLPFSLETSNRITYDSAAFIAM VVFGVLLFPVFAVWEAYFARTQFIRWELFKNRTVLGACICAATVNFSFYCWDLYYYYF VMVVYNLDISMTGYMTQIYNVGSCFWGPVFGVYVRYTKEFKKACFFFGIPLLLLGAGL MIHFRGQDGSINYVIMCQIFIAFSGGTLVIGEDMAVMAASDRMGVPMMLSLIGLASSI GASIGSAVSSAIYARTFPAGLLQALPEDEKVNATSIYLGGYASQILYPVGSPTRDAID YAWGYSQKYGAIAATCVAVLLIPAVGMWKNYRVDKKQNKGTLF TSTA_082470 MSAWLWTSTTGGLEKNLSKSDTAYRPGQSLRPHEVLIRVFATSL NPADYKVPEGLGLLYKCVVSTPASPGMDFSGRVIATGSKVTNVKLGQVVFGCLPLPRQ HGALAEYTVADGRLVVPVPEGVDVIDAATVGIAGQTAIQVIKDRVQAGDHVFINGGSG GVGTYAIQIAKALGCHVTATCSTRNASLLKDIGADEVLDYTSVDVVDYLRKRGPLFSL ALDMIGKPDNLYRESHNFLLPGKIFAQVGNESHFSTVGRFVTPRVLGGGQRPYQLVFF KNRFEDLKQIADWMREGRVKPVIDSVFEFDDAVKAFEKLRSNRARGKVVVRVASDESL EVHGYIPDSLWHSHE TSTA_082480 MPLTTACVGVSVIPTVLSTWFSHYLDRKTRHLKPTAHISYDEGL NVVRAFLKHAAHHTVEDLQSFTAQKIPSPTWVKVKEQVVPNEHLSKAADHVNAQLGER GIKRVGGKTWWQWRVQQGDLKCEWIEMKSDYRARRQANGHCKRVMLYVHGGAYFFGTA YRLSPQFPFPCGLQDCLAAYLYLLTMQDPTEIILAGDSAGGGMVLAMLVILRDQGIPL PAGAILISPWADLTHSFPSVAKASNLDYIPEHGFMHRPSRSWPPPNSDDLAYMYQDAH NKEALNHKVENHQQTNGAQKTNDDQQTAIQGFAVREGDIKTADHTYPGLHTGIDRVTT ADIGTIQADNVVVQMEDNTVVEIKDQIQMYAPNQMLTHPLVSPVLQPSLGGLPPLLIL TGGGELLRDEQIYLAHKAADPMAYLPADIFLDEHDPDRTIIHKYGPTNVQLQVWDDLC HVAPTLSWTRPAKFMYRSIAQFGAWALAHAQHEDIDIPEDDASSISSESSSTSDNEEP TASVGVAGDPLPPFRKHMIRQRVDRHGMVYHLDPPSSLPALQQPREKVGALNPPIVKK WLSAKKAWDERFAKEKLTVQRRRLKEFEKGYLGFDGELPPACSMASRRMEEDLAPAKV RKSYGMMMWSLLGSKHDKEIITREAKEDTIPHVSNVPSEGKEGQGDTDIVAQKTRTSR KRSISKPDRGKLAPPPADERSRSRPRNRSRIVSDAGQAKELEVDHSSHPALRSGTSTP VILIPGVDTNDDSQSGNKTTDNASTMTLLNADGVLTTTDASTMKSSDLHSLSLSEQNG SATATISDSGPYTPSIITTDAAPDFTMNESNASTIALRHVPYILKKEQEHDAEKSEVE IQTRSSTVDEVSPHAECDTTNGETPNASAYEAKEAFPFSEKEERPQMPDREEFVTAEE IQS TSTA_082490 MADPLISNPSFPPPSSTISPSLRSPSSASFSSSSSSSRPFTSHS DYLPSDCEKHSCWNFSSAQRPLRIRVTMGPCSWKYCSCRQGTCVTVFPNEVQNCGCGH AMADHEDYGLVFPIAERTELVEEIFVCLIRFPIVRINGTPASGKTTLMKLMTKHLLKN KEDHKPIYVLIGWEYQRVKDASGWAKYLEEQTGINGFEWISHSGYLFLDEAQESYQDT ELWAGLFKDLDTSSNCRILLFTSYGSPDSIYEGFDKPTFRKTPMTFDPAQQISLNPDY SVVPDYNPVGLLLGEGESMKLIGDFMKSRHSSVFTGSITEDFKHGVW TSTA_082500 MRLRRLFSTVRTLQHENPLGLPKSGTPPTFKSRRGLPQKRRIPD VAKVVAVSSAKGGVGKSTIAVNLALALARRGIRTGILDTDIFGPSIPTLLNLHSEPRL DSKNCLIPLTNYGLKSMSMGYLLPQASSSDHESNRPPMDTTPISWRGLMVTKAMQQLL HSVSWGPLDILILDLPPGTGDVQLTIGQEIILDGAVIVSTPQDIALRDAVRGFGMFRK MDVPVLGMVQNMAFFACPNCGHETKIFSHPHTHGSESGQDTGVVAQCKRLGIEFLGNI PLDARVCEDADRGMPTVVAEEGDKDSARRKAFMNIAEKIAREVGLEWV TSTA_082510 MTVKKSSFRSLADQLADLEDPTPKDFDPEDPDNGLENSEDESGS EADEDAGREHYEKVGKSKLRKPETVTLGKEYAGSRVSRDALESDGDGENPFQRDLDED EEDESEESDEKEVEEISGSEEEEEYDDGEDEDEDEDDIEDDIEDDDESEVKETNKDSL SDERAELRRLMATDQKSVAAAISQAAKADAAKGFAVKQQRVAFDALLNARIKLQKGFT AIINSSDISWETEEENTDAIKSAESAALALWSTLEALRLTLANVQTKDSSKKRKRPGP VTNTTSTESLWQRMQDLESDSQAHRRAVLEKWNHKVRGTNTSLPNAKGKLLANSDNSI TAVLEAHVATELGEKTTKKQRTGESSDSIYDDTVFYQTLLRDLVEQRMSSSDAVTNGL DSLHLQIPTHPTSGMRKDKARKDVDTKASKGRKMRYNVHEKLQNFMAPEDRSTWSDRA RDEFFASLLGKTASGLLGEADGDEEMNGVNGTASDEEDLEEGGLKLFRS TSTA_082520 MASPRPPHNFGISSPLPNGAAPSGPVPGATPLLPNNGRIIQNGP VRVLCVADVRGNLKSLNELAKQARADHIIHTGDFGFYDDTSLERIVDKTLKHVVQYSP LLPDHVKRSIAQIPPQQPVKARFTPDQLPLSELPQLLDKRLTLDVPVYTVWGACEDVR VLEKFRSGEYKVDNLHIIDEANSRLLDIGGIRLRLLGLGGAVVMHKLFDNGEGKTTIA GGQGTMWTTLLQMGELVDTANRVYDPSETRVFVTHASPAREGMLNQLSVTLKADFSIS AGLHFRYGSSYNEFSVNPTLDHYRGKLAASKASFNDVWDTVRSEVESAISSNEAQKTL LDNALDIVDRMPSVANGGNPFGGPVAATNAGGQVDESAFKNMWNFNLADAAFGYLVLE IDNGRIGTEMRAQGFNFSHRTGKPSTAAPAATQLGGGAGAPQFGQAPPAARGAPFQAA QAKPQAPPATTVSPAPVIPKPATPQPSASEKETNGNKETEKPSESPVLKGEKKQSNGL FISNVENEQAARDLFPEEDQSKIVKVEKWGKFGHVVTFGTPEEAKAALDRQPIEHKKP TPQGQPRKPNVKFFEDRGSRREGNAGTWQASSRGGASAPTRGGYQSGASDSETGRGRG GFGRGRGGRGSDRGGRGRGGRGGFNRSGDSPATEKPAAASTGGES TSTA_082530 MTDFNSIYQQNLYLSPEQQNLLMAALNSNNASQKQVENEGTKNR SRTQSSSNETTPSNSNGFDPASSTYFESPLLQDAPGSGHLGFGSDESPFLDFDPDVDF DFQGADQLIGDLPDFDGRESGDKRKSLGGNEEDASNGKKRRESDDKDKAAKKPGRKPL TSEPTTKRKAQNRAAQRAFRERKEKHLKDLETKVEELEKASQNANQENGLLRAQVERL QVELKEYRKRISWLSGGNGLSTMAAMSSMNSRNLSNLNNNDFYFDFPKFGDLPGKHMF SNNGQNKQNSNSPSSTGVSPTAQASDYGRNSLNSKNLSKAAKVNGLTNGQSAYATQLS ASSAASNTDSPSASSDSQHGQSSSIGTSPEPSLNSPNVGKPGDSSFDFYGNEATTRYG GIDGEKSFCEKLGMACGNINNPMPAVLNKNNDNTQLLGQLQPAAADQSLSFDWLAQQN GGSFDPVLFNDYREPQDAVLSQDFGAFFNDAFPLPDLGSPFADPSEVTDPKDPGVAKK DNAPSGQKPDDEDEVVPGEDKTQIMSCTNIWDRLQSMEKFRNGEIDIDSLCTELRTKA RCSEGGVVVYRKDVDDIVGRAGNEMQSH TSTA_082540 MASDVAALEAEVKEFKLQLETVQSGLQVDPDNAELQSLKTELEE FIHLTEASIAELKPATPIPSQQPIKEKWSRENHPAFQSGHRKAADSAPPDEPAAPASF SVNDSVLARWKSGDGSYYPARITSITGSSSNPVYIVSFKSYGTTETLSARDIKPISSG ADSRKRKADGTPGTPSSQQSTPQHTGIISAAADINPTLADQARSEPSKVSDGPARPNK VPRKVKANKELEAGKSKWQDFTSKSKFGKVAKKESMFRTPEGVNARVGFVGSGQAMRK DPTRSRHIYQQADEEGY TSTA_082550 MTHLNNIYTLKSLLDAFPDDLLPIFRQYSRTILNTIFWRPVRYY SDENGVVYRWMIRELKLGEGKAGNGGGGGGGVVVGNVQDIQGGNGQSTMNIAGWKQRD RLVLSDNNDID TSTA_082560 MVANKRCVNTIYDGSNIHSLTARFKRSLITSYEESQYRGLHCYH SIEVSRKPTLEKRDKMAGKDKDKDKDNNTIPENEMFSCFTDTHGVITSTMNDLPGHKV TRVLGTVYGLTVRSRNWGAGLGGVARSIVGGEIRVFTKLLYTARNEAVERMVGECISR GGNALIAVRFDVVDMGGFSQICAYGTACLVERVNE TSTA_082570 MREKKERKENEQLPLKRANLYAKDEWSCSDSRLVARVHLHPAIP LLLLLPPALPLLRPLQPPLPPPAPEPTETTPPPPPAPTPATPTTTATPPTPPSAPAST ASPTATGPVYPGAVSSCKNYVLVVSGDYSFSHNE TSTA_082580 MPAFLDQLFGKLKLLRASLRHPLLRFPLLELARIEKPPGQRKRG IRTPQDRDDSIFNTMTASNPPLNVGIFQTLESVSEETVIIRDALYGEHQVSESILVEL LHSPTLLRLTGICQHGVTGLLGLTPKVTRFEHSVGAFLLVRKVGGGLEEQVAALLHDI SHTALSHVVDWALSKPGEESFHEVHKERYIKTMSSLPQVLTRHGFADLRALNEDLFPL VEMPSPHLCADRLDYALRDSVAFGTLTLEDAQRIFECLKVFPDAASSRRLLVLGDEHL ALTLARAYLESDRKVYSDLGNVELYRRTGQVIGDLIRKENIKEEALWTLSDQEFWELL RKTADPARLEALNRLESEGAPKKDGLSLPQKAKIRTLDPDMWLSTSKEPLPLSVVRPG WARERQDYISSREKERV TSTA_082590 MFLALFAFLVVSVNALPRVLHAKVTPTPTTPLVSPSLHPSSQMM SSMVAMTPSTHPTNHAIPNAPIAEFEDVSTTSTTSTAASLSASAIATTESLTSALGLL SSLTGLLSSLTELLGLA TSTA_082600 MSRNICVTTAEGNTGFLITKLLLTEPSFKKNVNQVTALAINAQA PYVQELKKLGAVIAQHKPGRVRDTAKVLQDTKADTLMLIPPAHVNKIDITLEILEAAK RVNIGNVCLLSAAGCDMADRDAQPRLREFIDLEVALMKLKGDPSTRTGYSPCIIRPGF YAENLLLYTPQAQQEGLLPIPIGKDHKFPPIALKDVADLAAHVLTGKGKHGFSDQHRG QLMTMTGPMLVSGDELAQIASNTLGVRLVFDDVSGNEARRLLRFQTSQDEAERQYLLE YYSLVREGKTNYISTNCFHDVTGSHPIEPPQFFETYKDQFMPKMAVQRIADGV TSTA_082610 MTATIENTVAEIPLPPVPDIISKMPWMQLGNFEIASSKTPPPKP YPAQSTPAERAAARFGVHGNAVLTGGAGMLALTSARALLEHGLTGLALFDLPSAIEKS KDAIEALRTEFPSAKIITQACDVTDEKGMIAATQSAKFQLGELNILCCFAGMVGCVPS AEQSVDHWRKIIDVNTTGCWIAAQAVGREMITGKHGGKIIFIASISGHRVNYPQPQAA YNTSKAALLHMKNSLAAEWTRYGIHVNTISPGYMDTVLNEGDDLAPWRQIWAERNPMR RMGAPEELTGPVVLLCSDIGGSYINGADIVVDGGGLVF TSTA_082620 MRSLQRIAAGLLCSMLFFVVAAQGETTIHEPGRCAIRGHCGKKN IFGGELPCPDNGLARDPDDAVREKLVSLCGSKWSEGSVCCEEEQVDALKKNLKLAEGI IASCPACVDNFFNMFCTFTCSPDQSLFINVTQTEPKGDKYLVTEIDNLWSEEYQSGFY DSCKNVKNGASGGKAMDFIGGGAKNYTQFMKFLGDKKLLGSPFQINYETEPRYPDAQG MEALPLVPKACNDSDPAYRCSCVDCPSVCPTLPEVKSQSRCHVGLMPCMSFAVIIIYS VFLSLVVSISSYVAYRERRYRKPERVRLLQDPVQSDEEDDGEIVRGAAYVDTPHKHYK LNGIFDKAFNRLGSKCSRFPMITISVSIVIVGLLSLGWLRFAVETDPVRLWVSPTSDA AQEKEFFDENFGPFYRAEQAFLVNDTGPVLSYDTLSWWFDVESRVRRMISLKQGLTLD DVCFKPTGDACVVQSLTGYYGGSSAGVTPRNWQKKLSHCTESPGDVSCLPDFKQPLQP TMILGGYEGTNNVLDANAIVVTWVVNNHAPGTEGESRAIDWEDSLNQVLEVVHEEARE RGLRLSFNTESSLEQELNKSSNTDAKIVVISYVIMFIYASLALGSGALTLRSLLTNPS NVLVQSKFTLAIVGIVIVLMSVSSSVGLFSALGIKVTLIIAEVIPFLVLAVGVDNIFL IVHEFDRVNISHPDEEIDERVGRALGRMGPSILLSAITETVAFAMGIFVGMPAVKNFA AYAAGAVFMNAILQVTMFIAVLALNQRRVESLRADCFPCFTVRRATSSGLPDGVAYDD MAGESFLQRFIRRIYAPTLLDRRAKAAVIVIFLGIFTAGLALIPEVKLGLDQRIALPR DSHLIQYFDDLDEYFQTGPPVYFVTRGVNITERSHQRQVCGRFSTCEEYSLPFVLEQE SKRPNVSYISGATASWLDDFFYWLNPQQNCCKENGKVCFEDRTPPWNITLSGMPEGQE FVHYVEKWIESPTDESCPLGGKAPYSNAVVIDNHRFTINASHFRTSHTPLKSQTDFIN AQASARRISGYLSKEHNIDIFPYSKFYIFFDQYSSIVRLTGTLLGTAVGIIFVVSSAL LGSVATGAVVTTTVVMIVVDIIGTMAIVGVSLNAVSLVNLVICVGIGVEFCAHIARAF MFPSRNLLDRSPKLRGKDARAWTALINVGGSVFSGITITKLLGVCVLAFTRSKIFEIY YFRIWLALVIFAASHALIFLPVALSYFGGEGYVDPESDGGLEDNLAARGYRSLLMNDE YDSEEED TSTA_082630 MKLSNVSLVWSILASMTLGLSAGQWRSQSIYFLLTDRFGLTSNS TTASCDVADGLYCGGSWQGVINHLDYIQGMGFTAIWITPVTENFEGDTQDGEAYHGYW QQNAYEVNAHYGSSSDLLALSNALHSRGMYLMVDIVVNNMAYDGPGTSVDYSIFNPFP SESYYHPYCLITNYNNQTDVEDCWEGDTYVSLPDLNTTQTYVKDTWNSWAKSFVANYS IDGLRIDSAAHIQEDFFPDFEDSAGVYCIGEVDDGDPAYVCPYQNYLSGVLNYPIYWQ LLYAFESSSGSISNLYDMINTVKSDCADTTLLGNFIENHDNPRFASYTSDYSEAKNVI SFIFLTDGIPILYYGQEQHFSGGNVPLNREALWPSDYSTTAQLYTHTATSNTIRTLAM GKDSGFLTYKNIPIYQDSNTIAMRKGTSGLQLITILSNLGSSGSSYILSLSGSGYSSG TLLTELYTCINVTVSSSGIIAVPMASGEPRAFLPWSSVSGSSLCNGDRSPECTAASTV AVTFQETVTTTYGQEVYLSGSISQLGSWSTSSAVLMSASQYTSSNPLWTVTVDLPAGE SFQYKFIIKNTDGSITWESDPNRSYTVPTGCQGLSASIDDTWRR TSTA_082640 METINAEKAIGIANSAEGILIATSSSAKGDMVGMGGSIKDT TSTA_082650 MSRFAKPTILGLKTLDKVPSFSYFVGHPSGKRILFDLEVRKDWD NLSPVVADRMREAGWTAEVKKDVPEDFEENNIVLEGINSVVLSHWHWDHVGDMSKFPP STSVITRPGFTKTFVLDFFRILESQSWSLTTWDEMTVKITDFPLDINGHPAHDLFGDC SLYLLYTAGHAVGHLSALARITSRFSDVYVGDTFIMLGGDCCHHMAQIGPCNRYPLPY DVNFRFHATLERYLYDKRDTTGSIESITHHQGRDVPLMRLQKAKQLEQEPSLNGFLIL LHWGRYDIPESHVTVLGCTLWSKVPDAARDIVSTKIQDFKKIED TSTA_082660 MKSTSLLQKNIPYTFHDPTLLDNRPYVGGTWNNHNERKTFEVED CNASDYSAAIEIAHKTFPSYKTLSPKIRGKLLRNWSRQVSESAQDLAAICTLELGKPF KESLRAVDYAVTFLDWFANLAEQGCGMSPFQALLLLKDGRLESGPFVNQSVSSALLHP NNSGVLKKIAPALAVGCTVVHKPAPETPLCAITLAKTCERAGFPAGAYNMLPSSPKNA SSIGSLFSSHSLVRHVTFTGSISVGKFLAEKCGANLKKMTIQFRPETARGDSKECTPR KSVG TSTA_082670 MGKDTFELAGREWPKVTWWKMKGMRFVYLTLWAAMITSATNGYD GSLMNGLEAMDSWKASYHYPSSSTLGLLTAAMSIGSMLAIPVVPYVADVLGRRAGVVI GCVIMLFGVAMVSIGYRVALFVVGRIILGFGLGIAQECSPLLVAELVHPQHRAIYSTI YNSLWYIGSLIGACVALGTNHIQHSAWSWRVPCLLQGIPSICQLVFIWTVPESPRWLI SKGKHAKAKRVLAYVHAQGDEDDELVNVEFEEIQQTIALEKEFEGNSWSELWSTPGNR HRSIILISIGFFSQWSGNGIVSYFLPQVLKLIGITNSNTVLTINSILNAVNVVSATGI CFFVDKFGRRKLFLTSCAGMISCFVATTIALARFQIDHDAGKGTNQNAANTVIVFIFL FYISYNIGFSGMLVSYSSEILPYRLRAKGLTLMFFCVDLSLWFNQYVNPIALDAIHWK YYIVYCVWLVFETLVVWKFYIETKETPLEEIARFFDGDGAIVGGGAATEKTRQLASTL DGPEAHDSEKVIATQTEIVG TSTA_082680 MDQQRFLQQLQIILNPSTGDLKEATSVLQKEFYNKPESFLFLLQ LATSHDSDDLRQLAAVEARGLVGKFWLKVPQNQKPQIREQLLRGTMSSSSELVRHAIA RIVSSVAKIDLQDGEWADLPNFLLQAAQSGNKEERAIGVYIFFTILESLGEGFEDKFQ DLFTLFSKTIRDPESAEVRINTLLALSKLAMHLDSDEDEVPVKAFQQVFPDMVRVLKD AIDTTDEDRIMQAFEVFQTLLGCDPALMNVHMNDLITFMNEVSANTQLAEDTRTQAIS FLMQCVKYRKLKVQGLRVGEQLTRTALHIVTELDDDDDEDEITPARSALGLLDMLAQS LPPSQVVVPLLQALGQYFNSENPDYRRAGILALGMCVEGAPDFISSQFGEIFPIVLHL LSDKEPKVRQATLHGVARLADDLAEDVGKEHAKLMPLLVQNLASAMENYKGEESGPTV NIMKAAVSSIDAVVDGLDEKDIVPYQDELVPLLHKLFQHPDFKIKGLTASAIGSLASS AGEAFLPYFEKSMHLMQEYATKKESEEELDLRASIIDAMGEMSAAAGPQHYQPYVEPL MRASEEALHLDHSRLKESTYMLWGSISKVYGEDFKPFLDGVFKGLSACIEQEEADLEV ELGDAAKDLVGQEVTIGGRKVKVAEASDDEDGDIEDIDLDDEDDWEDFTTVTPLALEK EIAVEVIGDLISHTKGAYLPYFEKTIELVLPLTEHPYEGVRKSTISTLHRAYATLFSL AEENGQMPKWQPGLPLKVQLPVEVQKFAEILMTATIKMWGEESDPATVGDLCGNLAEN LRYTGPALVANENVLTNVVQQVTDLITKKHACQQEFAEDEELQESVEETSEFDWIVID RALDVVSGLAAALGPDFPQLWKIFEKSVLRFVSSSENIERATAVGTLAEVITGMKDAV TPLTGRFLPLLLKRLDDEDPQTKSNAAYATGRLIEATNDASIVSHFPTILQKLEPCLQ QQVSRLPDNATGCLSRMILKQHDKVPIADVLPAIVSILPLKNDYEENEPLYKMIAQLY KWEDPTVRNLTPQLLPIFQAVLSDDEQLEEERRKEVMELVSWLNK TSTA_082690 MAGKAKSSSHVVERTPSVIRDEEKEEVINQIRNLELDNKVGFDQ RAVGQVSQAVRVEQERRNGGIRYEPLPVTDLDKGTVGWQSEIDPAMPFNFSRLQKWTW IWLLSAITLLTPFATSILSPAINIMDADFGNENSTLGSMTVTIYLLGYVVGPIFIGPL SEIHGRKMVLCVANAFFCLWQIGCALAPNIEILIVSRFFSGVGGAACLSLGGSIIGDM FRPDNRGFAIGMWNLGPLFGPVVGPLLGGFVTQYIGWRWDFWIVLAAASPVTLLIAVC TRETCHKVIIEQRTAFLRKELGRDDLASCYNDSGQQSEMQILRTNLLRPLNLIVFSPI VLTLSLYISFVFGLVYLLYTTIPTVFEDKYGFNTGETGFPYISLGLGNVLAWLLFTIY SDKLVIQLAQANQGTFTPEMRLILSIPFGLLLPLSLFWYGWSSDYNLHWASTIISLVP FGFGIVGLFLPISTYIVDSYPIYAASATSANVILRSVTGALLPLAGPSLYGSLGLGWG NSLLGFIAIVMAPLPFVFYKFGARLRKA TSTA_082700 MYHIHFGPHQRKVERYLDEATTGTVLTFKGISIGYHTDSRSLDS VLNDLGQVLRLKASEVGQLSSIFQSHCFTDGEQRYWTEESLKHHIKINHPGIATTEHT NSLLWRCFHFYAYHPFPRHDMHQIDESVFQRAVILLAVQGTDFLGTQDGGDYFWRNDT DFFYDADFRRILRSINPAFPEHKSQPTSQISVVNNVMGVLATTQPYAVTLAPSPDLLE SAARRLLDSVPGGNRLRYRLYADDFSGLVTLLLQLRICSQKWGMTLHYGEFFQSSKLL DL TSTA_082710 MAVSFESLPIIDFQALQSDATKSEALADLKNALFHVGFLYLANT GLESIIEETHNALPQIFDLPESDKQRCSMLNSPSFLGYTGLGAETTARKTDLREQFDF GSPVKSVWKEGDLPWQRLEGPSQFPNEEVNKLVTRYTSELSVLSGTFLRAVAECLSLP SGTFETFLGKMHRLKFVKYPRSEPGSQGVGPHKDSTGLFTFLSQDSVGGLEVLNRAGQ WISAPYIKGTLVVNVQQGFEAITGGLCPATTHRVLAPTTTTRYSIPFFQAVRLDLTLK FLEEAAVDIVHRIPTQNVANAQQVTIPSEFMSPLFSCFGEAQLRNRIMSHPDVGKRWY LELYEKYSRQTLA TSTA_082720 MVAKTIKQWTVTGDKKGFDELKLEVVDFPKCGENEVVVKLNAAS LNYRDLIIPQGKYPFPLGLPVVACSDGAGEVVEVGSKVRQWKKGDKVVTLFNQGHQYG PMTTLASKTGLGGVLDGTLREYGVFNENGLVRQPNNLSAIEASTLSCAALTAWNALYG SKPLKPGQTVLVLGTGGVSMFGLQFARAAGAKVIATTSSDAKAEKLRKLGADHVINYK TDPNWGETARKLSMNGEGVDHILEVGGPATLKQTVKAIKYEGILSIIGFVGGENNVEV PQIVDALSNICTFRGVYVGSKEQMEDMVNAIEANDIHPVVDDEVFEFEKAKEAYHYQW DQRHFGKVVIKI TSTA_082730 MYTTPHLSKVLTLSTLALSIITRADICSTVQTETNAVQKPLSIA YESELQNYWSEACSALRPTCIVFPTSAEEVSEIITSLHGTDDLFQIKSGGHMPNNGFS SIQGGLLIATKELNNGVAYDAETQTAKIGPGLTWAEAQSGLEGTGRTVVGGRLGGVGV GGYILGCGLSFLSSQYGWAANNVVDFEVVLANGTVTHANNSTNTDLFAALKGGGNNFG IVTQYTLQTQPISDQVWGGVLTFSYDKSTKLLQAIRDFTEYYPDDKAAIIPTCEHTAL FTEWFVFVFYDGPTPPDGIFSNFTNLGADLNTAKTWDSYHDLLQDNDNYDLHGQRYGI ATETTPLPNATVGLEVMTTLFETFYNVTSEVLLDTGVIGTIAFQPMPRTITSKAIALG GDLLSFPSTTDYLIMELDISHSFASDDDTTEAALQQLYTALDNHIQNFISQGILPDVY RPLFMNDAHGTQDYWGRISTVEMARGVREKYDPELFWQKRTSGGFRLG TSTA_082740 MKTTAASVLAALSATTFTAVHAVPVVDEAYPYTGPAVPVGDWVD PSINGNGKGFPRLVEAPAVQPKHKNPTNNVNVISLAFLPKGINIHYQTPFGLGEAPKI KYGTDPKKLHQTAYGYSHTYDRTPPCSAVAAVTQCSQFFHEVQLHDLLPSTKYYYKIT AANGTTESDVLSFTTSRPAGTPGEFSLAVLNDMGYTNAGGTFKHLTKAVDDGAVFAWH GGDLSYADDWYSGILPCADDWPVCYNGTSTELPGGGPIPDEYKTPLPAGEIPNQGGPQ GGDMSVLYESNWDLWQQWLLNVTTKVPYMTVVGNHEAACAEFDGPGNPLTALLNSNQT NSTAAKTALTYYSCPPSQRNFTAYQHRFYGPGKETGGVGNFWYSFDYGLAHFITLDGE TDFAYSPEWPFVRDLKGNETHPKANETYITDGGPFGRIDGGNYKDNKAYEQYQWLKAD LEKVDRSLTPWVFVMSHRPMYSSAFSSYMTNVKNAFQELLLEHGVDAYLSGHIHWYER LFPLTADGKVLQSAIVNNNTYYTSPGQSMTHIVNGMAGNIESHSTLSANQKIQNITAL LDQTHFGFSKMTVFNETAVKWEFIRGDDGSIGDYLWLLKKESDTTPPDDTCE TSTA_082750 MWGELAIKGRFARAGKPAKKERRPRALANQDALIDHPLSTVIGW RTQSLLNNCNMPPRKGGKIILHRPTEAEIRETEQDDENTWSPLQIASGDGNYEEVRQL IESAASVDSKQTQKEVINESPHGWYGQTALQAASANGHLAIVNLLLDAGAEVDAPGGN NGGRTALTLAAINGHLAIVERLMDAGADVNIAPHRYYGRTPLQGAAENGHVDIVKLLL SKGANINAPVAHTAGVTALTGAAAGGHVDLIDLFIEKGANINPPMSRYKGLTPLQAAA YHGHIDAVERLITAGADVNVGGSHYNGYTALAAAAEQGHSEIVERLLATGANVLQRSG NKNWTAHQFAYFVGQQEIAERLWRLERNATPQSD TSTA_082760 MNAFVSTLAVSASLVGIAKATVQGFDISSYQPNVDFSSAYSSGA RFVIIKATEGTTYTDSTFSSHYEGATNAGFIRGGYHFAHPDRSSGATQAQYFISHGGG WSGDGITLPGMLDIEYNPSGATCYGLSASEMVSWISDFVDTYHASEGVYPLIYTTNDW WTTCTGDSTAFSSTCPLVLARYASSPGTIPGGWGYETIWQNADSYAYGGDSDVFNGDL SQLKAIASG TSTA_082770 MRVIDAARKQGHTVEMHLIERYADRVECSVDSVNKLGGILKLMK RGAVVIKRGSRDSKGLIYPVPQYFLRAYFSTS TSTA_082780 MAYTEQSPLLEERPQQPYQKKSIWNATRICIICYVGGLLFDSAQ ILRTMPRTKLFESIICQKYYASTNTLRDIPEHMCKVNSIQEELVTTQTWLKVSESVCA LLVALPFGNIANTKGRSLVLTLAISGQILADAWIVAVCFFNYTLPLSLVYASPLLRSI GGGEMVMSAIIHALLVDVVTEKNRAQAFFNIAVMTLIAELVAPAVGSMLVDWQGVYIP LLCAFPLQVANMVIFGLLPDTRLPERVESENNCDPVEGRHSTEVSDKAGFANWVKSLR RSCAPFQTKAIWLVTVCYISTMWARDTIDLLVQYVSKRFEWSLAKANYLILAKALVSM TLYLLLLPLLSRVLEEMLHSPPIIDLWVARGSSLFGVLGPILLGVASGPVLLVVSLVL FTFSLVYPFSIQSYGTSSYSNFCHSLDVPLLAMAFKYGLNTGGAASGLIFDIAGGLFF IAAVGSSLLR TSTA_082790 MSHAANLPTDKYYESSSSSDEAIIDDDEARKVLDYDADNSPYPE VRAVVPTKDDPATPTNTVRMWVIGLLFTIIGSVLNQLFSLRQPSVTISAFVGQLLAYP VGVAWARVMPIGILNPDRHFNVKEHALITIMANVSFGSASATQVIEAMVKFYNLGNNP GFGILFTIATQLFGFGLAGLFQRWLVQPAAMIWPSVLSNSALLVALHSRTNALADGWT ISRRKFFLIVFSIGFAWYFLPGFLFKALSYFSFICWIVPHNVVVNQLFGQVSGLGMSV LTFDWAQVVYANANPLLTPFWAGVNVIFGFVFFYWLICPIIYYTNTWYSAYLPMMSSN TFDNTGKPYNTSRIMNHDATVNVEKYENYSPMFLPAGYALTYGIAFANLTGIFVHIAL YHGKEIWGIWKGKGKKDIHARINETYRQVPWWWFASITLLMWVLSIIVNEVWHTGLPV WAVLLGFLLPLVYFLPIGIIKALTNISTNEINLITEFIGGYAFLGSPIANMSFKFLGY AGVAQGLEFIADQKLGHYFHIPPRTVFFAQGIATLVGALVQSGLTIGVLEGVENVCTP KQSGGYTCPHGTVTYSSSLIWGALGPGRSYSPHQIYGNLLWFFLVGPLAVILTWTLGR KWKFFNYIAWPVVFGGMSLVPPATGINFSSWFAFNAIFNGFVKRRKGAWWSKYNYILA SALDCGVAVSTVIIFLCIILPGGKLDWWGNAVYAKTADGLGTPWKKLAHGETFGPSTW H TSTA_082800 MAVKPVMPTEGTATTLNESSETTPFAQRPIIILGAGIIGCAAAY QLLQNGFQVTLVGEYLPGDKSILYASAWAGAAWHAAAGLDGDQKYIQAVTHRYLLKMA LDDPSSGVCMVKGKEYVEQAPGKNSSAWGKTVLNNFHTLKPGEYPSNFATAWEYDCLV VDPTLHMPWIGAKVEALGGRFVRQRVSSLGDLYNMYPESSIFINASGWGSRDLTDVLD PKCFPDRGQNVLLKSTKSDTMYFRNGKEYTYIIPRPLSGHLILGGHNSRDNLSGEPDL DVARDEIRRAHILAPDLVPAEPAETDVSYIIGIRPARDGGFRLDSQNIGNRIVLSAYG FAGGGYAFSYGIGDALVKMVQQAEFDNVILPE TSTA_082810 MTNTAAAGRRTSDKGANFRGQLGRFRLNPTVSSSQIPTSSPSSQ SQPQLSNGLHTPVVSQRPEPSASSVSSTSTATIRRRASRSDSPDAAGNDRDGPVNKSK RVSTACEFCRKRKKKCDFRYPNCSACTRAGVVCTVLTLGQSVAHQPVPRDQIENLEKR VEWLEELLRTQANIDVTGKSTGSVVEYPQADSPAAEQWFNVPVMLAQPRAGIGLTAAV DDTSDSANSTNSPTTLSSMDGGQLPNISEIFRDKLENRRSIVPRPASSSVIPPVRRLS SWDEAEQLVNRYFEGVGMQYPFLHKTEFMRGMRAIYQNKSVPPDVQNSYHITMAVALL TTTNDIQQAAAFYGIARETLTPTLQNEDRVSLQALLSLALYSLSSPAGPSIWQVLGTA MRLATSLGLHKARPASTSTKDMVEHEMDKRAFWSLYNLDRLISVTLSRPLGLSDDDIT INTPVEYDENWVEAPRNCQMSISVQVVKLRRIYSRIYRCFYNPHTKPTGDVAPLLQGF RQELDEWRTSAPVIQTCLHYSTAYYDFLYYTTLLLLYRPSVLMPHPDSNSIMGCGEAS IRIIQSYWDNYSANKIKWLWITLCHVYSAGVTMLWCLEQDIRAVRRGMSPIWANPQVY SSLEFVHTLLDEFCAKRKGADRLSIQFKTQSQQVTRRMLQATADQQQQQQQALESVVQ QQLQQPIFMPTTVDPGMIVHPMFYSYQWAGQEIASFYGL TSTA_082820 MSSPIKVAILDDYQNIATPKFAHLVDANKISVTLFPQTLNVRND SEREAQIKRLQPFEVISTMRERSLFNSDVLSSLPNLKLLLTTGKRNFSIDHEFAATKG TVVAGTDRVAQDGGTGGPDPTTQQTWAMILGLSKHIARDDAALKMDKSYWQGDSLATH LPGKTLGLLGLGRLGIATAKIALLAFGMRVVAWSSSLTQERADETAAEVGLPAGSIQV TASKLDLMRIADIVSLHYVLSERSRGLIGREELAAMKPKALLINTSRGPLVDEQALLE TLKAGRIRGAALDVFDVEPLPAESEWRTTEWGKNGRSEVLLSPHMGYGVEEYIEGMYD QVVDNLERYLDGRELLLTTKMSVIEPEPEPTPKPATEPEPAAELAAERAIEPTTEPTT PQKEYAIRLTRDDRIRIQTLREAGLTYQQMLV TSTA_082830 MQARKRLAVIKSPMNLTDLLIVQKAVLPNGQVLLLKLPFLSRRE NWHTTPADSRSREGWRIIITIYIHRSYHYPLYVTTSTTEAELRAATEAAKRLYIWKRV FEAIGFKPEHELSIQCDNTQTIRLLTSPEPNFHTSLRHIDIYHHWLRQEIQSKRLHIQ WVDTKRMVADGLTKLLKGQIFVNWRKHQGLVDIAHLLQE TSTA_082840 MSELTVRPYDNKVDSATVSILWESTFPQYPISPQHLEQLLAVSL GSHFVALMDDQLIGFCATYAEPSSKQPETGYLAIIAIHPEFRRQEHGNKLLRHVIEDL WKKSFKKIKVGSSVPRFWPGIPMDMDIRSQELFVKNGFKEGTKIKDFYQPLSTFKAPQ YLFDRTTSNGITFAPLTSSGYDECITAQQSIFPQWAAGYQLLHSDNLDDEIMVAFDGN RQVGWTLMLXXNSVKLNTQRYLYSGNIDIDLILRTLLSTS TSTA_082850 MDSKLIQYNVTTRWNSSYCMLNDAWNAAPQIQEYLKIKHIVGAL RCMYDREGKFKDFDTDVANASKSAMRKYDKYYTLIDDSYDILYITMFLDPQFKKLILK YELQDGAKDIITAMQEQLKI TSTA_082860 MPAIDFESQSMPSGHEFHRPLFQVSRDFPKDPSMLKTLPNLIDF NAEHNPDHLFALQEVRIGGKQLHLVRINFRDLKSATIGCSLFLRKSLFGAQKHYDGAD NEHRSSKKPVALFLESDVTLFVYLAALLYLDIPVLMLSIRLSPVAIAHLLNVCSAQAI IVSKRTQSAAEQALNGTSMEQQEATIQRIPSIPYENLLDLGKAESNEVVETPNPSSDE IGALILHSSGTTGLPKPISLVHRYLLGYAACHRLEPEECNNHVNVSTLPMYHGFGLLA PCLSLSTGKACCFLSGSTIPSASSVVELINRSGAFSLMTVPTILEEMISSENYWKSLI GLDFVAVGGGAIKSSIGEQLVSNGVKLLNHYGATEIGAIAPIFCPGKDYDWHYLRLRT DMGLEVNEVEQKGKNGETLYQLTGFPFGWGKPFPVQDILELRPNSKHTEVKVLGRGDD LIVLSTGEKVRPQRLEEALLSTGLVKVAVVFGEHRPEVGVIVEPVQPLAKEEHPSFVE AIWTVIRSENLQLDRHARVPSKTMIVIKPTAKVVPRSDKGSVMRKQTYEVFKDEIEAA YRYSSNGKEEGDINLASEQSQLERDLKSIIQRCVQDRIADPSSWDVNDDFFSRGMDSL EATRLARILENVQNKTTFPALLNGQVSPQFIYQNPSVRALAKALLEGTTSSSPPVNTA SRTIQLMKALATQFSSSASSVDPSERKLTVLLTGSTGHLGAYLLQGLLQAANVEQIIC FNRSRKEYLDKPFSVVTTSTEHELRSLQLEANSRSGITLGENSWRKIRFLPSNHIDKP NLGLPESEYGHLKKTVTHIIHNAWPMDFQRTLLSFTPQIRTVHNMIDFAVDCHFTQRP CSPALNPQLLVLSSIAVCARSKSGPIILEAPITDPSSPAPFGYSQAKWVCENVLIDGM NNFGDKVQHTIVRLGQLTGTTESGVWNANEHFPAMLKASQIVGSLPDLTGTYSWIPMD IVAKSILEILLNSSISRPRNAIYHIENPIRQPWQPLISALAVKLNLTIEATSANPIIP FDTWLEKVSKTMAAAAVNDDTQPKKEDDDLEFLKHLRTFLETDFRALSGGGVILDTAE ARKVSRSLRTCNGVGLDLVDKYIAYWRKCGLLN TSTA_082870 MSFQRVIFLGGQGSRHSPSSSTRKLVDGGNPSLTLLLSACHSAF LEEVVASRSLLSIPEWANFEVSSSPESLLTLRPDQTRNPILEGVSLCANQLLAYLNYD PNLELSSHVAVAKSAIRTAYWLGYRAAELAYKIAGEESQRLPWALSVIGMPEKTLETK VEDFNTLLDAPTLQNGSIRLANRFSQHAFSVVGLGSSLEQFRSRHLVGNTASSPIPVY APYHAGNEGHNALEMVLRDLSCGKSDFPSLADLKHPLWSCHDGRVLDAHSQLADSLLE YIISCILVENADLSNTWNNVVESIKSYDESEVITIGAGARTLIASVNRDISSPQRTSF IDVPFIGIQSDTGLSTEFAIVGMSVNFPSGLGKEQFWRTLEQGLNTVQKIPETRFKID DYQPRRGQHGTNREMKARHGNFIDNPYGFDHEFFNISPRDANSMDPQQKILLQGAVHA MDDAGYVPHVTPSYDPRTIGGYIGIATEDYVQNLTRDIDVYYSTGTLRAFLSGKISYA FGWSGPSLVIDTACSSSMVSIATACRALAAGDCSAALAGGANVITRPDMYIGLSKAHF LSDTGQYLKGAGLFVIKRLEDAILENDRIYGVIRGIEVNQSGNASSITHPHSETQQNL FRKLLSSSGIDPTSISAVEAHGTGTQAGDGVESSSLESVFGGLSPVQSVYLTSIKGNI GHAEAASGAASLAKILLMMHHCTIPPQGSFKNLNPKLESLLSRTFRIATRSVEWKTRV STPRRALINNFGAAGSNAALIVEEYQRNNIPPLTERTARAAYNFILSAKSESALKALI KLYLEKLQMGPPSPAIEDLCYTVTARRQLYKWRSSLTVSSVTDLIQQLEQNLTLHQCS AKSESLLVFVFSGQGSFYSGMGKQLLSTATVFREKVTECDQILQQLGLPSVIPDIDGT FVPAATSDFVLWSQIACFIVEYTLACLWLSFGIQPDVIIGHSLGEYAAMAISEALSVK DALTIVVRRAQLMTKSCELGKTGMIACDRSASYIEKEILSANHLLSLTIACDNSPTTS VVSGPLNDIDRLATLLEERKIRHKRLGVRLGFHSAALDPILQELNDLCHDMPFHAPKF PLGSCLHGRLMQKGDLNPSYIAQQTRGKVRFAELVKSLHDKETQSPVFVEVGPHPITS PMVKTIFSNPGSLFLPSLVKEQDAWVALSGALQQMSLRSMSVRWRSIFDGSEAEIVDV PDYPFQTQSLFIPFGEIYAVTKYDTGVVKNIRPKSFHLLSEMQRESPTSVPSNFSTDL SFLSKYIHGHVVGKSPLCPASPDGVRAIFCSGWASWQPNTKINRTLARSAAYVKRQIA HMQSKQAYTNVFRTKTLYNTIFPRVVSYSGDFQAIKELTVLEDGLEGYGTFQIPLKSR QGGIITPVFVDTLLHAAGFVANSHVHQTDACICTKVEMTRILYQGISLNQTFQVYCSL LDCNDGVLLGEAYAMTEEGVVVASVEGMHFKRLNLKFFQERLARQMVGGVSGQALSAV RMQSSGSAKKTTTIESDWNMQTQVARQDDYESVVIDVIAQICEKSRVSINGLTNLSSL GIDSMMRIELAAPLRERFSDLDLDLDEMTNMENVQELQEYVKKQSIGASYTFPITSEG SSDETSFDEANDPPSSSSSSSDSPILPTSDGINQLLLIINKKCEVPVSEINLETTLGS LGVDSLMEIELQETLQQHFGRSLPVEDTVFDLTIQELATYLGLNLTPKSQGTPLEEFQ GSPNNPSYGISGNKINYLQVTVSHEPALTQLQTSSEGFAPIGALSRWKITPIGGNVYA IKNPTLKETHWAKGLTDMAEQYASVIIGSLKGPVILGGWSFGGVLAHEVAQSLEREGC RTLAVIMIDSPCPHNLEPLPQPIVKHVLSAKELSTSAISVITAQFQHHARFLAEYSSQ ETIAQDVIPEGRKYFMLQSSSTIDTRRLCGADHPWLSDNQCREAALAQWERLLARQLT VLKIPGNHFEPFEPQNVSSLTESHCCSEGVN TSTA_082880 MARSVLPHHFRNGFRELHNEPHWTPRDEDDEVEDIHYELPPSVE LVTSSRHNHLGINVLRTWPTMYDGTASPHGVPEWWKPSSKVDVLICGGPDIPNYDKAP TPLIAGRADGVQPRFLETLSTWGLATEVHEEGPLIERTAIYYNGKLLHHGRSHQSDSR YRGLHIITQGQIERIFIRDLLRHKILVERNTTLKEFTVDQDLAKLSSPSAYPVRGVIE NSLTGKEEKIEAKFLVGSDGASSSIRRRLKIPFDGISTDIYWGIMDCVFETDYPHAWI FGSVVSSKYGGCIIIPRENGYIRLYTQLDISKTGPLAQTRQARDANFVESGGQVDIHS ITPEEVLEQANRIFAPYKLSFGAPLSWFAVWKISERVARSFSSNDLRVHLVGDAAVMG AFGLNASILDAANLAWKLGMTVKNQARMDALLPTYDRERRLHAAHIIETSGKYLRFVC SSSLPAARLYHVGADLGIDGMEEFERIIDHPKNDGQSAIRHGQANGHIVNGHVISGSP SNGSGSDEEANDDGECSTSAEKVSFKSSEDAKAFIYDFFRRHGQFLLGVDAPYGVSCL NTGVNKVEWQKFPPVQVRNGVRAPNPRVCFDKEHMGYLYDKFDGAIPFHLVVFGWDLL GTVRKQLGRLSKALSPADPDSFYWKYGGSKLFNIILVARGTPWEIQEALAKDNELLAL QEKAIVLSDDRAPDEDAHNTWGVHHRTGAMVVVRPDLWVGFSAAPGEVEKFTDYFGTF LVAQDKFRRYRWARDYYLEAYPRHISPSSPPSGWESAGAQRTPELNVQNCKNRNPAIS NVQNTAKMTAEQYKKEEELITKAVHAYKHGKIKNISKLAREFGVSRPRLYRQINGTPS RSTRLAANRLLSIDQEKALFLWHQYLDNMGAAPTPRQIEENANYLIMKDWIGQALRAI IDLKIAMNNLKITPANLWSFDETGFIVGQGKKEAVVTAYPKTSKRISSLSSRESLTVV KSINAEGKVIPPLIIPKGVVHLEEWYKYIKDEDWLIAPALNGFITDEIAFEWLQHFNR FTDDGKNWRLLIMDNHTTHLTIPFLNYYKMCRIQVFAFPAHSTHLLQPLDGVPFQQYK HVHGRVVNKIARLGGFDFDKNDFFQELHDIRIETFTPRIIRHGWKDHLMVQPEDAIID DGNTLKIYGENNDTIPSSPTIKSISPPSSVQKLRRYVNKIEKSPDGIKDILDESSPGL IRRIKTVNQGSLIMADLGELHRENFTRIRDTAERKAGKKTKRQVKAVGALYVKDANRL INAAMMEI TSTA_082890 MATIQDVHELLQLLELTHKAVHTIIGEWAKTPSSNQRKGTEISK NSLPGRELFEARRTLISATGKFVELVASPSERLLEVSSQYNEARCLHIAASLRIADIL AANGESGVTVEELSTRTGVQSQKLALAGNEPLRAYIVMFALDLYTASDALPRTLLDPK FGHSYSVTETAFQKALNTNKERWNWLEEETTPLELQNGGPGKYPGPFGPELSNAITQH QSEDKIKRPEHSIFGLAMLGGGRITGVAHLFGNQKHSLQNEEQVSKVSPPQTSLGQAS VRPQLSTSEVESDRGPVLEQAQKEVWPTENAEALAQGRVQFITHNFFDKNFVEGADVY WLRYILHDWSDDYSIQILSALRQSMGPHSRVLICDQVMNTTAGFQTVAPAPSPLPASC GYHTHYSHQRDISMMSIINGIERIPGEFKNIIEKAGLELSRIYDCRSQVSLVECTLPR TTADVPTNGQQNGH TSTA_082900 MDSPGYSEAPETGTGVQKRNRKPVSCTPCRQRKLRCDQAFPFCD SCVRRGDVMSCSYQHRRAGIQRTWPSSHSSSSSVQNRMDRLEQLVLSMINQKEASEVN STINLVVPHRNVQAPRYEGPKEEIFPSLRPVDPMRSRMFRIDADYGSSYTNGEAHWVA LLNEIHEVRSYLQTQQKQYEEQSQKISQLLKRTPDDPGPTLLFGASQLLGHGEVLAHI PSRYTCDMFVERYFRTLDPALHILHPPTFQKQYAEHWEDPNGTSVVWIGLLFAMMRIA ALSWQRDGDEPLEYHGKSQDLSRSYRTRVADCILLADYTKPHEVLIETLVLHLYGEYA SHREADSSTWVLLGTIIRLAMRMGYHRDPDRLPSISPFQAEMRRRVWTFIRQADILFS FQHGFPSMVKIEATDRGLPRNIYDGSFGPTSIELPAPLPDSEATSISYLICKARLALG LSRALKELNREDALPPYERVLEIDRGIQEIYAKAPDHFRLRSMAEQQHDQVSLIVARF ALANVYHKALCVVHSRFLEAARIDARFCNSRRACLESAMALLRMQAVQHQEVKAGGQA RCLTKYMTSLTTHDYLLAATILCTELSLDRDRDPSPFTLTGPSRNEMIESLDRSAVIW NQMRDESIEAYKASDVLGMLLKKLRHPNDNVQEAFSQQRTYPTPAIMPLPEIGPTKNL TQMYPGTAIDTGMMAAPPPQQYVLRDRLPTGTAGAAIGNGLASTVASTAIAAPIAATA PPVPMFPGFDFSNVRHPNSQDSSTTWEQFAAPLDFSDPVSALWALDPSRRGT TSTA_082910 MTSILFSSYLQGFSKPSPTLDPSGPLQAARAKLHIVLSTKKMID ADVRRIFEVNLIGTFNSYTLAAKQMIAQGPVDAEGSHQWTYKIIGASSIVAFQPYPLA SYYSVVKSAIRVFTNTFAMEMAKHKIAVNAYAPGVIDTTMWDEIDDELAEVQRALMGR LGTPDDVAMVVSFLAGPDSAFVNGQTLVVDGGIVMT TSTA_082920 MPPIRKKDPLKSTQDEGKIELAISDLKNGRIRSIREAARIYMVA RTTLQDRMKGVPYRQITRANNHKLSQSEEDSLVKWVLDLTKRGLPPRHFLVRDMANYL LSQHGDQRVGDKWVYNLVQRRPEIESKFSRKYNYERAKCEDPKIIQGHFDRVRDIISE YGILPEDIYNFDETGFAMGLCATAKVITGSDRYAQPKLLQPGNREWVTAIEATNSTGW ALPSYVIFKAKKNVRLGWFDELPDDWRINISDNGWATDQIGLEWLKTHFIPLTSGRTL GTYICMPPHSSHLLQPLDVGCFAVLKRYYGQLVEQRMRLGFNHIDKIDFLTAFPQART VAYKAQTIRNSFAATGLVPFNPDRVLQHLNIQLKTPTPPPSRSSNTASSCLQTPQNIR QFVRQSTTINKRINKRTGSLNQNEEINQAVIRLSKAYEILANDALLVRKENRDLRAAH EKEKQKRKRSNKQIFY TSTA_082930 MTHHMGTPPMNQQIEESANYLLAKDFTGPGEPPRAGKTWVYDFV GRLPEKYVRIVQKSQEKERTASEHYGEVEQWFIDLKFMIKHLKIQPRNLWNFDETGFI VGQGKDEAVVTAFPKTSKRVSSLSSRESITVIEGVSAEGKIIPPLLIPKGKVHLEEWY RHLQDDEWLVAPAKNGFITDEIAFEWLQHFQYYSKPEWGLLEWRLLLMDNHTTHLNMQ FVEYCHIWHIQPFRFPPHSTHFLQPLDGVPFQQYKHVHGKVVNKVARLDGFDFDKNDF FEELRDIRIKTFTTRTIRNSWRERGI TSTA_082940 MSHIRVKANRYNYCVALFVCLGSFTYGFTSGIFGTVIGQTSWWN YFNLAMDSSYGAVILDLCNGLFYAGGFLGCLTVNWLSDTFGRRRAIQIIMTICIIAAV IQTSSVHVAMLIVGRCLGGIAADMINCTVPTYISEISPPSQRGRLVGFHGVWTLVAFG LVNWAGLGTYFKANPNIQWRLLFGLQLVAPLVLLAGSFKLPESPRWLISRGRDKEGLD ILERLHENPADPLHCGAKDEFFQIKNQLAQDNEESLRNLFQLLRNKKYRSRLLTGFFL QAMTQTSGILIVELANLNVIGWKPLMITGFYNSWAAFLNVINSFTVDRVGRVRAGGIL CVSIVAALVAHYGTPDNTNLAGAGAAIAFMFLFVTFYGSCVDASSYIFCSEIFPTHIR AQGVGMATSGVFLMNAVYLTAAGTAFTKIGWKFYLVFIIIPALALPIIWRFFPETKGL TPEEIGALFGDEPAVGTNHIQELSETSVDERIKV TSTA_082950 MPLQLHKWTDREDEILRKEVLAQLAQGEVKDWCCIASQLPGHTN RDCRKRWHNVVSGGLNKGHWTAEEDKLLIDAVAKHGESWTVVANNVSTRNADQCSKRW KQCLDPDLDRSQWSEEENRRLFDAYEKKGRRWKEIQVEYFPNRSRNAIKNQYTTLSRR LRQHAERAPTGRRRAELSTKTGEQEQQRQNMNTHSASIRSDVEEKLDLAESNCFSSAD DSSDEDMEMAEASVESDVQQRLSANVSLMESSNTSIDSSLPCIPSPADAMMYASSLSH EYEIMIESGLEGLLENITELEPFPSLEGVRGDTDQLRINPSGEISVQTFANEGGKNDD DDGNMPLALPFQFDDGGMLLQQQTYPITKIAEDDEMAAVGYPDIPLKSLMPEHNSGSA SPERVTLTLYNPSSDTIASLMKVAVSNNSPFLFERH TSTA_082960 MHRKQLELLILLSRFFLLPASLPLEIPQCNLSTKNAIFGDSVLG LLVKPGESPIVLIHPWIAPGPDADKSLTKVRGPCPALNTTANHGFIPRSGRNITMDDL IPGLKASLNVAEDIAIMAGTNAFKTNPAPSATVFDLDMLNLHGAIEHDNSLSRGDYYF GDNHSFNETIYAETRAWFHESIISLPQAVSALRSRIETSRKTNPHFFFDLDGSTATTA SYLSIFGDTLKGEARRDWVDSFFQYERLPIELGWTPPALEFNMTLLLSLATRIANMLP GDLITQSVESSTYKDGSHWVGGIVNKLNRQQEL TSTA_082970 MSSETNSDISKRVVEDPVLAKSTADEEAALEKGQETTPDAPGAE ATEPASPRDVHGLSWVLVIISILGSTFLFGLDNTIAADVQPAIIERFNSINKISWVSV AFMMGAGSTNLFCHMYSQFNLKYLYIISIALFQIGSALCGAAPNMDVLIVGRAICGIG GAGSYVGVMTLLSVLTTDQERPAYLSIPSITWGTAMVLGPIIGGAFTVSKVGWRWAFY INLLIGAACAPVYLTLVPSKDARPGATMKDRTKKIDIIGFILLSGIFVSLLMAISFGG AVYPWNSSRIIALFVVAGVLAIIYWLQQGFTFGTPKANPTYIPVYFLPLYFQLIRGDS ALMAGVRLLPLVCFLIAAILFAGQVVSRTGHWQAWFFGGSVLVLIGSALLYTVDEHTS NAKIYGYSIIVGTGAGSFLQLPFAAASFSVAPNWIPVAIGLISFAQLAAPSVTLSIAN TVFVNKATLHLMAYLPEYSEDQITRMISGVGSQYLDQMSTGQREGVFSIITHAMGKSY TLVITCGATSLILSTILVGLNFANRKKSKTAESDST TSTA_082980 MYLDYDRVESSIFFLFAFLANLLPWSTPKPVPFAIQEPISTQSP STREIRKYNFTIKRGIFPGPLIEANYGDTISVTVHNEIEDPEEGTALHWHGILQKGTP WFDGVPGVTQCPIAPGKSLEYTFKAEPYGTSWYHAHYSAQYANGIFGAMVIHDPKHVN YDIDMGPVLLTDYFHRYYRDVVLEVLRPRPFPAAPPSDLNLINAKGDWRCTDSLGSAP SPDTHNLTEFRLEPGKKHLLRLINAGAEGMQRFSVDNFTLEVIANDFTPLQPYNTNVV TLAVGQRVDGVLTVPEDHVEPVWVRSYISDLCSFTNHHSAHAVAYFTDDIIDVMPSTS GHPIDDSDCGGYYQTFLSHCRRKRAQSFQGARDWKYYERHRTMGLAYEWLRRRSGPNE SSVVSCQQRRAKLRHQWNVFDTGKARTIRVFLKNPIDFPHPMHIHGHNVKILAEGVGE WDGTIVNPSNPNRRDTQMIRSLGYMVFEIDDVDNAGLWPFHCHIGPHLSAGFSVTLMR DPDQLAKMTVPYSIKQTCVDWIALKDRVPDQIDSGLQVDAFETEKI TSTA_082990 MILLRGLLPIGLLLLCCVHFVSALEQYPLRPTKSRDPDGFLSPA VSLDSGLIVGTRVSIPSAAAIVDQFLGVPFVQSPPERFSPPSKPSTWDGLLETKHVKP ACIQQFACVQSMNCGNMTLPMHLLEILHSIGAEFASLII TSTA_083000 MSGNQGSFSLFQEILADQTQEINDLRQYITNQEQQLNTMKERLM RIRIAVLMNESVLRPFFRVPPRQRDPSYYPVIDMDLEAIEWMQANNIGGQQNAIRRFE AIYGMNLRKCKILVRTAPEEIVEAMNQRAELHYSPCYRRSLRDNSKIKRMKLICSEII KLWEDCQSAAYPSDQIMVKRSNLERLLNEFWDGQGKKTEWFGKRKVNLSGNKVV TSTA_083010 MVKPAGDGVSLLYTSIILLTFSWVVFAARVGVRVWRKALGLDDY LMLFGLLLYTVTASLCIVCCFYGSGQLSAAIPAAERMKGTKLFFIAEFFYSSGAAAIK CSIAVTLLRIAGSRSIATWTIGGVMFASIAAAIIFMAGVANICHPITTLWGETTNGTC NLQLNSDVSFFFSAVEIVTDFSLAILPAILLWNIQMKRKVKFSVMVILGMAAFASCAT IVRLRYLSLYSNPAEFMFSTGSIGLWSVIEEGIGIIAGSLPALRPLLSLPIFSRSSAG GSNGTPYNAPYANGHNARRSRSGHHPLGSGVKMDTLQRIQSVDADGDRESQRHILKET EVAVTSKIRSTTPGAWERSQVLGFKSQS TSTA_083020 MAEFVIKDEDLNGLKGKVVIVTGTSSGIGLATANLLLSLGASVV GGDIQPSATPESTPNWLFVQTNVTVWTDLSNLFKKAKEHFGRIDHVYANAGIGPRANY LALETDANGELKEPTFETLDVNLKSVMNTATLAVHYIKQQPEGGSVVLMGSSTGLQPL RAPDYSTAKHGVLGFGRGYGRLVQVAGLPIRINTLMPSWTSSNILPNLDGMMQGISHE AQPGLVVARCVAYLMSDTSKHGEAIYVSDGKYKEIEKAVLSPTFKTSILGEGNPNDDE ILERMLALGK TSTA_083030 MSQEATVPQMRGLPIAFHGTMIHTLDVSTLEILQNCLLIIDSEG KIEKIYKDAPPDKVNELISEAGHTPDVFPVKYLKRGEFLIPGFIDTHHHAPQWAQRGM GRDQTLLDWLNNVTFAHERKFEDLKYARKMYTACVQAGIKQGVTTASYYGSVHVEATK ILAEICLEQNQRALVGKCNMNRSSPEWYQDLSVEESLKQTKEVVTHVRRIDSEGKLVK PILTPRFAITCDDTLLSGLGEIVKEETPNAIHVQTHFNEAADEMEFTRQLYPQFKHEA DLYDEYGLLGPHTILAHSIFLEEEEMDKIKSKGCGIAHCPISTATLGEFMTAPIREYL RRGIKVGLGTDVGGGFSSSMLEIMRHAFIISKARETMTKGADPALKLHEGFFLATLGG AQVCGLEDKVGNFVEGKEFDALEIHTVGSEPCASVGVMSPIEDDDSIAVIFEKFLMTG DDRNIAKVYVAGRSLKETVS TSTA_083040 MARLGVSHWQGKTTFQKHLQKEVGFDCLVLDEITKFSTFGHDVI NLLYKFCAHRAPMGHIHAHSEEYQSWLNSIEHKLLDIRANLKHEEAETGSTTTQSESI LVKELFILAVLLYIERTSNGTSDRPTIKNTEWTCAAFDIFSRIDSCNKPFAYVVFGCE ARTDQDRTIILDVLDRTIESKANGLLHPLRDVMIKTWVHDDLKATVTLTEMSAEALCS SSCLILSTSHSVKCLV TSTA_083050 MPSYLITGASRGIGYELLRQLSADPNNTVIGLVRNKPATDARVS TELSERKNIFVIHGDMTDVQSLKTAVQETSKITGGSLDVLIANAVYLSDFSQYEGIGN LEIEPLGEDMRRNFEANVIGNINLFSLSIPLIRKGNIKKVVAISSGMSDENLVAKMRI SSAAPYAISKAALNMVVTKFHAQYADEGILFMAVCPGVVNTDFATTPEQQAKIGTMFQ KFVKYAPHFKGPAHVQDAVKDVLQVVDKATIEANGGIFVSHYGNKEFL TSTA_083060 MAPAASTEPGEFDPEGKDARQLNNYVSQRMNSYEDVYDDLLYAA YKQHFEKWTIDDFKKSDMIQLGKLINLLRCNGVFVNTTRAHLVAENLMNVLAETDPHV WTEEEVIAHVKRGKELRSENLNDQFAAIITMHQRPPKTSEEKAQQRIPRTPLMPETPS PFPTFGPKTRARSAANSGLGTPTTVPVTSHPNTGQADSNQHMEEQAHKDSLADLISTP QALLNLGKMYTDELKYGAEKNDSFTWKLQIFYDLCQRAFIPPTQEAYHPAFPTMLKGQ ALQYYYATRQTWLLHGHDPITGLGDHFEGEEYHRAIQQEWNNVIFQSVIDKNLYKTLS ECLEIMVSTLQQLYHGLDRDLQTPAYHRIKLVEATHTHPAFQSATT TSTA_083070 MAVIRRRLGHQVIGSVASRPYLVPSRLDFLKKSAFIDLISPQSR LIIIMGSTTSESPVALHEFDPSPSNAQAVINALIKDGGVIIRNLLSQEVLDQIEKDVR PYIEADKPWKGDFFPPETRRVCGLAGKSPTFMKNIVVAPLYQAVCDALLTSTYHSYYG KTLEKSISKPQLNNTIVFSIGPGARNQELHRDDMIHHNLVPAITAEEYKPGRDTGIGF FIAGKKTTKQNGATRFIPGSHLWATVTEPDEEQAVYAELSPGDGFMMLSSCFHGGSAN KTVDEERLVYSCFMTKGYLRQEENQYLANPIEKVREYPVDMQRLIGYQVSQPFLGWLD LDDPRKALVGNAWEDGRGDVLGYEGDAPDRSHSEHPF TSTA_083080 MVVIPEINQHITTVKNLGSGLVAVFGTNSPSLPITIGGTSGIGL STAREFTRYAAAPHVYLIGRNEAQASEFISELNTINESATVSFIKSDVSVLKNVDVAC QEISKKESKVNLLFLSAGIFTTKGRTETSEGIDKKLSLHYYSRVRFIQNLLPHLSQAA SSGGLARVISVLGPSTEGKLIEDDLDLRTHYSLTNAATHAVTMTSLSMIHLATANPTI SFIHSAPGGVKTNLMRDFNPLAKGLVSGILALLTPVHSKIGIIPVKDSGERHVYAATH PAFAPREEHVETMGADGEKGSGAYRVHYDSSIVESKMDLIKDYLSKGTVKTVWDHTLE VINKATGN TSTA_083090 MTTAQKPTTKEFPPLWKQFSNFTNTHPLGIEKTLRFIQAVVTIL SSSIFVSYIPNSVYAVKCASAKNQIALARRYLRFFKFIDCFDAGYTAWLVPAPLESDA VRKIASVGKWSFLGVYFFLEDLTILDAMGIWVTPWAQELFIECHKWWFFGLALSIIGS TVDIFLPVSEPTLTSSAVKQSEKKTVTSEKEKKKQPLPVKRDLTPLVKGLIVDSCDIV IPGSVLGWIPASSTQVGLMMVVSTLVSSGGIWAQVNK TSTA_083100 MSSSVEIASSFIEGAPPGESLVCANPYLPGYGPGHKIPYIIANS NVQSPDIKVLTSDGPNIIPELEPAFRSYNEKQLATVKLPGSSQQVIVSEFNRLDGDRY YDVESSTSFEFDHITQTASAAQSYPLDSQNADLVKSLLRSLSTHAKEHYPSSSYGVYP VDNDTAIAIVVVANRYSPNNFWNGRYRSIYQIPVSSSSTTTITGNIHIDVHYYEDGNV ALSTTKPISIPLSNISAESIMSKIGAAERDHQEELNRAFSRLAEGAFKSLRRQLPITR QKVEWERVGGYRLGQDISGGKGK TSTA_083110 MTEMRVGQPYWLHRQMSRHIQQSDSDENNSSDSTSTDSKRPPIP RLSPKSRSLSDAATHSALQPSALREFPLSSKDDIGLTNNNNNTTNNTNNSPSTPQKQP QPRLSTLHGLSLQLPRHATPSLSTAGASPIINRAPLSPKLDASHIYGSPASAIPRRSR GLDFSRSCTNLHHSTLAESSPDSSPNVGGRGVNIPQRRGGNSSAFGSPGTSFVQPSGM GSANQTTMSSSLSSVNMLESDTSSSEEDDDEPMNGDRDEMMITSTPQASKMHNGVLSN PFGGAVPSPGNDWMGTYSPAAASLASFQRARFRKSRNSRHSSSSASGNSNKPSPGPLS PPVLKSIEASNGGYFAREMTKSALQSRRESLSLGTGDLHLSDLSDDETRAPRAESPGA NAESGPRGVVRRAVTRRGNLLPKPKTFARIRAALMEESAPLDTEAKKEAEVIRQVRES DPTSETKTPSFPLEKLEELTSTTSVSENPIRSIPDTAFSNQASLNSGGTKFWETFDGR YRTPPPAGRLSTQSSVMSEDTNMDTPVSNIGREGRWRSPTPQTVTSVLATEIRRKRRR DDGFDAESFKRRAVSPGMSVQSSPVLQHAPSSTNNRDGSSLNNASWIIPSKTAAALLT EHTHSSSSTSTPTGMKRVGLQGMNETNDGFMNMSIE TSTA_083120 MNMFTSLYRRACDESDPNSDACAKPTSNVLLDAVPAAVVGVMLI IAGTVFFYIARKRRRQFDAEEAKERESFEIDIYEPTAKNHRPNPAGHADPFNDPHGLS RDPDYNEFSLAAPQSRRDRSPSKSSIATESTYMPSAPPPAYHEGNTGATVSRPSDTKV TSTA_083130 MAASSPNMSIEHIIDDLDLHKALLESLLDTRPDDVEEREELQAT IMDLERKLAQHRGIPLDAVSAQPSQVLSRGLSQYDGVGDSSPLGSGVSNNLRDDDFPV AYHETPHRKRYLDHSDIDRRSGSPHSKRARSHQSGSLTPSAASSHLGNSHSAGLDSLD DYFGFAEDGVDFREGQYEAEKWLEDRRAQELRDAEFARRLQQSLDEELSYVSSKPSQF SSHNAYSANAASPNKPVLNMQSTFMQKPNLPSSSAHKQPAFGSTGCGNPSYQYPSQVA SSFNFPVQQPRPTPKASSTYQIIDSSEDEDLAEIGPNDFYGDDYPALHDNTSRTLMTM NPLKYGLEQLRGMKSALDYLPKDRPAMPWMINENDYLGGIPSYETETVDSKQANEELK ALLESLRPDVELSKNPQGTPKELSFALFEHQKLGLAWMKAMEEGKNKGGILADDMGLG KTVQALSLIVSRPSTDLARKTTLIIAPVALMQQWKREIDRLVKPEHKLSVFILHGEKR KTTFDKLKKYDVVLTTFGSMGTELKKREQYDELRRFASQNSANMIAEARALPLLGPQS TWYRVIIDEAQCIKNRNTKSAIACCALNATYRWCMSGTPMMNGVHELHSLLRFLRIGP YNSLERFNKTFTRPLKTREGRNKALQQLRVVLKAILLRRTKFSKQDGKPLIDLPPRTT EKVHAVFSEDEQQLYNSLESRTQIQFNKYLDAGTVGRNYSNILVLLLRLRQACCHPHL INDLSVDVSAVTEQADFVENAKQFSPDVVRRLKENAPLECPVCIDAVENAIIFYPCGH ATCAECFARISDPSLAVQQGVDGSVEAKCPNCRTKIDPKKVTDHVSFKEVHFPEESDG DEEKADVIADAEDSDDDDSDEEDDDDDDDDDSDLADFIVPGDYESEEDGILRKKTTDT ESKPKKKPKGPKFSKGKGKSKGPKNPKKTLAVLRKEGQRNAAARRKYFKRLEKNWMTS AKIEKAIEILEEIKDSGSGEKTIIFSQFTSLLDLLEVPINRRGWKYRRYDGSMNPRDR NESVLEFTDNPECDIMLVSLKAGNAGLNLVAASQVIIFDPFWNPYIEEQAIDRAHRLG QTRPVQVHRVLVEKTVEDRILALQEEKREVIEGALDENAASQISRLGVRELKFLFNVQ TSTA_083140 MAEQIPTFKLVLTTFVKRHLTGEFEKKYIATLGVEVHPLGFTTN LGAIQFDVWDTAGQEKFGGLRDGYYINGQCGIIMFDVTSRITYKNVPNWHRDLVRVCE NIPIVLCGNKVDVKERKVKAKTITFHRKKNLQYYDISAKSNYNFEKPFLWLARKLVGN QTLEFVAAPALAPPEVQVDPEVMAKYQQELTDAAAQPLPDEEDADL TSTA_083150 MGITMNRDIHAPSVPSGPTTAGTGFRDLSKLSMVELMSEKERIE AELSAYSSVLTSQGVTMDTSLTTFDGFPRDDIDVAQIRTTRARIIHLRNDHKEVMKHL ERGLHAHFEALQQAQASSTTSAVPTQQQQQQNGMIETPFARVNSVAPGSPADQAGLKP GDTIRSFGTVNWVNHERLTKVGEVVQQNEGRPLVVKVSRQNESGQGTRDLSVSLIPRR NWGGRGLLGCHLVPL TSTA_083160 MSEQMEDPPAVEDGEEEDEDVEESDAETGNCELLHSPPADYTPL APSLDSSATYPWSRWVDSLRRKRGYRTGTYVDGWFDGPSVDRDDIDLTLCNKRPWDQV SGGTASILGTMKTASMSVPPSSAPRSRTNTITSNSNVVSRQSMESTRSSIYDAITQAG KVMAIRRRHVLREIYSSEVHYVDGLQTVVQILSTYLTMRPAILRDLQRLYDMHDAFRK QLQSVSPRSAETWLDGTPIRKSTILQKFQNQSLTRNIRRRANSQMGKEGADPSEALLV AKEVDKLTTKFHLYEAFIRSYDVLSEDLTLLRQNHPAAGFWTEGIEALQKSVHSTQKR KENYRKAMTLDDLIAKATMTDSEQPVQRVCKYQLLLTELLRTVPETTYPLTHSEIKKV LDRNSQAVDRINTVVGDPNLRIRIQKTISLHERLDYGDQNVLENVYQELGPLILCGVL HVAYQSSSSGIAGQYLVCILFPGYMVLARARSDSRKLVLIASIYLSDMTVDNSMNGHG LACLDTPFSWKIIFQYGQKRYEFIFSASSSAEERGWKTEILKASVMPPNEVPNISLEP RRFSCVCMPLAPLEADGRSLLLERRGSLRTSSPRSPLRHAQNQVIIKKTHNPIYDTEV RLLHESEPTRSRSDAKQNVSTPTVLIPLRSHRVKLERSISGIYSQELLPYPGMTLGRS DYMSQTMVGKTVMRGLSIRGVFHSRRSASLSKATSVSLDDGIEQDRDEQGKGVASEKV GTHTTLCDALCKSKDVTGDDVVAAKTFGSFRQKMTKTTSDNGTDEENVIKTKNKPWVP WKRWLPPAIVG TSTA_083170 MPRGNDNVTKVIYHGKADDFVIFVDDVSAAQQWRHDRTVPLAQV VSGWKVFVTHKQGAQGILDGASKAMLENEFGTARDENVVQQILEKGEIQEATNRERQG DRNVLNGGGFVNTFQV TSTA_083180 MNGTNIEADVQIKNENEHDHDVDRARSFEGQLNRFLHQTNTTVT GKATPVVQRRIITRSQSITSIDSTQSPSPLPSKTPTLTIQEYNRSPIRKPKSRKTSSK STATTTTTTTTIYSPPSRLRDSITPNLILLLIGVNPGLLTGSTGYAYAHPSNLFWKLL HSSGITTIRHPPSDTYRLPELYNIGNTNIVERPTRDASMLSKAEMNAGVPILESKIAS SRPEAVALVGKSIWESVWRVRHGRAIKKEEFRYGWQDDSENMGKCEGWNGARVFVATT TSGLAATMSLEEKQEEPDM TSTA_083190 MKVYSFLGLFLLSSAVSAHPGGHGNEKSLEARKELISRVSNLDH CHGRMAASGIQTKAVERRSKLASKLSKRDLSSFTRRGTDPVFVDHEADLSLTATTTLE EIFASNHCVVLFPEEEEGSYHHHSDMDGEYIRSNIAEDQVGIPVTLDLQFIDVETCEP VQGVAIEIWSCNSTGVYSGVVIEGNGDADPANINSTFMRGAQFTDKIGAVQFETIFPG HYYPRATHVHVFVHLDVEKFPNGTIRGDTAAHVGQLYFDQTLIAAVEATYPYTTNTQQ FTYNKDDWLLGMDAVRGWQADPFLEYVRLGDSIEDGLLAWISMGVNMSFTRDVWAAAT LYPTAGYRHPAKIMMMACQAS TSTA_083200 MGTREFIEFYYGTYDSDRKSLASLYRDESLLTFESASILGTNSI IEKLESLPFKKVKHEVSTFDAQPLANYCIMILVIGQFFADDEERPMNYTQAFQLMRDK NGQYFISNDIFKFVLC TSTA_083210 MAAASRDLCTVFPSPTVKSLVGKFQYRRCSRHPQPIRSNMMNFS TPRELSTLGHSHSSGIDKIELICDIGRDHIELGYWERSRVVGLKTKRAGGAMEEDPAV MTRAGRVVVPSTRAREALEGADSTDATAATRRTTSKVKLTAVRKATNQIEERQCAQDK NQAILRKMFQYLKGTYQEIKILKEMLNK TSTA_083220 MLVFQNDISIRMLKCHGIQYFKYWIRFFIQDWKRLQQIHKALHR FDEFTRLISMQSPQISLSLAVYYELSDLLQEIRDRENDFQDFDLDISRAAASAIEKYQ KYYSFMDDSNTYYAAAILDPQVKTRLLEHEIPNSDARTLI TSTA_083230 MFIKTQCSSWVDGSPKSRARLYREKCAASKDFVNQGGLPRKALF SAVDASLRRLGVEYIDLLQIHSFDYNTPVEEIMEPLHDLVNSDKVRYIGASSVWTYQF AMMQFCAEKNGWTKFISMQNHYNLLCREEREMKKFCNETGVGLIPWAPPCRGYIARPV SSPQPSDRTAVELKMGRILSVGQTETDHAIINRVEEIVQKKGWKMSTVALA TSTA_083240 MSGIRLLYMSQLTALVFSQSNSSLFDPCSHAPEDVMVRDIVVIG GGTSGTYGAIGLKDMGRSVAVVEKNPHFGGHVNTYTDPESGLALDFGVQGYGNDSITQ AFFSRFDIPLIPLIQGNGEIIADFKTGENVSTLVPGSSMVTYSEQTSMHYPNPALGLH LPQPVPEDLLLPFRDFVNKYSIQNATYTIWRYTSPGKDLLDQLTLYVILGCNAASIPL LGGRGHDVMTRNNSELFGKAEEEFGDSALLSSQVIAASRSNDSVSLVVQTPTTKKLIL ASQILFSAPIVLDNLNNFDLDAPESDLFSQIYYSYWYTALVTDTGLQPGYVYEHAASD TLYNIPIEPYTFRVGITRVSNIHTAFYGSMEALSETEVKARIAENIQVLSGNSSTPRF LDFASHVPFKQQVPAIAVADGFYNKLNALQGYRGMHYTGNAFDASGSSSLFNFTLQLL PNINQGIDAHPVDPSMGTCNNAGGTSEDTPASEGTTNSSDDITDTSDDTIAATEDGTG TSEDTTTQVSENTTETY TSTA_083250 MPATDRRNRSEDAIPDLACTRCRERKIRCGRERPQCSNCERDVG ITCVYQNPVRRVNHTKLLCNSVDQLQSRLTSIELQLGRLSSAMARNLDFDDDECIGMQ ASSGRSDENESYEQEDEDEGIQAESPGSLADDMTYSHIFHSDVDMIDRYHGISSPFAL CNRLQLRTLSIADSTESSALQELLRALCETAGGTEPFPPYNDQFPTQLPPKQQALAAV AYFFKHIDCATDIFVQQNLLANLERVYSQPARPGDDTWVVCLKAITLLVLGMEISTQA DSALFGDFACSMLPSRAALVSSRLLNTPRLINVQTLILLSVAAQQFDPPGWSELLFVH ACILARTMGLHKSGLLPSDSSLNDDAVERAKVLQSLYIRDKSLCITRGSVSWLPSYDC DITTQLKAVAERQASISSHIRLAMIQDEIYHMTCAASEYRRCSHSKMSQPTTPKSIRQ HLDQLASDYSIFSGISASYMPRHVFLAMEFLSTRVIALKLDPETRHTRERLFADARAS CLLLLIAHGDRSPSVIHAYHSSTGTTIPSTRTGTSLTCETNSKSFAALLDAFSVPAFF VLFENLVFQGNESDVDSDSSADWDLLRKVSACYTKASSQMPPQSYHSRVTRIFNQLID SAHLFRRSRSDSYASESSSLEVAVESTQSNSSSDPQLPPEPETTMISSMPSILNGHMS DLSHLVPHGSSTSGPFSWESLLSVSTTLDPPTTLDAQSMIHPSGTVSSTDLLTQLLDV PQPHSKPMSDTIQWHSLPSDQSRAKKRLRTSED TSTA_083260 MMKDPVFSLHTPTGVQNILTADRPTHTRQRRLLSHAFSEKALRE QEAIIQRYVKRLMEQLALRSGEGPQDVVRWFNFTTYDLIRDLSFGEQFGCLENGEYDP FVQSIKDISKELTMIQMFKYYELLGLRRFFMPKAIAGTRAQNMQRVIQTVDRRVKSKT NRKDFLHYILAAMETDKGMSRAEMDVNTFSLSIAGSESSATLLCGFVFYTLTHPTVYQ KLVTEVREAFQSEDQIVMANVHHLTYLNAVLQECLRVYPPVAVTLPRVVPEGGEVIDG GYVPAGTTVGVNHFACYHDQRNFYRPNEFLPERWMPGAEDETSLFSHDQRNCLQPFSL GPRNCLGKNLAWAEIRLITVHLIYLFDMELDRSVGDRWTERQKVFGFWDKPPLLVHLM PKHKTTM TSTA_083270 MESKLPQEDVHVIIIGAGITGLILAQALKKEGIRYSIFEREAAL NYRSNEWTMAIHWSLDRLQRLLPEDRYENMTQVSCNPAIPIEAGGNYPIIHGESGDLL TGVPYAKGLRVPRSKMRALCAVGIDVQYGKNLVDVAFNESGKGVVAFFADGSIVSGSI LVGTDGPRSKVREFAMGSAEKAAVSRFPIFHTNMSVTYNDAAKALYLRHKFPTSYLAL SDRSFHAFQSISSMPDGPDHPESWIFHLAMAWFSDGDDPLTYKERLELIRAKAQTLGE PAHSAFTWIPDDTQIHKADISYWIPQPWDNRQGRITLVGDAAHPMPPYRGQGLNHCIC DVSNLLDGFRAVVAGQSILSEVITSYEIEMVPRGQEEVKCSIENGYMLHDWAKVQESP VFRNGFRPMEGHDKSGIGQENHPINHQQAQVV TSTA_083280 MSSSRVYCLTICACRKEGMDEDEYHRYLSEHHSNLVKGHLAAKG ILSYTMTHNTTETKQMMTQIFGTYPEGDICKYDCFIQIHFKDVLDYIRAKDDPYYKEV ILPDHAHFADPANTVFVTGWLETHVINGEAV TSTA_083290 MIANNRIKNVAVVGAGGNVGSYMANALLKTGEHTVTAITRHNSQ SNLPEGVLSKEIDYEKLETIVDALRGQDALVITLSGYSPIQETEEKLVRAAAEAGVPW ILPNEWSPDTAHEGMVNDLFLFKPKVATRKLIEELGKSSYIALSTGFWYEYSLAMPRN YGFDFANRTVKFYNKGEDKICTSTWPQVGRAVTAILSLPIQPEEPNTEAYLEKLKNRV VYVNSFNISQKDMLVSALRVTGTKEEDWTITKEPATQVYTMGLEQLKEGKREAFANVL YSRIFFPDGAGNFEDTKGTLNTMLGLPKEDLDEATKVAIERQKTQGGRH TSTA_083290 MANALLKTGEHTVTAITRHNSQSNLPEGVLSKEIDYEKLETIVD ALRGQDALVITLSGYSPIQETEEKLVRAAAEAGVPWILPNEWSPDTAHEGMVNDLFLF KPKVATRKLIEELGKSSYIALSTGFWYEYSLAMPRNYGFDFANRTVKFYNKGEDKICT STWPQVGRAVTAILSLPIQPEEPNTEAYLEKLKNRVVYVNSFNISQKDMLVSALRVTG TKEEDWTITKEPATQVYTMGLEQLKEGKREAFANVLYSRIFFPDGAGNFEDTKGTLNT MLGLPKEDLDEATKVAIERQKTQGGRH TSTA_083300 MALKISDKVSLTVIRDSYSPWGSEETIGDVKTYTTGRQDATIGV IDVYDVFGISNHTQQGADLVASTLDAVVIVPDLLKGTYAKPEWFPLDSDEKRAHFFGF LKGYAAPNKHVDPLLEFMKHVQSRFPTVTKWGSFGLCWGAKVVALTSMADTPFKVSAQ AHPGMLDPADAKKITIPHLVMASKDEPSEAVANFKTVIEKNGSGGSLTTYMTMHHGWM GSKANLVEEETFVGYKQGYTQLIEFFKEYLV TSTA_083310 MAGTAVAFAEAPTTVNGMNKEASVHIYIFGDQTVSFEDTLRSLL NIKDNAALSDFFNKVGLRLRSYIGGLPLHERDFFPQFTTLVDLFARHDQFAGAPALKF TLLCVTQIGQWIRYYGKGPKVYPSPTSTYLAGACTGSFAVAAISTSRSIGELLSPAVE AVLIAFKTASYSLSLRKDLGFTVSGGPNSWSVVVGVQESEAARLVENFNSAKGLPVVS SIYLSCMNRNNTSVCGPPELLLEFLSSNSLKHLSLPIEIPYHAPHIFNASAPEKLVGP FSDVILEDYRQCFKSISIASGEVITSSSFRELLQRAVSETLLEPMRWDVTISSIGEEL VQENFTSCDIYSVSSKSGQSLSSALSQDAKLRVNISNSLEVSSGSSNVSSTGNFSDSD IAIIGYSGRFPESASNEEFWELLIAGRDVHRTIPEDRFDWKAHYDPTGKTKNTSRVKY GCFVKEPGLFDARFFNMSPRECENCDPAQRLAITSAYEAIEMAGLVSNRTPSTQQDRI GVFYGVTSDDWREVNSGQDIDTYFIPGGNRAFIPGRISYFFRFCGPSLSVDTACSSSF AAIQTACAYLWRGECDTALAGGANILTNPDNFAGLDRGHFLSTTGNCNAFDDTANGYC RSDAVGTVILKRMEDAIADNDPIFGVIRGAYTNHCGRTDSITRPFEGDQAAVFNRIMR YAGVNPLDVGYVEMHGTGTQAGDATEMRSVLSVFAPFSTRKFPLHLGTVKANIGHAES ASGVASLIKVLLMMKHNAIPPHCGIKTKINHNYPTDLKERNIHIPFQLTPWSREDMSL GKRLVFLNNFSAAGGNTAVLLEDAPVRNAKDGNDSRSKQPVTVTGKTVKSLKGNIEKL IRYLDQYPDTSVSSLSYTTTARRMHHTYRAIVSGYDVESIRSRLQKILESVSETKPIP TASKLPKTVLVFTGQGAAYQGLGKELFETAPIFRDSIIRFDNIAQQQGLPSFLPLVCS SSPDRPVHAYGPIVTHLALVCVQMALYTLWRAWGVTASATIGHSLGEYPALYAAGVLT AANVIYLVGTRARLLTEKTTPGTHAMLAVKLSAVAIERELQGSGCEIGCFNQPSNNVV TGPLEQLTQLQNRLKSRGVECMLLDIPYAFHSNQVEPILASFEKAASAVNYNQLTIPY ISPLLSKVVSPEDNGEFDCTYLTNACRQPVKFQDAIEAAQASSHVDEKTIWIEVGSHP TCIGMIKSILSGQSPRVFGSLRKDTDDWTAIMPAIEGLYQSGFDIQWSEYHRGFENEQ EVLGLPSYAWDLKNYWIQYRNNFCLTKGDDPVPTTSIASGSPVAQKRVPYVSPSVQRI LEEDNGTDASTLVAESDIHDPRLAPILEGHVVNGAMLCPSSLYADVAITITQYMAKAI GIYKDTTGLDVADMKVINPLILNKDCDAQLYQVVATAQWKQHIVSFKLFSVNNAGRKT GDHATFTVRITPNQNWIAEWQRQAYLIRSRISALQNSVKEGSAHILKRPLAYQLFSTL VSYNTDYQGMQEVMLDSDEHEATTKVEFQVDDRGFKFNPCWVDSLGHIAGFIMNASDA TPTKSQVFINHGWDRMRCAINFEKGVEYQVYNRMQLESGTTYVGDTYIFKGNSLVGIY EGIRFQGVPRQLLDRLLPSRKQTQQRAVSDKPPVQSSNRQPNPSAAQPTNVVSQKPTL AVKQKPVVSTAPRPNEIASRVLTIIGEEAGVDPTELDPNEDFQNHGIDSLLSLTICGR IQEELGVEVPSSLFADYCTPLELSRFFGSDNNHTSSASSASSSDDTDGINTPDNREID SDTSTEGETGNSILEVIREMIAQETGVPVSELSLTSSFADLGVDSLLTLTIVGKLTET LDMDIPSNLLMECENLEEVSKALGLQEPPLSLPAEPVLNTGKITKFSFDPHAGPQSTS ILLSGNAKTANKIFFLFPDGSGSATSYASLPKFGSDVVVYGLNCPYMKTPHAMTGTLE ELTSKYLVEIRRRQPNGPYYLGGWSAGGICAYEAAQQLAREGCKTEKLILIDSPNPVG LENPPQRMYDFFESIGIFGSGSKPPPSWLRPHFDAFIRLLDNYKIRPVANSGFKMEVY MLYARDGICSDPSVPRPEIRPDDPREMIWLLNERTDFSGDGWASIVGRENLQITVMDK VNHFSMMDKGPHMEQFASFLHRALA TSTA_083320 MISGHWSPGLRNLQHVFYGPGCVEKHLLGILKTSSSKVFIVTGT SLATRTPLVQQLEQLLGDHHAGTFSEVRQHGPLEDVDKAVAAILSQGEGVDTILSLGG GSPIDTAKVISLRVSETRGKFLTHLTIPTTLSAAECTSGGGYTRSDGVKVGFRKPEMG VSVIFYDSYYARYTPKDLWLSTAMRAMDHAVECMYHPHSTEVPWKALSQWVVRELFEC LPLARDSHPNDENVNTRLMLAAYASSGLKGDNLPGGMGLSHSLGHALGSPYGIPHGIT SCMTLGKVVKLKAFESPVNAGQIARLSESTGGSRTGDNFSDAIAVGDRILELVQSLNL NIGTLSDRGVSKDEVPIIMQRALGGITKGPLYDQLHFYKLRAVQRSFVFSADMHC TSTA_083330 MEGMTIDQLASDILSECTLMQRYCQTTGIALPSLLAGATPDFWS TDSPQELIAARTRTLGLLERITTLLRGPHDFIHEYVASNWDQGALYVFLRTRLLEHIA VLGGEANIGNLATASGVPEDKLIRILGLLCCKNIVRQSDNGIYALTAISEDMIQDPDF RAWVEFQLFETRIASVHLADALESKPNTYTDGKSAFKQGFGVEMYEWHTSHPEEGDRF RRAMKGVSNSLDPADSLIRTWIKNRPSSNLTKVVEIGGRYGFASTTLVREKEDLSFEL RCDSEEFLRRGQALVDPRSMSRISFTHLTSNFEPPPPSDANTVCAYVIRNLFWNWTDD EAVRLLQQLAQILHGSPWTHIIVTDGVSPLPGEFPPHVEISYRRRDITTMTMHNVKQR SQEEWLKLFSRVDPALKITTHFESSSHVCKGLWELRLETGE TSTA_083340 MDSSNTGLLGHLILKASGRKMDIPMLNTVIILFIIYLCYSVIRR LRIAYFSPLSRIPGPWYAHLTGLVLRYHILNGNRVNYVQSLHEKYGPFVRIAHNEVVT CDAAATKEIHAIGTNWRKWSHIPDDITPNIFSIVDPKQHSIRQRFYRKFFQQATLRKT MEPAVLSTAETAIKGMKKDADAAGNIVNVHEWFMLFSNDIMSLLTFGEGFGLMDKGER KGSVMTPVELHKMNAWLELSLPIFLLGRFVLSHFSERMRAIFRADVALNPSEDKAIAQ LRMGEKDEEGRTVFARAIEDAKEDEMLKFHGKTRLTDDEIAADALGFQLAGAEPVGVS LTYLIWCILRHPDVQRQIEMEVAGVELSDAALEKLPILTAAILESLRLWGGNATAMRR REDITDGGVLLGGLYLIPKGTIVSTQAYSLHRNPDNWKDPFRWDHKRWLDPAQSHSIS TDRFQPFSAGSRMCAAYHLALMEIRIMTAIFFKTFPGARLAPSVTPESMALVDRFNLF PKHDSCEVILSGQN TSTA_083350 MDQKHKEYIKALHVGHVRPDEIPWRRLGQYLYRVLEDGSQTQMR LCMIESLIPPRSEGPVFHFHEMHDEGFIITKGRIRFHTPGAPPIDAKAGDIITVPIRL PHKFSNPFNEEGVFINTITPGFFVRYFEYLEQLLGDGTKLTAEANIAALKRFATVPLD EDTIMKLIEESKANGNGDVDIDI TSTA_083360 MGRLIKNHWARLIILSAAFWQIGASIEGFFWPKIFWDFITHSLD GAVKPAPILQIINLIMGLAAFAWEWPLGLLAGTLPHRSIEVRLLVYPLSALTCALMYQ SGDAAIYYLIGMGAYFWAYSEGEVVCPVPWTLPKRSTVGKV TSTA_083370 MDVNWKSLFAPSKLIFYTIFWGGHIALFATGWILQARNPKLAGL NTLTFSVWISRGAGLVLTVDGALILLPMCRTLLRIVRPKIRWLPLDESQWFHRQVAYA MLIFSIAHTAGHYVNFFNVEKTQIRPETAIQIHYTQAGGITGHIMLLCMLLMYTTAHA KIRQQSFETFWYTHHLFIPFMLGLYTHATGCFVRDTAQPFSPLAGSIFWQHCLGYEGW RWELWGGGIYLIERLYREVRARRETQIVKVIRHPYDAMEIQFRKPSMKYKAGQWLFLQ VPEVSTTQWHPFTITSCPFDPYISVHVRQVGDFTRALGNALGCGPAQAKDLEGLDPMG MYEIAMQNGQQMPKLRIDGPYGAPAEDVFDNEIAVLIGTGIGVTPWASILKNIWHLRA GPNPPQRLRRVEFIWICKDTSSFEWFQALLSSLEQQSTITAGAQGGPEFLRIHTYLTQ RLDQDTATNIYLNSVGTEVDPLTELKSRTNFGRPDFKRLFGAMRNGLIDQTYLSGLES NITTKVGVYFCGPNAAARQIRQAAKESSTREVKFNFWKEHF TSTA_083380 MQEAKSVPKFASFKAKPAPPTEDVKKSRRLDDGEQDERRRHRSK HHRHHHRSHSRDRHHRRKSEGPTRDASPPPQTKEESQDFYKIDHTKEGNVFRVRQNLV TDNTDDAVQSFIPLSHDGSRKRRKMSGGYVSDVSDETEKYGYRSIYGKAKPEVDIPSD MEASLESDTDEEGGTVKWDREANKRNSELLSRAEDDPGDVDAWLEVIKYQDTLLLGSG STRQLTAAEKRNLADIKLSLYEKALKKVGKKHGKDRLLLGYLEEGASLWESKRLAEQW HAVLQHNPGYINLWVRYIDFRQTEFLNFTFERCKNVYLECMALNASTTQNTEQELIHV YLFLRMTLFMREAGYSELAVGLWQAVLEMTLFCPDRYLNSSKADILDGFASFWESEVA RIGEPGAKGWSSNKSSESDTVENDAKTRIDSETLFSSWSIEERRLSRSARLPARTVDI VENDDPFRVVLWSDIEPFLSYFASWKDKGILVHDFLEFCCLPSLHQTPTSASGGTPFL RTELVHLPDASILRMIKADPNEAAQPDSTVYDMAPLQNMIHSVDTLFAGGDWFQSMTL WKQISKNKETTIDVQWVQRSLRLLVGAASHDDELAERALAVEYAMDPNEGEKYAKALL KKRSSSLRLYNAFALMKSRSGNFSIASHVWATTFSMAANLSQAQRLEYGTLIRSWVWE YLVSGNEESAIQVLTSIPSFTINVEVLQQPGQSDMSSAQFLKTEEFLMECSARSRSLQ DLAPFIAWTDLTALLHYLFNSFDLSSTLRVYRMEFNQLKSSSLVSESFKFCALESLHQ SRSRLLYHHITAKRAYKPAAIRELLVESTTLFPHNTMLLSLFSWNESRFRVDERIRSA FASQQRNPTETPITSSLLTILTELTRPIYTGSTIHSVRAAFERALQPSSSNAAATPSP SLWKLYILFELYRAKDINATNKVFYRAMRACPWSKDILMLAFPTSNENDGSVVVDDWW ELHRIYNVLLDKELRVHVEIDDATFEEGERKWVEREHERGLEHVQGGRRRRKKDVVYL PDDPDTE TSTA_083390 MASSLAAQLAQVAAKSTSPLDLKAQRVAHSKSLIFEYRVAKSQD FDTLYQLCNDAFQELCRLDTRFTEFERTIFSLHSKSEDRTEMTAAQNKELDVALESFM ALVGGKLQLTPAVKALEWLVRRFRVHEFNIAFLILTLLPYHSLPIFLNLLDILPEDLT PTFNFLQPYKKNRVNPPMEAMIRTAAKTPSFSSALNNYTLQVSKQRAHYHGLLAFWSG VMTQACSEMLVAGRAGRREVEKKNHEDIFIRLLPVLNDGLALKKISELVIGCYMIIVV FVTKAALTEDVIDTLMTAVAGSWTQETVNSAAVTLAVLAEQKTNPALPKKVVRSIMKL DNPINLLADVASQHRVSQLSLGLIAGFLGSLQMDDSRQHLTFISQLFQRGLLDEPASK KAINMLFQTAMDAQKSGTLSLDVQTQMSELVQTLNASDVYRPLLQGITTERDMDIDSL EHSLQTTIRTQPALPASEDAEMMDIEEEQEVDNFTPLLESLAKEPLASSSFLSIETSR NFEQTLQAFTLAGTSSDKLQQLSNLPLLGKSEMVQKPQYLSFLVRIFSGPYGIRTRIA AMELISAALVADHPSADLQALLPYIIAALADSSHAMRSEAAKLFSNLVQVQRQAKKEG DGVAPWAKDSLYKDIKEIQPVWLSTSDAQKIIERVLLDGLEGFVHDSCHVISTIQKAL KSSGSDSDQASGAELKKGLRQNLLQFLCYHIVATPITQVKLSLLKIVNGVDKIASLTR TKLLTPLITSWQALTESQVAQICDKEHISLPELEQEIATVISPKDPDAERIAFTIVEN SARPSLISAVFTRMEQIWIKLGEERQNASAQRLFDLSFRGSEAVQNNAKETLRNVSLS GSVLVDFLDRISEFVSALESHSPSPKRRRTSKNNLVAAISNSSELDEVMGKVTFILEL VDTSVPEEHPELTPGLFKSLAALHHLKTRIQSSLGYLLSLVLGSLLAIVNKAKKSSKP SFDTSAIRTDLVVDCVRSSESPQVQNTALLLVSGLAVIAPEQVLHSVMPIFTFMGSSV LKKDDDYSVMVIDQTIDQVVPVLVQSLRNQKRDVVAGTSELLLSFTAAFEHIPSHRRQ RLFQALVTKLGAKDFLFAVLAMLSNLYGLDKNVSVLMTHLISGLGPQDQLITYQKYLN LVSDCLKPKPGISQILLGVGNEGTKDKHVIAESLLRSLSHCLKHSSLKAQMAVVFTSD AEETVTKVAGLFSQILEQILVLIDAVQEIKPLSNACSEALGSVLGVLSLVDLLDTVEQ LLQRPNPDLRRKVLRLLEARLRQQPEREGAAQNRVLEFISFLNQIVETSDDILLKHAA IACIDRIAEKYGRKNPGMVLPAATVISSDSCLGQEDNRVRVMALLCLASMAEVLGEGV IPALPEMLKKSLALLESSMEGETVNEQLHNAVYSLLSALLIQVPFMLSGKTLDTILRL SFKSAQLELSDEGEEARHDTMKLIAKKFDVKESYGAIERNWTIATTSGPVATKEALET VSLAIEKHPKLATAKNVRILLKLLYRAFDLRREQLPSESDSQFEETQLQAIEELVSNV AIKMIFKLNDTIFRPLFMEIVEWATNGVSTTDDKGRVLRLTSFYKFLQKFFGTLKSIV TSYSSYIIENVIEVLEFSRPNVKGSKDLWLAAVRTLNAAFEHDQDAFWQSPSHFSGIS KALISQLPRATNSSTATVIIDEVVPALSELAVATDSPDNYKEMNTALMKYLRPSTSTT TEGGDSAYTRLAALKAEQALTGRLGEEWLTLLPEMLPYISELMEDEDEMVERETRKWV KGIEDILGEKLDDMLT TSTA_083400 MRNPRLKVDIFLNGQVKGLVPSYTTFDKIEGEVHIQADRDTEFD HIQISFEGTSKTTIPRQSPVAHLANNLNAFHPFLKLRQPIDPNTYAEPRELKPLETYI FPFTFVVPEHLLLHACNHYTSNPHLKVAHTQLPPTLGDAMLSDDGKTMINDMAPMMSE IAYKLKVSVMKKTPPTSPKEFVALSSVAKKVRIIPATTEQPPLEIGCNNAEGYRIRRE KYVRKALLGGKTGRLVVTAAQPKPLELPAPSNTVNGCKAAVNSHVKLHVRFDPETEDE EPPRLRSTFSKLKVSTFYSAQAWTDFPSLEIAQAPAHTSNGVYCETINLSSLCVGAAQ WKKHDGTDSSRDGSRRSSLISDSSSSLADSLSHHPSASSSYFGKTFYTASILVPVTLP ANRTFVPTFHSCLVSRVYTLDLSLSYQPPHVKLPIAPSISLNIPIQITSAPGKGIALL ADDLMMLPETQIEDEYYRPRNVSPPSEEDYLDRYSPYSSLMSTNESFQDDVEPNTGNG GGHAPPEYSTITQTGSGVRTSSLQSPAWMLGSLR TSTA_083410 MATKQPNILYIMADQMAAPLLSIHDSNSRIKTPNLDRLAKEGVT FDNAYCNSPLCAPSRFVMVTGQLPSKIGAYDNAADLPADVPTYAHYLRREGYHTALAG KMHFCGPDQLHGYEQRLTSDIYPGDYGWSVNWDEPDVRPDYYHNMSSVLDAGPVVRTN QLDYDEEVIYKSTQYLYDHVRHRGDQPFCLTVSMTHPHDPYAMTREFWDLYEDVDIPL PKTAAIPHDQQDPHSQRVLKCIDLWGKEIPEERIKAARRAYYGACTYVDTNIGKLLKA LDNCGLTEDTIIVFTGDHGDMLGERGLWYKMTWFENSARVPFIVHAPNRFAPKRVKEN VSTMDILPTFVGLVGAQLEPSLPMDGVSLLPYLTGGDGLKTDTVFGEYMAEGSQTPVI MIRRGKWKFIYSLIDPPMLFDLEADPLEKTNLVAGVPIPAPKIKETAIKGATGLPTPP NGEAPDAVTFVSTEKSFFPKIPAQVVPTPPRTPSPALPLALPEQDDPAAVFEHFLEEV HSRWNLHAIHEDVVRSQRRRRLVYSALIKGVPTVWDYEPRVDPSTQYVRNQSKGNLDN VEYLSRWPRVPTAPTTTRF TSTA_083420 MATADICLPVILHPSYEDNEPIPLLVNKIFSDHTQLQYAYYDLP FVCPPTGQKHGNSPFGSGHSLSLNLGEILRGDRIMTSDFELVMKQDVGCRALCTREVD RKAVKWGRQLIKDGYVAEWIVDNLPAATSFVTIDRSRKYYSSGFKLGYQDFSPADGLS RIYIHNHFTIVIRWRKAPGKAGDEGRSVILGFEVYPKSIGYENRDEDGCPKDVHAENS HLELFIAPNNKEYEEKYHDSSYIPQLGEDADDGATMKIPYTYSVYFREETSVEWANRW DLFFTDQVDSSMTHWLAIINSLTISGVLGVTVIVIWGRTVQSDAKGRGDYVLEEGKLK KRRSKSPNKEPKKPDEGLLTQDGDGEPLSDDELEDVAGWKLLHADVFRLPAHSGLLAP LVGSGTQLLFVAAGLLILSCLGILNPSFRGGFVTVGFGLFVFAGLFSGYFSARLYKTL GGQNWRSNTVITASLFPGLTFCLIFMLNLFVWAQASSTALPFGTLVGLVALWLLVQVP LVYAGSWFGYVRAEPWQHPTKTSPIPRQIPRQPWYLRGINGVILTGLIPFAVLFIELL FVFKNLWQDKSGYYYVFGFLSVVSTILIVTVSEVTIIATYNQLCAENYHWWWQSFLTG GSSSFWIFAYCIWYYTFKLHITGFVSSLLFFSYSFLACAVYGLLTGTVGFLTAYAFVR RIYRYVCPLLWVLFETWY TSTA_083430 MADDAPAAEPASITFSVKASNDAKYTFTLPDSTSVADLKEKLSS SEYAETPADRQRLIYSGRVLKDNETLSSYKIKDGHTIHLVKSAASNQRQNPPPQSSSS GAGTAPSSIPGVPTNIAAGTGNNPLAGLTGARYAGFAQLPGAGMFGPDGGMGPPPDPD QMLSMLENPQVQATMNEALQNPALIDLMIQQNPMLREMGPGVRQMMQSPEFRRMLTDP AAIRQMAQMQRAFGGMGFGGAGNTAFPAPGVTNATLEENRNQQQQGADQAPGANPPVN PFGAGANPFAGLFGMPPLQPPSTTGQQNITTTESNTTGTQAATDSSAQNQQVQNPFAA LFNPAMFGMPPGQNTAGGASQAPNYNNLFNPQNNPFMRDPALLQNVMQAMGGGNAGAG AENPWASLFGAPPAAAQPDNRPPEERYAEQLRQLNDMGFYDFDRNITALRRSGGSVQG AVDFLLNS TSTA_083440 MATTSNMFMYSLTIQNPTAVTQAILGQFAGTKEQQIVTASGSKL TIHRPDAAQGKVTPIYTQDVFGIIRSLAAFRLAGSNKDYIIIGSDSGRITIIEYVPSQ NRFNRIHLETFGKSGVRRVIPGQYLAVDPKGRACLIASVEKNKLVYVLNRNSQAELTI SSPLEAHKAQTLVFALTALDVGYDNPIFAAIEVDYSECDQDPTGRAYEEVEKQLVYYE LDLGLNHVVRRWSDVIDRTSNILFQVPGGADGPSGVLVCAEDSITYRHSNQDAFRVPI PRRRGPTENPERKRSITAGVMHKMRGAFFFLLQTEDGDLFKLTIDMVEDDNGQLTGEV KRLKIKYFDTVPIATNLLILKSGFLFVASETGNHHFYQFEKLGDDDEETEFPSDDVSA DLADPIIPVYFNVRDAENLNLVESVNSLNPIMDCKITDLLAEDAPQIYTICGTGARST FRTLKHGLDVSEIVESELPSVPSAVWTTKLTRKDEFDAYIVLSFTNGTLVLSIGETVE EVTDTGFLSTAPTLAVQQLGEDSLIQVHPKGIRHILADHRVNEWPAPQHRTIVAAATN ERQVAVALSSGEIVYFEMDTDGSLAEYDEKRQMSGTVTSLSLGEVPEGRVRSSFLAVG CDDSTVRILSLDPDSTLENKSVQALTSAPSALNIMSMADSTSGGSTLYLHIGLYSGVY LRTVLDEVTGELSDTRTRFLGAKPVKLFGVSVKGQSAVLALSSRPWLGYSDIQTKSFM LTPLDYVGLEWGWNFSSEQCLEGMVGIQGQNLRIFSIEKLDNNVLQESIPLAYTPRHF VKHPEQPLFYVIESENNVLAPATQTRLLEDSKLQNGEAVIPPAETFGFPRATGHWASC IEVVDPINSKSVLSRLELEENESAVSVAAVSFASQDNETFLVVGTGKDVVTYPRSFSA GFIHIYRFQEDGRELEFIHKTKIEEPPLALLAFQGRLVAGIGKNLRVYDLGMKQMLRK CQVEASPNLIVGLQTQGSRIIVSDVQESVTYVVYKYQENQLIPFVDDVIARWTTATTM VDYETTAGGDKFGNLWLVRCPKKVSEESDEDGSGAHLIHERSYLQGTPNRLDLMVHFY TQDIPTSLHKTNLVVGGRDILVWTGLQGTIGMMIPFISREDVDFFQNLEMQLASQNPP LAGREHLIYRSYYVPVKGVIDGDLCESYFLLPNDKKLMIAGELDRSVREIERKISDMR TRVAY TSTA_083450 MPKPLTLAVAQSRTLSTTPLTLAALERTTRHASHRGVNILLFPE AYLGGYPRTCSFGTAVGHREPQGRDQFLKYFNSAIDLGDTPLGAGDDWVDRKLPVAKG REYRGDGTRESLEKVARETDVFIAVGLIEKAGGSLYCAVVYVDPKRGVLGKRRKVMPT ATERLIWAQGSPSTLKAVTTEINGVQLTIGAAICWENYMPLLRQSLYSQNVNLYLAPT ADGRDTWLPLMRTVACEGRAVVLSANQCVRKSELPDWITGVSSTSVVRGKKDGETKQK DPQEITWPQSAGEQLHPDKKRDQMYANPSSEEYISHGGSCIIGPLGEICAGPIWDVCT DDNDPTSTNSNAIGDGLVIATIDFEDCERGRLDLDVAGSYSRNDSFKLTVEGLDLNPP PF TSTA_083460 MSQSMEKPNGNDTSTKVTETETKDPTGTGTSASDYFLEFYQCET GPDGSTSEMPKALFIQQMGATTDPRTVTLGEIRAMVKDQKAMKNAQNAPFCTKIGSEV NDTVKLSDYFTMSNSTNQSEGNSIPYPVYLKTIKVTSTVDQNFQDSLKTNFNQDLNMN MTV TSTA_083470 MNNYAAMGGMGESTHPADMSEQQWGIVIRDNALLCGHSIVTGEV NTDGSASTVAVSIERAEYPAFVLKPREFQPYDLGIADTGDGVVQSYRIPRFQVDDDAY VKQIETKTSLQLSMAESSFSATDVEAAVGGGAFGASIGAKAGVKLSNSQGSAKDMTTD KENLTMTYNFPRVRMTFDSDSLDLSNECKKDLENISDINQIDRFVKKYGTFYSRRVQL GGRLYCSNAKESSGQASETERTAAFKAAASASFSSAFATGSASASYEQGGASKASEKA ADLNISIEWQAQGGDTLLSNNPPMWCPTVASFYNWRVVKQDDVQPLINVIGQIPGYED IPEKVRNILEAEQTKQPPVDFTGPGVYSLIDRNSQKAIDLSAGKRDDGTKIQIYEWQS GNLNQYWYLSDAGNGQYHILSGQTGAYLTFGGN TSTA_083480 MPKKGGKKHNKNKNKGAGGAKVPDVKNVISPGHKEEDKLLCGEG SAAEATAAEEVTPATATGSAETAPVVETAPKTEEVVSQDTTETPSAAAVPEEEAKQLP AREQGVVSTDKTKRRGDERTEALAAAAPTDSKKQVEKEVAKETKIAEPVPERPVTSER ADLVADTHGKEEPAAPAATGVSEAIPDVPKDRAPGETAGVVTAGVAGTALGRKTEEDR AQPAGLEKKETPKDAPAKLPAERLPDSVEVATAAPPVAPHIKRAHEVPAFVTQERDQP SKKPRVNEPTEAQPNLAIPGRFPSPSVAGSTASGTDSAVADAQNISKGETVAEKPETV GTVTAGTSIQPTGPKGPTEAAIAAPAAKPGAKTVDETSTAAAVSAEPPAGEISAQKEP VLPATSQKVDTTAKPANQAAAAVETRPTAEQQQKQQVAATKAAEPTPAQKEAKKGGFF TWIKRKFKGEKSNTA TSTA_083490 MVHLASVKKNNQVPNSSVSDLPPVETLDLNAHGDDDFSTSVYGT RYAAESLPALEMPEKEMPREVAYRMIKDDLSLDGNPMLNLASFVTTYMEDEAEKLMTE AFSKNFIDYEEYPQSADIQNRCVNMIARLFNAPTDPNSENAMGTSTIGSSEAIMLATL AMKKRWQNRRKAEGKDWTRPNIVMNSAVQVCWEKAARYFDVEEKYVYCTDDRYVIDPE QAVDLVDENTIGICVILGTTYTGEYEDAKTINDLLVERGLDVPIHIDAASGGFVAPFV NPTLEWDFRLEKVVSINVSGHKYGLVYPGVGWVVWRSPEYLPQELVFNINYLGADQAS FTLNFSKGASQVIGQYYQMIRLGKRGYRSIMLNLTRTADYLSRKLEELGFIIMSQGRG HGLPLVAFRLNPDRDYFYDEFAIAHQLRERGWVVPAYTMAPHSERLKLMRIVVREDFS IPRADNLANDIRLALQTLENMDKSMIDKYQQHVKNHVVNSHKSHHNHPAYKKEKHSLQ GKHGKTHAVC TSTA_083500 MPSFFSKKTRSQQAAGESPSGSTSLPSGRPLLPDDSYQLLLLQQ QQQQQQSLQEDYSDRRFDLTRRPSEPFAAHRFHVGGSHSPHNVPYSELRYVQPDNQQQ QQYRHPAHHNPSQLSLERPTISLVSPPQQQQQFTNQSDYQASPDRARNSIDQQHWSTS VHNNSSPDLLQDTSAATGGQKLQKKKKGFFSRNQSVASGSPPTATKQHSTGGKKERSL GRSASIKHSFGRESFVHPSAGSDYGRIVTTTTTGGQSGHSQSQSTLSQSQQFESETAS LRPPTADTPVSPGRISQPHTPIDSTQRLGVDSTHSGWQHRRSESFESIPSQTSSRRPS IHQSLDQSVNDMATAQQTGTRPTDPQSQNPVRKDSVVYQQNTSGSSFKGDASQQDTQG RETPTSTQSEALDVAALIKRHEELQVKYQKVKRYYFEKDAQVTQLQNTVAHQRMAVSR TVLDDNEYTARFNRLDGAIKDLAFSIRKNWRTIPDWLHPFINEDAVSLGQKEMTGVGR AVLSRWVVEDVFNRYFHPGLERGFSERLKTVEMNLRRQQVQVFNEDDKENQVARISNW RRTTLDGLTDQIQSKSTQENMDQLVEYLVEKLSAMLQCDLQDPAPPELAHYTRMIVEN AINIAEKIPQEARDIVVDYIVPGSLVSEINMKVETGLPPLTRPVAAAGRDDNNIATSG ENDEMETDTKETAASQSDQSKNAAHIPQSREQRKKYPFGSLMSKKSSTPTQPQQSQNQ GTTSSPEKTTNNKERDEQLQQQDKRGRVRFSTFMMVEVKGRGPNNVLIQAPVYTIE TSTA_083510 MAPANSIRRLFSRWDVDLRTLLRMVKAALPATIALAMYQSDIVA KEYTTMAYLMPVAAMFSRCSAPRGKYFHFLIQGLVLVCFGATSSLLMVYCCVKARQNT SQPAELSNVSTEDILSVPYNTAASVVAAIWLFFQTYIVNTLRGEDPQLGIPVQMHCML FFVAATQAPDYVSVSSGFAFVKRLLLAMLTGMALATATHILIFPDTCREAVLQMMGRY ISALQEALRVHESYFQSMERLDMFVRIQTRNPTEEKILYRAKADAIKNAARRVSQIHA SLSNELPYAKREVAYGKPGVGEFGMIFRQLRATMVPIIGLSTVVDVFDRIMEWNNWIK PVEEGGVDPQRDAVHARMVHDWNAIMASTHDHFAQIFDAMHDGLEHVAYQLNLVKRPR KRKDIEASPDVRPGEEGFAKYLEGKCEAFYKARLITLREWCEQKGIELPEDYFYRTTR DQPIELPEDVPGALPRDRTERQLYILLYVEHMLYSSSLSILAFVLFADQRVASGKYSH NRLVVPGSKQFREFIRTLYRNEQNENTGEDAYRRRIILDLGEAYHRRKDPEHLPPENI VQSMGEWLRVIPSFLRSSRSLYGFRVACAALSIGIIAYVKDSHAFFVRQRLVWAMVMQ TISMGPTSGQTVFNFVLRSSGTVIGMIAAMVVWYIPNGHIAGVIVLFFVYTTAIWWIP LKRPVLQQAGVVGMLTTIVIIASEMEVAKLGERAVEAGGQPYYPTYLLATFRLAVVVG GVAVAFLWTIFPYPISDHSVLRRDLGDSLYLLAKYYSLTHETLIARARGDEGDMTLKT SAGRRLEKARHKAYANQSMAINGLRSYADSIKWEIQVGGRFPRGQYARIIDCIQNIVN YINLAEYASRTFSSSTSIPAASQRSGWYTDFTKLITTTHATSHQITSILALLSASIMN AQPLPPYLQVPSPDTFSDRLKEVDKDILNICHAAEPGYAAFSVLHLASRCIVGDLERL IENVRGLVGELDFSFHVISRSSEREEEKID TSTA_083520 MGQIEELPDDYDESQPPPTPAPSSAAKAASEAIPSSLLSDQNVP FPIKPEKVQNADPLAPELPPAMASIRSYTPDQLADMMNKTPLFMTDLDNAGDEEGENV MLEAIRALQYEGTRGEVALSFREQGNEAAKAKNWVDAKEFYTKAIAVLNVKKEDDKWE KPTDLEKEEKILREAREACYANRALCNLELKNYRSTTLDCAQALKVNPRNVKAHYRSS MALLALDKLAEATDTVTRGLAIDPDNKSLHQVSEKICARKSILDKIAARKAAEEETKR KEKQLLSTALQARTIRVRRTDAKPDMEDAKIALTPDPLSPESTLVFPVMFLYPMDAQT DFIKAFGEMDCINDHLEYLLPLPWDMKQEYQLETVNCYMETITGGLIKVGKKLPLLQV LSGGKVEVVDELVKIVVVPKGKEKRFIEEFKARKGKD TSTA_083530 MDPTPMRTKYGIGACAMDLSEELATHPVITFSVNMARVSRFTEE FDLTSFPPLERQTAMAEKAALMDIKSVAETKKTSSISPIKGFRRLATYYKRHFNQLVI CNLRQISNHHDNENIEAGSMFSTYGARMASEAELKRQSERNHLDYIFYLGPDDESSIC NSTAFKANKSTSAVTKAHATAEPRCPDAPTVWAQVYVITGYESEIERIKENTKATLEA FGIETDEE TSTA_083540 MNPNPTGYPTTMQQHANPMLAAAAGYPIQSPSPGNQQFPFYAAA NPMAAYPPQIQQARMQQHSFNPMQIQTSGPGGAMMAGNMGAPHANMAYSSPSPYHPTS IPTTSASPSLSQSPATAATVSMQMPSQNMTAVSNPAMLLAQQQQQQQQQQLQLQLQQQ QQQRQTPTNQTPPGQQPQQSQQTQQPQQPQAAPQSPATTARERARVTALLDINAALLQ ELLNLQAAGKSGAPPPAATTTTPEQSSSPNSASEPASASNEAPKKPSPEYVECLKRLQ SNLIYLASVTDRNKKPVSPAPAIMSPPPHMGTLNEQYSKLNELFAGVPRPAAVHQQRP SQSAIAAEAAV TSTA_083550 MTGESRPKRKHSSVSASDRPSKHLKPESTVLTPGDETPANGTVY DVENGEDITPIVALGATQADSPEWQATIESVVKSVVSIHFCQTCSFDTDLSMCSQATG FVVDAERGYILTNRHVVCAGPFWGYCIFDNHEECDVRPVYRDPVHDFGILKFDPNAIK YMDITELKLNPDGAQVGVEIRVVGNDAGEKLSILSGVISRLDRNAPEYGEGYSDFNTN YIQAAAAASGGSSGSPVVNVAGHVVALQAGGRADGAATDYFLPLGRPLRALQCIQEGR PVTRGTIQTQWMIKPFDECRRLGLTPEWEAYVRKMAPKETGMLVAEIVLPEGPADGKL QEGDVLLKVNGELLTQFVRLDDILDSNVDQSIRLLIQRGGEDLEVDCTVGDLHAITPD RFVSVAGGTFHDLSYQQARLYAIACRGVYVCEAAGSFKIENTLSGWIIDSVDKRSTRN LQEFIEVMKAIPDRSKIVISYRHIRDLHTRGTSIIYLDRHWHPKMSLAIRNDETGLWD FSDIAGPIPAEPPVPRKADFIQLDGISQTAAAEIVRSFVRVSCTMPLKLDGFPQAKKT GYGLVIDAEKGFVVVSRAIVPYDLCDINITVADSIIVTGKVVFMHPLQNYTIVQYDPS LVLAPVRSARLSTENIKQGQDTIFVGFNQNLRIVVAKTTVTDITTVAIPANASAPRYR AINLDAITVDTGLSSQCTNGVLLREDGIVQALWLNYLGERTGNSHKDVEYHLGLATPS LLPIISKIQQGEIPKLRILSMESYVIQMSQARIMGVSEEWINKVAEANPSRHQLFMVR KLDSPPPSFTHEADALKEGDIILTLNGKLITRVSEFDIMYDNEVLDALIVRNGQEMHV KVHTVPTEDLETDRAVVFCGAVLQKPHHAVRQQISKLHSEIYISARSRGSPAYQYGLS PTNFITAVNGVQTHDLDSFVQEVKKIPDNTYFRLRAVTFDNVPWVVTMKKNDHYFAMS EYVKDPSAPAGWKVINYPKRDEASADQGNLTADAMDEGAEDGGSDAEPDC TSTA_083560 MRGGLGLLGFGVFKRVNKMSFWARRTRRKPASEIAPLLDIQEPD VIVDIMAGKSDPKYDTFVSSPLESDDESVNNRRKNPFEDPAIAEHWRLTYEKSQYECR HVFDPTVTWTEDEEKRLIRKLDWRICLWACVMFFGLQVDRGNLIQAVSGTFLKDLKLN TNDYNWGNITFRVSFLCAELPSQLISKKIGPDRWIPIQITLWSVVAISQCAIHTRAAF LATRSLLGLLEGGFIPDIVLWLSYFYTSKELPIRLSFFWATLSITSIITSLLAFAIFH LDGVNGWAGWRWLFLIEGLITLTVGLISFFMMPASAVQTKAWFRPNGWFTDREISIVV NRVLRDDPSKGDMHNRQAITPRRLWNALMDYHLWPIYVIGFLAYIPQSPPSTYLTLTL RSVGFSTFTTNLLTIPSSVFHIGTLLLLTRLSEWLNERSLVAMLQAVWTLPCIIALRF WPGIIKNAWGTYALVTVLLSYPYCHAIAVGWISRNSNNVGTRSVSAALYNMSVQIGDI GAFFIYREDDKPKYHRGNTNLLIINLVVIVVFISTKIYYVYQNRRRDRIWNAMNEEER SWYIKNTALQGSKRLDFRFAH TSTA_083570 MTTPHEENQYLDLIRDIFDHGEQRPDRTGTGTLSIFAPRPFKFK LNDNGRPILPLLTTKRVFLRAVIAELLWFIEGNTSSIALNEVGVKIWDGNGSREFLDN LGLTHREVGDLGPVYGFQWRHFGAGYIDAKADYTGQGVDQIADIIHKLRTNPYDRRMI LTAWNPKDLRLMVLPPCHMFAQFYVSFPGQGLAKNGTTENKPKGHLHCQLYQRSCDMG LGVPFNIASYALLTHMLAHACDLVPGSLTHVMGDAHVYLDHVDALKVQLEREPRPFPE LEITHEKGGSIDGWKAEDFVVKGYDPHKAIAMNMSV TSTA_083580 MKLSATIIALFAAGALAAPSGHGLAARTEARAAGRSRLTRPKQP ATGALNGDLSTEATNATHVDYSSNWSGAVLTSPPAGATFTSVSAQFTVPTPKPVNGKA GSSSAWVGIDGDTYGNAILQTGIDFSVTASGAVSYDAWYEWYPDYAYDFSGISIKGGD KISVSVVSSSSTSGTAVIENLTNGQKVSKKLTAPNSSAKLGGQNAEWIVEDFESGGSL VTLDNFGTVTFTGASAGLSNGKSNGKVLTSVSIPSSSEVVVTYV TSTA_083590 MYSKAGVKLVYLPPYSPDLNPIEELSAELKTFIRRHWQSGALKR RVQGSKVQKDIFGMQEEQEFDASKRLISGPGNKSVLYLLSLRVRACFCVRLVTHKTVI L TSTA_083600 MPPIRNKNSKNSVEQEGRILLAIYDLKNEKIHSIRKAAEIYNVS RSTLQNRLHGMAYRAETRANGHKLTQSEEESLVRWILDLDKRGLPPRHSLVRDMANCL LSQRGNQHVGENWVYNLVKRRPEIESKFSRKYNYERAKCEDPKIIQEYFDRVREVILE YGILPEDIYNFDETGFAMGLCATTKVITGSDRYARPKLLQPGDREWVTAIEAVNSIGW ALPSYIIFKAKKYTRLGWFEDLPDDWKINISDNGWTTDKIGLEWLKTHFIPLIDGRTL GKYRMLILDGHGSHLTAEFDRTCTENNIIPVCMPPHSSHLLQPLDVGCFAVLKRHYGQ LVEQRMRLGFNHIDKLDFLTAFPKARTMAYKAQTVRNSFTVTGLVPFNPDRVYQQLTV RLKTPTPPPSRSSDTQSSCLQTPQNARQFKRQMTTTKKRISRHTRSSSEAIGEVFTRA SKAYEMSINKLTIAQKELHDLRAAHEKEKQKRQKSKKQISHDHGITREEAQALVQGQI EASQAVSTAPAEPELPVSHPPVRRHFRCSGCGIEGHKITGCPNRTKRVFGKSGQLACV ANSLVDYPSNFGGRIQAETKD TSTA_083610 MPPIRNKNPKDLVEQKGRILLVISDLQNGKISTIAQAARIYNIP RTTLQNRLHGTQQRSLVRTNNHKLTQFEERGLPPRHSLVREMANHLLLQRGNQQVGEN WVYNLVKRRPEIESNFSRKYNYERAKCEDPKIIQEHFDRVREVISEYGILPEDIYNFD ETGFAMGLCATAKVITGSDRYARPKLLQPGNREWVTAIEATNSTGWALPSYVIFKAKQ YTRLGWFENLPSDWRINISENGWTTDKIGLEWLKIHFIPLTNGRTMGNYRMLILDGHG SHLTAEFDRTCTENKIIPVRMPPHSSHLLQPLDVGCFAVLKRHYGQLVEQRMRLGFNH IDKIDFLTAFPKARTMAYKAQTIRNSFAATGLVPFNPDRALQQLNIQLKTPTPPPSQS SNTQSSCLQTPQNPRQFKR TSTA_083620 MRISKAYELTVNDLLLVRKENHDLRAAHEKEKEKRQISKKQIST KQVITREEAQALVQSQAEASQAVTTTPGEPELPASQPVKSRFGINIVYGFGKRWRLAC DGGSLVDYVNWV TSTA_083630 MSFLSRDPVTGKLEIDTLVQRGQWKEAESILLQLLADADASTDQ VSPTTRPTPVDDSLEVQKIKLMLAHIFRHIGRPQDAETIDREVLETRQRSLGPDAQDT LMVLYHLATDIRLQPNRLLEGLALEERVLEAVARIYWSDAFVNHSSNRSHRRGAADIS GPIIDGSPGMDILIRMCHVADMLFMQNQAEQAAKLHETVLRLCTTALGPGHPYTIAVM DSTGRDYVSQGRLVEAVRLLQDAAEAGKVHLGSRDSTTRRCIVHLAEALGRMTAEGDG KVPDAKTIAILEQAIEILEETLGNDETDTISLKYYLAVAYARLDGRFRESETLQNQVL HWCCRQMGNRTVTADLMAGWTRRERLRMNSDGSGDQYRDEKIPAGFVLSSLKPVILLD PLRKQSTHALTGALLAEHVH TSTA_083640 MAASGTKTIGSAAWIAAEKENVMRLLEQEMEEVEFPVRHEMDWL NEHMAEIFSSNQFNMTELFKTPGKLRGKTPYTARKRNPDEARVPLSNIFSTANQNLKL PSKFVKSPSKPEAPRVEAALAAELVPPGEPVHDKPQEKKPEDPSETAEDPFGTASEKS ISYPEPISYPELTTTDVKGKQPVRDLPPNKALNSFSTYNTDSGYHGLGDDDDELVLPS TQPLSDTHLQAAPSMPPLDADMNTRKSLSVDRRTTEGSFVSAQENIVSRGEPMDTEED RQDKADEDTPRPLVKSVRMASASPEKPNEHQAVAPVKDDILDKDGDMLIEDNFDDIGS PSDGSTPAQPPPRKKSKSSLSFASLPPRDPVVGKKSMGARLSRTSHVETIKPAALGRQ SYFTGHMEGPKPALNNASTGKDDLYQDNAKGKQLQQSETEQAYDTSMLHTKLNTQRLH DKISMLGKTQAPRATKSIAPLPNTAAIPQASYPELPGIKNNSVDTERNPTSAATAQED WIKPLGSPQKPAMPKSQTADVMELVSGNETNGKLEKGKITRTETFHDLSDNRSPTSKR LIFSNFDQHRPASASTPPSSRRMESVPDTGAESTTPLTSPRRIDGTPKSRLHSIIQSA KSLFSSSASLSAAAKLETLSSPSASRPHVNSQQVKTSPERPSPSPERLPARVHTFNDS KSKLTTKPIQLEDPFERGDQTRPAVKVAEPGAKLERAQKTESKERDIPVPSTRANAKA AQPPQPHSRKDPGGEADSEPKFPLPPTTNHGQSQSARARPVKPTREVAQKPKPQPMSI RVGSTITRMPIHSAASYNQESSTLPAPVPATKKPSNSSLHAASSNASFKSSVSTQSQR RAQAVAAAEAKKQEDRDTMLRKEEQKKGAALLKQQQEEARRQERERSVAEENKKAAQR RPENTRLRQGSQPPKPANDLGSTLQQEKATHRTDMGPARPPSRLGSSMQTLGRSINQP PTNPAKPAKRPLDEEPQHRSQVSVSQAKSQPVEPKRRKTEDDHNQQQYNQAPSLKQNP IRKENGKLSLLAHAYPQAPPPAAHHHTGAMYKTGPVPLTGQPPKHAASMDMAQYTSGK IPFASNQAAQLGTAHKTPSHKTPKPGPSAQRVPAKPSPQYPPSESIHLPEPPTDSEEE DSDADIMPVANWTQGDTLLQLLSQQESWQADRIFGPIPEFELEDVFKNDRKIKKFRER TSSANWGGPDGLTQEEVARDLAARRAMRANGGWSLNLP TSTA_083650 MASSGDVKYPAVNGPASVADSVAAEASKTGAELRGLKDAKVTPS TTAKNGQQLTHYHSLVYSLLSWERPRATAISFASVVFFILAARFLPLLRWVFKFLYIS LGVTAAAEGAGRLVLNQGLTSSFRPRKYYTLPKETVEGILEDLEQLADFFLIEFQRIL FAENVLHTSAAFVAAFLSYWLIKIVPVWGLALLGTSIAYLGPLFYISNREIIDEQVAQ IQQLINAQANHAKELANQQTARATGLMKQYANDYSSKAHEYIGNRRSASPEVAKAPVS APAPVVKTEPVSPTKFETTDFPEAPKTEPLVDAPEPEPAASTENKQEPLLAL TSTA_083660 MASIESLLNPLPFPDGRTGSKHHYEDSYFHPILSSFSDHQRSSS NNFCQQNQPNKKPRVAKDAPVFIPGDVRGEVRYPPCEDQSEGLAEEHAKFNIFPKVEE IADYPRHIPYSSEKKSLMEKTGRESFEVFQYTFKLPGDEKIWTIMWDYNIGLVRTTHL FKCLDYPKTTPAKMLNANEGLRDICHSITGGALAAQGYWMPFETAKAVAATFCYSIRY ALTPVFGADFPSQCLQPPDRLFGKMTIDPEVIKRATELSRKYCQLEFESKRLMHAQNR LMSPEDMSRGPAAVWTAHSTEGYGIFGATGRVDESSNNAFTPINLSRTAVPSDCRDSR IHCHSAQSKLPTPDTLPSIKEALGVESFEYLCSNPLKRRLLNETYEHDVKRAQKPLIN IARLPVSLRKLCVENGVDSGDDHGNVTDELNLDVDDASSIHSDSDFDLGSDAVSESDI DSSASYLSSSEDDRKTSQSRRRAEQRIRQRVRDLRSRNGATRPSRLTRGTLGTRQSSR TSPRYNSSLRNKQEQRRQISPFSPPPTAPLSSSSSPTSIKHPKTKRKHDNTRGDDLTA AETLLALRSASSTLSEDDDESSDGKNNLSNPTNPAVEEQDGRERKVSLKLARRRRKRS VHRHHHHYRQQNHLKRQQKYGAKRKLERFSLAVNSKSELLGRMAKRLRRASF TSTA_083670 MDSFLDDSFNEDEVSDFVPDEAPKPKAKAKAAAKPKKLTQTTLK TKPAAKATKKKAKADFEDEASDRDDIDDFIDSVMSTTPPNKPKPKKAPTKKAGSKPLA NVANESFGGDGADDLDASEAPTQTGAADKYQKLTQLEHIIKRPDTYIGSIEKTTQQMW VYDSATESMEFREVTYVPGLYKIFDEIVVNAADNKQNDENMDEIRITVDRESGVISVW NNGRGIPIEVHSKEQIFVPELIFGHLLTSSNYDDGQMKVTGGRNGFGAKLCNVFSTEF TIETCDSRQGKKYQQTWTENMSKMGKAKITASKVDDYTKVTFKPDYKKFGMEGMDDDF EALVKRRVYDLAGTAKVAVKLNGSRIPIRNFKKYMEMYTKAIKKERGEEATNDKSEIV TASPDPRWEVGFTVSDGSFQQVSFVNSIATTSGGTHVNYIADQICNRLAEAVKKKNKQ GATLKASQIRNHIFIFVNALIVNPAFTSQTKEQLTTKPSQFGSKCILDEDFYKKVLKT EVMTNILHFAEKKADQILKKTDGGRRSRMNNPKLTDANKAGTKDGHHCTLILTEGDSA KGLAMAGRAVVGPDLFGVFPLRGKLLNVRDASIDQISKNAEIQNIKSFIGLQHKKEYT DTRGLRYGHLMIMTDQDHDGSHIKGLLINFLQVQFPSLLKIPEFLIEFITPIVKVWKG DPKNPTKSKSFFTMPEYEQWKEEHKYDRTWQHKYYKGLGTSTTEDAQIYFRDLDRHLK EFHTMQDHEAQLIDLAFSKKKADERKEWLRQYKPGTFLDHSTKQITYTDFINKELILF SMADNQRSIPSVVDGLKPGQRKVLYTCFKRNVKKDMKVVELAGHVSGMTAYQHGDTSL QQTIVGLAQTFVGSNNLNCLEPSGNFGSRLQGGADCASARYIYTRLSPFARRVFHQAD EPLLTYNEDDGEKIEPELYVPVVPLILINGADGIGTGWSSSIPNYNPEEVVANLKRMM DGEEPEPMQPWFRGFKGEVTQQGPDRYKFSGLIKEVSDKEVEITELPIRTWTQDFKDK LEDIIKAEKTPSFIKDYKDYNTHTKVHFVIQMDEKHLKAAVSEGLEEKFKLSRTIATS NLVAFDPEGRITKYATVSDILKEFYAVRLKYYERRKQHQLSELQKELDKFTNQARFVQ MIIDGKLVISKKKKPVLIAELKEKGFKPFPKVSEAVKAGETEQVVEEDESSEEANVEV ASNAYDYLLGMAIWSLTQERVEKLLRQIGEKETEIDELIKLSKEDIWRRDLDDFINEW RFQLEEEQRRERKVAGMGRRQSSKLMTAASKGPGRKRKNDDDDDDYAAPKSKKVATSK KAEAKGGLMSYLKTSPKPKKTAQQGGADDDMDLDDDFEAEVLPKKSRAAAKPKAAPKE EEEDDDDSDIEIIPKKSRAASKPPAPPKEGENDDDDDDLIEVTAAKTASKPTSKPAAK SAAAKETKGRPTAKKPVKYTVSSDSESEFGDDLLDDVSRMVKGIGDSNGKAMISEFSK PGTSAALKKSGARASKISSEFDPDETDYSKLVPQQSPRRSLLVKQKEKVIDDDDADES PKPAAKAKPAAKPKPAATTTGVKSRGGPKKDPAAVPATKKAQPAAKGRGKKKVVEDLS DDDIDAMANDILDSDGGADLDDEPVKARPSRRAATTKTKKYVIDDDSEMDDPADEDSA DAFDDDDDSE TSTA_083680 MSIPSSVIVHPEDVSSPSLAEELISPVTTDSMVTVPLSDRQSFF EGTSIEFNSPTTPVDERADEASIMENAREVDLTPKSELAGKADETSSAPREMKRQSTT SIEAAPNTQEGYESSSSTESAGEVDWEKLDKSEEQQPRTQGTDESTALLLARLEQENN ALATNPKSGLAKKAQRQSRPPSIQQLRRLVNDPRASLRYSQLQPPPMTELEFWAALVA DYPSTAQRLPTLTSHKIRAGVPPPLRGVVWPSIAGARDTLLIEEFGRLCGETSPYEGL IGKDIGRSFPNVEMFRDPNGEGQQMLGRVLKCFSLYDTKIGYCQGLGFVVGPLLMHMT DAESFCVLIRLMEHYDLRSCFLPDLSGLHLRIYQFQNLLSRHLPALFAHLQSLNIEPI YVSQWFLSFFAVTCPLPMLLRIYDVLLLEGASETLMRVALSLMQRNEKKIMGFTEFED VLQFLLSRSLWDTYAFNADDLVNDFVSLTPLVSRESLRALEASYSQSQGVPTGISFPQ MQATASRFLGRLWAGSHKSLNLPSGRPESTIRRSPSKQSMASTLNSMETSVSDASTAP TEVSIGGDQKVRAKSAISHRDRDLHSQIEDLLTALSDMQREQADLVRDLQREREEREE DREISRALLNHLKQSETTEDAEELVSKAEERFSTASKRDSILQTKHQLRDEVGRWKEK HEMEVARCIDLSRQLDEHEHENSRLKEELREARSRIQDGYREKQRLERVVQDLRSRKS TSGDHSSQDSSGTTSENESWPTPSSGLREFKLGRTNSKTETTRFPKRSSSLGVQSLVG STIEPDNRASTTSGSEDSLLLELVTAKTAEAVAKQELEEVKAKLDSLRRLMNGHSRVK TSTGELNFSATATKLMPETVKAAPTGGGFFGWGRRSASTAGVTEK TSTA_083690 MTFREKVKKAFRRNSDKDKNNGKPKIEYYRRGECPRSKYRGPVD PEHRRKLYDWNFEAATADRPRSFELDLSPCTSLPDRPHESESDESTLDDVSESEPEVV RAQQIQIIGSDSITLTHHSVALSGSFDTNSDQSTAVGSSCYSSSLTLKESWDWPVTKR DALGSLKDTFTWMDPIPRRISAPEKRLPFDPSELTIALNAVSIVS TSTA_083700 MSSVTDVIAGRTERIRSLTSRVHQLENTLHDLGHSDLDEELPEV SNLSVRGSVRQAGKDDGSEAVDKGEGTTQQSLQSSWRLMTDLESQASHSARPRSTPSS TTPSGTSQVGISAVQREALNKVLSALDEFGKSPSLQDWSTASRKPWDDEELGDYDLTA SEIINIFATPDTPLSGLNWSNTKFASYPSTELLGKTLLEGKIQDDQKTLLYKIILNFQ AGMILAHREKHLRHKYTVKGHIEHIRAQKFRDVLSKLDQITFRTPPSLHLLRAQCIGV VILQYFGNLPEAWSMMAAASRTFISLGYDRIIYTKKIATTSDFTQEDVDGCVVWCYQL DRSLSLLLRRQPLLPRMSTSPQLRVVGSGLPEGVGYPRRAFIPMNIHFAHIQGEIAFL MDTSKNAVQWKDLESLRVKMKAFWEKFCEWQAEAPNSVREQLRRDIRYLEFKYHATET LLLQLPSELATSSQLRKQAVEFARKTFQRFEYMVRDWDKIEMMDLGWDLMLYCLSPLC VLTYDIINTRDDEDLMLVSHYGKFICDRIYATSTNNPLAKRISDLFMVVLGICDTLNA SASTGATETTAAQAYPTNHTPRRKNFMNFETFFGTNGAATDSSSNAHGTNLSENGGGG STMDATGARAGNVENPKESEEQFLKLLTYKVKLGWSGESLVYARSLW TSTA_083710 MEPNTKTILILGGSYAGISTAHYILKHVIPTLPNKDDYRVAFVS SSSHFFCRPTSPRALVSETAFPKEKSLFVPLTEAFDQYTSPSITLYHATVIKLDHLAR IVTIIPANEGTADINLKYHALIIATGVTAESPLMGLRGDHRNTIEAWTLFRKKLSSAK SIVITGGGPTGVETAGELGQYLNGTAGGKPNVSITLVTRSRRLLPYFPLSVSQKAEAQ LSKLGVSVIKDKSVEKVISINFDAVDTAGKSLERLTDTVVVHLSDDETLQADLYIPAT GVSPNTGFLHRQLLDNEGYVNVNSSSLRVESAGPRVYALGHVCSSTPRAIHAIMKQAP VVGENLKQGLLSAEDKVKDGEAAYRVYEPESTITQLVVIGKKGVGMALGWRVPSFFVW LIKGRDYWLGMTPPMWNGRQFTKSLQLAIR TSTA_083720 MPINQPSNQIKFTNVSIVRLKKGKKRFELACYKNKLLEYRSGVE KDLDNVLQIHTVFLSVNKAQTAPSAELAKAFGAGVSQDEIIQEILRKGEVQVGERERK DILERVQKEVVDIVSGRLVDPTTKRVYTPTMIEKALDQLSAASGHQQQQQNGEETPEH GATTGEQRKPLWKGVSATKSAKSQALEAMKALIAWQPIPVMRARMRLRVTCPVSLLKQ SVKGASSGAPKEESKPSKGNNKKGQKGKGKKHQDSEDEDETEDQEASPKAPSNVKEKI LSFIESVESQEIVGEEWETVGFAEPGAFKGLSEFVTSETRGRGRVEVLDMAVTHED TSTA_083730 MRVLSTTLLIGAAAAAVSPPQQVLQAPEEAVENTHKSSPSLAES LSQPLRELKEELKLLTNEVEEVWEEVSNIFPGALDNIFFSSAKKHTRRPDSHWDHIIR GSDVQNIWVENENGEKEREVGGRLEAFDLRVKAVDPSSLGIDPDVKQYSGYLDDNEND KHLFYWFFESRNDPKTDPVVLWLNGGPGCSSLTGLFFELGPSSIGKNIKPIYNPYSWN SNTSVIFLDQPVNVGFSYSGNSVSETSAAAKDVYALLTLFFKQFPEYSSQDFHIAGES YAGHYIPSFASEILSHKKRNINLKSVLIGNGLTDGLTQYEYYRPMACGDGGYPAVLDE TTCRSMDNALGRCQSMIQSCYDSESAWTCVPASIYCNNALLGPYQRTGQNVYDVRKPC EDSSLCYADLEYVSTYLNQAEVMKALGAEVDSFDSCNFDINRNFLFKGDWMKPFHKLV PGLLEEIPVLIYAGDADFICNWLGNKAWTDALEWAGHEEYAATELEDLEIVDNKHKGK KIGQVKSSGNLTFMRLFGGGHMVPYDQPEASLEFFNRWIGGEWTK TSTA_083740 MVLIFSRFTALVSGEKSNFQYIIRLLNTNVDGKQKIMYALTKIK GVGRRYSNLVCKKADVDLSKRAGDITSEELERIVTIIQNPTQYKIPSWFLNRQRDIVD GKDSQVISNGVDSKLREDLERLKKIRSHRGLRHYWGLRVRGQHTKTTGRRGRTVGVSK KKG TSTA_083750 MKDLKELKHWIDKTHLDFQVELEMNAQLSSALDGLENKLNSLLT SLTTPAAVGAPAAATALLESDDVISSALDTLRMHQANYAKVLHLRSEAYNLEERIQTI VRDISGAGKEIAQATGDDDDDDYEIDTENDDDDDESETDRESENTESITQQQKKSKKG RRKEVDYKLLLEFARRISKYNAQAAADATTGVMGTNGSTTKKLQRKQSEDAKMIDANG LDATIDRQQQNGEQEVTVGTVTKEATSWLEESADADRQSFMIPYPNEDRIRMGLMGQL QLAAVDGNIDPEKEAERLVQEAEGISGGVASGLGDAQARPEALAEEAGKAAMNAGARD ATRPSARGAPPPAQPRPALNLDLYDPEDDD TSTA_083760 MEVLEEELHTKIYPGTEIMADVGSHHFVKSSAHSKNVLVPQPSN DKHDPLNWNRRWKFAVISLSTALSFMQGLGPLALAPMFGELMKSFHSDLASVVRFTGI CILVLGFSNFIWVPVSQTIGRRPVAILSSLICLASMIWRAKATTYSSFMGACVLNGIG AGPSESLQPQVIADTQFLHDRGAYNTLYFTFYFGSLMVGPIISGPMAYHVGWENFWWL NVALFAVLIVFLVFFFPETKWHREQPLDMETISPAEQQQAPVNPKDEVAPQPTEIESS KNEIEPVDEWLHRGSPSKSQFRFWKLSPEPLKTMAITIWIPWKLHFYPIVEFAAFVVS WSASAFLTVNLTQTQAFAAPPYNWSSQSIGFTNFATFIGALIGLATNGPLSDWISMRA TRKNNGVREPEMRLPAMIPYVLISILGNFIVAFGYQHSWDWRVIVIIGYTCAGIQVAA LPAIASTYAVDSYKPIAGSLFVNITINKNLWGYGFSEFITDWIEKSGYIKPIMMNMCL LVLWCSFGIVFYFYGKQCRKWTAKSSVHHMSDI TSTA_083770 MPHPLHDGVPDGTTSAHVADGDVEKQESEDGLDGASRRVASEKR TSDGGVILTEQDVYDKLGFTFPFWKKWGILSVIFLVQVSMNWNASFYAGAVGGLSTHF HISKQAARVGQMIFLVCYGFGSELWAPWSEEMGRWPIMQLSLFFVNIWQIPCALAPNF GTIVVCRALGGLSSAGGSVTLGMVADMWEPDNQQYAVAFIVLSSVAGSAFAPIAGGPV TEWLSWHWNFWIQLIIGGTVQAIHFWVPETRCSILVTREAQKRRKKGENVYSYSEVSN TKFAWRPIITVWLRPFLMFAREPIVLWLSLLSGFSDSLIFTFLQAYTPVYQQWGFGDV RLGLCFVTIIVGYFLAYLSFLPWIYKHTKIRKRDPDALQPESRLYWLLWTAPLETIGL FGFAWTSLGPPHVHWIAPMIFSCCIAIANYAIYMATIDYMVAAYGPYSASATGGNALA RDFLAGIAAMYSNPMYEHMGHKYHLEWPTTLLAILCIFITGPIYIFYWYGPTIRERSK FAQVLASDRRAKGERAVRCGSQTQGAEAVYLGPENAHTGSLRGTRGSASSCPA TSTA_083780 MGITSRLRQLIFPKPVLNNGSQLRDHLANERTFLSWTRMGLAFA AMALALGRLGMIDQVFNTAQIQLGSDTNNNISKETTTKTNPNTVSNQAQDPTTTRVNI KSLSAGKSDILASQLCWTISIGSFGYGILRYVSVRRTLMQGRFVPAIWGPVLMTTCSL GSLATLLHSGSGFQRHESES TSTA_083790 MCEFRWNPFRSSLVSPSELHSALSNGSSSPRRIVPVAAGRESAL KAYEAKHIPGSVFFNVDQIRDTESPYPFMLPSPTHFSVCMTELGLRADDILVIYDTIE TEFYFSPRVAWICRHFGHGDVHVLNNFPQYVDQGYEVLEGHQPEGLVAGERYPVQNPP VSKDVIEFDELHQLLSADKTQDQTCNSYQILDSRPESQFSGMDSGAITGHMPTALNIP LSSLLGSDKRLLPAPQLKELFQEKGVHETMPVILTCNSGTTATSLGLALGACGYHMEK RLYDGSWFEWREKATKEEGLIVVD TSTA_083800 MSTLKRPTTLHPGERVLVTGANSYLASNIIDLLLSLGYHVRGTI RAEKPWLDEYFTSKYGTGKFESVIVPDVTHKESLVKVLGDISGVLHVASDLSFSADAD KVINGVVKATQSVLEAAAEQKSVKRFVLTSSSTAATLPKVNVEGNIVDEDSLNDEVVK LAWDEKPDPHKPFYIYGASKTEGERFAFKWVKEHNPHFTLNTVLPNFSMGPFLSPDFN GSSGKLVVDLLRGNYRAMLPQYYVNVQDVARLHAIALLDPELKSDRLFAFAGTFNWTE IIDILRKLRPNGKLPTPPENEGRDLSVIKPAKRAEELIKTWFGRPGWVSLEQTLKEAV DSAGL TSTA_083810 MAKSGNLQDSWLQDLTTQRCHFAASETGWTNKDWGKNPSKDFKK LSNTVMELTTEVVLLRLRLASAEKALLHEKRRQIRKKPLLLDLPNKQEGGAIFFSPSK IQQARELFQQKEDHATQEKARKDDKKLQQQLAKEAKEQEKQERAQIRQKKRDEREKQA AEKERQKLEQKLAKQADLQLQKDALAKAKQPTSRTNPNSKKLKHKQRSEIEDEVIDEV ITTNRRGREIRLPTRFR TSTA_083820 MLNDIDLEKLSKDLTTITQTSKAYQNDLQNVLESFKDLLERYNS LKSDYEEEKEGRERYKRQAKARDRNPFVLVLIDGDGYMFKEHLIKAGTEGGVKAARLL SDSIKALLPPDLPDNCRIMVRIYANILGLSHTLARAQLVGQEARSYSGFTSSFTRAQD LFDFVDAGTQKEGADYKIREMFRLFADNYQCKHIFFGGCHDVGYLSMLTPYRGETNRI TLLRAANMSPEYESLDLFIKELPSVFMSTVIGGSSAPPPTAPDKPVCKHFLKGICKFG AACTKSHVADGPKNKFNDDRSRPLSKESSGYFSRKQSYSKLLPKASGNTTSRIPVDKD GGRIDVYTPLPPDDLIHEQESLSPRPCNKHHLLGECNDVDCKFDHGYVEPELVDVMRW QAKRRQCLRGRGCRVLKCPYGHHCQIDGCAGEKPCKMGHRFHNFSPHVARWVEADDLR DSEEVQPSIEGDRDDQADARSSRSLSVATTSHTGTLSKVLIGEESPSISPVVI TSTA_083830 MPPIRKKGPLKSTQDEGKIELAISDLKNGRIRSIREAARIYMVA RTTLQDRMKGVPYRQITRANNHKLSQSEEDSLVKWVLDLTKRGLPPRHFLVRDMANYL LSQHGDQRVGNKWVYNLVQRRPEIESKFSRKYNYERAKCEDPKIIQGHFDRVRDIISE YGILPEDIYNFDETGFAMGLCATAKVITGSDRYAQPKLLQPGNREWVTAIEATNSTGW ALPSYVIFKAKKNVRLGWFDELPDDWRINISDNGWTTDQIGLEWLKTHFIPLTSGRTL GTYSMLILDGHGSHLTAEFDRTCTDHKIIPVCMPPHSSHLLQPLDVGCFAVLKRYYGQ LVEQRMRLGFNHIDKIDFLTAFPQARTVAYKAQTIRNSFAATGLVPFNPDRVLQHLNI QLKTPTPPPSRSSNTASSCLQTPQNIRQFVRQSTTINKRINKRTGSLNQNEEINQAVI RLSKAYEILANDALLVRKENRDLRAAHEKEKQKRKRSNKQISIEQGITREEAQALVQG QVEASHAVTTTLAEPELPASQAVRVIFRRGGRFAYMAGSLTDYVILSSKGC TSTA_083840 MSSASERQEDVEAKASLRVEETPIYPADALSTPRQILFVGTVCT AMFTNQAGLGNTIGTVCIIGESFAINNTGQLSWLIAGYSLTIGTFILIGGRLGDEFGS KRMFVLGMAWFSIWSLVAGLSVYSSDVLFIFARVFQGMGPALTLPNGLAILGQSYSPG PRKNMSFAWFGGSAPFGAIGGFLFGGLFALAWWPWIYFSQAIALAGVTAFAVWVIPPQ PARRTRQTRSLSQLSEDLDVPGGLAGFTALVLFNFAWNQAVVVGWQEPYVYVCLILGV LFGALFFYIEVYHAKTPILPLAAFNSDIAFVFGCTAAGWACFGVWVFYMTQVTMNLDG ATAVQMAAWYIPVIPSGLLSALAVGKLIRKVPASCIMVVGQIAYLVGSILAATRVLHS TYWTYFFFSVLIICVGMDTSFPAATIIFSDAVPQEYQGMGASIVMTIVNYSISLGLGI AGTLETNINHGGMTDEDKLLGYCGALWFGVGLAGLGLAQSLLFVTKGYWKKAPAKA TSTA_083850 MAALRLLLSLFEAGVFPGCSFVLTSWYEPGELYRRMAIFYSGAS AALDGTWGYRGWRFIYVIEGVFTFLCALTGFYFIYDTPAKVKWLSDEEQEFLLLRAKF SAGGESGVTEETRFSWKPASAAMKSSHIYATALIEFTCCVGVYGYSFVLPTIINNLGY TAANAQAMSVPPYVFACICLNVMALVGLVIIMVSVRYTNLVGVTLFGVFITTAGLYPI SPAAAAWVSLNCAGSMKRAIVGSNIYIGDQAPRYPVGFGVSIGMLAMFGCIWPITYYF ILKRTNAKRDAISLEEIRAKYTEEQLADMSDLSPTFRYST TSTA_083860 MQAEAFAYLWSIAHNNPESSVIEMVDIQDETPLEKIWYRGLMPD VNKSLVLNPHVFLLWLRKNIEDSGVKFVRREINSLSELRDEGHDVLVNATGFGSKFLH DVADNDVQLIRGQTHLVKTDHNKIFMRHGQDYTYIIPRLDGTAILGGIKQVGETYTHV DEEIKKDVRSASQILARMQMTTKSSVITLIRPGRPSGVRVEFEQLGDQKVVHAYGTGG GGYVFQLRRGKGCCELG TSTA_083870 MPGVPSNKACDRCKKRHVKCDEGRPECQRCVTAGVKCPGYSQDR KFIDQGATVRRRYAPYQREDSRSQSSQRSVRAGTDEESRSVQPDRSQQEVDQREVPGQ NPVLRSSRSSTTDDQVNPSASGNPDGVSGNTMALDQEPTIATTAPYTNIALPANFLDS TQQPLSEIPNTETLAYTTNFNLLNPLQSPMFFSNGSATSGNLNSATTSNYAYTMPDMR NVNAFGDLYSELMINSDREIAFLKRHYVEFISPWLDLHDSEKFFMFNIPKRSLQVPFI QYAILAIAAKHLARVNGVRPGLTSTLNLATTESYPNAGQVDWLFKATNYYYQALFHLK QLLFGHADFQQLDTTISPIQILCQDLEIDLMSEGSRRVILPPSFISYIDDILPGVVIL TVFDILDKPGTEWEKRLLGLRHLMQAMLTLPISPNRNFTMSRALQVSFWNLAYLDSFA SYANRRRTRMDAYNFDLFRAAGLSIDDCGKLYPKTPSPPTSIQRDDFFLRGITFIVLK LMNFIAEFKEIQQSATATSSPVPDFLSNISVPQTSGLGVTWNQLVQEVNNWHDSLPDT FEPYIRLENPHDLVSPTSSSISLPFPEIVFSNATHAATVALFDFARIILLLNRPHESQ SVARDRLVEYREITKEVDTRVREIAGIAMGRTSTAVQIHLVQPLYVVGLCDDRTEARQ KVVELMRVIRAESGWETDYKIAQLHELWKKT TSTA_083880 MNNTNNHIPAREAMFNEHMKSFIEKEWIRAGRPHNIICSQCLKP GNLLWCSTCSRSYHFVCLVSPPSVDVAASWLCPSCQERQAALNFEYKSLPSGNGDPTS GSNISGSSFSGPAYAMAKKFLANHGLANNQAITSDFLDDLQQLIVKAELASQKESELL KLRHENSQLMEELISNRARSGSQLSPQSQLSPAYNSQHGTSATPSYPPSRNEISKLDV TDKSWDRIISEAF TSTA_083890 MKFSTLTLATILQGCIDARIHGRRGSSTINSEFNVLDYVDPLIG TANGGHVFAGASLPFGMAKAVADSSVDNQGGFASDSPSISGFSHMHDSGTGGGASLGN FPIFPNPGCPDDDLNRCVYRYQNRSVGFSNATLIAQAGYFSIDLDSGIKGEITVTNHT ALYRFTFPPYTSVAPMIFADLIDLPQSRSNGSASVNDTTGRIFGSGTFNPSFGIGSYD LHFCADFSGAEIFDTGVFVNDRAGNEPKTVLTTSDGVNNDQSYSAGAFTRFKTGTTSV IARVGMSFISVDQACSNGKKEIPNFDFDGTKQSAQDIWKEKLSVISIDATGVSTDLQT VFWSGAYRALLSPQDYTGENPLWESTEPYYDSYYCIWDSYRAIHQLITLVDPLSQSRM IRSLIDTYRHEGYLPDCRMSLCKGFTQGGSNADVLIAEAYLKNVTDIDWDTAYEAVIK DAEVEPPNWAIEGRGGLKSWKNLGYIPTDDFDPYGQGPLTRSISRTVEYAYDDYCIAL LAKALGKSDDYEKYIKRGTNWYNMFDANTTSLGYTGFLQIRYSNGTRGYQDPQFCTPL MNFTSCYLNPDGHETYEGSTWLYTFYVPQDMASLITALGGRDAFIERLTYFHNSGLLY VGDEQAFLTVNQFHYGGRPGLSTKQTHAYIPSQFNTSINGIPGNDDSGSMGSFSTLSM MGLWPVHGQDVYLINAPFFREVNITHGITGKVATIRNVDFDPSYTNIYIQNVTRDGKE WTKNWIQHDFFENGGVLEIMTGESESDWGTKAEDLPPSMASYNF TSTA_083900 MVVPSQPVIRRKAVKSVKPRPKPPGQSRRLPCAIDSIENMHSSF HDAPPTKKLSSAAGITIEEYVSKKPQYDFDNFVPRSIQCPVATSQAFDDAYDYLFKHL SGGCNGLNIIPYPGLREDDDESEEKVSNSAFAALVYVEPGVSGRWEKIEKGLKRIFNA AAIEDGEEPKWLCEGIIFRLCAANSSRYWQNGPDWALLNEIDLRTQVPLEP TSTA_083910 MAPIRHPLQDIQGNNQALAKKSCSKDAACEEDESELFEIDHPFI IPIEMYLSVQSYPYDIKTTFYITTFFEPSLPAILELIQSSLGDPTALDAYFDWAYVGG IEVMPTVFIDVPPGTVTDWADLESRIRELLARGASKLGIVVNVQFQLKDVEDII TSTA_083920 MIVLPEVAQNLPFPHLLLAILSVIVTATAARIIYNLYFHPLHSY PGPLLGRATILYPILCELRGSLHLKVKEWHDEYGEVVRVAPNQLLYNSGRAWEKICGH RTSSNQSLFDKDQTFFFESPQGKHTMIGENGEKHRRLRRLLAHAFSDRALRAQEDILQ SYVESLISQLRKRAATFDTNTVDMMRWFNYITFDIIGDLSFGDSFGLLEKGIWQRYLS SIFGLLQFGVRYRAIKRTFPLSWRFFLARWITPKDMREDRLYQHDLAQSKLTKRVSVD TGRQDFVHYMLKGSKDAIGPDGLSMDEIVNLSEFLMLAGSETTATVLSGMLYHLLQNP QCLSRLTSEIRSSFKSENDINLNSAAQLRYLHAVLEESMRIYPPVPNSLPRVTPEPGQ IICDKFVPAGTSVGLHHYSSYHSSDNFFEPDAFHPERWLEGEDPRFRSDRKDAFHPFS HGPRNCLGKK TSTA_083930 MSYHVVRPRAGRLPVRSLWAYSTQCRHMMARAAGGSHQSSGDDK PITITIPKDSFETYKIDPPPYSLETSKKELRQLYKDMATIRRLELLSDQLYKEQKIRG FCHLSTGQEAVAVGIEHAITKSDPLITAYRSHGFTYMRGGSIRSIIGELLGKQQGISY GKGGSMHMFTKGFYGGNGIVGAHVPVGTGISFAQQYSEQSNMTVDLYGDGAANQGQVH EAFNMAKLWNLPVLYGCENNQYGMGTSAERASAETNYYKRGFYFPGIRVNGMDVLAIM SAVKYARRLITGEEGNHEGPILYEFVTYRFAGHSMSDPGIAYRSREELKDARKQDPLT VLKQRMLELKINTEDELKAMEKGIKSFVNSEAEIAQKMDDPSPAEDTLFQDIFVRGAE PAYMRGTTVNNTYYYKH TSTA_083940 MPRAPLPRRGRVRPQNAPKNRDEREREEEIPQVYHELLAEADAR AAAEPHQERPLKRRRVGERAGNSHHVQTNTVPQVSPNANNVIDLTQTQTIYDLDAEQA TEDESDMEWEEVIVQQSSTNIQNMVQARGDESLDITLDEPSNVQRTIVTRRKPVSGAE KKLRLDVHKTHVLCLLAHVSTRNLWCNDDEVQKYLKQTLPKQTISLLNPRESLPQFTR STTFIDGIKQASEAFARRFKVTAPGLRRPYWAEGAQECKDRAASIMHDGEIISTREEF REQARKLQGSRDFGAQLFCALLRSAAVEARLVCSLQVLPFSGVAKGTSPEKPKREYIV ISSDDNLSSSDDKIVAQSAGTPAKGRRLGQPSFKMPVRQSASNYLRHPRNFSGSPFPV FWVEVFNEAVQKWIPVDPLVTKSVARASKFEPPASDRHNNMSYVVAFEEDDSARDVTR RYAKAYNAKTQRTRVESTKDGETWWTNVMNYYEKPFLEDRDQLEFSEFTAKSAAEPMP RNIQDFKGHPVYALERHLRQNEVIHPKRKIGQVEVGKPGSKKGSVVEPVYRRADVHLV RSADGWYRLGRDIKIGEQPLKRVAASQKRNESDDEKDGVYGAERTLYALHQTELYKSP PVVNGKVPKNAYGNLDVYVPTMIPPGGFHLKHPEAARAARILDVDYAPAVTGFEFKGR HGTAVFNGVIAASEYREALEEVVRCIEDERMQEELDRRTEEALRLWKHLLLKLRIAER VKNYAIEGEASEVTDNERHVSDDALDDPDFAEGGGFIPEDDENHPPPTSGHIGYDGDP EDGAGSGFIVDEDMVESREEFTEDKPTQETNAVQEATVPGRKVPTPVKLKKSVPRYKL IVIPNTTSIESPSDPLVNSAQRKPQEDNVAQSDVNMSDVIQGDRSSEAAPIKVDFSSG HPSTPVSVDHNFQGPDDLNSPEKPAEPEQSESDSDLEKVSLLSHDPEDEDAEPDWLMS D TSTA_083950 MSSKIYHRIHSHEGNELPTTPVENTTRHAPNARRVATTTKASRK SSVLTNSKRRKYPSSPDWDSQITLTQFVPKTEASDAGSGLVGYDEDGTERKSAEVIDL VDDADENDQSWRPSNRRLTKRQSTGSSHSPNSIPSTTFKSRSSSVLISGGPKISKFTR DKNNKGGNKTLTQMDFVRRFIPLPDSDDGDLNLYDEKSNATREATIKPLGENKSKNEF EDLTPRKRRKFNDETMNESPAIKSKTSPDEKKIRPLNDPSVQPMTPQKGRRFEIPSSQ TPESPQQMFVPTPNIHRVRQFPIEVSSSSARKATDAANDLQNTAEQVPEETMQPENSK SQASDFPDGDIVASTMTPSSQLQQASTDNQVALSESDAIQPTLQPPEPPPEPPPSTIP KKTIVYDTDEDTDYDDLEDDNLPEVSIGAKALEEWAEQIDSSSEDHNSQNCDFSDNLP PIPNSGTDLEITGNILSDAALPSESSVYYRRPARYTQYPNEPVPILNTQKIAELFPVI EDNDDPNSTIQTSVSSTKEPPASSVHDRSDAFGSETATPTQDDCLSRSIQMIPESSPI TRNYETRSVQNTMGPPARESIVLVESSQLVDRLNRQNESNMDTGKSLRKLFSTKDFLT DSVMESVPAPPWMASQDSIGEPYPDKDGRERAG TSTA_083960 MASSVLYSNIGNPPTQIIKGEGHYLLTEDGLKLFDATSGAAVAA LGHNNPEIKAAIVEQLETLDYCYLPFFTSAAAEKICSFLTESTGGEMSKVFIVSSGTE AVEASLKMARQYFVELGQLPRTKFIARKQSYHGNTLGSLATGFHKGRRAIYEPILAAN VSHVSPCYAYRGCKSGESDEEYVNRLAKELEDEFQSQGPDTVCAFIAETVSGTTLGCV PPVPGYFKAMREVCDRHGALLILDEVMSGMGRTGTLHAWQQEGVVPDLQTIAKGLGAG FVPIGALMLNKRVVEVLSRGSKAFVHSQTYQGHPVACAAALAVQNIVARDGLLDNVKL QGELLGKLLQERLSGHKRVGDIRGRGLLWGIEFVKDKTTKEPFPAQEQIGQKIHLKGL EPKYSISLMPGPGIVDGKDGDIILIAPPYTVSSADVKHIVNTIAEVPSGRYLSITRKR DKDKNVENAPSRIYQSPKGNVQLISPLLLIILGNQIFLKNLNNTRVIAHDVHQNAFGA DCCYECSRRRIDCDRQQPTCQKCETKGLACSGLDIRYRFNGGLASRGKLVGKSIPTIL SYGGEASPVAESSSIEVKSRHSRQEGERHDLQETYTPRKGDKLRTQSRSPRMTRHLLS ITVRNNDQQREGNDSPAVQIGIALSHVDGKTQYLMQYFAEHIAPAATVINRGFNGFRD LLLPYAETDPFVRGAIAAVSRQHIILRFGGDVVSDIREYNSLIIELVARSKMSAPNQD ISSLIVLLLLHYRETISGGNGFKYLYGSLKALPDMTIQSNLAGQLNELTRFVNIQILR LRLFAEPLFDEADGKQYLSLHRTRCFEFLRFCQSLHPEYEELMDLLFAAVTLACEVYV RRACFDPPSFKAAHLIENFRQLVERVKSYGNVVGQNLLAWPFFVMAAESSTLEDREFF LNELRLLYEATGYRSALRGVETIRNMWQTGLNIRWTSLLGGYDQFLIM TSTA_083970 MDFAYDHIQEEILASRQAEKNEDGTQQTESQNINLGAEFEETFR AFSNSPWGAKIGGLWSNVRKQGESYYEGARQEYAAVSEEATKGFSDLRDSIVDRTKGI SLGGALSAIAPSNETTTDESIPKAADKENKEEGELKDQAQTEEGESFISRFRAEAAKR LKEIERAEDAADEALVRFGTNIRSFLRDAVSIAPPEQGSDKVLFESKDSEGKRVFHAT RLEAQLHAIHTNLEGLKKDPDSEQWTAFKKDFNVESKTDDITKDLEQYPELRRAMEKL VPETVDYSGFWSRYYFLRLAVETEEQKRKELLKGANTAADEEEVGWDEDSDSESPSTP HVKSKPSAASSQNLTVVDDSNLLSEPRRSNDQHSQPDSESSYDVVSGATSQTPASPRE KPAANTSEDSDEDWE TSTA_083980 MEVFLSKITNQAMNYAIRSGISITATYAIQQTTRLLKNVGGTER TELLALQQRLQSKITVISPAIDMIELIAARGNTSLESAVSLTKAIRHDIQSLARRLEK AASAEELAHKGALSSKARAQNEIEIKLIISDIRKVLEKIEDAVPLMNLAITTSGARLS TTLPSTVSPSRLLQASTFLSACDTQYSIQPSRAVQVGPTFTLSMYMLFSGHIRHFDEE NVRETTWKEVMHKARVKLRRVPMEVFANRPNQKLSDSDLPATARGDEFAYQILIVEDL DDGRVHNFEDGEPQPEPYEGVKLAGLREIIPVHQISKIFYADTGKMLNIGSEGETNSP VLLLKRDINAIPPRRMIDRNETMYGELSEETSEEENEKQEGQRDELQAQLDAQLNGKE PEEEELSYLKSLSERHIHAAWRLPPGLDPEWVAFEVHQEEEKDDETDIDDEEETPDTR DAKSHMANLRLEDDKVSSSQQISFSSSRDVSTSTASNPLFNNIRTSLSLLETLLRLTS LQQFQQQSHLSITDELLNFFLEESSSTGAGGDEQHRQRVRAEARRKVGWDPYDESPLK RRGEDYQYQYNNEGHWTPTGTPARYQYRVTSVDPASPGGGAPEDRFQARSYREQTPPL SSPGLPRQMARQAAAPAGGKGGSLSRVSPLSKRLTTALTDEGLGTSPGIFRGPESK TSTA_083990 MADQTPDNWEEDLSRQTDNMNLNNGNANFQAQAPLFQPGAASFQ PGAASFVPGQQYQQYGYPQYQQQGQGYYPAYNQQQAYNQYGGYGQQPGNYNQIYNNQY GSGGYNQYSQQQQQQQSQFRQAPAAQKTPAAEQPAAPAAQAPKPATTGAPKAKVLSLG DSGASAPKTKVLSLGTPAATKTTKDESATPGDSKGAAAAEAANKVTASKAIEKTEKKA EAKAASSGKASPAPSSGRNSPGRSSPSRGEAAKAVRDADAVAREQKADVDEATLKEIY GEKREHVNLVFIGHVDAGKSTLGGSILYATGMVDERTMEKYKRDAKDAGRETWYLSWA LDLTNEERAKGKTVEVGRAFFKVTYQGPDGEVERHFTILDAPGHKSFVPHMIGGASQA DVGVLVVSARKGEYETGFEKGGQTREHALLARNTGVKKLIVAVNKMDDPTVEWSKARF DECTVKIAKFLENLGYKKADLFFMPISAQRTLGIKDRIPKDICPWYDGPSLLEYLTDM KMPERKINAPFMMPISTKYRDMGTMVEGRIESGVMKKSNTYLMMPNREEVGIAALYGE TEDEISTATCGDQVRIRLRGIEEEDILPGFVLCSPKRPVHCVSAFEAKIRILELKSIL SAGYNCVLHVHSAIEEVTIAALLHKLEPGTGRKSKKPPAFASRGQTIIARLEVTGSAG AVCVEKYEDYDQLGRFTLRDQGQTIAIGMITKLILNEPTA TSTA_084000 MASTSQNTTTERLEEQQQKLDLAITLALNTWPALTLAVQSSWGG QNSSDKRDWLCGAISDIISTRPETDSYDIEDILVQVMTDEFDVAVDDGSAGIVADQIM NLKARIDLGDYADVDRMWAEYKDKMERKGVEQQNLFRHVDTKDEDQETDEDVDDDEDE DEEMNDAPTLVSRPPRQRAEPEVDEEGFTKVVDHKMHSLTPSPQPTPVHLTYSHVEYL GAVFPPAYLAPDVVVRVETFGLGGGGRRVNQGVVKLQGRFSSDRDNLAQAWYITKELE TRFYIEGGKDRSSDDGLAAVMVYGKIDQES TSTA_084010 MPSLPVLIDTTTEFDVSSPQNVTQKRSLLLAPPSIAAHEEKLRD IFTTFDRSVTDLQMLDRLSAGFVTLPASTYDLVLVLTDTNGARRNEALELLTRDIFNI LTPSMKPSAQLQLQDGPFQANEGREAILAGLVEKHGAFEKPQYQEAAVPLRFGANKRK NKISPEPVKIESVGFVDNYDDDELINEDDLLDEEDLGKPVQQPAECQPETAKKRRRAC KDCTCGLAAQLEAEDAERREKANADLNVLKLKTDELNDEVDFTVQGKTGSCNSCSLGD AFRCASCPFIGLPAFKPGEEVRIMNDMAQL TSTA_084020 MQDAANLGDLVTPGKCLADFSLVPIGDGSTSYAAQIAAIQRLCE KSGVKHTMHATGTTLEGPWDQVHQVIGCAHSLVHRQGTGRIQSDVRVSTRTDKPQGLD TEVQSVEKILAA TSTA_084030 MAKSPRITLSSLRKIPLSFASCSIGKTTDSLTQRLEAISSAGFT AIELSFPDIKQYAEQLLNKQVRRDDYPDLCRAAQEIGKLCQQLGLEIMMLQPFANFEG WPKGSREREEAFTRAKKWIELMKACGADLLQVGSTDSSDDRITTDRSEIVKDLQELAD MLAKENFCLAYEDWCWSTHAPTWKDVWEIVHQVDRPNVGLCLDTFQIAGSEWADPATA SGLIEEIPKSEVEKRWKASMEELASSVPAEKIYLLQISDAYKPTTPIERKIKNGLLPR GQWSHDFRPMPYEGGYLPIEDVTKAVLRTGFRGYFSMEIFDNGPDGTGKEYNVGKFAK SAMENMQRLFKNVADTST TSTA_084040 MTKHWYKQAREYYILFILAGVTHSAKMPQTDPIQEWKAIGVVGA GNMGSMMAFAFAELGLDVSIWDVVGKNVDTLMENVEKSKEQLKGKITGFKKIDEFMAS LEGKGERKLFIFSITHGEPADSVLGQIKGKLKNGDIILDGGNENYRRTERRQEELKPL GVSWIGMGVSGGYQSARRGPSLSPGGDKQAIEQVLPFLELYSAKDKKTGKPYVTYIGP GGSGHYVKMCHNGIEGGLLSTTCEAWDIMHKGLGMSYDEIGDVFKEWSRQGELRNNFL LDIGADICHRKKSERGDGKGEGVDPAGRPVLDDVLDKVVQDDDNTEGTPLWALMESAL RHVSSPTLATAHYLRIASGNRNQRVRVAKKLDVPDPKAIDVKDRKDFLEKLRRAVYAS FLCSYCQGLELIARASADEGWGVDLGKCIQIWRAGCIIQSEAIADMLQPILAQDVQIM NIKLIDEVSRDLHDNFDALREVVLKGTESDACIPSLSASLEYLKYESNTKLPTKFMEA EMDYFGAHGYNKSGKPGEDPGPVKKGTHHYEWKPA TSTA_084050 MRIPSFFGVGLALILLAGATTPAKRDTAATIWKDIENITTCTGC EALLVTLQALAHLGNDAFSNVITEVCILAGVEDSDVCTGVISREGPILAHDLRQMSVG SHTAQLFCNTIFGLCPFPSVTPYNVTFPSPKPAKTRPAISGKTPIEVVHYSDIHVDLS YETGSSYNCTKNICCRPYTSADAPGNTTFPAGPYGNHACDSPVTLEESMYAAIKEIAP NAAFALFTGDVVEGAVWLVNETEVTNDLQNAYGKMSSLGKVYGTVGNHDAAPVNSFPP TAVDTTITSQWVYDTLSSLWETWIGSAAAATADTNPGSYSVLYPGGNLRIISINTNMY YKENFWLYESSMETDPSGQLAWLVNELQAAEDAGERVYIIGHMPMGSGDTFYDGSNYF DQIVNRYDATIAALFFGHTHKDEFEISYSDYTAQTFSNAVEMSYIAPAMTPTSGMPAF RVYSVDPETFGILDMTTYIANMSDPTYQASGPKWTRYYSVKEAYGPLVNPPLTDSSAE LTPAFWHNLTVVLSSNQSAFDEYHTRKSRGYNVGTCPGDCVSNEICQLRAAQSQYNCV TVSPGINFKKRNGEDVAASATDGAHRDSCQGSQAKSILKAVMTQKDIKLEVERRTNIL AKRKMN TSTA_084060 MMWKSVSLLTFTLVACSSTQVVGPPLEVNPAYDPVPAFAVSPPL NSEGYRVEGFSKGAYMITNGYYQAMALVSTEGVIMVDAPPSIGQNLLYAVGNLTHLPI THQVYSHSHADHNGAAFLYGNVTRIGHRLTREYLALDNDPNRPLPENTGPNHTPDNCF IYAPAQKVLVFIDIAGHLTLSGTRQDVEDSYGYVSDLNANFREAYLLGISPANATNNL TAATLQAEALAANPNNPYAALSIVINAFSNYCNEVTNNKWSSKLAGTDMYGRSHAYAI TDELRFEQDVPGPYSAVTD TSTA_084070 MPFTFTHQNPEMQLDKKHTALVFADLQKEFLVETGSYYPMIADK LKELNVFDHIEELLKCAQYEGFFVIHSPHYYYPTDRQWVTRGGAIADYLANLPKGFVG RKDPVDLEGFVGSGADYPERLKRYLMDGKTVNTSPHRGLSCLSNDLIKQLRMRRIEKV IIAGPVGNLCLENHMWDILEAGFEIAVVRDAIAAGQNEEGDGYTAAMVNYRFMANAMW TTAETIKRLKQLQLRSKKINSGSLKIMAIPDTAHSIKYPGSDSD TSTA_084080 MVQTFTNCDTGEWYGFGNSSQFNIGQTVQVQFGAFDEGTTKLNI YLTRPGGSLVKSLVLNAGFGRGSSVYRLYNSSSTCELDQLNLTIPNDAGVATNSFDTF QFGIFNSSTSLGENGNPLSGFLSWSPNFEVWNNATTTAPASGTTSATSKTSATITSAP NQSTTTTLIPENNSSGGLSLGAKAGIGVGVAVGGVLLAVLIVGVWLWNRKRRNALPRE TDAQMESFSKPPGPGGNIQSEDLHELPPAANQQTDVKGHYQPIPQQPVVHEMPSTNQT YEMP TSTA_084090 MPSTSQASMSSGSGPIPRACDSCHKKKIHCDRRLPKCDWCLHHD LSCTFNRVHKNIRMKRYGILNISLQRRSNSHNKDSSVTSERLEVNVPGPIFTDTRLSL GKPYLPDGFMLSSPALRKLIASCAGRMDLDLDSLRRFGLPWQYSHPQNSETVNQVANG ATKLPSRSTMEHYARIYCSSIHFLVFPVVTFDLFQRTLDLVYGSKSIKDYTGSASAKA AIYSFLSILTIVGFTKGNASEGPSCEFYAAKAQSYLVDIIQEVTMDGLQTLTTLILST IFSGDYKKAAMFVTMASRIAYSLGGHKNPFESQHNEAQAHPRIHDTSRPECHIRNLFW LCYTFDKELALRTGQPPSISDDNCDLTLPPGYIELQNSNICCPDVVIDNTTVPLYPWD PRLSVIKSRTYKLLYSESAMRKSKSEIIQHIRELDHALEQWRMSLSREFRPTLTFSED TLFPSALATQPVMLRLAYHHCMIIIHSASNRCRASLVKGLDLQEVGVASSLGLSVEAS RSSLLYLQAALPNIAGECFWVILFYVVSSIVTILGHMISKPRDPKSASDFKLLMQVSD MVQSFPVTELSAAELIQMQLHVNLESLKNNSPDGSTAHPLAQHGHQRTDYYRLIKKKR FCCKCKIFNPQILLVRERHGEPAKIEYTIISNIYQKISNGLFNDLKKLIVERWFIDLK IVMDDLKITLKNLYNFDETGFIVGQGEKEAVVTAYPKTLQRISSLSSRESLTVVECIN AEGKIIPPLIIP TSTA_084100 MVKSRTKNDPSYEGRLSLAIDALNNEKITKLRDAARTFDVSLTT LRRRLKGSVPAHNASITRRKMTPTEEAVLRGWVFSLERRGVPPRQHMLHEMANILLAQ RDPTKIPEKRQPDLKAKFARRLSYSRALCEDPVVIGGFFEEIKQLKEEYGIADEDIYN FDETGFAMGISSTAKVICSSDRSGKPSLIQPGNREWVTIVECVGSTGTVVPPLIIFKS GTNRAECYTSPKLPPDWSITHSPNGWTSDEIGLQWLERIFEPKTRPLTVGTYRLLILD GHSSHLTPGFDQACKNNNIIACCMPPHSSHLLQPLDVGVFSVLKRLYGAAVESRIRIG IYHVDKLDFLDMLYSVRIQTYTTQNIKSGFSHTGIVPYNPQKVLSQLQIAVREATPAS IRPSTSSSSTWSPKTPYNARTLEKQAKSVKRSLNMGDLDSNSPSCPAFNQLIKGSLVV MHQAAILARENHNLREANDILQKRRTRRTKALQADGILTVAEGRELAQELPEEAQPPP PPNGSAPLQPAQRALPRCSNCWEIGHKRNRCPNIST TSTA_084110 MKVTLFALSLLSAIGLAAASPVAEIDLAERKACTYGIGEIFENV SCGVVEANPCVDPFTGKCDYPLGCMCVDDSTCCYGLAYVTQILHTPTGTNCLMACAAY IIPP TSTA_084110 MKVTLFALSLLSAIGLAAASPVAEIDLAERKACTYGIGEIFENV SCGVVEANPCVDPFTGKCDYPLGCMCVDDSTCCYGLAYVTQILHTPTGTNCLMACAAY IIPP TSTA_084120 MTLILPPAGSQFSMTTQIMKSRRTAPETILPIDPAILDDETPCA LAYDWQDSGVSGSVFPDELSQESDSTRDRFARAAPSYDPPDQQTHLSDAHITEVETGT GAVQPICGDSSQELSFNKRQTAPEYDSGQLSNRVCTRTTPSENVNPPVLPALYSHFLT APVDDRMEFLSWLFEGALLQCMSGFPTTLEPSLNKARTKGTGRRQARKLVPTASKSAP SLVKSRKGMPWESISFGVEGNRLFGSAEESRKVAMAGCGEAVYGTVSWEDGRVDSGVL V TSTA_084130 MISLFDGAVTIRRDTRPLSLDEETRALYNRAITEPASLTDVQRR RITHRPPAEEEDALCRQACGLSIIERVAKAIAIANNDNGSDDENLILTYSEAHLLTAG VVPGQPGRLLSERARLSEADRDLSHRAAAAAVTEEMKVARETAQEVQRRWRIAQGAAI ESLNDDDVRNILFAMPVPWQERVIHSTSTDGSPSISGLVVFYDPERTAEYKSSVEKAV CNGLHYHPMSMDDKAIARFTLYWVEFPALDSNDDNTVKLSALRSKFQTMIANHEFPVG LRSDCFLYMDEEGLRSIKPYLWENWGRNTPAQGGHQAYRTDAICPAGSARSLGRRETM AISVYVRADDAAPGGAILSE TSTA_084140 MSVISSRDAPFGQICRVVLGPHLFRYPDEKEGFQYQSPKPVAGA PSTQESESKTLTPEERRSEVTDSDGNVEKAEPTPAPAISQSGYESVSWYSDNDLENPQ MWLLAKKTVTFFQICLLTFSVYSGSAIITPAEPDYVEIFGVSTQVSSLVLSMYVLGYG VGPLIFSPMSEVPRLGRNIPYFVSFSLFIIITAIASRVSNFPGLVILRFLQGFLGGPV LATGGASGADILSFPKIPYGLTFWGCATLAGAALGPLLAGFSVPLSSWRWSMYELLIL CGFTWILLFFFLPETNADFILLYRARRLRKLTGNENLRSESEIKQGNIHLLALLGNYL TTPFMVTLRDPSVAFINLYTGLIYGIFYSYFESFPIVYTQIHGYSIGIMGVIFLSVIV ACFFGAGTYLALVKFHYEPYTMKFGIGSPEHRLLPGVIAGLIAPCGILIFAWTSREWI SWVGPTVGIVLYMSCVFVLTMCIFIYLLMSYPRYAASLFAANSFLHSALACGAIHFSQ PLFNNLGVAKGCTVLAGLTFACLFGILVLWKCGDKLRARSTFAQLAFLLSACLVIRSY SYPMGYWIEHLHKLRAGYVLQLGLCAPLPHESSPRLGTLVEIFPLSSLSQASTHTRVR AIILSAIAPSHDKTGQAPIRKKKRRYPASPPMTAKRQLWDDCTPYTEPLNHRSRGQEG WLLFPANTRVRIAVIGGTGLQDLPGFTKVASLDIETPWGKPSSPITILHHQCSVSGKD VPVAFLSRHGTHHQIAPHEVPNRANIAALRSIGVRTVIAFSAVGSLQEAIKPRDFVVP DQIIDRTKGVRPFTFFESGVVAHVPFGDPFDENVAKIVRECGHSLEGDGVILHDRGTL VCMEGPQFSTRAESNMYRSFGGSVINMSALPEAKLLAKRTCISNDLHVDDYDCWHEAT ADVTVEMVMGNMKANAVNARRFVGAVLDALALDSHADTVNAKHLEGGVKFGISTPQTA WSEDAKKKMDFLFPGYF TSTA_084150 MLSDASKEVKVGLRRRSNPCSIPHLGRAPEPMKIILCTKKPAFG CSVRIGKLRDDGALKISYYGVTHILLSASYGRNFRVAISPFTSQRLSKSENAIFRVLK QNWASGDNGYQAVKDGELSDELQKNPLSSSGSITGRYGAITRREAVRKADSILDLRGL MSFCGRQDPAALGYEQTCSMTPPIAGDIVQEQNRQLELQARVDLHSRKLAHNFQQGRP KATSQAYGRPQKEWRTWCQKQGFQDGELITEGKMLLFLKLSFTIRSGLAILSSHNMLL RGQDRRGLQFPDLFTIRIEERPTPCWPMIMMKFHGKINQFGRIEYMGVMRHREPLLCT IGQAAFYLFYRWEIMQEPIPQFYQRQQWYDWHFFKGSKVTKPLSYEIQLDWTSRVFKG VGLSINKKSHSGRSGGARLAELSGVDENSIRRASHWNQDSMSNRYLSELPRPFLHRLA GFNPTDQGNYYLPRATVSPPESLVRALWPWVDTWLTWFRIHDSSVQNIDLALELPSPP PLIQEGSQKYDQEDLAAQGFLKLLQQFRTVILQDSAQSASLVQSTEMPSYQLSRTITT VRELWEEWFVGLNGHPSVQSLESEYGTSWRSEPKERVMFGRRKLIIDEILARTKDGTS LSKAVEIEKLMICAVKVTEESSFLIFSIERIEAIRTNVCLSHLLSPSHRIYDKLLRDG SKEFSMNSNSIGIPHRLRDPHILWALNIIHTRFPSRDGNRTFF TSTA_084160 MQGFNMGRYVPPDQEGVTSFNKLAGKHPLGSRARHLQTKGALIV RFEMPFAVWCTNCKPHETIIGQGVRFNAEKKKVGNYYSTPIYSFRMKHTVCGGWIEIR TDPKNTAYIVTEGGRKRDTGEDKEGEPGEIRIKSHPGDETAEQKDAFARLEGKVEDKR QFDTAATRISELRKRQDRDWDDPYEQSRRLRRTFRTERKRLEEVGQATEALKDKMSLG IELLEETEEDRLRAGLIDFGPGSEYNHALGAMSRSRPMFDNNSDSTLVKQSADTQRNG KEHKGKLKAEIATAKRKALLSKELRGNTRAVIDPFLNEGAKIWQPTILKTRKAREEKS NGVDAVTDRETKSEEEKSVMATNPDPTAGKTPASIPLVTYGSDSE TSTA_084170 MPYQVLERIDKAHHVFVVPSKKIHDGNDVQTFFTTKAYRDIMAF LLQLNRAIFPRKIDNTVQTWQLNSLEVEYSEPTRRIQLLLSRLESIIDEVPPDTGPRR FGNISFRKWHQIVEERLAGFLDEALGTEVLENSQDSSAQNVTSRQELQAYLLGSFGSA QRLDYGTGHELSFLAFLACLWKLSVFPETGPGVEERGIVIGIIEPYLDLVRNLIKTYT LEPAGTHGVWGLDDHFFLPYIFGSAQYSPAIAETDETPVEGSLSDAPAPTSVVKNNIV ERERRKNMYFSAIGFIYDVKKGPFWEHSPMLFDISGVTGGWGKINKGMIKMYNAEVLS KFPVVQHFPFGSLFSWDRDPSAISSLPSIYSQQANTSTISPSVTSQPASTRAPWSQPS TSLPGATAVPWATNTARNPQVSTNDTPSATRTPFQNTLPATSRNPPDLMAPTRAPWAK PS TSTA_084180 MARATKRRKASGRSKKVLDYLNNMSANQDVDDDDTHAINKQNMQ AESADHDDAENDHITGRDSDQRRTRRSARLNKGKAGEVTPVIEENNTQNSDSSIFVSQ EANIEVQDDEGGDEEAEEADEAEEGEAEREPEEDGESISSEDDIPISGPKYQLQLEQE QAIVSRIASPFAVIINGEKDRTRNRKTSIPGAMENESPPAEINHVDNSAELNDIEDAE SQQEEDDYNEYASDENPNVDESEDDMGSAMRGLFDWDAEQPPEPAQRTRIVDRLQPQP PETGKLATRKRKRVSNTTADGPESRRRRRESRNENIEIAVSGALPVASASTAAGDWEA GVSEDEARDEAAVVVQHTAYDEATKIKDLQRSWKRLMQNCEELRATESYETRKYVRLE AIEERISDLIKDYKRIQKRRSRGRAIDPNAWEHTEEEVKGIGKDADKIRKVAQMRAEE GKEKNDLELQVDVVDYAKLIYKDVMTGLSELALECLKAYYSEEDEWLLAGGFTAVLNI LEIAGRINTTLTSLHNCGLVVLSPDPGRHIRIELRLIQQSLADAEACRDRKLLTTSS TSTA_084190 MSTTEDPVATAPEDVEAPTSEPAAAEPVAEERAESGAETTEIPP PQTPVEADFMSGEESKSEAVTSPEVGKTNASPAKKPTTTTKRPVTSSTATKRTTGSIT AAGTKPASRTSTGGNTLSKPPTRPTTTSSSTVRRPPSVSTTATHRSRASISSSADEKS SKVASSGDEKKGISSAAKRMSLAGTPSSRAPAKTTTSTANRRASVIGSTADKKPASST TASRTSTTSRPSTATTAPRTSTTATTRTAVRPTTTAAARPPTTATKRLSTAPDAKTAS TDAVKLAELQEKLSESESSIASLKAELEAAQEKMATFAQSAEAESPKVDGAVEAIRAE HVAKIDKLALDHAQEIQQLQEKLEAAETKHKNLEVKSLQDLEEAKKSALDQNGSQTTS MLEELKATHLAELQRLQTDLENEKASAAETASQISRLIEELEAQKSAAEAASKAADEK EAAAHERHSSVVEQLERELKGRDQVVENLEIELKKLSESKEKEIASEKESSTEKVSSL EAKIAELEGKLAEAQSSLTDSEKAGKALAEKESEIAEQTEVIKALKAEIDQYRATTES LTAEIESLKAASGDAETQTKDLEASHARNVAELKAEHESNVTTLIANHEQALSEKSKA SEAEQRQLRQELEASKALQTELESKLTEANSTIDNLKQEFEQNISSANNDAAQEIESL KEKVTSMEESLKLDADKIKALETDLSAKQEELSLLQNKLQEEAAAASRSAQELAQESS KLSEKHARAIETLKSGHSSELEKLTSTHAETLQSLQSSYDELLKSKEALESGHAEKLQ ILESQLAAVQQESSSQSSTQLQAHQAEIEALKKEHEEKLQALDNQLTAAQQETSSKSA SQLETHQAEIDALKKEYEDKLQNLETQLTAAQEETSTKSATLESHQAEIDALKKQYEE KLQALENQLTAVQEETSTKSAGQAEAHQAEIDALKKEYEEKHASLKTEWETAQASKAA ETDSDHSRVIEELLTAHEAKLTSLRNELESSYQEKLDSLQKSHDTVLANVSEQLNKAN QAVADTSVVDKLKEQVTELTTKLSAAEEKSSTSTVELTAVRDELDALKKTYEENSGAL ELAISRNKSIEEQIARNEKELNEQIEKNMSLLTQLGDVDSNISQSRKRIRELEAELET LKGTSTNAKTPTTSNLLASRWATTEGETEEKGVASAEGEELGSSIEGTMASIQEQIKQ IRAANDDWYDEHRRIVGELVQASRKTTPDPSSKETSLKSPMSLSQTTTTPTTDHHPEE ETA TSTA_084200 MTLPHAEVPPSQPDDEEEGRERIENPKQVADDTKTNDPRTFPDG GPSAWLVVFGASFGLFVSFGWINCVGVFQAYYESHQLQSESPSTVSWIPSVSMFMMFI TGPFVGRLFDNFGPRYLLLTGTALHIFGLMMASLSSQLYQFILSQAICSPVGASMVLY SSFNCVTSWFIQRRALAMGITASGSSLGGVIFPILVDHLIPRIGFGWTMRTCAFMMLG LLIVTNLTVRSRLSPKPKNVEFIDFLKPLVDPPFLLTALAGFFYSMGMFIPITFLATY GEHVGMSPKMAGYLVSIFNGASAIGRILPGYAADKAGNFNVSLTAACLSTILVFGLWL PGHSNAAAIAFAASFGFSSGTYTAMSPALVAQISDIHEIGTRSGTMYAFMSVAALTGS PIGGALISATGGNYWKLQVFAGSMLATGSCFYIAARMYLAKGRIRGKI TSTA_084210 MLEINLRLQRLETYLIPKMTDTLQSHERPTTVSARCSSSNDNEQ RQSIKTWDKLEAIAATPGFYDSEIEAQLSESSASQDDALNALGDPSKQILTPHNIPNA ETPCSKCGEETKKTAELIQKLPGIQLAWMLFDYYARMVNNFHHEIDISFSRSLLETTY TKLHHPEKNSKKDLDFLFCIFSSSCFYIIRNNPNSLPRELMEARSSYNSWKEIALDLV LIGDAMLSLSLILLQSVCIMFGLIWDSEGQSPVFILLRSVATTKAIQMKLHRVDSHSD TELGARSTIELDIKRRLWWHLASTDWIVGSLPGPYEGIYAINPQHISVEYPTDVDSEN AKDLDSRIEESNSPTSMSFFIQRAKFAGICREVMDLTQNSRFMRNVPEYEHILQLSEK FESFNTQLPWFFQFDAGKGREADLLTDRYPYIARQRSALLYALYARLGRLHRPYFLRG LHNEEYSFSRKTAVSCAQKLLELHATSESEGLFPYVHSYSMDQHLFSVLLIQVIDVMA EQDEVRAQIRMEGNFQDMYKT TSTA_084220 MPKRKHSEVDLSGDGDEKLNRVKATRLGHKFERGSQLLFRALKT ARGFERQKLGRRQKTAKGDGDSKTLERLEKEVHALKALDLEEIAQRYLLKQLNKTKRI AESPEFVRLEKSINASFDVGPGPRDPAEANVTARLFKSNPVQTALPDILTDIRTLLGV DEIPTRKQQQKHVKKEATQNEETAKEPDEPQIETDMRSHKMEGRNSRAEDYLNDVNMD DVSDDGDSLDLSQFDARLASASEGSNSEEEEFGYSRIKITSPSNRDNHEIPDDISISS SPASSIISQNPSESPLPTSVSPPKTHKKEKSDEQRPKNTTFLPSLMMGGYWSGSESDP EEDEVAAAAAGSRPQRKNRMGQQARRALWEKKYGARAKHLQEQEKNSKKSKNKDSGWD ARRGATDENDKPKWAAGAGKRGISSLGKRDNHARDSGNGKATMTNNKSSSSAATAGLH PSWEAARKAKQQKAQASFQGKKITFD TSTA_084230 MAATRSLLRLGSGRSLGAASRSAVCVRTFSSTQFRQLDKEDPVP NMRHAARPPQGELRAPVVNPADKYKDQADAMHKYGQYIMSCLPKYVQQFSVWKDELTI FVPPSGLVPVMTFLKYHTAAEFTQISDITAVDYPTRDQRFEVVYNLLSVRHNSRIRVK TYCDEAQPVPSMTSLYDGALWYEREVYDMFGVFFSGHPDLRRMLTDYGFDGHPLRKDF PLTGYTEIRYDEEKKRIVYEPLELTQAFRNFEGGTSSWEQVGSGTDRAPDTFKLPTPK PEEKPAEKK TSTA_084240 MSNRNQIDSVIDDDDEFCPLCIEEFDLSDKNFKPCPCGYQICQF CYNNIKTHSEEGRCPNCRRVYDESTIQYKVPDADEFKADLALKHRKAAAAKKKEAEKR EIEASSRKNLAGVRVVQKNLVYVIGLNPTIRDEGQLLQALRGPEYFGQYGEIDKIVVS KAKPGGNPNQGIGVYVTFARKVDAATCIAAVDGSTNGDRLLRAQYGTTKYCSSFLRNE QCNNRNCTFLHETGDDNESYSRQDLSSMNTAQRQHYANGATTAGSRPFTQPPQSQPMR RQGSKDDGAKGLPDGPALPSSASWANKDAPINRARRLSGTGSRSSPSPKPANVPMAKS EEVKRNFPTAQESRRSTPAPASTPAPAPVQPAAQNVPSTKPAPVKDSLLESLLNAVNS PGFRFVFSTDGLSDDDLKYIENGPSLIDPYGGAKRRAMREKVEQERAKQEAETQSLLQ SAAAEEENRESGSLQLGGEPDDAHPSRGTVSRNRDGHGAIQPPSQQNTNTNSLVGSPA SISQQFHNLNINNRSLTPLQQQQLMLLKSGTGQQGALVDSLNPSFDQASQSRSSLFQG GISQAGTSNTRLFGQQNLMQSGNPSQLVAPAPQQGISNQFYTSSVQGPPPGLKTAGTP PISGGGMFAQGHGFTSNTNLGLGANVGKQEANPDFMRELLRGRGASAGTGGLQGHESA KREFLLPFIQQHPTPPPLTPATGLLSAFYGSQNGALADFGPQKQKKKGKKHRHANTSS GGGGVVDLADPSILQARMHQVGVGSTGQVLYGSQGQVDDDEFPPLNIGQKSKLEHAFR TTLNHDSREHSRSGTPTLPPGLPLPHGHPPSRFFSEKKSSESQDFPINPPTHGPPGLP VSRRGTPLQKSFDTESRRQTPAAQDVFDENALTDKTRNFGDISLGSPKARSARKLNVT ALKEQPAGNKQPSVAKGAHSKKPKPIKLDLSMPLDDLQTPSPVKESPASSNAAPAHLP QSVAASSRPGTPLTGISRNSDSSGPRQPRVLRVVDTPKTGTPPPVSAVPSLSSVVQAK IRSRRPSLSSAGRPDTPGDVGSDFDITTSASVSRANSPPPSKIGSAPVRAMTKNQVKK ERRLKAKQAEEAIKEEIATAIPEEPVQAPIIGRKRKTKKPPTASSEPASSVSTKEVSD AENATTQKTEAKAAVGTRKPKSSPEKAPDASKSVSDADVPEPQAEEPWRANNTIAQLV EDAKATGSSIKDLFLERTAPLHVLLAQMQSKGEIDLTSHPLFNPPPLNQRTDMKCDAE DYECLKLHLYISEEDKKTLLSGKPLRINHGSDLLKVRFMITPKGRVLRHLTDEEEDRY LELESRMDPDTWSEYPSTTVPGLDTTNMNGGLDALFLTPGRFNVALSEPASPRMSLAA AGAVVSAEDQFALDPPSESGPNVSTMAEVDHARKIPESVRYPIMPEMDNVFGMSNKEL RGFIEQSQRELESSRKEFDAIDKKLAALVKRNKKLAQQALSSVVEVGK TSTA_084250 MASLLFGGRLAVRSVNRHVQLRHSAVRWNSSISQRPGSATVRFP GAVDSKFTSEMAFSRPSSSPAMPTYRVMDSDGVIVDKKHEPTDVSTEEIITWYKNMLT VNIMDVIMFEAQRQGRLSFYMVSAGEEGIAVGSASALEDHDVVFCQYRESGVFQQRGF TMKQFMAQLFANRHDSGQGRNMPVHYGLEYPRIFTISSPLATQLPQAAGAAYAMKIQA LQNPNNPAGVVACYFGEGAASEGDFHAALNMAATRSCPIVFICRNNGFAISTPTLEQY RGDGIASRGIGYGIDTIRVDGNDIFAVREATKEARRMALENGGRPILIEAMSYRVSHH STSDDSFTYRARVEVEDWKRRDNPIIRLRKWLESKGAWNEELEQQARTDLRAAILKEF NAAEREKKPALKEMFNDVYESLTEEQEMQREALRKHLEKYPDEYNINDHEHGIKGL TSTA_084260 MLMKILLGSGLLLPTFAQHVIDLTGNDWTVKNAEGNVSAPASLP SQVHLDLFAAGAIHTLAQMKSIFGGSPGLTGHIPVRQSRACIIDGASSSWLVFNGLDT FATIELCNQTVGTANNQFRQWKFDVSHALKSCKGHPVISISFSSAPKIANAIANEPGQ ETWPAGVQGVFEFENRWFIRKEQSDFGWDWGPAFSPTGPWQPAYLVQFKPDNGVYVLN TDIDIHREGQVNWLPPDQSKPWVVNASIDYLGTLPKGVSLTVEIKDSQNKTTIASGSL TNLTISGNSISGITTVGGDVPKLWWPTNMGEQNLYYITINVVDGSNKTLATVTKRTGF RTIVLNQTNITDAQIALGIAPGANWHFEINGHEFYAKGSNFIPPDAFWPRVTEDRMKR LFDSIVKGNQNMLRVWASGAYLPEFIYDIADEKGVLLWSEFEFSDALYPVNQAFLDNV VEEVTYNVRRVNHHPSLALWSGGNELESLELQEVYQNDPAEYPRYVGEYEKLFISLIF PLVYDNSRSISYTPTSTGNGYTKIDFSLPVPMVERYQNVTPGEYYGDSDYYNYISAEA FDMSHYPVDRFANEFGFHSMPSLQTWRQAVSDEDLIFNSSVILHRNHHYPPGGLSTDT TRSAAGMGEMTIAVELYYPIPLKLDPIVNFSAWCLATQRFQADMYKSEIQFYRRGSGR PERQLGSLYWQLEDIWQAPTWAGIEYGGRWKVLHYVAKNIYDPVIVAPYWDSSTGDLN VTVTSDLWESVSGIVNMTWYDFKGKPLEKNAGVPSSVAFDVGPLNITDVLTTNIKNLS IPTLKDAVLVLSLSATGRLPNSNTKAQFSHENYFTPVFMNEAQLVDPELKLSYNKSTG KFTVEATNGVSLYTWLDYPAGIVGYFDKNSFVLVPGHPKEVGFTVQEGSLTEKVVKEI TVQSIWDQAKKD TSTA_084270 MAITITLGPNGQYQVNGDANQLDPNLDLVPITGATKLRRMLNET DELIVCPGVYDGLSARIALQLGFSAMYMTGAGTTASRLGMADLGLAQLHDMKTNAEMI ANLDPYGPPLIADMDTGYGGPLMVAKSVQQYIQAGVAGFHIEDQVLSKRCGHLAGKKV VNREEYLMRIRAAKSTINRLHSDIVLIARTDALQQHGYEECIARLKAARDLGADVGLL EGFTSKEQARQAVQDLAPWPLLLNMVENGAGPVITTFEAKEMGFRINIFSFASLAPAY LGIKAALERLKTDGVVGTPEGLGPRKLFEVCGLSESMKIDAEAGNSAFVNGV TSTA_084280 MTEVSEVEKSVLPLTSASPDPEEATDEKKLQNKWTETVSTQNAD LIFILCSLTTGLCDGVIYASFGCFISMQTGNTIFLGLGTSGIPESKPYGWLKSLTSIS GFIIGSFVFATISRAAGNRRRATLTISFAVQSLFVLAAAALFQGDAIPHSLNNPPTSG PLFLELIPLVILAFQFGGQITASRGMGFNELPTVVLTSVYFDIASDPALTSGSVVAIV VGAIIGGWLCHSEAEMQSALWISGFIKACMAVAWAFWPAVGEH TSTA_084290 MKLPPKVLILGGHSKVALLLTSLLIARGCGVISLTNNPEHRSDI LNLRQSNREGNVEVLVTDLEHIDTAYAARALLDRVDANYVIWMAATFSSSKISKFLMV SYLSSRGKKPEPGMTDRQWNDIANADEGQIPIYEKEKIEAEEFLDAMTAWRRRQAHLP AQFQSIHVMTGGLSDLPGRGKISLHPEPVSFEGEVSRQDVAEVIDQLLARSDTNGRYG VLGGENDIETAIAKAVGSQQLLAEGPVYKNIVGGFIPHR TSTA_084300 MRFVTSLPMRPTSLHRLPSVTPFGVRGVGSYANFKVPTINNEPN KHYIPGSPDRRGLELALAHVNESNVRNIPLFVGGQEITTTNTSQQLNPATHKPVAYYS NASKANVEAAIEAALTARGDWASMPWSDRASIFLKAADLISTKYRYEIMALTMAGQGK NAWQAEIDSAAELCDFFRFGVKYAEEVYSQQPVHNSPGVWNRLEYRPLEGFVYAISPF NFTAIGGNLAGAPALMGNVVVWKPSPSAIASNHLVHQILLEAGLPRGVIQFVPGDAEE VTSGVLNHPDFAALHFTGSTSVFRSLYGKIATGVAEGKYKGYPRVVGETGGKNFHLIH QTADVRNAVVQTVRGAFEYQGQKCSATSRVYVASSIADDFIEQLVAEVKDLKIGDPAD FTNFCGPVIHEGSFNKLTKVIDEAKQDSDLELLVGGKYDSSKGWFIQPTVYLTKNANH PLLSRELFGPILVCYAYDDTSASALADICSTIEKTGEYGLTGSVFAQDRNAIRFAEDA LRNAAGNFYINCKSTGAVVGQQPFGGARASGTNDKAGSANLLSRFVSLRSIKEEFTPM YQVAYPSNFN TSTA_084310 MTLRQCMKYSRSLHTSSPLNPSVAPLACEIHHGGIHNNKHSQAD HRVPIIFLHGFLGSKRENRLVSRLLARDLSRQVYALDLRNHGDSGHHTIHNYMAMALD VEAFIKSREYKSVTVIGHSMGAKTACTLALHAPDLISNVVAIDNGPVRIPIPTDFQKY LHALSEVNKAKIRTHSEGERILAQYEESAAIRLWLLSNFVKDHHHRPYLKLRIPVDIL STALDPLGDFPYTSPESVKFQKPTLFLRSHQSHYLPDHALPLIRSFFPQSQVVDMDCG HWVVQDRPEDFRRDFLRS TSTA_084320 MATPEPTSPPRATSAAALEPSDSRQNSARLNRDERIRVLTLRDA GFTYLQISQQLQISYRQVQYTCQSQQATPKKARGNPPKLSEAEVHHIIEWITSSKRTR RMPYYKVIQELNLSIGKHALARALKKRDKHKQVRLAWALEHLNWTTEQWNRILWSDET WVTSGFHTRIWVTRKAGEELEETCIRSSPARKRGWMFWATFYGNNKGPCLFWEKEWGI INSERYCQRVIPIIDGYIRLLRDDIWLQFMQDGAPGHASKETLEELHSRGIYPIYWPA FSPDLNPIEAVWNWMKDWIQEQYPDDEQLSYDRLREVVRAAWDALPEQFLKELIDSMH ARCQAVIDARGGHTKY TSTA_084330 MRAQGRKLGSVTVTVDLNQFSNSIPKAYIVPETELRYGEALQYF DRYRELHPDATVPPNYQTFKSFLVWASLLKEGRIEDSPLGNNPDGTPRILPCVDTMKS FLRRFIAAWSRTDRPEIPRRVATAMKDYVQGDMIIQAPLSTAEMPKPCLAPNDLAALL KHLWCNDSYNYRGKCADRQRVALSFATLVYCFTSARTGEVHESTARRKASRSQCQSGG VEEHNLIFSAKAMAACYKHFELSVEVVEGEVMIVLSYKRLFVKGFRKRKAWELPLHVF YETYVLDQPLMFNPILFFLPMAAADHVFMGYTSAIDILDAADKAAEAYGSGNVNDSHV LFKYAMRQDIAEFPVLRPFTELKIEDATGRARGADSFAKQFAELGHRAGYPDRITARA CRRWALMEADRNGSENARMKLAGHLDRRQFSKAYAHPLSDIDGQASYLGTRDRRGHIT NRRGMELQKHQVVWESLPAKLEFEFEERNDVAAIQKEMQNLDEELKSSVAMDAVREIE LEKRRLQYRKRSLYDQELQRFHRTNPDMTNSEACLPFHYTRRVMPDRDLLAELLPRLG KLRSDDGRKAVQALENLCVTDTTICYRRGIHPLDGDQCGSCGTCIEDLFAHRRWYHLY RCYQSKLSKMGNDEFADFCFECDLWINNKLEWDQHCQHHLDTPETLVRCDPIIFRNAL VKAGYCPFCLGDNDQDANSRVRQFIDKSKWHDHIESHLESLTVDFTCCHPACSMNCDS LSDLVQHLWDRHYFRRLRGRKRKVEEL TSTA_084340 MFWRSKPYILQLFKPTLEEWDAEDNLRKCDEVKWREQNLSAIPG DLKEKFVTIIPLGGGWYVLCPKTKSEAMDIEKNYHAKRFPVQKGIGNRLSLTGSDFCS MEHDKRVKDCQAACNAYAEVFEEKWKIREPYWENRQKAFHTAFGVWRSRMRVVLKWKS HESWVHCIIECMNAYEVDWLIYGRQLK TSTA_084350 MDSIQIYAAAIGGILLLLLAQSMIRQLGYRIMFLSRLARFFAHI TQRPWFFFLKHFVYSFILKRKTFIGPISRSEFIAQLIYWGGTATCNMFKVTTTSAAGT RAGQLAVVNLALLFFGRRFELLSDLLGIQSATYRRIHQTVGLMTCLQGSVHALLNIRR AISTSYELGSPKAEVDNTAGSSWYGSDFLHSSNESMLCVYYKTPFYLEHLCVGDALAS LTGEKYFIVALRNVSASRIGCRAEILRSRDKSAAQIMILLNRPFKVRAGMSLHIWIPG LGIRSFFGSHSFPIAWWENDMQGRAKVISLLVKPKSGFTKRLLYSKPHQLRTFIEGPY GVPIDFLGYDRILMVATGIGIAAQLSYLKELVGMKDEKRSTRTLYVVWQVDDESNLDW VHQWMDQLLLMDRGVYMLKFGIYVPPSDNEAPTAWNSEHNRIWKIPGTINPEKIVGNW WSDNGKALITVLILQQFLQIKKFVTE TSTA_084360 MDAQRINHQQAVARRRKTVFRKLRQLCLQYNYKAVVLIADEQGN NQWLYKTHDQIPGLEQIQEHPRTKNNNDDFLPLTSADTVDSADNRHQLDLLRALTPPT FNKMSPDIIITPIKHALYQQGHKTTDIERLPSILQESKNEPPPTIVDLLRENGRLRQE LAYHQELSTALMDVLESTKLARRILDEALLEMPYFPDAQNALAVLKGKFSSAYSVIKE GLRKSSYKISSSESRLLEFFGITFDDTEGRDFTII TSTA_084370 MSTTGNRNKSTERFKCRKILSDHLKPLNVRTIPDPTDEYVWKWK EGKAHLLKTPLSKLSTKAFLELSNAVKSGSVWAIKRDRDSTTEEVMTELDEIKAREKR LHIKNACLQKTIQRHTRRQEKYKRVLATSEERLREMLFHLQEAQRSFDSLDPPVFSFE TSTA_084380 MEDDKRCTKFPQSSDLASGFLESPLLQSSPPSTASRLQKRTKPP RLPVAVKRPADSIDEVSKRRSNFSHECSPWSKYQKLQQECTKGRTCLAYEKASPSTIV AIKEYSDGVDGTTTNYLLKTSHTNLVNLLCVFVSAGSLYSVYERMDVNLDQLLSAVKL KEPQIAFLCKETLQGLAYIHEELHVHHGDIKPANIFLTLSGQVKIGNIALSLLRQVHD NKVQDVKSIGRMIKEIIEPGDFKKNPSSMVLKNVDYGGDSIRTFMAATQSSNLRNLLN VRSPVFALYF TSTA_084390 MENLNDVALFREKSRFFLGRAKIQLANLNFDRNIQLHEKVHVDK LVDVFRGEGCHRLDPWNHVPVAIDRNTLSARLRLAGLDNDDLIREGEPPLLRFTTPLR VLHGRRRLLAAEEYLWDKWWIAELYSDGDYQTTYIIVLNINLYEDLPAKLKTSICEQY SHSRPFCDGDIYRHIFKYQRDGNIDEEEKWIRRLSNSKTMNVRQLRTKYRRLANGFDR LTPFVGIWYQLHLGCLSRLLPDKTPEEFLHYLERMRHQYSSIMGTEEPFLLDPKTVYL LETMFPALSVSDADHIKRLMNDGVLFPAVQSQHRRKKLLDNILGVKGRILSFYTFFQD TIYFGACSKILRNLLPPKFQGTVRQAFFNSYQQGINQGRIRLQSYGERMLDRPGTEVT HVQLAYRQLYLAAMRDFPILSSLAPLWDSKKRRPLIQGSPSERWHQIASLAFVLGFRT DEIAKLMEDGNNKEIMAREFLTKIRPAELYIVDEGRKERLVQYIARQIDGIATPRVLR QDAEFTTNVEGLAKEQRCNRPYDSAYRRDKDFLFINIIYGTRIRAQAFITSLAIQRDI FICFLGMYPIEDMADADSEDEVVDLTRSSPSRSLSDNDLEHEMIAIPSADSNEGSASE EATGPGVPGGSAVVADSGEDNSEEGGGSWQTAESEVDSGEADFILHLWDKNEGEKYRN TEAQRRIFENTVCDLADKGYRFLCIDKTGQAVTQSLHKLWEKALDTKLILACPKVDSG NPAERVRDIQGYQKIPQIRALGSSHKVHIFENHGARVFLEITRLNDLLNHPSN TSTA_084400 MYSYAEYMAESEEIQVHFEGNNRPPYMMMYNNLAEICAEQYRII DQSLDAITLASVINHMPKLKAIDITFRSPAPQWLRPLLYRGLILDNEGSFRYHLKTIL PALNALGGHTLKFRNLQLPKSFLRRYPHFEHISKSIPHVIRISDAIRYERADMVSSDN WICRSAFGMFLAYHTGSSTPLPVGAILHPSTDNGERGCAFQMWEPGWCVRVQRRASQ TSTA_084410 MESRDASVIHPSNTTYRGEKTMDPIENDGSVLAALETLENAEDQ EILQYRERLLNVGNRLLSLTKQTSSVPDSRPRTAADIFRIVIQEQPQLHKFLSDDPTS AIRTIRTYDNLQITSFAADDQLLLGHIFDLRNWIWDYRARYLPEVLSKSMQDIPLKIP PQQGKLKRFIHSRDLPNNIYTRLKTGHRCWYIEAKAYQKTNNMIPNNSENNLAGPGSA RPMWELVKAYVTRAIPTSEKPRYDEKRNERY TSTA_084420 MYTEEYEIATALLADLFWGPRDNRPLIEDKLEELNISIGRRNER KGIPEEKGKIDIDYFRTVATQLELCCAVTNKLNGNTMLFRSRQEAMKREIRRRHYPEE WNLREDDFLEFASAVARGNITLDTKKEPHHGDESPTPAEETGEEQATQGPKASKHLIP VSQVEEEIEREFGVPIQQGVVEAWCYDADDACSVVVVYEHAGSCIARFENLQETEFNE DVPCIQDLSRAHGESRWTRTQIQGYGLVAWRVEDQYQNDPTAIIRPVDGAYYPETYIA VLWDDDHWTWESRDGLRLLMEGSMKADIILYKMATTFESRYQQKMTGNLPEYPMKLPM VNTYWRNIATSPAQNDGENAIKEGADVEYGMEGEFQKSEMEGGSCSLLRGLEVGTDGL IFDEFNELAGEVVEGDLEYVVGCVVNEYNEILDIDGAVVGHAEVISPTPAQKARHPAP KKVHFLKDEKSSLSLKSRSSIPLKKKSQAKALAPGNPGRLTVQTYPVHNVIFQVHTAE PVFKARDFSSSLISTSEHVILHRSADSIFKSDWSHVTAYPMTACFTPAFMHRAAENVS MAGKGYMIWNCNRPLPGETIKSIQDAVERDKLYGPLLDETKATYNCADDWKKHREEWK KIIMDGSRFEIIITASLPSDRAEPYFWQGPLVGRFVKNRSLSRFRKAQPCPESIAFLK PLDEALTPANGMFKIYPGSENLQTEEELRASGISAETVSIGRNQLLVIGPVWVETRDE GRAVFLWAGYSTNLIGIDDNKALDFIQAAYKGNAVD TSTA_084430 MACKHISWLEVVEDSSGERSIVNTTRLDRIIQELPNSPNQYPRL VHFIGTKNKDQALRNVFPNNNFGRKYEKGDTNLRVDNTTINTPTPLLISDSNPFRGFT ASSRAQCHVNHTHTFTWATDSASISDVIFTRLLFPFSDLICIFADDFASLESVALRIS SWMSSHNPRQEMKSQLLIVVSETGPLDSLRDEDFRLMLHSNGRDPGEMFSTIKIFRLA GEYLSPLARYQRLKDRVYELTSRRYITRKEMGYDFSFIHFSAFFDSAILHTAENNTPF NFIAMSRLGRQSMADDMGHVRRFLELALGFDGPYEAIALFIASCILVDAYPRRMHYFP PRTILDFVYRDKLIAALSSNLTAGCIRFIISQIETNLIKFGDEIKSGKTSLELHIRNM KELAYFFAPYRTNTSCLFCLARPPERHLSCGHAICDICIRRNGKEVVGRQDRYLVSCS FDNGKLTADLKPKTAGVRMISIDGGGTRGVAPLAFMKMVEDSMPGCPLHEQIDYAAGS SSGGLIVLGIFYKQWKPQKGKQLFLSMAERCFQRPKSAYECVQSTLRWLISDGFYDES KLESILQEMFPGRLFDHLPDTISGTRFALTATSTGNSRWIFGNYNAGIFKSRNADYDL VRVDNVQEEVYAWEAGRATSAAPVGTYWDGGLVFPDPVKLAMFESERLWPESIPDVVI SLGTGIQPKTKNDRNSLRRLWEGFMDMLDGQSHSRDTEKALERYIRGSFFRLNTMLQL PIRLDNLKDLEELSRTVHISPKSRRDTASAALALLLSNFYLSLDRSPRFELGLYYYSA SIRCRTDCKAIISALTHLYPMPKKFVTESGALGELCCDDICESCYRYRKQVTIVVRHP DDYVTISVKMDNGIERKISGFPQRMSWFQKQEGLNNKFGSEDHDIPGAFQCSICDLHS LPQKRIACASDALHQKKRVRY TSTA_084440 MEFDERFIEDLLLSYEGDLFLPDPEELGNFDTPTSCPPSPHLGA TPAIDDVPDLLLDYPENAESQAALFERVQKLEEETKQLMLMI TSTA_084450 MSERPADSTASTPPAEFQAPGPSPNNVPAPGGNKPYYPEEEFLI LGLRAGGLSWMQICIVFNQSVPEERRRNRSAIKNKHRRLKESLQASQKSQPSLSQQSN IFPPLPNAERYGATFPYNLYDPALRWPSEMIPHSQTQNRNPSTHTDGNASLVRFGGRI KLEIKDSKSSKKAAMRNSQDKPTSNTAMTPKTATAPNPQMQNAANPAPYAPYPSRNVV VPWDLVRDLIQREMNLKDHVHRLEESVNRLGYMNQQERMAYNQLAEEYDHLKVSYTEL VEHKTISKPDEYSDGSFRVPVPAAPSNFEKILNYQ TSTA_084460 MDSSRYWPPPNGVRSRRALENGDFTARNPETGPYGSSLKCLPRE RSNYMRWWRQATLHMEQLLWGDHSERQSAKERIDQVNEEIRAFNITCNRNEECGTIDG IDWIRAGRQLDLAVRDKCFYNSTARFEDVRDFIWERIQNRTYPEAWEVTWYKFEDKIR KRSSNFENIRNGNDLDIQDGAYRFYENPMTSSPSVNTPSSSIPRRRSARHQNRHGPLS VQGGGISRRGGAELRLSHRRHRLRLEELETQLASPGWKKMETLR TSTA_084470 MANARRATGVRFIDQKIDDLEEIRRPANRSKQSARLYSKLYDEA TAFILSLYWDRDIEQYIDELTRINQSIKEQNRRNRRDLAEGLIDIKFFYQMRPTIEEE ETYHTIKEELKMNHYPSNWAPTDPTLYREFNPQSIAVGPSNDHDSFFDGNTDDDHGGT AELDNVDHHDDSEHDGEHDGEHDGEHDGEHDGEHDGEHDGEHDGEHDGEHDGEHDGEH DGEHDGEHNSEHDGEHDGEPDDEHEQDNEHDEEEYDEHTGLDYDADYDTAVTDGEVDE ELSPPRSDRLFETSFTSIDDIILDVAENFNMNLDSGDIIAFRKYGKFGYGVIVHYGTG PYIARLEPLGRRSLPSIDIAPHLQEQYRAFLKNGDRYIWKRSDIAGFGLVAFRLDPGY ISDPTVTLRPMQKAFYPETYIEVVWNDDQSTWESRDGLRKLWNAKNPLEADTLIYQLV IKQESLYQEALTGVRPNYAVGQNLQNQHWRNTNAAGKAYYAEPRARKDRRVTAVVMED IHDSEELWDEEDDLTESDYVEDGFVEGWTSATQDRRTQSVPPYRGSRETPARQTPARQ TPARQTPARQTPARQTPARQTPARQTPARQTPARQTPARQTPARQTPARQTPARQTPA RQTPARQTTARQTTARQTPARHTPARQTTARQTPAPVAGTSSLRHNSSRPTRRETVRS AVSRSDQQSTNIQGREVDERAQQAPDRRSPYFDQNDQSVRRRSDQEIPSASTEPKRPQ QKRSPYFTRSRARGNQALPPENEQHNPRTTPPGPARRSLFRTQSTAPQSALRNPATTS RSQQSSSRQTPATTTTSKSQQSSSRQTPATTTTSRSQQSSSRQTPATTTTSRSQQSSS RQTPATTATSRSQQSSSRQTPATTNTSRSQQSSSRQTPATTATLKSNPSTRSGTSIFS QRTLSTQHSTPDEDWRKQSPSSDNERPVKSRFRWV TSTA_084480 MDYARYPFEYFLNPMAAGGFESSFAQSNSTWLPHSSTQVHDQDP RPASPIQFAIEPWQEYYETSVGTDINEDVFDAQAIIKAFTSMVLNLVQMVQTSNLRLA VRALKQLSTILIHNANLLGLFEDHPNDPCKRQQNRDLWITFNICWLTTFQKQKESSQE NQILDADEMEDMGDFLIHLCDGLEGYGLVDYEMGVWEEEILDSTMRAIPPPMPIDTNK ITSHWPMP TSTA_084490 MSFPICSSNPIHETAVEKCWQPAKANRSRGFPGTTFQKQNANCH YSKRAVCDTCHQARVNCSRGFPCTTCQKQNASCHYSKRVACDTCHQAKVKCPGGFPCT ACQKRNESWPACDTCRKAKAKCSRGFPCTTCRKRNANCHYSERRRKSPSSVMKEVTVQ ETSSAPALFESNLSILPSGQPNLQDPGMMGHTGDAIHPNYLMEEPQLSGEKFMYDSEL PYPYVGVNRDSTARCFQELPTADQKYSYHILSTYEN TSTA_084500 MDVLSALKVIHNASDEELKEALASESIHDFIFETLGRVQNLVVT TSPSTVTSPSRTSSLHTSSPPAPSPPISSPPHPTPENSKAISLFEHVERSIPWLLDFT KQSPASIIKKKGTRTWDPRLDDILRCGRKATRQEILFRGYAQRSFALQFIQEQELQGK PSRVEELCLAVLKLATDTDQSQSQSILNQKSHSIACFVKDYICIDSHHDEYGSALEGV YAGLRILVAERLLEKKLSQSLITAGLAAVLAVVARFSFASFETIRDLISCIVANPEIS PLRKKKSSTTEEPPRRILEILLELSPWSSDFQSCYTSLKTRGWAGSSNTELSERIQSS DDYCSNTSGSAHHQWSDTSSIATDLAEDDDFSQPLPKRPCLDHSAARPYRSEISVSQY DSFLGTPQALNLSANIAHRLGENGGDRSQLLNNDPQSIHVPTSLHMAGMEPRYTQLTP GVSDIPLDLINYSQSTPQAPNVSMGSAETQSMPGVSGIPLDLAQQTLNRYLMLQVTRL ARHTILNRCLVFQTFHWTW TSTA_084510 MALYDILCMAAKSYIDVLEMNEVFEEVDGRFDFSGTLIVYQVNS ELHHAFSKARYSSPSEVNAKHFRSDIRIPVSAYNPLFPFNLTRAPDSIPNDAFIKRPR LISYDRICEGPQSNLIAESLLREAYVCELLTEHMHPNIASYLGCQVSNEVNPNNFMKR KSRAMRQTTKDYSNVIAGIESGIRHLHSLGLVHNDINPSNIMLDGDQAVIIDFGSCRP VGESLQDVGRTYEWYDEKVEQSIPENDLSALEEIRIWLGDKSHEFQFSE TSTA_084520 MDISELLNPSPTSSVAYASEEVNSPQPVVYNPQPVVKELSPNTD QQKAGSHRTAREYSEEDLLFLWYHFIDLKMTWEECLEAFKNRFPEESPTTGSIKRLFY RYFKSKFPDKKRRRNLQKMAPSVFEVCERHYPWMPSSKPKVTNIAESPNPRPTSSAFE KSPPIIDLEKDDTLSK TSTA_084530 MHTERSIRSRKRPLSQQLSADASPNQTRKKRKVKHPSGSQLPAA FWDNLSEIWLTHNAVRELDRRNKQAPANVSPKRQFLRPVTRGLLRNIQKVANDGGLDL SELRGYPEPKMSSDRSKHRVQKHSLRASRSASRGSSASRSTKPSTTKSSGPYDRHFQQ HLIDHGIYPPTYEYPDGRIPSKPMNWGDIKERLSRHRSSLSPSNFTEEMHEKFVRADA HAFKEKQITESVISMIEGNNGDARCIAGGIPFRNLNHLTDGTLVPGNPDRYYGARPEQ LNRRIRSELEDQIVPSTQHDLPIAPNFFLAAKGPDGSASVAKRQASYDGALGARGMHS LQEYGKDEPEFDSNARTLTSIYHNGQLQMFTSHPSKSTTSNRTEYYMTQLRSFSMTDN PDTFREGATWYRNGRDWAKEQRDEATRRANEKATQNIIRSGAINTSFSTVCEVSSTES IASITEQSYFSFSGTNTIETHSLQSTRSLSPEPSQEE TSTA_084540 MSLTHNSYKVAWICALPLEAAAARVMLDKTHSPLPKPSTDSNAY ELGELNGHYIVIACLPAGVYGTVSAAAVVSCMHSTFPSLQYGLMVGIGGGVPGKNNDI RLGDVVVSKPVGKYSGVIQYDYGKAVQGGQFEQTGTLNKPPQALLTHISQLQAKIMTG DQEDVSKIVWEVLERNPEMKDRFAPPEQHMDFLFHSSYHHADKEDTCGKCDKDKLVKR QPRATRTPYIHYGLIASGDQVMKDSETRDRLAQQHRILCFEMEAAGLMDELPTLAIRG ICDYCDSHKQKQWQGYAALTAAAYTKLLLLGIPNNRSDIDLVTSSKIRHWIVSLARNL KFVGRQEEIVKLEELIMAQDRPRRIAITGLGGVGKTQVALELAYRIRDRDKECSVFWI PCTSRAMIEQMFLHIAQKLGLHNLNMAEVKEQVKIYLSSERAGKWLLIFDNADDAEMW FAPSHTVPPLEDFLPESEQGCILFTTRNRKLAMKLAPFEVFPIPDVDKETALKILEKT LAREDLLRDTTTTTTLLEQLAFLPLAIVQASAYIIENGIKLSTYLELLQEQEQDAVEL LSEDFKDPGRYKDIQNPVITTWLISLKQIQYQDQLAADYLSFMACINPRNIPEILLPQ PTSRKQKIEALGLLNAYSFIYSQGTGLGMHRLVHIATRNWLRKNSSFSHWIQRVAEHM QNVFPDNHYTNRGLWREYLPHALAIVHENEFVVQGDNYLGLTEKIAGCLASDGRYQEA EILYKKLTRINQDKAGSEHSSTLRSMANLASTYWNQGRWNEAEKLEVQVMETRKTVLG AEHPSTLTSMANLASTYWSQGRWNEAEKLEVQVMETSKTVLGAEHPDTLTSMANLAST YRNQGRWNEAEKLEVQVMETFKTVLGTEHPDTLTSMANLASTYRNQGRWNEAEKLDVQ VMEIRKTVLGTEHPSTLTSIANLASTYWNQGRWNEAEKLEMQVMETSKTVLGTEHPST LTSMANLASTYWKQGRWNEAEKLDVQVMETRKTVLGTEHPFTLTSMANLASTYWKQGR WNEAEKLEVQVMETSKTVLGAEHPSTLTSMANLASTYRNQVMETSKTVLGAEHPDTLT SMANLASTYRNQGRWNEAEKLDVQVMETSKTVLGTEHPSTLTSMANLASTYWKQGRWN EAEKLFVQVMETRKTVLGAEHPDTLTSMANLASTWKFQGKLQDALSLMDKCCHLHSKV LGPSHQYSRSFSCTLSDWMDEYNALPKQTTLTRKECPQALREVSAGPPAAVVTAQLVR EEHINLPYTQRRSAAKLLLRNHPLIIATRTPSPAPEDQDLQDMD TSTA_084550 MKNEGSGLEDAKVSVITPIWRNNPQERQVLGKGDRQFPMNAKRP LRVLTIVSNKSPAWEQSPEGIIQFALRVIAENNLYDVTEISVSNTKLLAIQYYRVRKM FVFDISNESYDPAKGHLPEENELPVVVIHLSNRNIASRPHPGECARINETVRYLHDAN GFGSTPPFVENHTSGTPPNYPNPRSLRRSGAP TSTA_084560 MTESKPNRQLHLTAFMRPVSLHTGAWRYPGSYPDANFNFKHISQ FAQKLEAAKFDAFFMADHLAVLNMPVEALKRSHTVTSFEPFTLLSALSAVTEKIGLAA TASTTYDAPYHVARRFASLDHLSGGRAAWNIVTTGNPESSKNFGFDEHMAHTDRYKRA REFYDVVTGLWDSFADDAFIRNVETGEYFDPEKLHVLDHSGDELKGWPVIVQAGQSEP GRQLAAETAEVVFCSPKDIDAAKALYADIKGRVEKAGRKREHLKILPAALIIVGESKQ DAQEKRLKLDSLVHYDSAISSLSIALGVDVSHLDPDSLLPEDLPETNGSKTSREGVEK LAKLEGLTIRQLAQRYGGYAGLAFLGSPSEIADEMETWLKEGASDGFTCTFPFLPQGL EEVTDKLIPELQRRSLFRKDYAGSTLREHFGLPRPENKFFVGA TSTA_084570 MAGVSMRFLLGLVLLLTHGTAAFRVDKSLRPSNPNETNEKIKRS SLPSNYTTPDYYPTPNGGWDANWADAYAKAQKVVSNMTLAEKVNITSGTGFLMGPCVG QTGSALRFGIPRLCLQDGPLGIRNTDHNSAFPAGVTAGATWDKDLMYARGVAIGEEAR GKGINVQMGPVVGPLGRKPRSGRIWEGFGADPSLQGIAAAQTIQGMQSTGVIATLKHY ILNEQEMYRMTDVVQVGYSSDIDDRTLHEIYLWPFAEGVRAGVGSIMAAYNHVNGSLC TQNSQILNGLLKDELGFQGFVVSDWYAQFGGVSSALAGLDMAMPGDGAIPLLGDTFWN SELSRAILNGTVPLERLNDMVTRILATWFQMEQDEDYPLPNFSTNTEDATGPLYPGAL FSPSGVVNQFVDVQGNHSNIAREVARDAITLLKNVNQTLPLSTNASLSIFGTDAGPNS GGLNSCSDMGCDNGILTMGWGSGSARLPYVITPQQAIHNISANAQFHISDSFPSVSPS SDDIAIVFINADSGENYITVESNPGDRTTAGLNAWHDGDDLVVDAAARYSTVIVVVHT VGPILMEKWIDLPSVKAVLVAHLPGQEAGNSLTDVLFGDYSPSGHLPYTIPHMESEYP ASVGLIDQWFSQIQDQFTERIYIDYRHFLQANITPRFPFGYGLSYTTFNFSDATLAAG ASLTEYPPARPTKGPTPTYATTIPPASEVAWPTNFDRIWRYLYPYLDNPAAATSTAPY PYPTGYKTTPQPAPRAGGAQGGNPGLWDTVFTVNMKVTNTGMRSGRAVAQLYVELPED TLGVDLPPRQLRQFEKTSILAPGESETLSLPVSRKDLSVWDVVVQDWKAPVNGQKVKF WIGESVALEDMKVVCTVGQGCQSA TSTA_084580 MNRTDDDAKPSFRYTPITFKGPTTPVQVPPEAARAYIAAYFKHV HPIYPFLDRAEFEQKAFSYDLIHHLSSNASFSALYHTVLALGTQYTEGGSFDAGQGKA WKLYQVALGLFSDILLPRESLVNLQSIFAQNLSCIQIEQTLISEAARMATALGFNRST MNDSAHRNFWVVYILEKTFSFFGSCSSSIRDMDIGCPIPEIPEAVYGGVDWFYLSARF ARLVSRAYEMLYTVSATTKTPEEFYESIDIVYQDLENWRLSIPKDLRPGDTFRPENCK SPWTITVSLRVHFFYSSVVMSLCRLTLHLGSDTESPRTEEAKKRLMHTARHIIELTHY IDLQPHTPIWILGVMPLSALFVLFDFVVHNPYHPESSTNLKFLDVVGAYFTRLEYAMG GSLPSSLLAEFALIARQFIRDIQLGKSIRNSNTNRTTPYQLHTREGQNIEPSKPYDQV REPNQGLIYPPSQIPQVEQMATAPPQLVNGGSMSYVEQLFYPTDDLQPFVTGDLGSGF DMTGLFDSVLPNFQF TSTA_084590 MKQKDTGSVYQNPFDDDHASSMSYVNSVHGSQERLIRPAETETS TPPSIPASILTLPEHEQDLIHRIHQLGLTECGWHHGGKSGRSLNRLERDLIQIPFRIS LSNELSEFERLVLLRLHTNVPDHGINPLSLANHEQWAFISTVRKWLADQKPKPKPILK RILSMRK TSTA_084600 MVYSVSFVAFTIASVFIQWLFKLFRPSQDEKVTEKSTALDVTHK APYPCNAIKGNQKFRITMGLRRLDDWNWLTVDKNYLKEHEVRNALLKNQRSQVIQCLP ESKIACTEALEVVAEFLCERYPAMFHMEEEGDMKKIHNRKTGESFLVGDENDTMDPLE TAVRLTMEDLSILMKNEEGEYYLAASATLFPVGWTVQERIGWTISQMHDPVPEWKDKI GHSVNKFFCRLTPESPMERSNYFLETREPDEGLGDTLFRPMGLTEEQPGLSIEDILLR WERQTFRRLPRTGALIFSVKTTLNTFDELPVDQLQALATEIRSWPEDMAKYKGRDVWG QRVLDYCIQRSIVPA TSTA_084610 MTSRFKQFGFSSKRKNSNNPPPPQTTPPVNTYVPPPASTSPPPP STASTTSLPMNAQNPSGRPPSYTYAGRTSPMPPPPLNTAVQPSQYAQQPAVANPPGYG YQQPPPPSQQPQPTQPIHQHGTPSALGHAQGLRNPAAEVDGTNRNKSQLIVGIDFGTT FSGVAYAFATNNEAREDIITEWPGAGTHTKQKIPTVLYYDQYQKVVGWGPDIADALAH TGYPKQGVQKVEWFKLQLMLSGNTYIDPINLPPLPPGKSEIDVAADYLFKVRQAMRAQ LQKTLGEVFNREERNIRYFLTVPAIWNEAAKAATRAAAIQAGFLRDENDNRLTLITEP EAAAMFCAKTGLLNLKVGDAILIVDCGGGTVDLIAYEVEEENPFTVAECTAGSGDSCG STALNRNFSNILRARIRKMNLPDGSRTAGRVYAKCIMDFENRIKADFRNNGQKWAVDV GIEADYPDAGIEEGYMTFTNEEILQCFEPVVNRILELVRNQIIAIQAQNRLLQNVLVV GGFGASEYLFQQIKLHVPPQFQSKVVRPMDSVAAIVKGAVTAGIAERVVTHRVARRHY LMATLQPFKEGYHPEQYRVPSLDGKDRCKYTRQIFVQKGERVKIGEPVKVSFFRQVAP GATLMYEDILYACDEDVCPEYVKDPRIKEVVTLTSDLSRKNLEKDFERMDTPNGTFYR VYFDIYLTLDGSEFNAELVCQGEVMGRCTARFK TSTA_084620 MSELEHLEADQPEDAQSWQGDFDPFADDEERRVLFAAFDSFRLY RRTAHFNTTHRRRQSFYALPSTHWRMLSEPPFSLLNTFNQVDDAIDANADIADEILAM GLQSFGLSADPDVQDPHQNWHGTATSADVGKAYSTIRQFYRDWSEEGKREREVCYDPV LAVLNQSFGGSSRPRSDYRVLVPGAGLGRLVFDICAAGYSAEGNEISYHQLLASSWIL NHSRGAQQHALYPFALHFSNIVSRQQQLQKIMIPDIHPASAMALAQSSEDGSSSFGNM SMSAADFIPLYSGESQRNTFDVVATVFFIDTSPNLIRYIETIKNCLKPGGLWVNVGPL LWHFENEKRRTTADHENESEHEVNGGEHALTVSNDGIGEPGNVELSEEEVFHLVQRMG FTIEAQQAPADRPYCGYIQDPESMLQNLYRPSIWVARKNDTSE TSTA_084630 MATTSEVLSALQFETASPFFKPMELSFPLPKTPHTIVHVHLTPM KTSTMVFLSTTTPGDSGSTMKPMGSFVYAMPDRTNPKSTISTVLCNSPGSIDYATRTA KVLALRTKLPVYVGCNIDPVATGTTVEEEMEGFAKIIDAIMKRWEEGR TSTA_084640 MPGSIPHYGVWTLKQDPKSPHIYLYFTDSSDSSSSKEIEAAINV RSTDKDSRLVFWLTRNFSNDVTQQLDGLSQSFHLLSTYAHCSDRAHSEHIHSHNNSHH HESRHYNDAGAKLQGLDYIRTQGLVNIKSGSVLPTEASGPNNDIMDELEPILTDAINQ KATVYIFGSSYGSGIHDIHMNQGSLPSFENGIYEDGALLFKFADGHWEAVFLAFASQR IPTNDKGEYESNSQTLASILGQTDSKDQ TSTA_084650 MPSDDEIHSEAQLSELMQTVTRTSMLLELEATPSESGSETTTLR GSAVDSNENPDNNSNNVTHEAVHGNPSSYRFRRVASKTIVSFAPDDPENPHNWSTSKK IFVVISGMMQVLNSTIGSSLPSGAIKYIARDFNVTDETKLVLPISLFLVGYVLGPLLY GPLSEQYGRRYPLLIGYVLFDIFVMACALAPTFNALLVFRLFNGMAASAPIAIITGTF SDVFDNPTVRGRTMAWYMSCTAVGPIISPFMSGFISTVSWRWSFWLGLLIAGTSCPLV ILYPETYGPVLLKSRARELRRKTGNMSIVAPMDLTPHDLRAVLTVTLTRPIRMIAKEY MVSLTCLYCALAYAIFYLYFQAYPLIFEGIYGMSTGIAGLIYLPIALGCFLACGIFIW YDGFLARAKARGTSWAQNEDYRRLPLACVGGPLYVISLFWLGWTASPNVHWAVPMCSG IIFGLAYELIFMAMLNYLSDAYQVFAASAQSASSCCRSILGAVLPLAAKPMFSAVGID WGCSIIGFASLGVSVIPFGFIYFGERIRNGSKLMQHLKALREEEQRAWEAEQHGLQRV QQGTGDIEKGLQATVVSR TSTA_084660 MTLTLLPVLKKFGSFEMKNNQILAAASLLFTTQALAQNEIYSGS GFGTYYYDIVNANQCYTDFSNANLGQVECSLTQVWTLEDVNSDYLVAMNHTQLVEDMG KYCGKRVIVSVNGVPSDMPLFIGDGCERCATGSSTSTTWQPDGAPGLDFSYSVAEKLS SQACNAGHIEISWEIVDELVYQFDYDGSGGPQGFVTTTPAGPTTTKATTTSAATHTGT TTKATITKHSTTLKTTTASPTQTSAPCQDNVWQCTPDGTSLEQCIGSTWTIREVCPSG TSCHGTSNPYCA TSTA_084670 MTAEWLLFLTAAGSILGSASGLPTWPSSVDELEDIMFLNTGYQA RAFPADITPCSFSPDGPSRISSAEWLRTAFHDMAPGNAFTGVGGLDASLQYELGGDGG ENIGTAFNNTLLSFTPFFSSRASLADLIALGVYTSVRVCGGPTIPIRTGRIDATAAGP IGVPLPQNSQYTFIQQFSRMGFNVSEMIALTACGHTIGGVHASNFPEVVQSGTVPNDY QHFDSTTKFDEKIASEYIGGNTSDPLAGPLAIKNTYDSDMAVFTADNSTIRLMADPEY FQSRCQVMLQRMIEVVPAGVALTDPIAPYEVKPSNLQLTIQPGGTQLQFTGEIRVRTT NLSGDISNVQLVYVDRDGASTCGSCVIGTQYAGTANGFDDSFVFYSFSATLPAKASIS SFTVQVTAGGNTVTHNNNGNGYPVQDNILFQNPQSCIAGSTDSNGNTKMTVTAAVRNT VTSGTSTLSVEVKTPRSCCVVPGLSTVNVTMAKTGTIGEYDLYRGSYALTPKQITTSK FSVSIGKFSDSFKSTALTGSCSELSGSSPVAYSYLGCYTDDVNSRTFPAATTSDNNMT LTECSNFCSGYPYFGTEYTTQCFCGSSLSNSTTEAAETDCNMLCGGDSTESCGGPSRL SVYTNNKYVIPGGTTIPGYQYLGCYNDTAAARSLSGTYTYNSKMTVESCATFCNGATY FGVEYYSECYCGDTLAADSTKQSVTDCSFPCSGNSSEFCGGGNRMNLYQLGSTIVTTS SDPVSSSTTPTTVASLPTTVTILTTTSTAASSSTSSTIVSSSSSTTVSTSAMLTSDPP PSSTSVPASLSATFSTTGSTSATTTSDTTTATGLPAGWAYRGCYVDNIGGVRSMLVQE NDNSTMTIESCISQCISLDYTVAGMEYSQQCFCDNYVRNNASLATSDSQCAMTCAGST NEICGGPNLLSVYSNAEITVLPPPTAQTTDLPGSWVYKGCLQDNVNNTRTFPYMITYA NNNSATACLSRCQEYGFQAAGMEYGEQCFCGDTFDIGPDVQIVPDSQCNILCTGDTFH YCGAGNLLSYYVWEGDTPLYDWTYASGNDAGLYQFLIGGVVVPLMTTVNINGKVTFLE KWGTGPPNTTGAYELDLFYETDFDKAWRPMHVKTDIFCSAGLVLPDKAGRQLTVGGWS GVSTEGIRLYWPDGSPGNPGINDWQENQNELTLQDGRWYPSGMIMANGSILIVGGETG SNGPPVPTLEILPKVGPTLYMDWLQRTDPNNLYPFMGVLPSKTILAAYYNEARLLDEA TFQTVRTLPNMPGAVNNDLGGRTYPLEGTMVFFPQYAPYTDPVRVLICGGSTPYGGDA IDNCLSIQPDVPGQNWTIERMPSKRVMTCITPLPDGTFLILNGAHQGVAGFGLATSPN LNAVLYDPTKPVNKRMTVMANTTIARLYHSESVLLPDGRVLVSGSDPEDGTHPQEYRV EVFIPPYILSGAPRPAYTITETDWAYGGTYIITVTAGNIANLKVSLIGLISTTHGNSF GHRTFFPAFTCQGNQCTITAPPDPWTSPPGWFHLFILDGPTPSHSSFVRIGGDPGELG NWPDLPDFNVPGV TSTA_084680 MPSLFPSRWSFRGNKTSKEDGTPEESTSQRDSLPVSEAGRSIRG QSYFDRRYTNNGIDDVAKYKAMIKFFHVRLTAYQWLPSPIHPQHSSTGVFLRRSRGVY MSEPEDVNPVLVAAIQRINATVAFTMTTETTSIITSQLAPGQTELILPNGYQVQIIES YADIAGSHSNMVKKYQYCALIREEHLLLVWNDDLNAILSHAADVEAKLLSLIWGSPVP TFNLQLTPLATPGESVVASPNDSSYHLALETPRESQPAAEDSGTSRDTSPRRMLNEEL KHPKESLERPLAVTSAIFVGMAVMLLVILLLGFGISNLLLEYSVDGGAMRFALTATIP FFLLFSIFFMIVIFTDIFQAVGPIKTLKTNTRFYSPIAPDLKTAYSLGFTPPRVTIQM PIYTESLEGVIKPTIKSLKTAISHYESHGGTANIFINDDGFALLSEEEKRERIDFYHD NNIGWVARPKNNEGDYIRKGKFKKASNMNFALNVSNKVEEELIRRMAPKLEKSDMVDP MEEELVYREAFDHVIQSDPRIRGAGGDIRVGEFILIVDSDTRVPADCLLYGAAEMFLS PEVAIIQHSTSVMQVSRDYFENGITYFTNLIYSAIRFAVGSGETAPFVGHNAFLRWQA VQSVGRPDDGYVSFWSESHVSEDFDIALRLQIQGNIIRLASYHNDEFKEGVSLTIYDE LSRWEKYAYGCNELVFNPIHTWFYRGPLTKLFMTFLWSNLQLSSKITILGYISSYYAL ASGFPLTVLNYFLVGWFEGYLDKFYMESWKVFLSLLVVFSAAGNVCLAVIRYRLGEKS LLSSLVENFMWMPMFAIFFGGLSFHLSLAILAHMFRINMSWGTTAKEKDDSNFFKEMP KIFKSFKWMYAVVIPFFPAMIYLACFAPHGWTITEVGAIVPMSVTLASHALLPLLLNP SLMVFNY TSTA_084690 MDVRNPFSDSAAPSVVASVASGATHSAQDSIGEFPFRDSTYTRA PVKRRFKSYLLTGEYERPWVNDKRLKRIRVNNYIIWGFLVAGLAVSGYINYHATTQVP KHNYCLILDDDFSSLDTSVWTREVQVGGFGTGEFEWTTTDDRNVFVDEEGLHIVPTLT TDTTPITAAEITNGYTLNLTKAGGDGTCTGTTNEACSVRSNSTLGNVINPVRSARLNT NGSKSITYGRVEVVARFPAGDWLWPAIWMMPTNSAYGPWPASGEIDLSESRGNDISYV NGGRDVMSSSIHWGPNSDLDAFWLSTRGKSLRRTDFSKEFHTFGLEWSEEYLFTWVDN PLQQVMYWSFPKNTNMFQRGRFTGRTANNSLVTDPWSHTGRPNSPFDQPFYLILNVAV GGTNGWFKDGIGNKPWTDAGHGPADFYASMDQWYPTWANGSSRGMTVKSVKMWQQGAC P TSTA_084700 MAVRQQPKQAKQAHVNMMTDTVIGSLTPDGLRQIMRSLLALEPG LTPVFELATREYIRSARTPLMPNIFSTLDSSNIPLVNAQFAAAQARIRCMVGCGLCYQ SLDLLRDWVEYFRYIGNNSSVNNDIAGEFELNKKIASLDADIVQAATAVQKTLAVETG FRELAPWEVPPVKELYDSIISCRQDCTLCTEIDRPPFDRGMTSLACLLGCHGPSEYSN TKFQSLSIPLKAEETFLIGNREVPRIFSGLWQLSSPAWGSASAARIAEHFEKTIRKGF TAFDMADHYGDAEIIFGRFRASYPYADKIFTATKYCVFHPSKVSREIVRANVTERCQR LQASKIDLLQFHWQFYEDPSYIDALRYLQEDGRVDNLGLCNFDTEHLLKVVNSGVVVQ SNQVQFSLIDTRPTVKMGQACEEHGIKLLTYGTLCGGFLAESWLGKSEPGLYDDDITP SQRKYYSMIQCWGGWDLFQELLRILKPIATKHNVSISNVATRWVLDFSYVGAVIVGAR MGVSDHVDENLASFGWSLDEADHQAIDAILEKSKNSGIFETMGDCGGEYR TSTA_084710 MNAAANACLACRRVKMKCCLRQGETICDRCMRKSLECVFQEHRR GRKPGVKLARSRTSNRKTRNSSIDETTPAATSSETNDTGSTSLQPSGLLNSNAIRDGR FSLLNILANTDNPVEKEEEKEEEALFIPAQDPIRLGLINESIAGILYESFINVLNPYI SQLDPNLHTFTYTRQKCSFLLSAVLAVSAKMFNPALYKPLLRHAEDLFMDCFRRGAKS TEIAQSILILTYWKEPDDTRTWVNVGYVIRMVMDLGWHRFGTRPPSIQGGVATASETD LRKTRNEERTWFVLFVYDRSISLQTGKPWMIERNKFIEAIDAWCRDPLAIENDVILGA FVTLRLLTSEVFRLLGSWRQIHSSQNMGSFMIMISNRIDEWEDKWLPLCAQDSESPHY FLIRFYGTHLRLQLHALPLQEILDPNSEHDITYHQETLWTSLSSAVAMLKLVAQYSSR LYFAQDSVHVMTAYSAAFLIKVLLSAPPNIAADFEPTVIETIRTAAVTLSEQSPPLGS SCFLQQSYLEKVLSNYDEAVKKRNESNNNQTENTGPAGSNIGNKNHQHSSAAGEFSHN PLQPIHETSGIPGSMSFLDPMHDNAGFLGPLETMDRSMVDNNGAWTNIFSNAGLTVRD FFPSPDGSITYPVRQVE TSTA_084720 MSQDDAFQLYDLRVEVVCPPGERILCGAKPGDYFILQGEMMYLP PNQGISIYSLSAVLPLLPAKQRITHKNDWMTTDALIACPDPNCPSQLKITRQGIRTFS HAETTVVPLQQNTNTQT TSTA_084730 MSKTKHIMMEEPKGFDSSYHNAPDMETGTESPVEAPVGAMGEIR RDLKSRHINMIAIAGMIGTGLFLRSGSVIATAGPAGAFIAYIIMGLVTAGVSYTTGEI TSFMPSTGGFVRHATKFVEPALGAATGWNFWYTMSISVPAEISAAATVIQFWNSSINP AVWISVFLVLIVLLNLCGVRFYGESEVVFASLKILLIIGLIIGGLVIDLGGAPNRDRI GFRYWNDPGAFNTYIDKGATGRFLAIWSVLISAAFSYGNIQVVAISGSETQNPREIIP AATRKTFFRVFFFYVLSIFIVGLVVPYDDPKLQLSTGTAQQSPFVIAFQRSGVSVVPS IINAVVCTSAFSSGSACIFIASRTLYGLSRDGHAPKFFQKCNRFGVPHYAVGLTCVLL PLVYLNVGQNTSVVFGWFVNITTVAGLIGWMVIEITYLRFYYGLKAQGIPRDELPYHG PLQPYNAWATLIMVFLVVFFSGFEVFVRDNFTASGFLTRYLNVFIFIALYVFFKLWFK SKVIPLSELDFQTELQSIREEEHCQQHKNELEARIIPIFGISRPRWKSQGDRVPYIWP ITSFTASPPFLQGTSTKRNAYNY TSTA_084740 MDALLNCFLAFSKQKQNDWKETEEQAQNIEKEPSWNSPEDNICM LEAFEWYTPHDGRHWKRLQQALPELKDIGIDNILLPPGCKAMNPSGNGYDIHDLYDLG EFDQKGTVATKWGTKQDLVTLAQLAEQLGIGIYWDAVLNHKAGADRKERCLAVTVDPE DRNIDLTKPQEIEAWVGFDFSNRGETYSKMKYNWQHFNGTDYNDIDHKSAIYKIFAPG KDWAKDVSTENGNYDYLMFANLDHSHPEVREDILNWTNWIGAQLPLRGMRLDAVKHYS AEFQKLLVDHVRRTRKEWFFVSEFWSGDVLEIQEYLKRFDYKVYAFDAPLCQRLSAVS QTRGADLRLVFEKTLVKCEPENAVTFVMNHDTQPKQALEAPIPPSFKPLAYALILLRK DGYPCIFYGDLYGICSSVPAKMAKQKPMTQSRIPKELQGLPAMILARKLYAYGEQQDY FLQRNCVGFVRYGNARHPAGLACVMNNGLTAINLRMHVGKRHAGERWSDVLLDNNQAL GVEDLKNGKDNEKTGKIGKRRVVQISSKGYADFPVASMSVGVWVNEAAEGRERFVGMN L TSTA_084750 MEEARLDHEKPLGNPETPSDQGEGLTSAVATAEIPPDVSDTPQP EMHTEDAMEGHSAPSTSSSRRQSETERYLQDTVEEDSPAGTKSSSSRSSLSSIPDSVL VHPKEQSVYGRDSIGEMYEEQEEYRSYGSPVHQKIRDRNSPFRHPSSVKAILMQTEDE EDEDIMSRRKSMFRGSPTSVRSLGSPLLRRQGYHSAGGTPKRSPIKRENPLVLLHCTI IQPTVVLAPGMTLPDRQILEKVLPPLYWRRWKLLEEKIIASGLLRDRGLLIQHPQEDY GLLEERLLESLELQKPRLENGHFNNHETSESESEDISENPQDSREENKGACVDCGCHV NHRDNLRKWDVKFYAANGLMREGAWTAAWKEIERVDVQVSLWLPYEVKFEVEQRIKEE NLLAVEKEQIQVTKPMVNQAEPNRQTRITQEEIDGLGGGVHDRTKSPPRHEPQKFERD IPAYDHDPDLHTLIIKSLRVLASDRRNIITAVSMLIAVLAVVFGGRPIRRTHGSDVVQ FGDFPAVSTHVYLTSSTSVLTQISTEVPVSKSESCVERLGQVQRVVKGVEEVVPSVDA VVQPVEQPHDEITVVETHEQEAIPVPAVGTHIGIIEPLTETTTAEVPENTPSIDRNES VDKLPEATHVSAAAAENNDSAIGQGDDSCITQIENPAVIQDDDPVAAQEYEDDEPATI TSAPQHNDSTGTQDDEFTITRIEGPAITEDDSRVPAHDDKADEPAIILNAPQHNDSAP GQDDNYSIKPEKVTITESNEPVVAYEDDHTKLQIDINETV TSTA_084760 MAASASVPALEPKKLSGLDLYSRFAFAGAVCCSVTHGALTPVDV VKTRIQLDPVTYNRGLFGGIRQVIQNEGAGALLTGFGPTAAGYFLQGAFKFGGYEFFK QQWINQLGYETASNNRTAIYLASSATAEFFADIALCPLEATRIRLVSEPTFANGLLGG FSKILKNEGVGAFYSGFGPILFKQVPYTMAKFVVYEKVSEAIYGVVDKNTLSDGGKTA VNLGSGLIAGFAAAIVSQPADTMLSKINKTKGLPGEGTMTRLFKIGKELGLRGSYSGI GARLFMVGTLTAGQFAIYGDIKRLIGATGGVEIAPAK TSTA_084770 MAQPAYIDISTSKWEQVSSSLIPAEWRLDDKYIPRGMRLSPSDS VHKFNEFGDEYETSLFDIPRKCGILTAREVRITEGYDVQSLLKEIAEKGLSAEEVTLA FCKRTAIAQQLTRCITEPLFSSALAQARQLDDHLARTGQTVGLFHGLPVSVKDNFNIK GVDSSLGVAALSFRPATQNAALVDLLHSLGAVIIAKTNIPQTLGLLDSVNHVFGRTLN PSNPQLTPGGSSGGEGVLVAMRGSMIGFGTDLGGSIRIPAMCNNIYGMKPSVGRISYE GQTGFGLGGSLHVALKPTAGPIARSVQDIDFVMRELVPRGEVFANDCIPGFWPSTSVQ SMKKLRVGILRHDGLVTPLPPITNILNEVGRILANSKIVDIEVVDIPTPPIMKDCFVN AGRLMSVDGSIPMLDLIFSTDEPLVPSLKGMSRRKPYTIEELCSMNAQREKIEIEMRK SLWSLPPSSASGQIGHVDAIILPVAAHPVPRHDQYGCVSYTSAFNLLDYPAGVIPVRK MTEADLRLEMNPGEKVLSRWDANNKKLWQGDRSVYLNSPLSVQVVTPKLHDYELCQAM SIIDSTLKDHYGQKEGNRAML TSTA_084780 MLDLVMNLTTYIAIVGLVIGIYILTRPKSTSSFPLPPGPKPLPI IGNVHQAPKSHGWRTYREWSKQYGPIVHVNMLGQPVIILSTSEVVHDLLAKRGATFSD RPRLFLATELALKGLNILMMNYTEQFRQHQRLQVSVLNSTSTAAYLPFQTLESQQLMH DLLENAGGAGVDVQGIFQRTTASIIHMLLYGFRIKDSNDPVLRTVIELNDEFSDFIKV GAHIVDQFPILNNLPGFLAPWKTKAETHYKTKYDLRIENFRRGLESDDWTISKHLKKT VEKDGLDMPMDELAFELGTMIDAALDGTTDSLIWFVVACITQDQGFIAKAREQLYTVV GRNRLPTPDDKPNLPYITAIVEEIFRWRPAGPEGVPHLNREEATYNGYIIPKGSVIIP NVWTISREEALFGSDPDDFIPDRWLEEDGKTLKALPTAVFGYGRRTCPGRYFARNVIW IVVAQLLWSFDIKAGLSEETGESLPVDPIACTYGLVMRALPYKASFNPRGPWVREVIA RDGDTYGTDHAIMLNEIGAEFA TSTA_084790 MDLRIHFPASANGYKIFAIIGHWITADFQPQHRFLDFQEIEGPD TGENLVSIIYKVLCELDIRAKLLSITGDNASNNLAIAEILYDLLKADYEQGNIQQAIR YQGEDSKLIQYDVTTRWNSSYCMLNDAWNLFDLLQEVQDRERNFKDFDIDIANAVKSA MTKYNKHYTLMDDSCDILYIITLLDPQFKKLVLEHELQDEAKDIITAMQEQLEIQYSI TYKPELSIASEEPGPSAAFQNPYKTIVQR TSTA_084800 MASEAAAQVQLKNFESIFSLEGKVAVVTGGSRGLGLHVASGFLQ AGASKVYISSRKASACDEAVAALNALPNKRPGAQAIAVPADGSKMAELDRLVAEVSKT TDHVDILFANAGATWGAPYDEYPENAFSKVMDLNVKGVFYTIQKFTPLLEKKATLEDP SRVIITASVAGIGIGTVGPHGTYAYSASKAAAISLTKTLAVELGPRHILTNAVAPGFY PSKMANGLMELAGGVEKLAAESPNGRVGHPEDIAGLVVFLSSRAALHINGDVITTDGG RLLGGYKL TSTA_084810 MAITISPTSPDDIRPIVCLAESAFPVLYSTLFDGPLKESTIDAL GEQRIKSQFRENEKSEGKGKPANRFFAFKAIDDSTGRIVGEARWMIFYEDEVLTKSIE EEVQDRLSLGVPQMQVQATAVFARLLNTARREVLAVPSSETGSDAPVKLRKRVYLIAL AVHPDYQRKGIGRRLVQWGLDEADRLGRISYLEATMEGIRLYEQSGFEKVKDISMDLT PFGGKGKLPFRLMIRQPRSKP TSTA_084820 MAAQPTRQWGVTPPISMNLPTPEELAANDDLIAELKAQNNFELP AETERRQQTLQLIQKVVIEFVKTVGRRKGLSPAVLEAAGGKIFTYGSYRLGVYGPGSD IDTLIVAPKHVLIDDFFSDFPPILERMSPPGAIEKMTPVPDAFVPIIKLEFSGISLDL IFARLILPSIPLNLDLKNNDYLRGLDDKEVRSLNGTRVTDQILELVPQQKTFRLALRA IKLWAQRRAIYSNIVGFPGGVAWAMLVARVCQLYPQATGSVIVGKFFRIMTKWAWPQP VLLKPIEDGPLQIKVWNPKIYHTDRFHLMPIITPAYPSMCATHNISLSTKAVILRELQ RGGDIVDKIFAKQLTWNDLFTRHTFFSKDYKYYLQITASSKTKEADSVWSGLVESKLR HLVGALDRKNIIAVAHPFPKGFERIHVVKDDAEAEQVKNGSTKYQAQGTKTETTDQTK DPAHTAAAENGAQDIQVPDPETKGAVDSRTIYTTTYYIGLELKPLEPGASRSLDISTD ANIFKSTCTSWQGFQEGINDLSITHVRSFDLPDDVFEPGETRPVRPKKKLPKPSTAAV PQKRSIDAVDDTMHSEAKRQVSSNGFSHAATPA TSTA_084830 MDFKDMDLEHKVRTIEDIFKTNIAELFSIGPVVNTAFRAKERAN MEIDRGPWVSSLVYIRALALREIAWIQKYAKSRSPNDPLFVSQNLRTPNVFVNDNGDI TSVIDWQSTRADPLFLEDHHPHFLDYNGEMTLQLPENFKPLDKDVQTIIKNEVSKSIL LYLYEKYTAERGPRLSRVFQYPNGRTLTDPILFMVNTWDRGILLLQESLIRVQRKFKE TGIHRALLPSVRSIFPWKKFRDIMRMEKVGMRNRNFRTLYPGIG TSTA_084840 MQSRAVLVSKVLAIFSLFYSIYILTFSNWSFVGQHTQVVAENEL GSHPLETTVLSWNPLIIYIRNFITEDERRYFLSLSDGHFRQSEIFVPGQDPWLDKSLR DSSYFELQDADPTAQNIFARLRVIEGNFSNTYIEKTRIQRYTRDGHFTYHSDAEEDDI ALTRNGNRVSTLMIYLEANDALEGGGTHFPFIEIQSNPADKCTIMECYGEKNGTIFLP VVGNALFWTNLDTNGRQHPQMIHAGLPVHAGTKTISNIWLWQKWPSGAPWT TSTA_084850 MFDLSKFRSLSIWAPGRRREQKYTKISVEEGDTEELLTQREIRN GSQAKLRAFILAGIVLASHSEPQWIPCGSTAAEARAANCHYEPMQRSWIPDACYFEEP SSEYDPFGDRPWFSDRNLTIPANLDRLRSGDEELAFVRYFHDEHCLYSWRKLHLAVQL GRRLTDTKTYDLHHTMHCARGIANLIVVSKQDGYKSPPNEYTESPLMFQECVKMF TSTA_084860 MADMKNEERGRLLPDDLLNANRVLYVHTPQMPWWRNALNVFLVS AISVVMTVPVMLLITPTLVLKEKKPFTYTDCGNTSTSARQAGCGYEPMMRAWVPPECY YTDIIDDYDVFRDRKWFADKGLSITSNIERLESGDEELAYTPIAVEKRYPAISWNMAN IDHSHHCAHMVALRIQNSYNETFVHEDDTFTESYLNFQTCVLMNWA TSTA_084870 MEPSILNRKYRHMKAMGIDSQSSKGSRRAIKPYARVRAFREMSR AQRIREIYALAHWRTTSRDEDEPYCLATLLLTDQGTLHRITETKDLQERREEFTMGQG LIGTREPPIGWALRRYLYRGEPEGIPANNPLAPVDKAGWHVLLAGITFSATNLPVKLV QGYQLPNFTFTDEDKAITLEVSSFSWLRDQKPPDYWTGILSTFGASKIGIIIEKWPLE YQERQAGFRSYSSTRGAVVAITEATTGKFNCFFCGTVLISRAAEPGQKSSPEILSALL PEFEASLLKDDPSLLRLVSELPSGRCSREGENVVNGQLFDDSQEWCIK TSTA_084880 MAEYKAFELDIHMAVITAMKEDPHSIAIQKAIPAVNDRLRYLFT SPLLIRGLNATINDFIGSTFTCQFVPRGQIVPPPITGAAFGPTAPIQLPVMVLEKTSQ ALQYRMLRNTTTIPELWKEWTVGLNG TSTA_084890 MQCGVTEIDALSRIAAGLIVRVGYAMDRLLSLLPRTAPLQIQVP DIATTKIEPPRLEDIAIQKALNEVLEYYTVHQPKNTTKNYEPKQKEWKAWCKKMGFKE GGRYLPGDYVDEGKLLLFIKEEVTSRPPRRRRRLKAERKRKRTAAAEILSEGPPSKRK REKISVPSMAFEELPVESNDDKACSELVLIYNTVRSYYSAINKLWAHQTSLGLHNVVR PQRIAMTTLKTLIAQGQHQRQHDEFTDRRLATIRDGYVASQIPDLTRKV TSTA_084900 MYALYTSKNNLPILAMIGHWLTEDFFYKERVLEFIELHGIHSSE NIAIAIQTTLSQLNLGEKLITITGDNASNNETMASELFHLLNSDWERSSQIHRTLTKF NELTLFVSKRKSQISLAIPVYYELHDLLCEGSESQWSFKELDPDIASALKEGLKKYMK YYTFMNESEIY TSTA_084910 MSNPSPKKKISASSDSSKAIPTIDSNAPPPPRQIRFVSSDGQPQ TKRRRVTAACRTCRKRKIRCSGEQPVCKTCRDCGHKCLGYNDPDKPEKTTLPGTTRNG YSQSMYADEGDEDRSLSHSPTTPLVSLAAPAPNTSKSPQPKTIKLEAPLPLTTDTLNN ATKEQDRLAAVTSPESSRTSLSSGHRTHVPYFRYFGPTAIVPGFKQMVVRVRDGRRGN LSQSSDSIRSLKSPRMGATGPSLVTVLAEGESNSIPFYDRDDSLPTSKLVTHLCDLFF VHLGCSFPFLQRNRFMADLKGKKIDSILVDAVCALAARFSPHPLLSPPQARPIDGEDL QPDVKRSDHGQPFAHRAMTAVVNALSCPTLSVVQACLLLAYEEFGSNHDSGLWMYLGI AIRMAQDLGMQKLQGLKHRYGRTGLRPKAIITGQAGKLGVEQSEGSIPTKRKEGGEAS GEDSQRAMEREQVDTFWSVFFLDRVISSGTGRPVTLRDEDIELYFPLQSESILLNGWP APFPPLIRIIHLYGRVTDLINAIQEDNHIDAETLKRLAGMESDLTSIYQRLSPKLHFN TMNFQTYVKAQEGTNFILLHFWFHALIVLLHQPTLLNSFGGQIQHLYPNSRELSMSSA KTIADILSFSELIDAKSFIGNPFTSQPMYIAACAFLMESAYYSSPSSRSGSPSVLVTD QSSAFTVPNMDSSNSSERKTNTKHSLLASAAKENYQRCYRALRTLQTYWEGTKYILTV LDQKAKGIGDPLLYTTEEMESTADIAYAPSLVSPNWQPSLQSVPADTARTESDNQAAA QSEIGSPKIDPSQAIGWALTGEINSAQPNLSLLYHLPVPQLNGGSEGQISVKDGIPTV EIHTANHTYAQSMATYPSAPTNSNILSTPNPKFSGPGNNNIIASNPYSASNTGPSHAM YSSALASSHIPSPYAFSSTTGTSYHHQQQQHQEPYATQQYAPSHISDTLIESQDIDMA AFHNQAEFPFIFSNDFNPYLEYLPPDVINYFGDSTSSSSAPQQQHQSHQFGVAGLLSP EEGEQHQR TSTA_084920 MELKEDNKHSSSDSTSSSPQPPSNLTFAGPQEHNDNGGRKVSSV REYTILPAEEVEYPSGFRLWITMVAMMLSIFLIAMDMTIVATSVPAITNEFNGIKDQA WYASSFFLTSGGFQSTWGKIYQNFPLKISFLTAIFIFEVGSTICAAAPSSVAFIVGRA IAGVGAAGVGSGSYTIVAFISEPKKRANFVSMLGAIFGIGSVLGPLIGGAFSTNVTWR WCFYINLPIGIPPVLAIIFFFRTPTAARSTESSFKTKLLQMDPLGLSLLLGGIVTYLL AVQYGGTSKPWNSGTVIGLLVTSVVVFILFGIVEFWQGESATVIPRLFKKPFVGMSMV YISFQGGALFSMVYYLPLYFQAILGDSAVLAGAHNLAFIVPAMISVLVAGIIVTNTGM ITAVMTVGSAIGALGCGLCSLFGLDTTIGVWIGVQIVAGVGLGLGFQVPLTTGQASVQ ESDLPAVTSMLLEFQTLGGAIWVSASQAVFINRMLIVLPELAPNVNPRQVIATGAGDL RTVFDPEQLPGILTAYSQGIKDAYLLICALIGVSMFVAASMPWKKLDINVIEGAGDSA TSTA_084930 MSNQLEKLASFEASNLGFIWRQFTRPKPLPAGIRLNGNVAIVTG SNTGLGLSVSRQLLDLGLSHLVMAVRSVSKGEAAAVELREKFPKAIITVWFLDMGSYD SIRAFAAQCGTLQRIDIAILNAGLMQSEYVINPSTQHEVTLQVNYLSTALLAIMLLPK LKASRNHGGSRPPVLSIVGSDMMYSAKFKTPGSILAQFQDHKTFDGTSWYAKSKILQM FFVSKLVDFVSSDNVLVNVSNPGMTAGTSFFQGHPAIVQKIISVAQWIFARSADVGAT AYLDAVLVRGNISHGSFASDWTIKPYPKLFYTKCGDEFKENLWQETMDEFKFLDAWKI VNDLKEKNAGI TSTA_084940 MATPRQQPTEAELLATSAGYVKTFPAPGLRRNVRIITGHNAEGK GIFVSTDDGDHHRVIGNDQALANIIYSTKETPVELNGDADLKYATENEPGLHIHNGSV VRMMDFAPNVLSPMHRAVSLDYGIVIEGEFKLILDSGEEKIMRQGDVCVNRATAHQWH NVSGNGTLPGRMMWVLLDCKPIVINGQELKQELNELAPYYVNK TSTA_084950 MSRAKKFALVTGCGKGGIGEALVKEYIRRGVYAIATVLPNENSE HLNEAGITWFPLDVTVESSIRSLKEKILPLTNGYLDILVNCAGICYTMPAVDTDINPV RRMFEVNLFGPMQMVHYLHDMLIRASGAIVNIGSIGGVVPYVYGASYNASKAALHHWS NSLRLEMSPFSTFKKVISGEVGTNILKNDSHRKLPKGRSNCTTIWRTLLRAKRIILLA SRRRVSKSCAENG TSTA_084960 MLISRPWMVALSFIVIAVLLWRLSRIGRRPSSYPPGPPTLPLIG NLHQIPSVNPHLQFQKWAETYGPVYTLMLGTKVAVVLSSDSAVKDLLDKRSAIYSGRP EMYMAQNIMSGGLRSLFMGNTPRWRAVRKLAHGVLNISVSRSYVPYQDLESKALLTGL LENPNDFFNHIRRYTTSLTTQMTFGYRTPTSDDPNLLEINTPIYYSFLNTFIAQTAVL LDFYPILRRLPEIFFPVIKRGRAYHEREKRLFMKHLMNARRQLRSGTAKPCCCIDLLQ AQKEEGFSDEVACYLSGSLLQAGSETTAAILIGFFQAMLVFPEVAKAAQTEVDRVCGD RIPDLNDFPDMPYIRACMKESLRWMPATALGVPHAVAEDDTYLGYHIPKGAGVLLNVW GIQNDPHRHPDPRKYDPGRWAQDNQNSAQAAVNPDATKRDHFVFGAGRRLCQGIHIAD RSLFLAMARTLWAFDLMRAIDPKSGKEIIPNVDNIQEGMFICPAPFAANIKPRNQERA MAVKNAWESMKGLLDDEMQWKKVPEGLKWRDYEPED TSTA_084970 MANFGAVTLFPYLLPKTTKGDDILTDVSYLQGDLPDSSGPSGPS SDVNLDNTTCIVGMACHLPGGVTSPSGLWDYLYNKKSAQCKVPLQRYNIEGFYGKDNT RAGMMNVDGGYFLHEDVREFDPSFFGINNLEASYMDPQQRKLLEIVYECLEDAGETME DVAGSNTAVYVGNFTVDYNIMQSKDSDYVHRLAATGSGTSIMSNRISHVFDLHGPSFT LDTACSSTIYALHQAVTAIKNGDCDAAIVAGANLITSPEQHFGTAKGGFLSPTSACHT FDISADGYARAEALNAIYIKRLSSALKNEHKIHAVIRGTAINSNGRTSGITLPDAKMQ EAVIRKAYQNANLSFADTDYVECHGTGTPVGDPIEVDGVAACFANRAGEPLRIGSVKT NMGHGEAASGLTSVIKVALAFENGIIPPTYGVKEFNPKLKLKERNMKVVCDNEPWPRS LQRASVNSFGYGGANGHVILESIQSYLNDALASPTLAAIVTNEEVKDELLILPFSASS VKSLESRREQVRELVRGTQDAKTLAAIAAALNKKQARLRLRDYVLASAGPAPALVEMA EVGDKATPGSNPLPLAFVFTGQGAQYANMGKGLLEKDAGFRKTIRELDIVLASLPAGQ KPDWTLEQTLLDDPAASKINDVTRSQPICTAVQIALVDLLRSWGVCPSAVIGHSSGEI AASYSAGLLSSSQAMLAAYFRGFAVGQLQSRGTMMAAGITPDAANTMIQELGLKEVRV ACVNSPESITLSGASHDIDVLLVELQSQSKFARKLETGNRAYHSHMMAEIGDLYESLV APHFSEMPSATASYAKMFSTVGGFSEVHEVVEPGTRMASYFRQNLEQPVQFSSSLFKM ITANKYHLVELGPHSALKGPIQQIRTAAKRDKEAVPYSPTLVRKENSYVCLKKLAGTL YSYGHKLNFEIVNNLPRSVGSLFNPSLPTYPWDYSKGLLWHEPRGSIEYRLRKYPRHE LLGSRVMAGNEIEFCWRNIPKMSELAWVRDHVLGESQVVLPGAAYMAIAIEALSQALD FKYELVKGEAYCFEFQNVNISAAFVVPDEKDPNAEKTELHTVMNARKISTANKSEKWY DFSISSWVSGVVTLHCMGSIRVVKSVMGPNDGTVEITPKGYEKWPMGRWYTKAKEEGL SFGPHFQSLTGLYTDGNRTSTDSIGLTVLDPPSAASTDIFYAIHPITIDACFQAAIFG GTAGNVSTLRAFVPTFLTNCHIQLPKGGAAAFGSKEVKIHCRMERTGFSSRAVSFTLR SPDGTPVIDMPHMRMNAYSGKPPVAPETSIYLQRQPCLRIKWKPDVLRLRPGSEAALN EYITNFASNQSEDMKDNGTLVVFAAILDLLGHKFPRMNVLELGQERQWSAKDTLSILG KDTAFPRCKSWTDGTVSENGKITIEDSKCSEGFDILLVPHHSTSRKLWVNGPDELSML VSDNAVIVTRKSEKAILQMKEAGFTTVELPNDSLLGIRGPKETGVEGKSVVFVKPNNS SSVIDSLEDSIAANLYSMGASQLKSISLGDIGEVEFNEQVVVVSLLELEHEFLATVSS DDMDRFRKITDNVTKLLWLTGANMLSAPNPDLTLASGLSRALMLEQPALRYIILDIGA DITNPELVTAICDNVSAALTFRYATDDSEFIQKGGMLYTSRFIPDVELNSLFRQRMGT EDMKSIPFKDLGLAKLSVGQVGMTDTIHFQQISERQSSPPAGFVDVDLRSVGLNAKDV YAINGRADTRDCTLALDFGGVIKATGPDVSHLKKGDRVVVFVPNHFKTTERVRVETVH KLLPDEDINVLPTLLVVNVTALVCLRDRAHLRPGESVLIHSGAGAFGLAAINLAKLMG ATVYTTVGSQSKRDYLTWEMGIPAENIFNSRDDSFVDGIMKATGGKGINVIINSLVGD LMHASWACIAPFGRFVEIGKRELIDAGSLDMHKFLQGSTFSAFDLSEFFYADDPYYQR VVYDYTTEVIEMYRAGKIKASPVATFDVSEIGQAYRYFNNKDRVGKVVISMANPDSKV PVAPPTYQSVFSPEKTYLLVGCLGGLGRSLSRWMMTRGARKFCFLGRSGIDKPSAAEL VNRLRDAGAHVTVVRGDVSNEDQVKEAVAACVKEGPIGGVVQAAMGLSEALFTVMSNK AWHTGIQPKWKGSWNLHNALEGHDQALDFFLLTSSISGSCGTATESNYCSANGFLDGF ARWRRSRGKPAVSVGLGMISEVGYLHENPEIEAMLLRKGIQPLNEEEFLQVLDYGISG PGGDAEFASGKRMGSELAHILTGLESYGVRKLMAQGFEVNNGVMDESRTSILAASLLA EKDEKAEEQGGNSGSIEAAAEWYTALPASAAQIFTSEASLPTMLDAILRLTKKRFSNL ILMQLDAVDERAPLPSFGVDSMLAAEFRTWFFNTFKVDIPFLDIISSQKCLYNLAEFV DEKLVASLAN TSTA_084980 MHGLWEATCFSDRNFAQGSGIGRACAINFAKDGAASVFITDIDL EAAKIVATECKVVATSVNFRVETLQFDITRVESVESATKYMVDKFGRIDYCVNCAGVG IQLQRAVSDADSGEFRRFLQVHVEGTFSLLRSVSAVMVRQELRPNGAIGSGRGATRGS IVTLGSGNSFVAAPEMVQYTTAKHAILGLTKNADNAAHGIRVNCVCPTWVETPMIQKA RDGGIDIDTWIRSMVPLGRIATAEEIADAVIFLSSPRSSYVTGCGFIIDGGTLVTAHA TSTA_084990 MAWGWQLWLSPRPPRSVIASDSYIMSPYDGARFEFFKDEQGQWA VREIHYIDNPWVKDGHSGPPLHIHWKQTEYFQVEQGVIGIHKNGKQLKITKDDGIVTV PAGTRHKFWSHESNQEDLIFKVWAEPQGLDNSFDEKFIRNLIGYQRDCYKANMQPSIF QLALISYDSATLATPPFWVPIWLLSVVQYVMAYWVGGCLLGPKVGGASE TSTA_085000 MATPQFRLTPEKEASRSQWLHRQFLGKTPPLTKNDVDLTGKTAI VTGSNTGLGLETAGHLLDLGLTRLILAVRTVSKGERAREGLLAKRDPASCQIDVWNID MSSYDSITEFVERAKTLDRLDIAVLNAGHFKELETFNSSTGYEECIQINYLSTMLLTI LLLPLLKANPGAHPGRLVIVSSDMAAWAKFTERTERPILAAYKKKAAKWDMGERYATS KLLGQLFITKLADQIPASAVIVDLVNPGLCYGTDLARDGDGRLKGLLFKAAFRTFGKA PAIGALAIVHAAVSFDESAHGQYTEDGELRPLAPIIYKQEGKELTNQVWEETLAELSF APIHESLKAFDK TSTA_085010 MVKATLKVWASMLAGLISFKGVLSLPTPEKSCDIDLRGLLTDPV HQWASNTTISFPNTVAFENATERWSIFSPPTYSAAVSPGTEEDVKKVVNLARTYGFPF LTRGGGHGYAASLGAFKEGVSLDLSHWKSIQVNSSALTMTVGPGVIYNDIFDPLYDAG FLMQTGSCSCPSVIGVALGGGIGRMMGNLGLTADALQSVRLVGSDGIVKTVSETSYPD LFWAIRGAGANFGVITSATFNIQPLADFNDGNVFMLDFYLPAESSLEYFKVIETHYSP MPVNLAAVVVNNWNSTLNISQIASDWIFYGTEADARKVLAPIFALNASFVTATLPWNE IVSIAGGGYDKYNCEPNKPRSSFSLNQRDYNATGWQQGFEMITDFFEKNPGGRSSQLM FELFANEATAAVPENATCWPWTDVRGFIQAQFTWTTGDIATEESANTVGAQIRGELSK TTGYDSPTVFVNYARGDETIEDIYSATKLPRLAQLKRQYDPFNLFAYYHPLPLEYP TSTA_085020 MDENKGTVILKSSNEWRRWLEQLRTKATKERVWDYVNPSPLRTH EVEPAPEKPVKPPFPDSIMPNQNEDPEVEKLALMRFQMELQLYEQHYQRYKDEKARYE KHQERLDAVRSYIFDTVELGHHPRIRMKQTVLEMTQELREEFALQPEQEHELINERYR DLLTPKRGMKPKDWISKWENLLLDMQLTDFNEIPEKRMSRDFIRSSAFIAPKFAESWT TTLIELDTGLEVLHRKIGLDSVPGIRDMIKIFEQWVKAQRNVMDPTRRDASFAMLGGK SDQPEKEEEQKGTQQSNQQTNHQSRSQRKGQSRNRERTCLCGAKHNFEDCPYVNEGKR SKDWKEDEDITRKFKDVERSNTSLAKALKAVKGKLKPTNSTNKKESDDGKKDNEPERS NFVYDEDEVQISIGPRFERSSMAIQVQTIATATDSDKDLKDAVILDNGTTTNIFNDLR RLRNMGNEERICLVGNGSVKMYGPGETIIYPTNPISRQAKKGILVKEAWYVPGMHTNI ISQGMAEEYGLFFNGLTRRLVTKKQDICGLKKEGRLYLIEWDENRKPRSSLGNDLALS SFERKVLKDPGNVWHKRLGHISEQAVEKLQEATEGALVTSPRALGRNEEGFKEKCEIC ELSSAKRQISRVAIPHPTRPFQKVFVDIIVMSLARNGDVYALHLFDPFTKYHALATTP TKSVNFDLQWLIEDVKRTFHVVIEVIHCDGESAINGNDFKDWCKSKRKTLVTTVPNTP EQNGPSERAGGLITTRTRSAIQEANLPTGLWPYVMQAMVYIINRTPTKAIGYKTPYEM AYGKKPYIGNLYLLGSKAYVRINTKKSEKMEPRAQIGYLVGYESHNIWLIWTEGPRGT KVIRARDVFFDETKKYDPEHPFAREIIRNGVTKITESLDIPNLEDFNEERVVESVDEY MNLQQSSSMKFPLEIPVLASGNSQTVTTQQHIPESMEIDDQPVNEPTQSVVIHSDSHL IPSPSASSSTESMQGHQMSTSKELLLENRISEQNQDDKMEIDDVRGQQDNQLVRFDDT KNEVTLYGDESQFGESGRVTGEDSGEEEAQQDEGAERENMALTAGTTTSPSGQIPQIG NEQTPLLQLTNEESRNPDVTLPELLLSTTPQQRSAPKASEIGADLSEGNIVTGPRRRI PSKRARSPEIATSKAERKRHRAFFARMKLLQESSAYKAFLAAAEKLDGYEPLHEDIPP EPRNWIGVKRHRFARQFEEAGRTEMESLKRKGTFEVVDRPEGKQILPLTWVFKYKFDK FGKIAKFKARICVRGDLQKGMDLETRAATLAARIFRMMMALAAVFDLEIVQLDAVNAF VNSDLDEEVYVYFPDGFRIPGKVIRLRKALYGLRQSPRLWQKELTGTLLELGFSQIPD EEKNRQSLFEEIVKKLTSKYEIRQMEKFEWFLNMRIVRDRKQRKIWICQDSYITKIAK KFGLTQNNTKTPISIDLQPSESEAMNEDIHLYQELVGSAMYAAVMTRPDVAKPVNELA KFTTNPSKDHIRQIKRVIEYLYNTRFLAIEFSPPENSDSDVAICASDASFGDNADRTS SEAYIFSLYGGPVDWRATKQRLVTTSTTEAELRAATEAAMKLYVWKRVFKAIGFKTDR ELSIRCDNKQTVLLLTREDPHFRTNLRHIDIYHHWLRQEVQCGRLHIEWVPTKEMIAD GLTKVLKGQQFLDWRKHQGLTDIAHLVQE TSTA_085030 MVHLANLLSAACLALMAKGAPKNFDDWEHGRVALQDVSIHFRYA GSGPPLLLVHGNPQFSLTWQFIGPILAEHYTVIAPDNRGAGDSSIPPDGDYTSEASAG DLKGVLDFLNITSAYVFAHDKGGGMATALAIQHPSMVKRLVLAEYLFPGFGYEQASAP APFWDLYGNPQLALFSIPDFAEFLINGKEKPFLQWYFYHGSYSGVTSFSEDTVNRYTT SISKPGFLRAMLGPFSTATVNADAQFFRSHLGQKPLEIPVLNIGGEASFGSEDILSLI SSFVSKDLSVAVVPKAGHWLGDENPSWTANRVAKFFSEDKSPLQTVDLSSLTDRVTLQ VGFFGTLRNAALGANIGM TSTA_085040 MFSTISYNNGLLEEEEVSTKEPSSDRPQKRLACLECRVRKPEEP GQYGGIIPSGDTCLNSILKASKALHARDSPPLKGGGIGCNCAQSATLMLGELDSKISN IAELSVDAILTDQKFALGQFNSWLTCENCHSPRATTKIIVLIAEGLCYCLERAVTDYV GQLQEGGEEPAQFLTGFCNIGNYPINSWHEWTHILRVALLCRCKELHAAVASLQERDV LNTLLSEAEQKLTNMMNRLKKCEGDL TSTA_085050 MTATSNSRASESFTLWGWLCLLAKVARIVAGLIFKSVKYPLSRK STSKRSFHHYITYEGMIDFQSSLTATEKQAVLPATATQCINFAHQYNLPYEPLTLSDG TVAFMLNGSSSPKHFPVGVNPFGRKAKKAAVLAAEMNIAKQKLNKVIVYFHGGGYVAP ILPQHIHLIYGFEDKPRYKEGVVVYVLAYSLTSEHANQYPTQLRQAISLLDHIINTES IPPSCLTLMGNSAGGNLLLGVLLHLSHRNSNVPPLSLKDGEQFAAAVAISPWCTMDTS AKSTETNASKDVLAVSALAYWGGNFLGGHALDAWNSPLVAPAEWWADLKVDELLILYG EDEIMRDDTEALCKRIKSANPSRTTVYNLQGEGHEQIAMTKLLKLPWICESEKIYTAW MKERFL TSTA_085060 MLISPEYLDLIARQTLNQFMILHTDPAIRQRRANGAEAIVGIEK SNAAVERALVKHRYEHPEIAQTALWEEGQIFPLIQYQAPKSNEDALAWAHEVVHVRAS KETGLDIRTESEIKRFQAASGPAKAVVIDIVAPVDGLDTAIGSVNVEFVFHTNHLYFD GISKRIFVGDFFRYLTEVGDELPDFKWGEEVENLGAPVLTLWKEGVKTSGPEFDATLG QYAGIAMRGLQNYGLNPNQTTVSPESFSIPSPPRKVTQSTPYKSVKSKVGPTASPAHL GHAAVLLALLKKKPTTPGTPDNQIYMAQSPMNGRRFLRDAEKHAKTYYPIVQASCPVI FENIKQYNLVDADKETINKYLVQATKVAKDAYKTLLSNPYTLPVGTSFHNFVTMLIAS NNIPETGISSPMYTSDGVNETYIDREVSDETGKVAITIDTVRFFLNQYMPFMSIRLDS FRGRSELSLSYNDGQYTDEEASTFLQDVASFILQFIQ TSTA_085070 MALALRINLFSKGAVNFVLHTSTTPTGNSTRPPFDPSNPIKIIQ PPTPTWTLGDGATQNNNERKNHISINPHSPTRPPSKNYQFLISSIIPRPIALISTKSN DMLNLAPFSYFQVINHDPPMFVVSFTPTNPDKGGKKEKDTLRNLKETGECVINLVSED ILQAANATSINSQYGESEFEISGLTPLFGLETVCVPRVKEAIVSIEGRLLETKEIKSR ANPDRNGVTTLAIIEGTRFWVREDAIDSEEQSVVDVNVLRPVSRLGGNTYGRVTEVVE IKRPVDRS TSTA_085080 MSFLIETRGRPSKDLTPYKNEISNLFNDSETAEAIVDYLLAEYR IKVTSRTIQRRLRTWGFRRRIKTQHHEDLENQILNLFYQCGLSDTDMHYVLQKQGLQI GLDGLRRYRRRMGLLRRFKAGEVEDEIRIAVQKELDSGQIEGYVCGLLYTYFRSRQHI VSRPRNELQRTRGDFSVPGPNFPWSIDRHAKLFYWGVQIYTGIDAYSHYITWIYVGTS NRKEFSVLRQFLDTLQSEKKQPRFVRSHKGGEATLLAAAHHALYKKHYSDSNISDCYW YGTSTSNQRIEAWWSQMPKSVLFRWRDYFQRLVEDRLFAHNNAAGRIAILAVYMPLFR QEICNYVRIWNVHRIRSIWETVFALSFPKTRCARLWVDP TSTA_085090 MPAETLSLAGNVAIVTGSGRENGIGAGIAFALARNGAAVTINYV SESSAKRAEGVAQKIRNEGGKATVIRASVNEEGRKLLSRGNIGPTLALSLDKVRETFE VNLYGPLFMVRAVVPHMPPGGRIINITSAAARMPSATYGVYGSSKAALDYLTAVWAEE FGKSRGITVNSVGPGIVETDIVPSDPEARKAAFEPYVRMTRAADRPGTIEDIGDTVLW FASEKARWITAQHISASGGVTAL TSTA_085100 MNPEASSSSDIVRRQGYQVEVQAEPNIILILFLEKEVLHRPIKP SCYLKARMDKQGEEVI TSTA_085110 MSFIFHRKITYNPDKDIPDLESKVILVTGGNNGLGKETVKELAK HNPTKIYMGARSKAKASAAIAELKEQVPSANIIYLEINLASFSSIKRAAATFLAENDR LHILVNNAGVFATPPGLTEDGYEVQFGTNYMGPALFTKLLLPILEKTASISEGNVRII NISSEIYKLAPKGGLLLAQDKTPLTEISTVARYGQCKLANIYFTKSYAKRYPAIKSVA LHPGLVQTNIGGEMKGFSIMSLIFGLLNRVSSVDVATGALNQLWASTFDAEKVNSGAY YIPFFKESNRRDITKDEKKMEELWEWTEKEFKEHGLSSKENLFFKL TSTA_085120 MKALVTSRNILSRILNLSLGKSIGQGAEVKDVPIPAISDLEILV KVHAVALNPTDFKHIDIISPPNSICGCDYAGTVVEVGKNAKGGWKVGDRVAGCVHGGL YPDRGSFAEFLKADSDLAWRVPEGISDEAAATYGISAVTAMLALHHRLGVGDGAGSLE ERPSVLIYAASTSVGLYALQLASRAGYTVVATASPHSFDLVREYGADRVFDYRSKNAI RDITATFPDIRFALDCFSEGQSSDFCSKAIGTNGGKVITLLDTGKSKVPGVECEMIFA YTLFGRQFAWLPPIGPSRPAIPSHREALVEFYKDLPKYLPHLKPPPVTLIGSGFDGIQ KGLEKLRRGQVSGGKLVVKL TSTA_085130 MAQLIQTRVGRPSKDLYQFQEEISSRFLDGETMEDIAEYLTNEY QYEINSRTIRRRLKEWGIKRRVRTIDKENLDNQIMILFFQCGLSDDDMHSALQKQGCT IGPRALRVRRRRLGLYRQLSTGDFAALEASIREAVQKELDKGIIESYGREYLFTCFCS KQHIVSRNRTGFSVLRQYLDTLKSERRQPRFIRSDGGDTTLLAAAQHALYKKHNENAT ISDCYWYGTSTSNQRIEAWWSQLTKSCIFRWWDYFQTLNRDRLFEQDQISDRIAILAV YMPFIRDELYKFIRLWNVHTIRKQKNQPSGVFGKPFFLYHYPEDRDAHVYGLLPDDSL LNGLLETGDWNIDKYVPEETLQWC TSTA_085140 MADYLLSQRGNRRVGENWVYNLVKRRPEIESKSSRKYNYERAKC EDPKIIQEYFDRVREVILEYGILPEDIYNFDETGFAMGLRATAKVITGSDRYARPKLL QPGNREWVTAIEAVNSIGWALPSYIIFKAKKYTRLGWFEDLPDDWKINISDNGWTTDK IGLEWLKTHFIPLTDGRTLGKYQMLILDGHGSHLTAEFDRTCTENNIIPPLDVGCFAV LKREYGRLIEQRMRLGFNHIDKIDFLTAFPKARTMAYKAQTVRNSFMVTGLVPFNPDR VYQQLTVRLKTPTPPPSRSSDTQSSCLQTPQNACQFKRQMTNTKKRISRHTRSSSEAI GEVFTRASKAYEMSINKLTIAQKELHDLRAAHEKEKQKHRRSKQQISHEQGITREEAQ ALVQGRVEASQAVTTAPAEPELPVCHPPVRRQFRCSGCGVAGHKITGCPNRIRN TSTA_085150 MFGRRTRTTRTTKPTLMTRLKGPNARHKTYKTEVTRHGHGSTTT TGATRRRRGYNHHTTTRTAPAHHHRRRPSLGDKISGAMMRLKGSLTGRPAEKAAGTRR MRGTDGRGSRRAY TSTA_085160 MRAAMNPAPLRIRGWCTIRLSSTTPITTTNPTPTTPAITLSQTL TPYSQATRPTTPTTPTTPTTQNETILVLGSGWGGYMFSRKINPNLYNCTVISPRSYFV FTPLLTDTAAGNLDFSSIVEPMRELKSRVDFIQAAARRIDFKNKKVLCEASIVKSGVT ESPRVEETERKFEEGPETGPMRGKEHLRTWEKGQLFDVPYDKLVIAVGCTSQTFGTPG VRENAMFFKDIGDSRRVKRRVRECFELAALPTTTEEMQRYLLHFAIVGAGPTGTELAA TLRDFVSKNMAELYPALKDKTRISLYDVAPTVLSMFDKSLSQYAIGTMSKDGIDIRTS HHIQELRWGIPNTEGPHEMDPKGCLTLKTKEQGDVGVGMCVWATGNAMNKFIKYSLNE IDEFPASSALLKDSSSLVDIKGWRVKKAPKVGALLVDGYFRVQLEHESTGQVAVLQDV FAIGDNAMPETGAPPATAQATSQEAKWLAERFNNGDLNKVPSFSFHNMGTLAYIGSSN ALMQIPHEKVNTNGGKDGARRNPYLPEGLTGRMAWLVWKVAYLSMSISWRNRFRILFR WTLNRVFGSDVSRF TSTA_085170 MPQHPQHGSQVARERLNIIWEKLVCGVATERSRTDGHVEFINDF QIDHHPGFDFEALNKSGYSIETRSRALIWFKPLPEDMLERYPCKGLTKEFDDYDSTQN DGKLRNEDRTRAVHDYVEECSRLRMGRSLSTLGAERSLMDYLKIHIVESPSPYLVGPG LDGSYPQLVDYWRVGNIYETMTDTNTVQSYPLLTLQAWHTLNGKEDMILLGELYTLIC AMRNRVNQRRADDPDERKALWDFPADVEYCGFQFESEIHFPVVFLSYVGPQHGRIFYA YMDRYRLVICQSKLYSFEKKESAPVDFFTRMLLSRPLQY TSTA_085180 MALEAGSSASNLSQQPPSTPPVMPTAATTETGQQSPPPPLAEPN EDHEHHDADHTTELLEKAALARSKHTWCLCFTIFALVQAANVVLQPPLVQLKELSSCM SYYGPGWSLGRDCRVEAVQNELETLIKWQQLLDTAPGILFGVFYGMAADRFGRKPVLA LALVGITLAAIWTQVVLFWPTVFPTRLTWLSVVFQLAGGGNLVVNAMIFAMVSDITPE EKRASKFFRLYGVALLSDMVLSPASGALANIQPWWPARFGLIAFIMSILTTLLVLPET FVKPVALSSTNLEQTTTPLEEEEPEEEEDDPVLYADVPKNTLWRRVRKVVSSLRDLRY LIASRQILMLVPLLSVGQLYDQSAEFFLNYVSKRYGWRISQASFWLTYRNVVNLVLLS TILPGLSVLLLKRGFSAGKKDLWISRASIICLAFGAFFIGLAPKLSLMVTGLTIFALG HGFVPAVLSLATPFIEPGHVGMLYTAMTIGETIGKIANEPLLTGSFELGMRVGGLLLG LPFVATGVLLTITAVSVHSIRLPIQPIHLD TSTA_085190 MSLFCDPQHPCWGAPVSFQPQFPPNIGNHPGHNNGEHHGPSRGG PHHRGHRGHPIFGGWGHPHHGHYRLQRAPGLGDQADGLFGTSRGGRGDMFGHRGGHRP YYGNPRGQHRGRGRATHDVLPDPHHGGSTFEFPSPGRDSNESERVDFEPPADVFDTST EYIIHVSLPGANKSDINVEYDAEKSIVRIEGVVYRPEITEELHAAMIWNGRRREVGAF ERCFEIMPFSFGAADFDGQKITAKMVNGILIVRLPKSPKEVQGEKQNKKVVINIDDDL YDADFVEEKGKAVEHEQEDIETTSKNEQYASVVEVEDEMDSMHLSSETETGDLLEEID NTIYTPSQMSDEDIEEAAEYVRVDAK TSTA_085200 MSGVLEQPRSEETAETADESARQKPKTQDATTQQTSTAPKGFAV STTRHQENTYLKGWRLYLTTLGLNICIYLVNVEVTIVSTSLITIANGFRSFNRTSWVV TGYLITYTGFIILWSKLSDIIGRKRSIIATMIMFIAFSGGCGASQNMDQLIILRAFQG IGSAGAYSISILICYEIVPKPALATMGAFAASSTALGFSTGPLIGGVLAQHSIWRWIF YMNLPIGGLAIGLLLLALPAKVGRRSPPHRLGWFSHLRRIDIVGAIILLTATLPLITA LNEVYVQFKWPEARTIVLLVLSGVAWFVFFAWENAVTREKNVPEPIFPSRFFKNPNWM GMLLVTFFVGMPSNMVVVMLPERFQIVGGISALNAGVRLLAFSAVSAISAGISGIVSK KFRVPFIYLLLFSSVLHTVGVAMLATLPETKDYPTVGYVYEALAGAGVGTTFGILILA TPFVVEPRDIGTLASTLVHMTGLTKPAVATGAIIQFRFLGGAIGLAIGSNVLNCMLET RLTGILPPELLQALLHNTAIMETLPETQREIVQSVFAHAYTVQFRIMIGIAAAQFPAS LLMWRKGGQITALE TSTA_085210 MPAHAASPAPRPLGLPPTLLPIHIFAPHVTCANASRSNVKSKVT AVFIALTAGQHVSRRWLSARRGSQQGLMFFNALAPGILTTPRSTSLIDIENRIKRMES LITASGLDTQSNSVAASSPAASDSSLTNPIKLTDRLSTLMINENGKTRFLGGSSGFSL FSPQGLEWITQKTGSDELSRFIHELVANVQTRSDKTTAELYRPPFASEREPLPPKHIA DRYVDSFFESYNKLIPLYDRAAFDQNYELQYSGHPPSGPAWYASLNTVLCLGCLLLQV RARSNAADNPENRIWKKYLRNASGCLLDLLFGEASLEAIQALIGMAVVQQVNLEPQAV YMLVAAAGRLAYGIGLHRSVNDSGLSEVEILQRQNLFWVVYIMDKSIALRLGHPSVMN DDDIGIDLPLEKGSGEVRSDSTTTRAGMFRYNVQLARLESRIYSALYSARGQTKSPME RMRLVGELDKAVVEWKEHLPVEIRPETPIQCQEDLVLPIVLMHFAYFNCLTLIHRASV HHGSWTSIHHNQHTDTVQDDGRLNPRVYASHAICLAVARQSIQLLNLVDFETRAVGKS SLWMLLYHPISNFLTLFANTLQNPQDPQAVPDLRLMDTVISLLSEPAFHVNVATTNTA QLFIQLSNVARKLVERTNSGVAKPTKRSRDEYDPRQDNNSQISAQDFQVYETLPESEI SRQQSCSTISFSIQPHIAKGTNNVENLTTSSLDSYGSGDMFQQHISNPPVLFYPSDPI EGDLFAMSDTLMIPNSTLPYSDHISFAPITTDYFEWNLANLWSFGQSS TSTA_085220 MTLLGSIFRQFVSIPTLPATLSLKGQTVLITGANTGLGLATARE CVGRGAAKVILAVRDQAKGDAAKLSIQKSHPSAPATIDIWHLDLLSFDSVLAIGKRAA QLPRLDIALLNAGVFKFVWSTSPDGFETGLQVNHLATALLALELLPTLKRTSKELGSA SRLTFTSAETHMFTKFEEQSADNMLEQLNKEELYKDAMDRYCVTKLLNVFWARELAVR TPREEVIVSYFNPGAVDTGIHRDANLLIRKFDRYVGRSVEQGASLVLDAAAVKGPESH GQYMSEGKIKPPSDLVLSEKGSKLQKKLWNETEEVLKKQVASTDGLGDIFNV TSTA_085230 MAGSGVIDDKTASQSPPTSVEMDMKPDSDNSLVENQEEEEEGYS PLGMFFILTALTLCVFLVSLDQTIVATAIPKITDEFQQLDLVGWYASGFFITIGSFQS TFGKIYKLFPLKAGFLLAIVVFEIGSLLCGVAPNSTVLILGRAVAGMGAAGLGSGAYT IIAFCAPPSKRAAYTGLLGASYGVASVVGPLLGGAFAQKVSWRWCFYINLPIGGVSAA IILLTFKAPASARPEKTTLKHKLLQIDLPGTFLIMAAIVCYVLAFQWGGQTKSWKNST VIGTLIGGSLIIIFFIVVASFQSERSIIPPRLFKKRYIWSSMAFMFFFGGSWFVTLYY LPIYFQVVDGVSAAASGVRNLPLIISLVLTTILSGGLISVNGHYISWLYLSGVLSTIG TSLIYMLDIGSSSGRWIGFQIIAGVGFGAGVQLPIIVGQALSEPRDITLSTALMLFAQ TIGGALLVGAAEAAYTNTLLRRLPITAPGVNPKTVVSIGTTQIRSMFTPDQVPGIIQA EMDGVHVAFALAIACAGVATSTTLMAPRTNLKGKMDSIGAA TSTA_085240 MATLDLNNVTTFIQLRPLAIGGALVMGLLYVIYLRALPKPLPGI PYDVESSRRLLGDLPHFFALEKSGRRIMEFWADVARRQRSPITQYFAGPFTKPVVIIS DFRETKDLLMRRAKLLDHGSLNTGLWFGAIPHHFVGMPSSDPRYPKAKSLSNDLMTPS FLHNVSLPASYQKTLNFVNLWQLKARIANEQPFTALEDFNFLTGDIICAAALGILDEE SDTVKHYNRLKTNNLSTGHPTKSNQVYPFPEYKATGILEATHTIADSITGARTASMPK LYWFFTNLRPSVSKAQKERTRILQTYIDRARDTVAKSGLSTSYRAAVDYIVSREIAAA KKESRLPALSSPEIHDSLFGYVLGGQSTTHSVLSFMIRRMAVRQDVQTKLRKELYTLY SSAASEKRNPTIHEFLAMHAPYLDAVIEETMRLNSTAPVLIREAMEDLDFLGRTIPKG TNIFCTLWGPSYDEPAIEVDEEVRSKSSQAHKEETPVDWTHSGFPPADFHPERWLRKD GKGNTVFDIKNGPTLGFGAGPRECWGKRLAYHELKLITTLLLWNFDFLPLPADLVDTD VVDFFVAKPKSCFVRLRSRVPEVQKK TSTA_085250 MLVGPDGRGYRISAPVERWAFYCGDIVSFFSLQKTNGSMLYSLL AVSLVSIFVVFVTSKLRSRNRPPLPPGPKGLPLIGHLRAIASDKHKYWYQIGQEYGPL ASVKVGHLTFIIINSHDVAHDLIVKRFANYSGRARTPFIEILDESKDWTSKFILTRQY GPEYRLHRRMLESGMTAAYATRFHGLMELETCQLLNDLLEDKDSRTNGMNSVLLFKHI ERVQTSFVIGGTYGFRTPHRLDPNLTTIIKSSHDSNDIAVSQTLLNFFPSLKYLPKSL SPYYKAAAKVREYTSPWVHGHLITALERPGWNLAKQSYAIGMKEGSTPREVEVNLETN VYGGIETSPRELMWVVVAAITQRDVVRKVQAQLDEVVGPNRLPNFVDRPKLTYVDAFL REVMRWRPIMADSIPHRVEKDDVYNGFLIPANALIMPNAWGINRDTKYFGDDVEEFIP ERWFTNRDVKKGTLRNDLPTPVFGYGRRTCAGKRIAEDGMYMQMARLLWAFDFQEVDG EPVDPTADLRHTFTVPPAPFKVKFMPRRKTVREVVTKEWRACETDVPKLLGEMDNHFF DMKTVD TSTA_085260 MGSTAPNDDRFMVSASEYGTILSRFFDKIDFHLPKPYYDPKIDS ALAKYIEEQPWSESLKARAVKYAKQAVGIASWYPRTSFAVRFNCVIITLLVIIYDEEY MTFGDAGTEFSLRLVRGQAQKAPFLDSLARFLQNTDQYLGPYGSSMVIKTTLEFVEGT NVENDFSDAVPLDATRFPRYLRVKTGFAETYAHAIFPNDTFPEHEYRKLYLPALSPLC DIIDFTNDILSFYKETIRGTERINYICNVANTTGSSALECLQETVDAVESRIVEVRRI LEPYPDLLAHASDYLAAYIGWHIRTTSRYFLNEVGFMVRVNIKQLAELQDLNVPVKPN GIMPELNAMVTA TSTA_085270 MEMKIQMWQRQGQSDTKQKKVYGPKLRLSCDSCSKSKVRCDHER PSCQRCFHAGVPCVYSVSRRTGKPSKSATNSEGEAVKNNVSAKSTSVAVQTESSPTTP PPPSLTSTSTDLTPSTEAPTLFHGSRDNALDSLLNYDIDMSDVEPLSSHFLSDHIFAT ESNIPLDENLSISNLSDFNESLNESVQAHSFNKDILSSLSGGLEMWNLDSILHVDASR HTSANRMDKASPCLSIPPSSVKTAGIESDQIHMNTTFCTTSPSLVQPSTIDRVLNTCR RAMTTLYTLLQCSCSQSSSSALSIALIILKILDLYGAIARSSVSNTSQMDSDSTRASR NSKDTNHESVSNGQSRSTTSSPLLSFPSMGQSLVLGAPITIGVYKICAEDERRIILNL LISELRRVELLVEEFGRQYEGEAVHREDTIYGTLKHFILSRVYVIHGELNAAL TSTA_085280 MSFGFSPGEIVHDFKRTINKYEKAMGKSSQRGRAKSVPRKVQRA ISAADGVNKFRQNLSAHVNLIHLTVIPEAVARGRAWRRLTAIL TSTA_085290 MDRTMSRSRSRSSAGSEHGDRLEPQLLRAATNNDIEALEQIVRL ARQKGQLNPTFLGLGLVKSCERGCIEATQYLLKEGASVEVPGNRAPPIFRAVERNYVQ IVKLLLDAGASPEFRDKEGRTALMTAAWKNQWHVLQLLISRGADVNARDNKKRNILHN LAADKQCDWGDDVVNLLLRTSCELDARDELGRTPLHWACTTGKTHFARLLLSRPQGCP SDYVNVTELRNKAALHLATAHDREDVVELLLEYGADVNARSDGGWTPFHNACDKGCEK IVRILLQAGADFNSQLLNGMTSLHLAAQAGHTDVVKCLLEQPTIRRRTRDTFGSTPFL RAAQFKRKDIVQLLAPFNHVEALSHDAVGACKGFEATIVDFGNFHNENRVKRVSVYEL LYGRDAKNSRKQAVTTLPANVKASEFRWIHLPANNMAWVEALMTKSFIEEGASDVEGF KGLEKSFGHQHRGQRPHSHFMRPLCQSTPRSLRGKDEPEKEEESQSIPEKSALPQVVI NGPVVTKTPEWSPAPLKKRETGLSKDSYSQDDDSSGRKLKAKRNNKSGKDIPKNDKNK SAGHGSDKHTRSVTPHLTRDMSLAAKCNICVYMPYLHFESAQSQQKMQQTIKQAETLV PSPVPGLTRASTRDEMLIRAHINSSSTSLHVRRTLDQFFYPNIDTETRDGDQVVYRYQ TRWSEHKAKDPKIFMVDQLWMWVLGKNLIVTSFPQRWEQPKNDPLNVLDGIIEDINSK TREPVRSVYELATIITGRCSGMFDRHRMGDEDYQFLDMFESCIGTATDRETTLFREFN VASAQASEWLKHHRRIKRSIVENMSSKENDEDQKESDSDEESQPLFVDKLLDIGQETD LLAEAKDIRDELNMIRTVLEYQKQVLQDLQDAICEIYYDENRSQNDIKKKFREQQRNI DMHVKDVDRMDKQTERIYMSITDLLDLKQKHANAFEARFARDQAAGTAQQGKTIMMFT IVTIVFLPLSFIASFFAINVAEFPHDAQSGNTNMPLSYVSRYIFGIGFSISIPLILLA LSVDDIRLGSREAWRRVREWRLRRTKTSKNSHHSHDHNRDLSQNSKYTAFFAAPRHLR GGQAMKI TSTA_085300 MVEPVYRIAPNCQGAKFIVSRGGRRDPILSCRIWLMGAVMEKFA VRGDYSEYPSEISQIMNGLEISPFITELPKVELHIHIEGTLTPALRWKLAHRNNIPLP YATYEELLDSYKVTYNHRREVNGDNGAPTFLEAYFAGCQVLCTEEDFYELAMDYFRKA REMNVRYVEPFFDTQAHTKRGIPASVVMNGFLRAKHDGAKFYNVRSNWIFCFLRDESL ESGLEAYRTARPWAKTKDGKGKGLFHAVGLASNEYDRPPLLFEEGFRLAKEDGLHVTM HCDVDQKDAVDHVHEAIFQVCGGKGSERIDHGLNAVDREDLIVGLKERNIGLTLCPHA YHRRQATHILFPKIRKLWDEGVKICINSDDPTYMHNVWIDGNMMKVYEYCQFSKADMA QLVRNAVEVSWAEEEVKEEILEELEGFVGR TSTA_085310 MPLPQTEPCLEGGTTANDEARIRRIVRKVDWRLIPILFFTYMLN FMDKTILSSASVFGLIDDTHLVGQQYSWVSSIFYFGYFFWEYPTNVLIARLPVAKYLA FTTFLWGIVVAVTAACVNYGGLLAVRFLLGVAEATITPAFMFITTTWYTRDEIPVRTG IWFAGNSIGGLVASLLAYGVGHIHHPLHPWMWMFIILGVLTFLWGFVLLAFLPDSISN ARFLNEDEKEIMTKRAIIKGTGRTEQVSWRWDQTVECLMDPKSWIIFCITILTQIPNG GTQNFANLVIKSFGFTSLESTLINIPASVVSALSISVTGWLAGRYSRLNNILIICVVA LAVTGSAIIYSRRHIPHKGAPLFGFFLIETGPAILPLVMSLVQANYKGVTKKQTMTAM MFIAYCAGNIAGPQFFRTKEAPVYNTAFKAILICYVLAAALAAALRVYLSWLNKKRDR EEGVVSGAETGDEPVKVPGKDVDAMVMDITLQAEDYDDVTDWKTVGFRYRL TSTA_085320 MLSAPAKSARRISSLFNMKTNRDSTSSSTSSGSPKQVPSNTHLS VDHRRARSHSRPAVRHASSPQPRSTETRPTTSHGFDPDHLDLDSPLPPPPSLLAINQD LGDDQGQQSHSRQGSRSTSATRGPGARSRTPDAHSHQRRRSWMPGKFNSVVIDAPAAG DKHDLHAFDAWVAGLDQKIPYDLARLMQGEQIPELWNEQGDTFVYLFPQGTQRSPSFK IDSTLFTDSPSLNFLARGTDPKVESLQQSARTLTITDPDGSTAQEDQWVNDGASSGSN RMALVEEPAEEVQELHLYLPVPLHGDVSSADIPLSVEDSDTLILFRNLFAFLLGQALI ATPRYPSLFAVLIEVSNLLRRFEFSNFDGSNFGEIATSSFANYCDELHLADVRKSREK TIEAIILGESLRYYPLYVEGFVHGVGKMEELRSLQSPKFNLINPVTQKRLERGALDLE NRLKVLRVKLDDFDFPALFSGIANSNSAAESKVIRFKQWKLAHFAMRKHVLSYYRQKY GSWPPKANSKKNEFEESGLNRLLLKEVYEDFTDLYDMLVDRTSLTTRTTDMATEDLDV PDPVEAVTRAMRRMLSEYDRSTPPVQPPIPFDIPQLPSLQAIRRKPVDPKNTKERQKK LHDSEINEVLMRSYTREALKPTPFVESFMQFERRSAHGKSLDDLMDNRIGQWLFIYAV LQSLPLLVIDVLDLRFTEGVEYFLCVAPRGGAPWVQNDGKSGRSWFGVAGGQGVVSLP SDVVNNATDAVYRRSHCWQVASQWAERNLNSPITTEDASSLFSAPPAPQSSIGSLSDM QSMLSPSGNMSPHTVPRTNSPGFVSHSHRSSIYNTLEALPLPAGVMPVEQPAKPFTRM NPTMSFDDILKDIPGSKSGKKK TSTA_085330 MTTTVTTTTTIIITPPPETTGGTVTSWLPLATTWPAPDSSCWNR AFVLNNNTAVDTEPLAFWPHIAIDYNTGPPVFCQPRQVYYWYESLNSTASEGELAIVT SIQPLVCPDMWYTALTTFVRNSVQVTCCPSGYTANPLDDHGVQANCASTLSARQTFQY LYNGSGITTSFPVAVDVAAVAVKGWNSIAEIPATLTTSPTYPSSSSPTSTISSSSSSS STTTTTTTTTTATTTSATSSSTGDTSISGSSGTLYSSSLHGGAVAGVFVGVFAAVALI AAGIASFVRRRRQQRKQQQQIPDIDDTTSGKNILSYPLNSRQDGYSNVPQSPEDRLSE LPTISNTPEMFVEYDPHSPRGDHGDVHEMQ TSTA_085340 MTASPETTSVSSSTTNVPQTTGDPQDLDLAEKNIEENKSSQSDE SADEIRSIQGWRWLAIPPSRRIFSLMWSRRLALFEKLAWLGTGFSLGSIAIIMCVGKA FGIFNLRVLHISSLVMFEAGSALCGGAPNMNALICGRIWAGMGGAGMYLGGLNTMAIF TTKAEKAVYIGLIALFWGVGCILGPIIGGAFADSGATWRWAFYINLVIFGALAPAYYF TPSFDPQPTKSIGKKLRDVDWVGTVLNATVYVTFVMALTFGGATWRWGAGGTIGMFVA FGVSLIAFSIQQTFSIFTTEENRIFPIDFLRRPVLILLYVLTACSATGLFLALYYIPV YFQFAHGENGITSAVRLLPFVILFVFFVMVNSFVMSKTGWYMPWFVFSGIFITIGAAL MYALVNSNTSNSAIYGYSVILALGVGSTCQAAYSIASAKVDPHRTSDAVGFINSAQIG SITISLSISGTIFQNIAYQNIQAATEGLGFTPADIHAAIAGAKSVIFDDTTAEVRAVV VEGIVNAIDDVYIMAIAAGAFATVAAFLLPRERLYIEMAAGG TSTA_085350 MSQAPSGANSCTSNDTHINQAQTKYPIDLFRGWPNPALLPVDAL AKSAATVLSSPSIYESGLQYGPDEGYGPLREHIASWLGSFYRDELESEKRRRNPITAE RLCVTGGASQNLACVLQVFTDSAYTRNIWMVAPTYYLACRIFHDAGFMGRLRGVPEDE EGIDLDVLERGLQEAEDKAASEGNTKPVYTLPRPWSKIYKHVIYLTPTFANPSMKVAS LRRREGLVQLARRFDALIISDDVYDFLQWPSDPNATDIKDTACVPRLVDVDGYLDGGP IDEWGNAMSNGSFSKLIGPGMRVGWAEGTEKFAYGLSQAGSSRSGGAPSQLSSTFVDQ LLPSGILQRHIKQELIPAYRARYNRIISAINDHLLPLGFKLPAGNQPISGGYFIWLDL PLSLTGDLLAQRALADEMLMIGSGTMFQVQDDCSENHQRFERSIRLCFAYERFEVLYE GVERLGNVARRMLTER TSTA_085360 MTLISQAIKNDHRELEDAYSKILSAATDDEKRRWQNQFTWELAR HSIGEELLVYPAFEKNLADGKQLADKDRAEHQKVKELLYKFQGLQPKDHEFTPTLRYL WSDLSQHIREEEKHDLVQLENALQQADSEKLTTKFNRTKKFIPTRSHPDAPDKPPFET VAGLMAAPLDHLSDLFRKFPGESKSELPP TSTA_085370 MATHPLQSIGEVIGQTAVGGSRALGYLETTKDISNLTKLSLAHF LRSPGIKTPIVSTVTLRREFPDSARNPRGFAIKLYISERS TSTA_085380 MKSVFFCRDPIQGPDVIRSQYRNPQNFLLDQDSLNTREDKRAGM MFFCDYGTPRRLEEHARLWLPYLQVVKSRTSTYSTPQPIRNRVNENGEFVYITPLHRR TRARNNSLRTKPPSLSGEDPDYPQRDL TSTA_085390 MTAPRVRQAHPDWTGTRGTSILTLSKPPFPPGNSIEDSPDPLLQ FHMFFYRDAQFHRIGVSTSTFFPFLSYLRLGSKLPLHGLILFIPQLRRALRVGVNHAK NPQYTPNGFVNKSRPDTADNTVSREPNFYHEGKASDYHQPRVLCQKVMTSKARENLHL NTARVLNCTGLIRSMRNAVYDLLPEKKFDMLEVQEASKNAEMAGKSQKFRHSANTDVS MGTVPSVPIYNV TSTA_085400 MGENSGSATIKADILIRAGSIYTLEPRITPKKSLAIKGRFIWAL SDDRHGLDNCIGPDTHVLNYPNGTVLPSFDDTHTHLIFAGLSAFDVPVHDASTIDELL YAIRERTQITPAGKWVVTAANFQEHNLREQRLPTLRELDLVSRDHPIVVRRGGHNLVA NSYAMNLAGVTPDTKAPGGGHIGLDEEGGLNGLLQDTAVVLMDRIRPSASMEERVEGI RQASASYAATGTGCVRDCAVSLKDLEVLRTTHDAGKLHVRIRALISAIGLTTVSAVDQ LLTDMEAYRSLQTDPWLQIWGVKFMLDGGIESAALETPYIAAPPHCCAPAEYIRLTFW DPKELTDAMDAVVCRGWRVGCHAFGDRTVGILLDVYEEILRRHPYLPISTLVLEHGGL VSPEQQKRAIRLKIPVTIQHPLLHDTAGILSHYWGSERVEKLFPARSWLDQGALLAGG SDYPVGSFDAMRSIWGMSSRETVAGTLGVAQAITPAESVSLHTTFAADLLRESDRRGR LLPGYFADLAVWPEDPLRVQDFSKLRDMLPLCTIVGGKSAEDDWRREVFH TSTA_085410 MLLVKLLLLLGFSALLQAAPTPQASTAPSSGFWMADIKRQGTVA FGNSTSYQIFRNVKDFGAKGDGSTDDTAAINSAISSSNRCGLGCDSSTTTPAIVYFPP GTYVVSKPIIQYYYTQLIGDATDLPAIKAAASFSGMAVIDSDPYTDTGANWYTNQNNF FRQVRNFVIDLTAMPQSSGAGIHWQVGQATSLQNIRFEMVKGGGDANKQSGIFMDNGS GGFMSDLTFNGGNYGMFLGNQQFTTRNLTFNGCNTAIFMNWNWAWTFKSVTVNDCAVA LNMSNSPSNMTVGSVLMLDSTISTTNQAIVTAWTKDSIPIGGGNLILDNVDFTGSKVA VAGIGGNTILAGGSVVKSWVQGNTYTSGSTPSKRDLESANLEDDTCPAPEIVTVTVYQ TGSPDATATPIVSPGTSAASRISPASDSVSVSGAAATSVPGNASPGGNPSTGANPSSG GNTSPGGAPSTVKGTQPVATASAPGTATSGSGSSAHTSAIPSGSTCAPSSVSKSRIQA TRAAASKPSPLVANGKVFERSKPQYENVPASSFVSVKAAGAKGDGKTDDTAAIQKVLD SATADQIVYFDHGAYIITSTIKVPKNIKIVGEIWPLLMASGKAFSDESKPIPMLQIGQ PGETGNVEMQDLILETQGSVPGAILMEWNVAGSSQGSAAMWDVHFRVGGSAGTGLQSD SCSKNPKSTHAANDACIGAFMLFHATKSASAYVENCWFWVADHELDLGDHNQIDIYNG RGVLIESQNAVWMYGTASEHSQLYEYQISNAKNVFMALIQTETPYWQSNPTALTPFKA QTTFNDPDFSTCTTDSCRKAWGLRVTSSSDTLIYGAGLYSFFENYDQTCLATESCQEN IVQIDCSPISIYGLSTKASTNMITSSDGTSLAKQEDNTSSFASTIALFEQ TSTA_085420 MSPAEIEPLSPPLPILTNGKSVRTPISNGGISNGTTTELSDLHI KDTPVENFRKMRVVIIGAGFSGIYCGVRIPERLRNVELAIYEKNDDVGGTWYENRYPG CACDIPSHSYQYTFAPNPAWSRVYARGSEIHQYLKSVVKRYSVDRFVKLSHQVLDVEW HEDVSKWFITVQNRVTGETFVDKTDVVISARGTLNDISWPGIPGLKDMKIPVMHSAAW DDKQKFEGKRIGIIGSGSSAIQIIPALQKVKDTQLTCLIRSKIWIANPFGAEILKELG IENTEFTPEQRARFAKDPEYYLKFRTTLERAANLEHSVTLKDSQMQNLAREAFTSLMT ERLSKKPEILKHLLPDFGVGCRRLTPGPGFLEALVEDNVTVTNAPIKRAYENGLELQD GTMLDLDALVCATGFRTSAPPPFRVVGVNGQLMSNRFHPFPETYMSLATDGFPNYFMM LGPNAAIGTGPLTTMMEMTGDYIVKCIRKMQKENIVRMEVQRRRVRDFSAVAENYFKK TVYLDNCSSWYRNEGGKGPRISGLWPGSALHAMETIRSPRWEDYDYKYEGEDNEGKEV NRLAWLGNGWSIAQTGNGEAGELAHFLQPAFVDVPAKPLPEETFVYKQKPFSH TSTA_085430 MPVFHETAHASRELRIKRSRARPLPRLSAQPDSSRNIGQDDSER FEVVVIGAGPAGLFLTLLLARYGLSDSLACFDKKPGTLKAGQADGLQPRTLEVFSTLG LHHEILDDGCHMSEVAFWNPSTRGGIERTAFVPDVVVPARYKHEVTIHQGRIERILEE DFQAYSPSRSIFRATEFVDFSLDPVTDSEYPVRVKIDVMGDDESVQRKVVRAKHLVGA DGAHSRVRRSMGLQLHGDTTDHIWGVVDFVADTNFPDIRKRCAIHSDTGSVMVIPRER IASGHYLTRLYVQIKDGIAPAAEEGATLDEKAKARQRRAAITLESILEQGKRVFAPYK IAIKPGTVVDWWAAYQIGQRMTPSSTLKDENGVERVFLVGDACHTHSPKAGQGMNVSM MDSYNLAWKLAHSIHGLSPKSAPHASLSNARYQQNTPRTVLNTFESERLGVARQLIEF DSKFSSMFSGQIGAEDSVEGLTHEEFLKVFSDGSGFTSGCGVEYEPSIIVDRDISTQN GGVINSTKSSVAGDDYLSGILRPGRRLLDTVVRRYADANLRHIHDEIPSTGRYCIFVF ATHDLVDRQNDNRPSISAQALEAICHDIIPAYPTDTIELVTLHPFRTRDFEWDQMPSC LKDFAEMSFHGPVGTEPLYEIYGVSEDGGAVVVIRPDGYVGAVKCLSDVRSLESYFDN CLVRVDALGGGK TSTA_085440 MSPPPLKPVNRNPNKGKDEPFILPPNVTSAQFSKFLKRCREICG VENVKVIEAEEQLVDGSYYEPNKTHDMHHLVDRNYFVCSATISPREVPEVQDMMRLCN EFEVPVWPVSIGRNTGYGGAAPRVPGSIVLELGKHMNRVLEVNAEDAYALVEPGVTFF ALHEYLEKNNLKDKVWIDVPDLGGGSVLGNTIERGVGYTPYGDHWMMHCGMEVVLPTG ELIRTGMGAMPNSRDDVNGIRPDEQKPNRAWQLFNYGFGPYNDGIFSQSSLGIVVKMG IWLMPNPGGYQAYMITIPTDKDLKTAVDIIRPLRTQMILQNVPTLRSILMDAAVAHPR SHFLKEDRPFTNAELDQICKDLDLGRWNFYGALYGPQPVRDVLWSTIKQAFSVIPGAK FYFPEDRNEPFSVLKTRSLTLQGVPTFDELRWVDWLPNGSHLFFSPIAKVSGDDAMLQ YSVTLKRCLEAGVDFIGDFVIGMREMHHIVCITFNKKDPESKRRAHWLIETLIKDCAE YGWGEYRTHLAVMDQVALTYDFNDNAQMKLNEQIKDALDPKGILAPGKNGVWPKNYNK EEWRIPKSVTLDKTQHTLSKKRSGWRTPEKL TSTA_085450 MSISGTKSKLLVLTSPAKSLEIRERIVPPPSPLCINIEVLRAGV CGTDVHLWKGDQPLPGSVVLGHEGLGRVIELGAGVKTDHASNPIAVGDVIYWNPIRPC NACYHCTISQDFTACENGTFWSLAENATVWASYTQVATLLPNNSFYKVDPNVPFDAYI ALGCALPTILQAIDHLGQIPGGSNVVVQGAGPVGLAAIMMAKLAGAAHIICIEGNPVR LEQAKSFGATALVDFHQEGLQTVNARKSHINDIVGIRGVNLVIECSGNAAAFEEGIEL LTRSGTYLLVGTWAGSSKVQISPFDVVQKALKIIGSTYCSPSCYYRAAHLVQANYQKF PLASCVTKTYSLERAQQALEDVAAGKVVKAVIDPQA TSTA_085460 MPRARVDPHNRRRVLRACDVCRKRKEKCDGNLPCGHCRLRKKDY DCRYTPPCPSFNTSSRSNITKSRPILSPSQYHLPETPTFGDSTYSEVDALLGFAAHSE QAAEPIIVDCAPIPKQSRMLPDAKGKLIYIGQSASLSYLHIVQRIAADCVGSCTFTDD PQQQYIIEKDFTGHLGSCPEPEPELERSLALAEQYELAVSGVFDFFDISYLQSAIPPW VADPNRRDRPETPVMFLALAIGALGRAADDEDDDTAEKYFNYGRQQAVIHLMDDPSLM TVVAFSLISYYMLASCRRNGAFTNIGIAARAAYALGIHRHETNRAFGGGARERAWKSL RVCDLFLSASMGRPPATSEADCNIPWSKSFAKINNGDYKDDRSVPTQQDSAIFRICLV FERILVEVFSRRAVSLDLAGSISQQHRQWTEELPSMLKIDGLLRNDTSTKASIQKLGT HIVTMAYYYSIILLCRPFLSFHVGTRLKKVGSTQDPETYSDLIATYADACIDSAIKGI GLAQEIVFDEYMPKRQPLIINSVFISALCLGHAIFGNYDQRGWPLNCNLDTAISILRK LGAKNPQSARYCEICCYLKEAVGMYTHQRADTLLRSPNLNVRTMFGDIQAIRLVPSDL STATLHNQSTEEHFHLNNSHAAASPTKNTSYNDSNVNNVNNSAVIPQNFMPTPESLMH LAGLPQDDNDLDPNTSMGLGSTPTMNNFAFSDTLPLFSLIDDLSPSLGADHSVLLGYI TSTA_085470 MDASAQARFDLIRENLEEILNPEIVESILAEGRNPRIYWGTATT GRPHTGYFTPAIKIAQLLAAGCEVVILLADVHAFLDSMKAPLELVENRVKYYEKVIRA ILESVGVSTEKLEFVLGSSYQRNSDYVMDVYRLAALTSEHDAKKAGAEIVKQSANAPL SGLLYPILQVLDEEYLKVDAELGGMSHLPCIAATEWLPKLGYRKRAHLVTPMVAGLNG GKMSSSDPDSKIDLLDPPESVAKKVRKSEAIPKVIENNGVIAIVEFVLLPAAKLSGKN EFRVERRDAEPLIYTDIQKLKDDYVNDILTPQLLKPAVAEALTNLMAPIHQAYQNSPE WQEITLKAYPPPTVQKKVKKVKDKGSRYPGAKKETEIASHPNPEESKTETEEPKAEA TSTA_085480 MVGNSMIAVCQAGDHIDIWTVALRPRLPSTPTGRVRRRQMAIGV FTHGDLSSLNIWSVEMNSFWTHEIDKLLQPMLEELAVERARQRYFGDFG TSTA_085490 MAEDTRQCSSCLVGKPINQFKSKTGKRLWKTKQDHRASKRKSNE PAATDPPAEETHIPHFIWPTAASLRRIAPQDLTAQPIQHQIQEMQEAQQPSPTPSQSS TSQTPSTPDPLTAMSAGISLTPDPLAFVGNEPVSPTLRRTTTGLSLLSSIIDPPATFS SLATSTLDPLAILSSGASTPVIRTAPAHFTLLPYKARRSKRLSSLCLTLRHPIMLNHF VCTICQTPRYFSWRIANGVNICEYCQNLSIPFEEQHKSCVSYQQDVPIAAFFDDKSNE HAHYNLCRASSIIYAETDLSYVPESSNVHFDDTPIVVSTLAQEYSEQDPPYIPGNRDA LLQPALIETDFNYIKNFHKSLDKQQLEYC TSTA_085500 MEMPPFRSTPIAGFTRTQPVLSWAFPTLFPRGEAEFILPRQHSV KFDDYIKHLMRFDNGPGGQEVTADQLRAAFEDDNPEGEH TSTA_085510 MSQALRDVFTKFWGHHFYRFYPIRNDLMINSWNRYMLMAWLANI DIAPCTGTEALLEYIAKYVAKAETKTESYKDLMKGFLLQVNEKNLFLSAVNDEESLRR GLSSLEKYKNHQAIFEHVTYLQFL TSTA_085520 MLITTALKRLALNAGINTSPVMRAAPTGVAILIVERFILYLDCL LLMILEEDLVAEDYEQ TSTA_085530 MEEIYGHSLYRKFDITVKLDIIRRQNNTDPDSARFKETLEHLRE DKLQLADWELLCTRVKAVIPHEAKSFKDALQIYNKKSQVYKFNHNRLSTHQSLNTKKT SSDEASNLHA TSTA_085540 MDDSSLRHSISLHPHPFNLSRDFQDARRSPSAHTRPVAAFNLAE AVVVSGVERTADGESPSDEERNAPPRYTPTNDPLQLASKLKTEEEIKQIRANTSRKRD RVTPAFIGDRFGITSSGKLQEFYQVQNENIERFLTPVEEHVRAAKELNSSNQLKFKIA VWGSFAANVVLSVIQIYGAIASGSLSLFTTMADAIFDPMSNITLLLSNKAVTRVDPRK FPAGKARIETAGNICFCALMTAVSFIIIAFSIKELANGSTEETTAFHLPSVIAVAVAF ATKFTLFLYCWALRNQFSQVRILWEDHRNDLFINGFGILTSVGGSKLRWWIDPMGAVL LSCLVSFLWLRTAYSEFMLLIGVTADTQMQQLITYISMTHSPAITAIDTVRAYTSGPR LVVEVDIVMDPNATLMATHDVAEELQIKLESLPDVERAYVHVDYETTHKPEHFLKKEL TSTA_085550 MKRMFSGLQRRVTSPLSAQDSPSPTPSGNDSPEAIIAREIKAFC ESGGGPTSASNDYLHLPAIVEAAESSANAAKEAAARIRKYLSSPAKVQGGIQYNSIML IRILSENPGHTFTRNFDAKFVSTIRDLLREGRDMPAQQMLREMLDMFETQKSWDEDLA GLVAMWQKEKQKKSYTRSPPPLPGQQNPQFQQSGFLQGGPPRRGGQLPPADELASRIS EARTSANLLIQLAQSTPAAEVQSHELMREFSQRCQSASRSIQNYMAVENPPPDENTML TLIETNEQLSVALSKYQRTLLNARKALGASTPVTTPGSNSNVASPAVPPVSGNTNGNY KGGESGILIPELGNENLSSANTAGGSVRPSVHASLDTPFSLPGLDRDPVEVPAEAPST RTIPTLTPSNTQQPATATTSNRYEYNPDEFNVENPFADSTTEDSHGHGYHQQQEPTRR AV TSTA_085560 MVRYAAHTIPNAKSARARGSYLRVSFKNTRETAQAINGWKLQRA LVYLENVINHKEAVPMRRYAGSTGRTAQGKAFGVSKARWPVKSAEQLLGLLKNAEANA DTKGLDTSNLIVKHIQVNQAPKGRRRTYRAHGRINPYMTNPCHIELILTEGEEVVQKA PHVVAKESARLTSRQRGAQIRRAITEA TSTA_085570 MRSQAALLIGEITHARPEWEQLSSRLTLKEFPFGTREEFLRNCK NGDYDDVVVIYRSNTSTKYTGRFDKELVSALPKSVKYIVHNGAGYDNIDVAVVTEAGI AVSSTPVAVNNATADVGIFLMIGALRQAHVPITSIRAGQWAGKSKLGHDPKGKVLGIL GMGGIGREMAHRAKGFGMTIQYHNRSRLSPELEEGAKYVSFEELLASSDVFSLNLALN PSTRHIIGAKELAKMKDGVVIVNTARGALIDEKALVAAIESGKVGSAGLDVYENEPQV EEGLLKSDKVFLLPHIGTMTFETQKEMELLVLENLRSAVEKGELVTQIPEQKK TSTA_085580 MTTTTKSKALILHGAKDLRLETRDTPVPTGNEVQLAIRATGLCG SDLHYYIHGRNGDFVVQRPMALGHESSGLVTATGPDVKTLRVGDRVALEVGLPCRKCL YCASDRYNLCANMRFRSSAKTFPHLDGTLMQFTNHPEDMCHKLPDSVSYAGGALAEPL AVCLHAIRRSHPPTKEEAELARSLGEESAAMVFGAGAIGLLMAAALATSQHFSSIIIA DIDARRLKVAESLGLGLKTHLIERSATTPPAKDAPQEEQTAYAMKTARETADKIKKTH NIPNGFARVYECTGVPPCIQAGIYAAAAGGVLVQVGMGAPILTLHHGAAMLREVDIIG VFRYDKYAYPAAIELLEKEAFKRVEDKIVTHRVDLSVEGQGERAFTLAAKGVDEEGVA VVKVLIESSF TSTA_085590 MSQLNDIKGRLALITGASGGIGAACARQLASKGTHLALTYMSSL PNIQSLTIDLHSLNKDIRISIHKVDVGKAEDIDKMFSEIDAQHGHRPDILISNAGYGK RIPQIWDIDLEEFDYTINVNLRASFILTKGCVEYMRNQRWGRIVFMSSIAAQGGGING CHYAASKGGISGMMRNLSTRLAEYNISVNDVAPAMIGDTGMIPNGAAFPGLTETIPLG RLGVPEEVANVVTMLVTTGYMTGQSLLLAGGLK TSTA_085600 MGPVKIQTEPVSRQHRKLGPGQRVSRACLFCRQRKSRCDLDSGG NPGTPPCQRCVREGRECILGTSNRGGRRIRKSKSVTASKEDEASAQKTPENRSSVSDY QEHNTNRASFSAPGYPIADLYVNQASKPAETVTTDVHDQDQEREIDGNESAVSSDSAI DSAVPRNPSDAWQCLTGIAKRGEETEEFHHSTESATQQRPLNVFPHEKDTMGVPSHAP VASGIATYELVKNGSLDPELIFNLIKRYQVHFHPYQPLVPRRYFERETLNAFASNEKN LLTAVLTIASKDLLDMPHIHEYCSKYMHELIASIAAGTDCDVEAVEALILLAEWEPQG LRPKIERVGRGEEDRAAWMHVGLALRSGYFMGLDRTSFRGDPVGEDCDARKRLAWTSC YITDRLISVRIGRAFWSRGPGPMSGFVSRDFPSLLPAKEGDEDYAKIIQATLELTQLY SNVHEILYSGMRSSNQMMLMGDYIKYADDFRTAIHRWNQTWGGFQCEILHRQRRHQLT EWNDIGSPNIKLTLQMSYEYLRLYTNAFAFQAAISQAIVRKPKTADQHHQKEHLRATF SNVATMPDARFIYESLDAAKSYLHILVSLVNPEDHLRFMPLRYYLYSIYAAVFLYKAR SFGVMSPKEELEVRELVSRTTETLHRASAGPDDMGSRYARLLEMLWKPRSSVSVASSE SRRRNELSITSLANNSLSPGTAGIGVGASHQHQQHPPHQHAHQSPHQTHHHQQSTHFN PANDFSWLDLGAVGDYVSGDQMTAGILGFEGSLEDNGGVYSAEQHQPQQIWQMPLWTG DSMSTSLFF TSTA_085610 MASLFSIEGRTALVTGGTRGIGQAMAIALAEAGADIVLVQRHES NTATRDEITKLGRKAVIHVAELSDREAVKKIIPTLVQQGVQPDILLNCAGIQKRHPSE KFPDEDWDEVINVNLTSVFTLAREFGANLLSRGASEFPSGRRGSVINVASLLSFQGGI TVPAYAASKGGVAQLTKALSNEWVAKGINVNAIAPGYIDTDMNVALINDANRNAGIMA RIPAGRWGKPEDFKGVVVFLASPASSYVSGEIITVDGGWMGR TSTA_085620 MVVIKALPGEACRVTFHLPHRINVKPGSHVYAFFPTIALWMSHP FSVAWVDPSTCVTPSSHPTAAWMETYNPYDRKNLNDKLGLSDLEKQDFMINELRHGSK QKTSLSLVIAARTGMTRKLYNKALKCPNNTLRTYGFIEGPYNSGTCAMGSYGTVILFS GGAGITHHMLHVRDLLLRAEEGCVATQRIYLIWSIRSTEALNWVREWMDAILQLPNRR QLLIIKLFISKPKTQHEIKSPSETVQMFPGRCRPSVVLEEALLTRVGATIVSVCGPGA FTDEVRAATRECMGREAF TSTA_085630 MSSQDGSADIGELSSTQQEALQTYMNVTGQEPDAAVPLLQRSQW NVQIAISKFFDGEGPDPVEEARAALNAPQEIRRTQNLMYDIDDLPPRASSSTSSSRPG GLEPAPRIDTQPEDQPAFRPPFILSLLFSPLNLLYRLLYNSFRLFGTLFPFLPRWLNI TTSSSPLQQRNFTTSGRRALAPKDTAARFIREFEEEYGSHSLPFLENGYNMALEKAHQ ELKFLVVVLLSPEHDETNTWVRETLLFRQVVEYINDPNNQILLWGGNVQDSEAYQVAN SLRCTKFPFASVIVHTPNAGSNAMSIIGRIPGNTNPSEFLTKLRTAISQNKEPLDRVR ARRTEQQASRTLRQEQDSAYERSLAQDRERARQRREAEAARQRAEEEAAARLAAAEKR AADLQQWKRWRAQNLGPEPAEDNTNAIRVSIRLPSGERVIRKFAPDADLEEVYAFVEC YEVLTGGEGDEDEKEATATEPVGFEHKYGFRLVSPMPRTVYEVEAGGSVRERIGRGGN LLVEMIDDEDEDSEGENGDVDNENL TSTA_085640 MASTAKSGLDDSRRNLGSPKLRGRENAKDTLCRNVTIYGKCRYE DKGCAFNHDPLKLQNQNQNDRKGLNVDSPSFTPTTLAANGSTPKKQNTISPKAVSAAP FLPKGPSSSAQSARSDAGSSEWSVAEIQDFVPQGFDGGQVVPLQGNGNGVLSPAPPYD PFVTQQSSIAGGSAVAPHPVPGNPYSHDAAAAVAASMGSAFFAGQTGFQQPVQYHLYA PIGPHSSNITGYQRNVHDLFLPNDFREELQKKAGATLQTLPNSSLPAHVDYFHSLVPL DLNHQKNAAIFGFPSWVYKAQSNKDGNFYVLRRLEGFRLTNEKAIRSVQAWKRITNGS VVTVHDAFTTRVFQDSSLIFVMDYHPLSKTLAEQHLGAGNRYTTRSNAHIPEQIMWSY VTQIASALKAIHSSGLAARVIDASKILLTGKNRIRLNACAIMDVVQHDTQRSIQDLQL QDLVNFGQLMLNLGVSAAGTIGSPNKAMEHFTRAYSPQLKNSVFWLLNGLQKDQERSI DIFISGISSQLISTFDSALQMDDQLTSDLSRELENGRLVRLMTKMNFVNERPEYEHDR QWSENGERYFLKLFRDYVFHQVDAQNNAVVDLGHVLNCLNKLDAGTEEKVTLISRDEQ SCFVVSYKELKKALESSFQALLKPARRLH TSTA_085650 MSERGSFRGGGNRGPRGGGGGDRRGGGSTHRGGGREGGGARDRG HGDNQQEKPKKENILDLTKYMDKEVNVKFNGGREVSGILKGYDQLMNLVLDDVKEKMR DESGNETTRSLGLIVARGTLLVLISPADGTEEIANPFVQQEE TSTA_085660 MESRTVTAELPSNKRPRSPSGDFSPNVSKMPKTQSNSLHINYLA RQYPDNLPLVSTDDSLPNILRLIGEYDGVLQRHESIAGNLGACPLGPILMKRFERLFE GPPRVLKSNGKEGTNVTWLDVVEFAKNKPEQFNLEKTRNGVRVCQFYTKQCRVEISEE DYVLIASGMPQKLIPPQPIDEDEEKEVGVLEILEKNLQQITAMADSAAARARQLSYRL KSRRNAIVTRRENDRNKYSQSPPLHEHGNTETTVNGTGFANPPSPPGGFVAVNAGRPA ETTDEHPLSTQFMLNHPNTDNITIINGTNIKGASPTTRAELLKKFLTTADRAGRGPPE NESAASLTRQSSRAGPRRSDATELPPVVFNNSTGTVAIPNTPPSLLPQPKSVNHHERD DGGPYKLEMVARMEDLQRGERILPPCDRCRRLHMDCLKNLTACMGCTKKHAKCSWKDV KEEELRDLQPRSQPRAHVEEQREFARPAAPDNNNHQDEHGTDHTHPLQSNTVYPSHDS HTDPHANPHNALVLVQSEPPSHPYSQHQATAPRRANSEIHNLMLNHDQHPDSHHQHLP HIQQHQPQQPQQPQQHHHHDRRTSFHHRNILHENNANNNLNNHLRNSHHRNNETDNDP DANSRLMQAIKDTVDHHNTRVQVGREKHIRDGE TSTA_085670 MDPSLVKIPPLRDLTIDNITENVILINSLCEDARMKYVLERLVT HLHDFARETRLSSEEWMAGIKFLTETGQICTDVRQEFILLSDVLGLSLLVDSIDHPKP QNATEGTVLGPFHTHEAPQLPQGSSMSQDPNGEPLLVLCTIKDRSGNPISDVKIDIWE TDSTGHYDVQHADRTGPDGRCVMLSDAKGEFWFKAIKPVPYPIPHDGPVGKLLKRLHR HPYRPSHMHFMFEKEGYDHLITSLYLRNDPYETSDAVFGVKNTLVVDLGNVDATLAAK HGVAEGSWLMTYDFVLVTDAETKALREHNSQVALDRLGRKVKIVNGLPIPDLD TSTA_085680 MDRTVGPHKRRKLSASQAPPYVLRQLLDDVPIEPESGESDVHIT CVEYWNDNLYIGTSAAEILHFVSLPSESGDEAKKPTFILASRLQITGNPKKKQGIQQI VLLPTANKACVLCNGVVTFYSLPELTPAFENTKVNCQWIGGLDLNRNESENPADKPVI MIATSSRIMLVRIGDAAYKVRNIEFPGCLVGARRDTIACVADGNAYSLLEVEQQQKIP LFPISSTSEVFESGHVEDIPQSPSNLKRSSSASYANSKIDRKSEHSRSTSLNTLAGAL SVDSDTLRPSPSDRSNTSTPGLSDNDRPQRSISRDRCDIDTTKDLPTTPKNSAEDKQK PLPPAPKLDIARLKPHIVSPTPSEFLLVTGTQESEPGVGMFVNTDGDVVRGTMEFQQY PEAIIIDDPSESDPSSGGPGLSEGYVLAIINLKEEETVHKYLEIQRWDVEPGEYGRQK ALVQIPVLDKSGISHVGIKHTTSSSKLGFYELGETLRMVKLKTPPLGGTSTPAEEADP RTNATIEQVRKEKELFESQELTDSESGRKSGSLETLEMERTKEESAFAHRLEYIQSHL VLWSGNKIWRVAKNPLPLQLEGLLQSAQTWENGRFKSVDRDAVIDLLDSIKSTETKTE AEFLGVEYIKQKAGLILFADLLTMDPNSRSESTMRVTEEVLVNSNLDPRLILFMVPFL AEEVLQAKQGIWVHRGLAREAEFYLDHLSETKAMPVVPEETLLNMVKRYLTAWQGKRD YGSVTDETNVFYSVDAALLHLILEQEARARRISQPVTAAARSELNALVDSWKGNFDRA VALLERYRRLFVLSRLYQSRKIFGKVLRTWQRIVNGEKEDDPDVTVSAVEVHVRRYLC KLRDAQLVEEYGSWLASRNSSLGIQVFSDDSAKVKLEPDKVVQLLKKRAPNAVQDYLE HLVFSKNYTQYADDLIAYYLDTVINVLQSSEEARASLRDSYSTYRALRPPKPTYLSFI NENTPQESWWQSRLRLLQLLGGPSTVFTSSATTSKLSYSVSAVLARIEPFQDELVSES IILDGRQGRHKEALRLLTHGLGDYDSAIRYCIFGGISSSQPAAISLPPPSGDVAYTEP AVLFKCLLSEFLQIEDVSDRIERTSDLLARFSRWFDVNEVLATVPDDWSVDIISDFLV HVFRDLVSQGRETRIQKALSASLNLRVSVEYSEDVEKRGGWIEDDQGLRSSKSGVEAR QIDDDADEDFGEMIDAR TSTA_085690 MDAFAVSENILPEQEKPDVNGSQSTSTEESNKFQRAIASWRGID LTNTIPKLDATASEIVANQRDALVQRKDLAQKTKDFRKLDDASKLTEYKGLLKAYQTF IDLLTTHGKTSSSAFLQLYSQLSEAPDPYPLLEASVDSLVASEDTVPKLTAEKEHLQK SVAQLTRQLETTEKRLEEESEARKKLEESQESKIKEIESSWEAVLSEKSNNWEAKEKS LEEKIENQERLVKELKASLEVSQRLGRDEDVDSARNTATAAELEIVVSDLEKTSLRLA EVEARNEQMRLELAQAVSHSQIEQKPIEDDPSYLRLQSENSALIRKLDAARFDRDTER HDWESKIRQIERTNAKLSAEKDEFRSKVEKYADYEDIKRELEVIKSIEFSTGDDDDSG NVPEELSGSMNGSAKSKENSLEQLLMARNKKLSNELTVLRVSHRDLQSQIETLREESS GTKVELEKSQQLCATLENDLLRMQKSTNALPSAAMSVAGTYTSRFPSSRRGGGTSPTS SIISGFDQAVASANTMDAIRAGEAVGGGSGILPMIQAQRDRFKQKNAKLEEELSKTYA TVKSLRSEIASLQKDNLNLYEKTRYVSTYSRNQGGASTSASSYANRPSAASVYASDET PSGVLLDKYQSAYEAQISPFAAFRGREATRAYKRMSLPERLVFSITRVVLANRTSRNI FAAYCFALHILLFVMLYSMSAVEIEKHHIDNLANGAAAAAAGVAGGGGSAGIVGKLHG DDWQQEGFSN TSTA_085700 MPSILITGAGGYVGQELAAELLRTTSSDTTVVIADVQEPPVPAS ASEHASRLKTVAADLTSPKVVDELINASSPYESVFLLHGIMSSGSEANFELGVKVNLD SNRYILDRLKDTMPGVKVIFTSSLAVYGPTKTGFVINETNLPPVPLSSYGSQKLMIEI LLNDYSRRGFLDGRAVRLPTVTVRAGKPTQAASSFVSDIIREPFHGKKAILPVAKDTP VWICSPYTIVKNILHACDVPKEAFGDSRSVNLPGLLVTVQEMLDALEQIGGPEKRALV EEKYDADVDRIVQSWSPLFDTARARQLGFADDISMIENIQKFANSLQQALMASRRLAH QFNQALRNRAALKAVQPVKRGFATPVGLPSRTQSTTLSNGLTIATEHNPYAATSTVGV YVDAGSRAETDKTNGTAHFLEHLAFKGTNKRTQGQLELEIENMGGHLNAYTSRENTVY YAKSFNADVPKAVDILADILQNSKLETSAIERERDVILREAEEVEKISEEVVFDHLHA TAFQGQSLGRTILGPKENIQSIQRDDLVNYIKTNYLAEKTVLVGAGGIEHDALVRLAE QHFGSLPSAPPSAAAAAVAAEQKRKPDFIGSEVRLRDDTIPTAHIALAVEGVSWNDDH YFTALLAQAIIGNWDRTMGNASFLGSKLSNVVSHHNLANSFMSFSTSYSDTGLWGIYL VSENLTQLDDLVHFTLREWSRLSFNVTEAEVERAKAQLKASILLSLDGTTAVAEDIGR QIITTGRRLSAEDIEATISRITAKDVMDFANQKLWDKELAISAYGSIEGLLDYQRITN DMSRNLA TSTA_085710 MQQRLGSGREGLPVLHTPPFPAILLLPLKTKFKMVKVAIAGGSS PTLGESLVSALLSTNGRHTPIILSRQSDNTRISSNVEIRQVDYTSHTSLVNALRDIDV VISVLLIPGPEFITYQINLLHAAEEAGCRRFAPSEFALSSEAHEKVDILSAKLTTWDA VRSSVERGKIDAARFPCGMFMNYLGIGCPPSKRKDALAGFSEGPYLFHLEGDNPWVEV PLKEDDGQFSSLIMTNIRDIGKFITAAIDLEEPWSGRELGMAGETINFRDAIAICEQY IGKKIEVRPVTKAQLSEKLQEVPKNNFIEYMECQLSIAGTEELFLFEATLNKLCPQVR PMTITEFMQTFWTGL TSTA_085720 MNSCLLARRKFEQNAKRTRPTECVSEETVSNEPASVSSIESEAT GKITLIMIGLCLAVFLTGMRRFHALGNISWWSAICLFTLSLFQLFYGKLYSLFSIKIV YVATIALFEIGSLICATSPNSAALITGRAIAGLGAADFHRQHHGYNEEIFGVAAIVGP FIGGTITEQTTWRWCFGINLPLGAVTIVICISLIRTPIDAQAQTKSFEEKLRQFDILG TVILIRSLEPVSLVGSKLCHVHYRRCVQRHSLCANLVSAIQGRSTLGSAVILAPIIGG YVVCSVIAGIMTSLVGYYNPAMIVGTVVAAIGAGLLTTVTPSTTTASRVGYGILYGSS VGFGFGQPSYVVQTVPKQNDVSIGVTLITLVQNLSATISVTVGQTIFQNTLTARLETV APDVDMPLIGSGGATKLISQFPASDRSSVLGAYSTTLVRTLYISLGLSCVSVIGASLT RWKSIERAGC TSTA_085730 MADPSIKGLRIVIVGAGMGGLASALALAKAGFRKIDVFEYATDL GFVGAGIQLAPNMARILDRLGVWEPIAKEATSIKDTSIRQGSTNQELGHVELGYIEKT YGYPHMVGHRFSLANSMYQGCKKEAAITFHFNTSIKSIESFGPNPVVIADPRDGNGKP YKVEADILLAADGIKSNIRVEMLKLLNIDAQIKDTNQAAYRIMIRRDQIKNDPGLLAL LDSDTVVRWIGERRHIIAYPVDNKNIYNISTAQPDSNFAAAPSAMYTTRGSKSAMLGV FEDFCPMIQRMLNHVPEGEVCEWKLRVHAPLPTWVHKTVALVGDACHPTLPHLAQGAA QAIEDAAAIAAALSRLPDTKPETVNRALRVYETIRKDRAYVLMEMAAASGRTLHLGDG AAKEERDKQFAAIKQGNAKVPDKWADADVQKMIYGFDATKETLDRFDEIFNGLAEEQV DTK TSTA_085740 MAFTPRGRGGPPRGGRGGFGARGGGGGGRGGGRGGGAPRGRGGA RGGRGAPRGGRGGGRGGAKGGQKVIIEPHRHAGIFIARGGKEDLLVTKNLTPGDSVYG EKRIAVESPAEGDAPAVKTEYRVWNPFRSKLAAGVLGGLDKIYIKPGSKVLYLGAASG TSVSHVADLVGPTGTVYAVEFSHRSGRDLIGMATKRTNVIPIVEDARHPMKYRLLVPM VDVIFADVAQPDQARIVGLNAHMFLKNEGGVLISIKASCIDSTAQPEVVFANEVERLR VEKIKPKEQLTLEPFERDHCIVAGLYMRSQ TSTA_085750 MHFNGLVLASLATAAVAAPTSNVFNDVYNFDATLEEFYSRVSAH IGRFADGPPPTNCDLSKVSVPSSSLPPATGTLKYVAIGRGTQNYTCADATADTLPSQI GAVANLYDASCIAANYPDLLDLATDIVLNFSLPAPGVQTPLAPANVEILGHHYFETTT TPTFNLNTTPDKQFGVALTSKKNSTTAPQGSIIGQFNVGYGAVPWLYLTSITGTTDGI TSVYRVKTAGGAAPATCSGQPAAFQIQYSAQYFFYV TSTA_085760 MPENRALFYNSVPEGLPVVGKDLNIQSVPYPEEAPENGIVVKNL YGSFDPYLRGRMRSPEIKSYVPAFELNKPIVNTQIAQVIKSKNANFKEGDQVVGILPF QEYIALNGDQVAGIKHLQNPLGLDDIRYFLGALGMPGLTAYSSLYEIGKPKKGDTIFV SAASGAVGQLVGQLAKHEGLKVIGSVGSDEKLNFILKDLGFDAGFNYKKESPSQALKR LAPEGLDIYFENVGGDHLAAALDAMKDYGRVIVCGLIAGYNQKPEEIYPLRNYGNILW KRLTVRGFVVGDKGMGDKYADEHRERVSKWIKEGTFKAVTWEVEGIEQAGDGLLALFH GHNFGKAVLKY TSTA_085770 MAAFIRPSSGAMLGQIMRVPASQSMLYNSAKSAPLAMTIIRQAQ LNKTSQVTLFHSSAKREILTPLPQVIKGTMNDAAPVPHTSPSHGSYHWSFERLIAAGL VPLTIAPFVGGSLNPVMDSILAATLVLHSHIGFQALIIDYLPEKRVPKTLALFKWGLR AATLAVAVGLYEFETNDVGITEAIKRIWTA TSTA_085780 MSDGFPFDTPQHPAISNLDIIMSEKGPLGSIPPDPPTYEDATAT STTTTMTTTTTARPPQPRPPFPLSLPILHQLRTKRVILASQSPRRKQIMSFLGFPNLE IIPCTEPEDFPKTMGPIDYVLATATKKAQTIYRQEVDNAEKGEPGLILAADTIVVDSA HGDMILEKPRSEAHHVAMLKSLRDGRNHVVYTAIVAMVPLASARMPGYAIESHVEETS VQFDPDVTDDLIVAYVKTREGADKAGGYGLQGLGSILVNKIEGSYDNVIGLPLKATLQ LIQKTVMKADDDELLPEEDGLDEDEFEG TSTA_085790 METHQPLLRGGCSCGRNSYAISIPNDATNEAEVIFSGHQDHRHA QGVPLTAWLRVPLTWFRSQTHSFFPDETHATIRRTFTPHYAPHTKRYFCGYCGSPLTF WTDEPESEADFMSVALGSLFGDDLRLLEDLDILPPDDEDLEQHVITETVPTTLVTRTS SEVAPTAPSSSSTSRISYHSGTLAGVPWFEEMIEGSRLGRIMKSRRGIGASEHASTTF EWEISEWQGTDTGRQPTRVFTSITSERGKRKADDMTTI TSTA_085800 MSSDTSAHNNDHSRDSVSSNNETPGVGADGNQDKPRLTEQEKKN NHIASEQKRRAAIREGFDRLTELVPGLEGQGRSESVVLKKTLQERRELIAEIERSGGQ VDESFRTR TSTA_085810 MSLSPSQACLPEELNNSPFRTKESALELFTQEDFLTENLAVIMS QIGRSGHSQGGEGSGAAASPQPADSPSPNPRIQSKYQKRNWFKRRNGQGKQAQDDQQV ADGGYLRQGQERDQHLYQYQQQYPRQTHPAMNPLDSSDPFVVHPSAGYPAPTGQFSVV RAMEAYRTRRGRNDYPILPATPILPTLTPPDLLVSTPEGSTAPPGPLDDDPQNRFWHD MEMALPAYEPPTMWYPDDMDPCQYLQVLDPRYAQESTLRPWATEFVPGRAYHDFILK TSTA_085820 MVDYRQGLSILEMVVYIPALFMAVSMGFRHGFGRQAGWYFFILF SLARLIGNGCYLGTINDPTNTNLYIAYAVCNSVGVSPLLLGLLSGLSRVNDSIQRKTG SAYWPVFFRLVGLISLVGMILSIVGMTSNDSSLQAGYTSSTTRAGIILYIVAWVAVVF LTLMIWFRYNVIERGEHRLLWAVTISVPILLIRLMYSILSIYKHNSTFNMFTGNVTVF LVMDVIEEIIIVYIVMLTGLTLQQREKAVFDADKEMSNITAGPMEGHEQQRLHSSGDA GRRPKRALRGGPIMMLITFIMNKVERRT TSTA_085830 MVYCGKPSKGCGACRARKVKCDQATPSCQRCLKANRVCPGYRDQ LSLLFRDQSNSVAKKAKANKSSASSRAGTPFTLTAIVPTTGVTTLGSWDNCSTPPTDY DLIQTSARSSPSVESSYSGTYNSFDQRIEADNFSLTPALIDRRQQAICYCVNSFIWLN GSLIKGIDYDADVSSTSSMAQRAMMKGILSVGMANLSRIGAQSPSMKITAQEEYYKAL KCTNAAISHPTQATDDATLTAILCLSLFEILTSKTPETVDAFVEHTKGAVALLELRGK SQLVRPQALQMFEFMRSEIIVTCLMRRSPIPPTLLTLSETATRLPNAPDSFKISHQMC VLMSRVNDLRNEEKAMLDSTDYDTIVVSRAFALDAAFETIVNGLPPEFTAELYTLPPD QSLKHPVFRDVHLTPLNGIYHVYQSAFICVLHNHYRYGRIFINEILLNRLARMTTQPE FVPTPEFKDLCHRLCDTSRKLANDICATVPYLCGFLGDQQPSRSPFKSNPAGGLALLF PLFTAVSVDGHGSDTCRWIEETYKMIGRQMGIDQALALAQLTMVETGTTKFVDRL TSTA_085840 MPLFNNKLSSSSLSKTFSIRSCSSSSKSNMGSGHSRSKHGNAIS RGFSTGNTVSGSARPPYPVFDDNDYSMSAKHRQSDPWGPPPYTPTSATTSQTPYSPDS IRSPPYPTTITSQPAPLATGTRPADDSQYSFLSKFDTIFLVDDSGSMAGRSWKEAEEA IAAITPICTQYDPDGIDIYFLNHYSNFDRSGGYTHVSSPAAVQEIFRNVRPMGMTPVG QRLRQILFPYLRRIEKMAANTDEYGQLQNQTLAVRPINIIVITDGVFSDDAESVILNA ARTLDRCQAIPWQIGIQFFQIGTDIAAQKHLEQLDDELGKAVKSDHVRDIVDTVPWKG QTGRTLSSDGILKVVLGAVNKRLDRQKGHS TSTA_085850 MLSTTLMTFLLWVRRAPSNVQSVELLGSWDNFSRPYPMERDRRM GSGHWRGCHTFTDIIRDGTSPSQSPGRTGGLKMGGTYWYYYRLDGEIEYYNRAEPVTS LCPLLPGQPVNILNVPIILPGTDYLHRRDNSTSSTKSDQRTMDPEDKYMNPRTPPKPR PGLPRLKTSPPFYQQTVPSSSLLNLSNSNEERPLMSQPSSSTHSPKFRLVPRAKAERS VSPPRSRGLRAAFLNIAGARSPGDRVDDRGINHHDPYPADPFVPSTSTSNASSNYSSS LTSPLNSPVELDGVEIGRLPFRHPQVDSEQPLGPPSIRVRRASKSSRENTPLALSLEG HSSSRETGRAELLAFSSTAPLETLDEALSQQATPMAVSPREQTHATAGQLTPVLPNLR EKRLPTLPNSPSSVLDAELRAIEARYKPLDMDLLYSHFSDDTSFAASNIYDSPRDPPE KSRFSEWSTDTELVSPASMTSSSTFNVDQLSNSSPFLDQQFQHDTMNHTYNDYTLDAP IPSIAISGPTTPVLGSEAEIAPSPTQVDSAHSRFLMSANSSSAGQLGSTYMREEIEFP SLLIEDFDEFSFHEPDPKRQGAILPLSAVTPLSVGDSSYPSTSPTPKETQFRLSDDYS SQSAAMQELMEEIGYLGEMISSGL TSTA_085860 MTQPTEEDTRNTVPSPQQQLTTVAEKTLPSKCKNGSLLTYCPTM DLIALASEDEQLHVFRLNGQEVLSADLAGDPYLDEVKGEIRGIRWKNDGRLLAVADAE NKLRIISSYTGKTVHHFSCLPHDQEQYSSSSPENSNKDAKNIRITCIGWGVNFTDSKA AQSHLREGNGQISVEDLLAPDTDPVKAALQLKADLPRELALLDVESSLPRLSTLPGSG SDEDLFSTRVSIDAIFQSFNRISSDAVDVLFVGLNDGRAHLRIFDCFEIGNFSITANK AQASTQVETLVHTSHPMSSTHAIIMSEKTKDAPNPTLKVISLDLRFITKSGRYLSLLA FKITQLQNLLRYINQTQRQIALEWKNVYELPARFMRSVADELQEKCHCDFVTALYHLV VTGNCFPPVKDFLVDIVGERGHKRWEKTVSSGYENVRRLTHECLLPALERCEVLLSRL IGISKFHKLNHILGLETRDLHEVIETLDCLHLLSHSILTKTTREMHQFMEFARWLRHE IDIQTAEPMSQTLEELLEKSDLIDHAATLDYIEGALTKSSLRRYISSTPSPAPVAGSD SQKSVADGERFSFYQTFRNTLAAEEDEKGDCNSGNKNELSRPAPPQLNDLIARLGSQC GKVFDKIALAQRRSILHHCLLVLHPDCDSNVIDTIMQYENFNEEEYAIYVTSRLRSAP NTLLIYKITIQCTNGVSSTKNISVASMRLSKGEIKQAQFVDDGTIMLLYANKDRSYLL NFYISTSSSDADETESFPQYTTTTDLQSLPTPFDLDIFGHHADIIIHTFPTFGPKSKP IRMNVNGRKERRAACILSADMMRYEVLDIDHPVESDSED TSTA_085870 MDVTQVLNNTLSADANTRQAAEQQLIHAAEVDFPAYLVTLSEAL ASEESPGHIRSAAGIALKNSLTFRDVVRLREVQARWAQQVPPPTKAQVKAFTLQTLNT KDGRAGHSAAQVVTAIATIELPRNEWPELMPTLVQNVGSGSDALKQNSLATLGFICEE RDPDMRTALAGSSNAILTAVVQGARRDEPKAEVRIAALQALADATDFIGSNMGNEGER NYIMQVVCEATQAEDTRIQAGAFGCLNRIMASYYDKMRFYMEKALFGLTIMGMRNEEE DVAKLAIEFWCTVCEEEITIEDDNAAAPDPSMYRHFFNFARIACREVVPVLLSLMTKQ DEDATDDEYNVSRAAYQALQLYAQCVQGDIIQPVLTFVEENIKSEDWHNRDAAVAAFG AIMDGPEIEVLEPLVKQALPVLLGMMEDQSVIVRDSTAFALGKICEACPTGVDVDVHL QPLIAALFGGLASTPKIAGSCCWALISIAENFSLQGDGQTNPLSKHFEESIKSLLALT ERHDTENQLRTAAYEVINAWVTNSANDSLAMVASLSDVILQRLEQTVTLQQQVVSVED RIALEEMQSSLTVVLLAIIQRLEGEIKPQADRIMHILLQVLSTLPPKSSVPDIVFAAV GAVASALEEDFLKYMESFSPFLYKALQNHEEPGLCAIGVGLVGDITRALNEKVQPFCD TFMNQMLSILTNSGLRDTLKPAVLVTFGDIAQAIGTHFQTYLSVVAQVLQQASSVTLA NDVDADTVQYVISLREGIMDAWGGILLAYKGTPQIGALQPYIDAIFQLLHLISIDTQR SEGLMRSTMGVLGDIADAFPNGEFASFFRNEWVTSFVREVRTNPHYSQRTLDTARWAR EQVKRQINLATAAAMS TSTA_085880 MVRTSVLNDALNAMNNAEKAGKRQVLIRPSSKVIVKFLTVMQKH GYIGEFEEIDDHRSGKIVVQLNGRLNKTGVISPRYNVQLRDLEKWVVKLLPSRQFGYV VLTTSAGIMDHEEARRKHVAGKIIGFFY TSTA_085890 MPLDTIYLVRHGHRMNWTIDYATGIYHSTFLTPTGNPADPTLTA HGVRQSQELAAYLLSGEYPGPKPWRIYSSPFYRCLQTIKPSVEALDVEDSGTGKTKRG VRIENGLGEWFGASTYFDHPSPASISELHQHFPTIIPDPASGYNDIVHVIPSTRGETI PQLHNRVATTLAAIIADADADIRAEEEQEARNGNDKEALSSKSILICSHAATLIAMGR ALTGNMPDDPNIEDFKVYTAGLSTYVRRHTPPPNAEYYTQEEGLSLLAEGTKLLPESE VRVPAWQCGRGVSGGWDCVRNCDCSFLSGGEERGWHFNGEESFDTGPMNTNENADEAA KL TSTA_085900 MLGHLESYSQDLTLFILPDNVWQLHNSTIILLYNTIMAFSNKSS LTITHIGTATAIIDVDGVNLLTDPFFSPANTEWDIGVTVLKNTDTPAMSLETLPPIDA ILLSHEDHPDNLDELGRRLLDGRKVLTTIDGAKKLAPRPGVRGLQPWETVTLVAGGRT FRVTATPCQHLPGGECTGFILEYDGFGTSSDGRPNALYISGDTVYVEELAETIPKKWN ITVAIMNFGNAQVPMPGGGSLQVTMDGKQGARLFRDLKADVLVPMHFESWGHFTQFGN ELRRDFQDDGVNDKVCWLTPGEPKKIF TSTA_085910 MKVKNRFWPSAMCVYSGRVCEGYQTPWTFVDSSTFVSASKQRNT RETSQTRRHRKTLKVQENAHASVQQGLQPSLNPSRESLLARPSHDDFIALVVRNYVPK DSVTSIDNDLHFTKEPRICGAWVEVLPSLRSGRGGTRNLVLTAAVEALATSILTQKLY PNRDNIESFQSYELALRSLRKSVTVDQRSDVELLASIMCLSLVELMMPSTSVALEAHL KGAGQLFRAYGADACKAGVLHTLFAGFRPLLLIDAFQLRQTTFLASPKWIDVPFSICR ASSMQSLLDKGAIIPSLLNQSDNLLDKAHEEGNFDVEARDLINHLVTTLIDLENWEME YCQGIDRQCYWPSDRSELPLSKTSISQDKPLIYTSVTMANVYTNLWAFRIICLSELER FICYFPYYDITHDIPSHPLNLKHAKEHKIALAKQICLSMEYLLQDEMELFGPASTCFP LQIAYETFLENEVGREEEISFVEGVVSRLVRKGLRSAPVLVFARRTMRTV TSTA_085920 MDGAQSEDASVSSPKEEASTISLRILSPSFEPNQRLSFDKLSPS TTVGEVKNLIVPLAPNHPTPDQQRLIYRGRPLLNDSATLQTVLEPPLDAVHTLHLVLP PGPEISNNIYVSSDSGLRYRHPPGRSPNLNAHHYTDPVATATGLQAPGQTSTLPPLPA LNNPATQTATPITAGQQPNGEAMRQVLEQHRRRAEELNLVVDRARALINTHTTRLRIQ GINIPLGDNLGQAHRPSLDSSAAPPPGTSIQAPNSHAIGTDNFINNAVSQSLVLARIT SQIMLFELEIERGITPSIDSIAAVRNQLYAILDARYRQPPSSPSGIEVEGLLSRLQNV TARAYQLRQLEHIRTLSAANTSRIESNPQTTQSSEYYLITTPSGEQMMLTPPPSTVQT SPETVLVFPDRQPVRNDVDPLGVMNNDVLHNVVRQAVLNQQPVRNNNNDQFAQNVRRF WLFLRLYFFCYLFTDSNTWERYLFVGLAALIALFSESNITSRLFSIIVHPIQRHLEGL IHGEPPARTEQSNDTNNDNVDNNQPGQNRAAAAGQQGFRRIERAFALFIASLIPGLGE RQVEVAAEEAARNAREQEQARIDEENAQNEGQAEGDPAVDQGEVPPAVAEATGNAEVP QQQQQQIQQE TSTA_085930 MVTCMGGQSIAVCRGLRAARFQQSLIYKTKPAFRSREFHSSGIR REGHENHPKNDRNGSFTSRLGRAWRDTKIEWRPIPIGLGIAFLGLWQFYRARRDTERL RDKEIEEDGKPPRRKRIRPSGPWQVQVMSTLPLKALSRLWGRFNELDLPMPLRIPGFK LYSWIFGVDLDEVKEPDLRSYPNLASFFYRELKPGARRIDQDPNGIVSPSDGKVLSFG MIERGEVEQVKGMTYSLEALLGEASPSREAIESHGVKPADMEHESGNMAMDEEFATVN GISYTLPHLLSGSKKKPKKETAMDASTASGPSSEAKVEADLALGDGRPWYAPSASNNA LYYCVIYLAPGDYHRFHSPVSWVVESRRHFAGELYSVSPYLQRTLPGLFVLNERVVLL GRWRWGFFSYIPVGATNVGSIKLNFDAELRTNSLTTDTAADRAAVEAAKRGEAYTGFA EATYYNASRALHGHPLQRGEEMGGFQLGSTIVLVFEAPMGVRKSFDEGWDGGREGGWT WDIKQGQKIKVGEKLADSEVNNNSAKIPSTCLLTPHLILHLDSSDISRHYASTGHIRT NSELKMPRQPPRRAPPAPTTPSLAQPPPVVAAAVATTTPNFATPQAFQQEVEFIPTGN PAWQQQQQRRGFNQPAAAMPEPLEDSDIENEPVDDDRARAIDFDALDDGEDDDEDIDD DELDDEDVEGDDENEGARRKSLSPLPPDLREISSLASWTVSTHKPGCGVAALRNPSPQ QFWQSDGPQPHTLTLHFFKVVAIVKIRVYLDFELDESYTPTKMLFFAGMGGNDLVQFA TWEGETPAGWVNISLQGVGGHNRSRRRSHVVGSNRRRTRNARTSKGVSGTIDIDDWDM YDDSQEDDDDDDDADDPYAGNVLRAMVVQVRICENHQNGKDTHVRGFQVFAHDDDRRR IAAAAAAATVAQAERRKSVQNDSEDVLDAADGGPADKIRGLDEPDWMGEPVIR TSTA_085940 MAPYNIVVFAGDYCGPEVTAEAVKVLRVIEKHRSDIEFNFQEHP LGGASIDAHGEPLTDAALTAAKNADAVILGAIGGPKWGHGTVRPEQGILKLRKEMGTF GNLRPCSFAAPSLVDISPLKADVCRGVDFNIIRELTGGIYFGDRKEDTGDGYALDTEP YSRPEIERITRLAAHLALQHDPPLPIWSLDKANVLATSRLWRKVVTEVITNEFPQLKL GHHLIDSAAMLMVKDPRKLNGIVVTSNLFGDIISDEASVIPGSLGLLPSASLTGVPDG KTKVNGIYEPIHGSAPDIAGKGIVNPVAAILSMAMLLQYSLQRPAEARLIEEAVRNVT EAGIRTGDIGGKSTTVEVGDAVAAELEKLLQ TSTA_085950 MSVRTRRQKAAATAAVDEQPAPTENGVAHASSTPKKVEKGPQEN IFLFWPNLVGYARVILAVASLYYMPLHPRTCSFLYSISCLLDALDGYLARLFHQSTTF GSVLDMVTDRCTTACLLVFLSSAWPRYSIIFQGLISLDLASHYMHMYATLSMGGAGQS HKAVDSSRSWILHKYYTSRTVLFLFCLFNELFFIGLYLLSFSSPSLSPSLLQPPPHTE PMTAQPGNPAHPPADTLFASPWSAGALELARANKIDSMLPWVITIVSCPIMAIKQGIN VIQLVKASNWLAEGDLAKRRADRAAGKLA TSTA_085960 MSLEQDLASAEQELASLKESLAAKEQGDEAVQKEIKLRDDQIKS HNKQIKWRDDQIKSHKEQIKLRDDQIKLQEEQIKWQDEKIKTLHAQMSGLKLRLDRQN ATIKNQSRTIQDPRSLRQRRMEETQVAQAPPTQPWVTPTQGAQSVVGPMQSLSLGETQ QYAANYVDNTQYFQPQGQPGYVEHPGSQTTYQMSYPMESTNSYTGNQYGWNGTMAGSQ SQGIFQPTGPIAAPSPMNTTLQSALYQAAFAPPAQSRVPSANDGYAGIEFESKAAAFG GRFHSLWAKVDQFARTYVVDTDLDPEELSVALKELMMLDPDAAVAVQYLNHPVIKHCY LSKVVSLYLCKKFLRYTEIVKRFHPNIDADIFHLRKQLTLDNPQGVRSVALTKLAQEI SLARQMPNFAEFCSQRTESYTAELGSMLEPLVKPSEDKTRMLDSLRAIVSEAQHIGID LYSCPYDARYHFPEFKETYDPTVMVNLDPALHAHFVKGRVTVSVTPYIRVGFNHSEPA RVRNVCNAKVFTGLPLEKASFIAIFARRYSYQRIPCNVTNRGTHSASRRYFHDYFVTH LPSSSLHPDSHGPPSFHKLPRSASTPHTSESSQLSPASIQTPIGVSRDTTVVRIPLRS AKHHFGASVSRGSRTHNEDTYQAGVIEVPSFAKRSPLSLTIRRASGTESTAVTSGADS ASGDPQVFYFGVFDGHGGSECSDFLRDHLHEYIQDSAADFEIQSTLKDNTASLERKRE RRGRQDAVPLGLHRADLPIVQNANIKKIEQLETELVESWKNLVGGYFKRFRPAYFTCI KKDPTPRDLSQTLGSNQSRQNSVDGVSMEEVMEYAFLKADFDFVSAQAAKKEDDAVQS DQPLNADDILYSPSQSRREFIGGPKRFPGGSTCSVAMVSTPTPTPFWNPATPSSLLVS HVGDTRVLLCDTSTGAAIPVTTDHHPSSPIEANRLRRYAATFVTDSFGEERVSGLANT RAFGDIHSKRIGVSAEPEIRRIEMAPAEFSFLVLMSDGISGTLHDQEIVDIIKEARTP EQGARDVVNFATETSKDADNATCLVVRLGGWERRLEGGLGSMGTKEARDFRRQEATDP RRSRT TSTA_085970 MSGLDVEALLESTAASLNESADKDRDDRSKVDSNDRHDRDRSRD RERRRKDGSRDRRRDRDDKDEDIRSEHGSANGSHRSRRRSRSRRRDRHGDRSGGDYYR GGGRARTRSRSPDDDRYYRPSGRSRRDQRDDDRHSRRERDGRRRSRSRGHRSKTPEEE LNEDERDKRTVFVQQLAARLRTKELIAFFEKVGPVKEAQIVKDRVSGRSKGVGYVEFK DEASVPLAIQLTGQKLLGIPIIAQLTEAEKNRQARNPEASSGQSASAPFHRLYVGNIH FSITENDIQNVFEPFGELEFVQLQKDETGRSRGYGFVQFRDPNQAREALEKMNGFDLA GRPIRVGLGNDKFTPESTANLLQRFQGQGHHQQYQGSAFSGNGGRGAQAGGAPSNFDR AGGRDTDKGTGGASALDDTDVAGVNFNNYSRDALMRKLARTDEPEPSADEKLQPLRPK TEPKPLPVNVNMASRCVLLRNMFDPTEEEGESWIKELEDDVRAECEEKYGHVVHISLD PNSQGDIYLKFDRVQGGENAIKGLNGRFFGGRQISAQPVVDAVYSSLFSRTKAI TSTA_085980 MPGKTLPTFTEAEVRSHNSSKSCFVTIDLKVYDVTDFLEGHPGG EELILEYAGKDVRDILKDGNSHDHSDSAYEILDEYHIGFLTNGTSNGAQANGNPKAVY ERTGMAHEDDLSVETDVVSDYKTHKFLDLSRPLFPQIWFGGFSKDFYLDQVHRPRHYR GGKSAPLFGNFLEPFSKTPWYVIPILWGPCVAYGTMIGVTGLNNNVGSVGYFVLGLCV WTLLEYGMHRFLFHIDKWLPDNRVGITLHFLLHGIHHYLPMDKYRLVMPPALFMLLAA PFWKVAHGILFFNWYAGLLAYCGGVAGYIMYDMTHYFLHHRNLPAYYKGLKKYHLEHH FADYENGFGVTSRFWDRVFGTELELPPPKVIKTQ TSTA_085990 MSFVMGNQDWTLLLETECNRLPSPGPSPNPFDPSLVRMLRSKSY LRRAIFQIATSLSSNKHRSGYEQKACYCWNVNINPTRFGTGY TSTA_086000 MESDFPPLPSSGHLSKHSANSSQKRDSVATPTNFTSDYRGIEVA QNGSKIIHKIISRDGDAVLEYIDTDSQKNVTHHRWQVSSDRLIETSPFFSALLDPNKF SEGRRFVESKCRASTTPTLDIGCNDISAEESTERLPLVGLNLFRLMGKHRIESLELFL KVLLSAHDVDLISKMESEIARQPVPVIAGLIEVADVFSSSDMVSVSLEHANYRPSIKG IPSLEVFSSSLLKLSDERLRQIIYIAMFIQHDTIFRVASHALVLIGSVRWLDGGIGLN KSGRHRWSYFDNGLEDLQAHFLRAYGALENDAEHKRPQPKHNMLMTAVLSTSRPFQCR WGFDNSKACDSFHLGEMVRFFTMRSKTIFVGSTLIDPGYENGSEEEDDDNDDDNEDEE KSHVRTSVAHEKTRPYDEAVDRTAGVASTDILSITSSLRQIPDYQIDINHTGCGIRRR LLPLLDCIDGFIGHRRAFVGVTDVMMWKSSTRGSQRKANLDSWRSSGVSRAEKVDVRG AKIMGIRKSSPRSYIDIINTSKSSVALDKNGTTVAPSTTSPVNIIQQQREEARLFFTA KKRNWES TSTA_086010 MSCKDWLDIHGHFYPPQAPGEAEALAQSMRDACFMVNGPVTWDV QSILDYNDRANIQMQMLSYIPQNSPEKLIAANTYAAAVVKEYPDRFGLLGALPTDDPD LCLKEIERLSTTLIPADGFATSTVYNGVGLSDSHLDPVWEVLNARKAVVHIHPNAYAG PSHGRPSPLIEVAFDTARTCVDMLYKGVFRRYPEIKFVLGHCGGALPVLSGRLSLLGT ESWVPNPNNLTREEIEKQLRGLYVDTAATAKTGLPPAVQMVGVEHVIYGADCGVPCST EQTMEENKADVLRWESSNGVFKGMIMTNGWHLFPQAAIRAGIESDLNLWEDYWKLNLM WAFIELQTSRQYKRQLLRFLRCKMNLNSMANPHWRALDTFLFMYIKHPKKLYLKLVAT GSFRYSQQAYCMIWGRFSHFYINELLYVKIFHKGVQDAAITKFVAYLPQKLPLKLSPS AHVLAQQLTSNANSIPSVRRQGLFLWVASFDVESVYFLMTLDQIVKLSFSSRLVAASV RSNVHRCLPAAIPNSHLIALFAHLGLFQPMIWMDWDIQDGKCNQLAIHFRIKNLIYAV LLQLQCCLDDRHSPQKESETKKMAIPRLPEGTEASLSSLGRFHRRHVRRACESCRQRK TKCTGDKSGCRNCREAGIICCYTDGKREKSKRQLATLSAKVQTYEEVIRKMSLRFGVS EEQLMNNAISSVCPTTPMTAVLSEQSDASSTLTKARSILASDGSQRRSQSPSARSSAG TDRVEEDFNKDSTSRATGYIGNSSEMCWLQKLRKKVNGDVPETGQSSPPPTPPGNDDD GLIASINFYADNKEFDLTEDVQSGAMPPKDTASQLLRAYWRSIHLSFPIIGRSTFQSQ FNLFFSQPGVKPGNKWLAILNLIFATSAVYARLVGADWKLELEHHSVYFKRARILSMR DTLFDHPDLQQLQIEGLTSFYLLSTGQINRSWKVSGSAVRGAISLGLHLRNVGSTTSD TSKEIRYRVWWALYTLDHMLNVITGRPSCIVDGACTTPIPIPFDETEFQKPEAAQMLS NHTRKGSWINDWNPSSSSLPSPSQNGESSTDNTVAIKSEGESSSSIEWLKSLPVSCSL YFFYVATLASISKRANMKLYSGEAMQASWASLEFTIQSLSMEIDAWLASLPETFDFRL PTPDKEKLTISQKMGMAFAYYSAKISISRPCLCQLEKDCQTDGAYEFCNKTAAECVDA ATRMINILPATMDTSTLYKISPWWCTLHYIMQATTVLLLELSFRAEHVPERAGEVSQA LKKAVKWLFELSHSSDSAHRAWKLSDEYLRNLAPPLNLDISDLPDSEILMDSSNNFVS VLENSLLDQQVPALMPYLETDTLDFLETQQTDGETQGTMQNNAFDDFLPYDPSTGQIT GSFFPSSSTSNLDMDFDYMWDSSVF TSTA_086020 MNLRRRVVLNGILLTLRRTQVVLAASICTRGGKAVLSRQFREIA RSRIEALLASFPKLADSGTQHTTVEQDNVRFVYQPLDELYIVLITNRQSNILQDIDSL HLFAQVTTSICKSLDEREITRNAFELLSAFDELVTLGYRENLSLSQIKTFLEMESHEE RIQEIIERNKELEASEERKRKAKQLELQRKEAARTSRGMAARPPSYPVYTPPTRPAVT DTIDTYEAEKKKSFAKPIPVRGKGMQLGKKSKTTDIFEKVREDLGPEAEESAPLVSPQ AATPVASEPRASLSADRAAVHVTVAETISAKLTREGALKSFEVKGDLQLRISDPALTK IKLDLLTNTSHGAQFRTHPNVDKALFTNSSIIQLKDTSKRFPTNNSIGVLRWRVASSG SEHADVLPITFTVWVNKGSDSTTVTVEYELTGTDSLRDVSVTMPYGATEPSVTSFDAV YEVAGDSLEWNIGSIDTSNASGSFEYEVVDPDADENEFFPMSVHFSKTGPFVEVDVSS VSLLDMDQEVNFAKDVKSVSEGFIIE TSTA_086030 MEDPFADTMEMASPYTGHVDDFEIDIDIMEDQPSNVDDDFDLQD ASPGAAAGDLTNDSDMMDDVPEMTVTDTSTYNNDGNMQYAENTFFTTQEPVESEMVDE DYEENDETVPSTFETFTTQQDQVNLTEAAEPADDQAKEEVSRDVGEQNIEEVVEETQT ALQETVNRETTQEGPHESKVEEPSTITEAQGESVTEHHPDDPAEAHEVAEGTKEVPSD TAIIDPGIEDRLAGAPDDVENNRLNDAGETLVVVENEHDFVEQQHKPHIHPVKVIYQE SEISMFPPLHGDTSETYFLANEGLAHENIDRLFKECRTILGDHASDEESLVFHIDSLG IELSEDNTHVCKFTLSQIVDTYLHLCRNSGIEQPEPLYLTLNTRANLSSELAALLNAA MQGKGISDIQNWEEEYEHEEGEDKEVSSRGDLSEQNPEEDEHGEQTHREEEEAQNNSV EDELAESHDAPREDLQQDAQDDFVEAHEESEQAGIDTTNTQEHHDEEEDGELNNEGQE LTETQPHPESHDNIGQTVPPYTSDNEPSAGSEHQQQEESTATGQDAVVAGGTDFAGGG TQDPSLNEHAVVLEAHVDEEDREEHASTENDVTTAGPTDPSEVAPTTEQHDKRGGYED DEETADQEFTEKEDEYGEDTGEENYDDYAEEEYREEPAAGVEYTEELLVSQDGHNDDS YVADQFDQASSKTVSASNELVDNNDDMPDNLWTGTKIDAAEGHDYAQEISDLPELPDN DLLSLDEDIFADTEQASHRETGDDNGLDESHIDDGHATSVEQATATNDDTQNAELKRQ GSTIGKRSRADEEEEIDFDGLSSPGTKRTRSS TSTA_086040 MVSDKYVGLALAIVSTLAIGTSFVITKKGLLHASETHGFEGEGF SYLRSPIWWGGIATLAIGEIANFAAYAFAPAILVTPLGALSVLIGAVLGSYFLNERLG TLGKLGCAMCLLGSVVIVLHAPPDKPVETIDEILDYALSPGFLLYCAAVAIFSTVMIY RVAPVHGKKNPLIYISICSTVGSVSVMSIKAFGIALKLTFNGNNQFTHASTYVFAIVT AFCILTQMNYFNKALSEFSTNIVNPLYYVTFTTATLCASFILFKGFNTTDAVNTISLL CGFLVIFSGVYLLNLSRHDPDGRQTLASKDDEDDGVPTDGIASFGTRRSMQARRSMDP HRRSSSSIAFLNGQGDREGLMRAYDAENGVIGLTELREEDDGAPGSTRKSHIDESRSS FHSKQDDR TSTA_086050 MPSLVRKFVIFATVDGLILQQNDRGQRNSINSNLSVQIDYKTRS ITSLSQASSEESNGKLTSPYLEAYGLVGLLNIASSSFLIPITQRKEVAQISGKPIYAV TNVAIIPLSSQDDANRAIIQAQKISKQGGEESDQASTSELSGSETDSGDEGVIATPAV SADTQEELSKSGVAQDVIGNKGRNSRFALDWFSRKRWGAPGTSRGSQSDPTPFDTEKA NVQCPSKREPLSPESDEVSTEAEAAPVVEKAAEEVESESRLTSSKALELMPKLLRYTK LIFASNNFFFSYDYDLTRRYSGQDPRAAHLPLHVLADRLPFIDSGDTARSFVLPLIQG FVGQREFTVASVKNHAESLEDVEDPEEGLPPPATVVKEETRSETLAEDSREQNFLLTL ISRRSIQRPGLRYLRRGVDDEGNTANTVETEQILSTPGWDPSRKVYSLIQVRGSIPLY FTQSPYSLKPIPVLHHTYETNRLAFARHFRDLARRYGKVQIVSLVDRHGVELNIGEAY EAYTRDFNENQTSGDLKLGFEWFDFHHECRGMKFENVKYLVDKLVDTSMDFGETIILG NRVLKDQTGVIRTNCMDCLDRTGVAQCAFGQRSLEQALKSEGYTIDLLGDASTQWFNT LWADNGDAISKQYSSTAALKGDYTRTRKRNYRGALNDFGLTLSRYFNNIVNDYFSQAC IDYLLGNVSIQVFSEFQDNLKTIDPGISITKLRQNAIETCSKLVVDNHVEELVGGWAM LSPRQPNTLRTFPFEETVLLLTDAALYNCRFDWNTDKVLSFERITLSDIRHIHYGTYI TSVLTDAQTDEEHNVGMVIIYQASDSSAFRVNTRSLQSSFSQDLQDTSERKEEWNLYS WLSGTKRTSLRLMAFKALATSTSATVNNTSSDAAISEKVAIQSICEEIERAIKVAQRA EDGDESNSQSIIEEKDIISLAEAKKRTGYLEQLVYDVKKLVWA TSTA_086060 MEWQPQEEPLRQLASYLRDSLDSYNKTLQKQAEMMLTRATASPD FVNYLTFLFCTEIPPAAVDMDQNTYDIVRFSAAVNLKTKIQIAYNAIPPESLVFVRRA TLLGLRDSNPQIRSSAGTIITALIQKGGLLAWPEVLQELLSLVSNASGDVTSQTQEAA MSAVAKVCEDNRKILDKDYGGQRPLEVIIPRLLEFTGNESSMIRSTALSTIQIFLSMK PHALIANFDTFMVNLFRLASDPSTDVRRTVCQAFGQLAEIAPEQLIPHMSGLVDYIIL QQNNNEDPELALDAAEFWLTAGEQPVLQQALGQYLPKIVPLLLRSMIYDEDEVERLAA ENDDAEEEDRAEDLKPRFAKAKGSRLEGSTDASGKPEHIEEREDGELSDDDTDYDDDD PEDAWTLRKCSAAALDIFSNVYHSPVFDLILPYLKETLRHEQWPNREAAVLTLGAVAD GCMDAVTPHLPELIPYLISLLNDPEPVVRKITCWCLGRYSEWAAHLEGPNQSAQYFEP MMEGILRRMLDNNKKVQEAAASAFASLEEKSDASLIPYCEPILRQFVECFKKYKDRNM YILYDCVQTLADCVQSELAKPHLVDLLMPALLDRYNRISDQSQELFPLLECLGYVANS YGEVFTQFAAPIIQRCSKIVYDNIQQSVQAAQDPSIDEPDKDFLITSLDLMSSIIQAV PSNEISQLVANSQPKFFDLLCYCMNDPNNEVRQSSYALLGDCAIHIFPQLQPYIPTIM PVLIKQLDLESLVDETAFSVVNNACWSLGEIALDEKADLGPWLEKLYPALLAIISNEM VIDSVNENAAVALGRLGISKSELLAPHLQQFAQEFIKSMTKVDFSREKATAFLGFNRV VMHNPQAMESCLGEYFTSIASFPQKSLAQEEYRDLHQSFQQACYQELIPSFDSFLSTL PPQVTQRLKSSYQI TSTA_086070 MAETKPKPWILNAFAMFSPGHLAPGLWKHPRDQAGDFANLDYWI NLAKILEEGKFHGLFLADHLGIYDVYKGPANREPALLSGAQFPIGDPFLLISAMASVT KSLGFGVTASTTYELSPYALARKFSTLDHLTRGRIGWNIVTSFLDSAAKAYGLDEQIP HDERYARADEYLELTYKLWEGTWQDGAVKKDPETKVYSNPSQVRGIEHNGKYFKSTAA NQLPPSKQRTPLLFQAGASSAGKKFAANHSEVMFLPGLEPEKTRIIVDDMRKQLIEVG RKPDSIKFVAGMLVVVDETDEKAQAKYEEYLSYADFEGTATLFGGWTGADLSKFEDDE DFAFTSVAGIQSLIQSWSKTIPNSNGLKWTKRRILQELSLGGVHPRAVGSPSTVADIL QRWVDVADVDGFNFSYTVSPGTFEDMIKYLWPELRKRGVIWDDYEVPGGATRENYFMD GKGPRVREDHPAKKFVWK TSTA_086080 MSSESLVPLSQGAGYGVVVGIGLVFGIGMVLVNRFLERYLNEKS DHTEMFMVANRSVGTGLTASAVVSSWMWATAIIWTTAQGYLYGIAAPFWYAAGVSIQI ALMTVLAIHAKVKVPNGHTVLEIVRLRYGTAAHIIYIFLCFATNLLSVTAMILGAAGV ITSLTGMHIVASTFLLPLGVIVYTVAGGLKATFLTDYVHTLIVMVILAFLTIKVINNS AITGLSSFYDVLVEADKTRDIPGNYKGSILSFKSKSSIIFGLVHSFGDFALVLWIRVF GRRPGYMLGGIAYFAVPWAVGTTTGLAAIALERSPIFPTYPRLMTTEEINAGYVLPYT VMAIAGKGGAWALLLVVFMCVTSTTSAELIAVSSIFSFDIYRTYINPKAQDHQVIRVS HWTVIVFGVFASAFATALHYGGIDLNWMGYFLATIICPGMFPLAFTILWKKQSAPAAT IAPLLGLASGIAVWLGTAYAYSGELSILSTEAQLPCLWGALTSTFSSAIYSIPDDFDW RVFLLLSEVKDESDEDTSTNSPVKDIKDLNSVTHPYPPQELKRMNRAATLAAIFSAII GLVTWVVWPLPLYRDYIFGKSFFMGWTAVSEIWLFFCILVAVVYPVVDGRKVLARAFT LFYQSLSGKKDLQSTSPQGETSPSPAEVKEAAVSVQNRSGVLEKSD TSTA_086090 MTLVQENPVPVTVVNGHAIDSNEIKTTLKTSVSPLPETKVEAKE ATNSKPKFAIEEHPIDQVRDIKVGIIGAGLSGISAAALLSAKLPGIDLTVYDKNADVG GTWFENTYPGVRCDIPAHVYQSNFAPNTQWTEEFAQGHEIREYWQGVAKKYGVYERLK SKQRVLKVEWFNDEVKWKLTIKDLNTDKVYEEKLDFVISAIGHFNAWKLPDYEGIESF KGPLFHSSNWNHNVDLKGKRIALIGNGASGLQVLPSIQPIGSHIDHYARNRTWVVDSF NAASVRRLEPNLISEEDKESFRDSEKYLEYRKKVEADYFRRFGVIFKNHPQNKELRAK WTELMLKRVDPSLVDKIIPDFPPNCRRPTPGPGYLEALSKPNVSYIQDRIQKFTEKGI VTVDGTEREVDVVICSTGAHIDMAPHFPIVANGINLQEAWKPDGLYGYPLTYLGVTAP GIPNIAWILGPASSGFSGTVPNSVENQVTYIAKVIRKLRSQGIATITPSFEATNDFQE YAEQFYERTVWTANDDSSESNKNCSSWYNGGIPGGRVVGLFPGSAAAVNYILRDPRWE DYEYTYTNKSGNRFAWLGNGWTTRESLNDDSVNLTPHLKKTDDIDLRTHFEGWWDV TSTA_086100 MATTTTTWITTTDSISHKHDFTESRNDSYLRSSSSTLRPLPLTE EQNIIKKEAYTETAEIKTTNIEQHSVPVPVVDKLAATQNALLLHAIKEKYTLVTDHAI PSIHHQDEILIEVSAIGLNPIDWKAPAFNFGIPSLPWVFGRDLAGKVIKTSSSSSRLQ VGDLVLVPSTDYRDIRKAAFQEYAVTTHYNAAKIPPTTSIHGGASIGVAYVAAALALG VSFGLDFGLARSVPGPDLRTILKSVNAQDIPEDVRPECLPSSEAGAERVKKGDWIAIW GASTTTGYIALQLAKLCGLKVICIADIARHGQRLHALGADILVDRHDTNRAVDIIRGV TNGQLRFGIDIVGRDTATILEKALSQPHKEGGNEPQSHLLGLTGSPKEKKDGIVYHTV PIKIFHESPQVGEGLVKWLENLLEYKALTPPEVVIRDEGGLGGINDALDLLRSGEVSG KRIVVDLRRGDVKQSTIQ TSTA_086110 MMLGSAGFVDSVTATTIELTLTEKVKKYRISPSNTYNFDEKGFN IGLCRTEKRIVSKSQLCLKKLLGAIQDGSTEFITLIACICANGIAIPPALIYQGESGD LQDTWLKDFDGSREKAYFATSEKGWTNEELGFSWLTKIFDPHTKAKAGNSKRLLLTDG HSSHVNLRFIEYCDRNNIILGILPPHSTHRLQPLDVGIFPPLAGAYSHEIDRLTQSSS GFSRITKASFWRLFYAAWKSTLTLQNIRSAFAAPGIHPFNPPKVLNILKKKTPSPISS DIENKRKSPGSVRAIRRTLKAIRQEKSDLSEATQLALKALQKYAVQNEILEHQQQGLV DALIGEKKRQKRGRPLGLINKDNPGEAQFFSPGRIEAARQQIQNIELQKEQGKIEAAN RRTQKAFARQQKAQEIQERRETRIREREEKRRQKELEKEQLRVAREAQKEVKRAKERP AKQVNTKKRRYSKVIESNEEVSSKRPKTGISRSGRAINLPIRFRD TSTA_086120 MHTNWRLIGRTISELQVNRNLKWVSWIGYDPDPKWYPTGYLENA PLALRAFHEQYSNKPGSPVRLDIWLKVAKEGGFVEDHKEDRVPATNA TSTA_086130 MGVGLASGGIYDATRHFLNATATPPNLLLTPGIDAEIEQWRATG VPPFPELALCPRNDWHRFSKSTLRLIYHIAGLSIDLHRRGLGDTTVWSANMPRLLAIA VMSDYVMSSILALSALHMAYVTGSKETLNLSHHHRNIASKGLQDALGAFSREGCDAIL AASLVLSWQAVDWTSISTLQKGILFVLDSMHPSWKESSEIAQILENQRALRIRGLTAD PNSSPNGTLQIGNIDSTIADLHRSQERLQHIPEFYDTITELIGFMKQVRSEIPFQSPK ISFARLQSLRAWILWLPTKLLRGGEGDLGALAVLAHFYSAALVLEPFFPGLEGSYLGC MTLNLVENVDVILQARQSRFQTSHIAQLATALMDGLRHSVNEYKTPIQWTPPLQTHSM NHILPNPPSPYHQFDEYPSTSFVTSAPYTPTTISSFAHAVTSPPPPLLSSHAYKSSDS FSSFYISPTVPSSEYYDDSLSDYSRPGTIEHSPAFSSYGNDYIHGLPTTEHAGSFNPN ISHDASVSGSNSVPPELWT TSTA_086140 MADGGGWSTIESDEGVFTSLIENLGVKGVQFEELISLDADTIRS LNPVYGVIFLFKWIGRQSRNANTPEDGTYDQTAASEQGLFFAAQTIQNACGTQAILSV ILNQDKPNTAGGGTAYPIDIGSELSSFKSFTQGFPSDLLGEALSNSEVIRTAHNAFAR ASPFVDETQRTAQDDEGDVYHFIAYTPVNGKLYELDGLQPYPINHGECDTESFPEKVI EVLQRRIARYPAGEVRFNLMAAVRDLRIRAQEFGDTELLERENAKRRAWAWENTLRRS NFVGFIGEVAKGVVEKKVKDGKYEEWIEEAKKETLRKAEARRKGY TSTA_086150 MATKQFKAPIAKRITARSPILHPSPAYSLHSQMSSLRLDAQEDD DETDVTPSSRPSEQALFNQVLTWLHQEQSRQKSANDGIITSNNSNGQLQLEGKRHSVG SEKGLALDQLESILQHYAATTTTKDHASPLQHRRSKKHRSRPKGLRRGSGSESDYFDD SVVPSVDAMLDNSKTLAYSGGAVSTDQLTLTADQRSRYMKDQSHWLIFKSEILRLIHT LRLKGWRRVSMEDSSDIEVTRLSGALTNAVYVVKPPPPSPPTPNADGTEKLAPRRPPP KLLLRIYGPQVEHLIDRDGELQILRRLGKKNIGPRVLGTFKNGRFEEFFNAHPLTPQA LRNPQTSRQIAKRMRELHDGVELLEEERLSGPAVFRNWDKWVDRCEQVISWLDKEILT GHLDGPEPWRKRGLICGVPWATFRKAVENYRIWLFDHLGGIKEVKRQLVFAHNDTQYG NLLRLEPEDQSPLLLPANSHKQLVVIDFEYSSANTPALEFANHFTEWCYNYHDAERPW ACNTRAYPTPEEQHRFISAYVYHHRPQQQGMGTSPLATPDIAPSSIPTPRLAPFSLDA PAMSLSASSSLITSDTPQDRVLYEETFEAEIQQYMRQTRLWRVANSAQWVAWGIVQAK VAALEEEEAAQAAASSPTGESKENTTVSQEMQKTIEEAEESEFDYLAYSQDRALFFWA DVLSMSLIRENELPDELLEAVKQRMLNY TSTA_086160 MHFSPVIFTALTLLSSCTLTTLAAPTDVANATEATEDLGTSPVD EGPLAKDDVKKAEQRGAGATTMARSTVMIGDAESTVDVLGVFEKVVSANASKYWFSVT VVCSKGMMKFRGL TSTA_086170 MAIFTAPTSFRTALERRYLAVDGVPQTVTSAAAHASHPSFPHLL TLVFEAVLEVVCVSLPGYIAARQGMFDADAQKLVANLNVALFTPCLVFTKLASQLTAE KFTDLAIIPAIFVVMTAVSYFCSYIVARLFRFKKRQANFVTAMGVFGNSNSLPISLVI SLSQTLKGLHWSAVPNDNDDEVAARGILYLLIFQQLGQLVRWTWGYHVLLAPKERFIE EGDVHSIHHGQEHYLDNPQQTDPDEPLLRTGTNDNEHGIVHTTSNSVTFDSGAQTPVT DRNLSYTKLPSNGSDQSPQHRDVVDSDEDEDSDEDRPLPVIGPPPNGPFLPRQSSTGH ILTFPDVEVRRSSTPVAKGIQGFLYRWRRSINKSYARMGKYAVTKGNQALEKLPPSVQ KFLTVTTSWISRFLHGVWEFMNPPLWAMLIAIVVASIPSLQSLFFDEGTFVRNSITRA IDQNGQVAVPLILVVLGANLARNTLPEESVEDEEENAKEERNLIIASLIARMLLPTLI MAPLLALLAKYAPVSILDDPIFIIVCFLLTGAPSALQLAQICQINNVFMGAMSKLLFQ SYVVWILPSTLILVMTALEVVEWATAAQ TSTA_086180 MENDQKTPVVEDVPVSPSSEKEPESPMSPLETSPAKSLLSYRPG SKVNMTESSTPLGQVNAARRGVVPPQRPIASLGGQSGGLNQDIMARMKAFSLSRQGAP AGLPHTTSTGGIPSSAQGSPSSGVGSPVHGSPHGVPVGAITGGLAGRLPPGGRPHPPN WASAPTVPSTSPRPSGLAAKRLKPGLKLSDATAPSPTSRTSPAASDGNATGSDGATES AFSKYSDFIDTKAGTLRFKNKAIIHGNGIEFSSGQSFSISLDEVDRLDELGKGNYGTV YKVRHSRPHLRKPGLGLSGIVSRTTGSQDVSSPVLKPNDNLTGVVMAMKEIRLELDEK KLTQIIMELDILHRCVSPFIIDFYGAFFQEGAVYMCVEYMDGGSVDRLYDGGIPEDVL RKVTLSTIMGLKTLKDDHNIIHRDVKPTNILANTRGQVKICDFGVSGNLVASIAKTNI GCQSYMAPERIAGGGMQQGGAPGGGTYSVQSDIWSLGLSVIECAKGRYPYPPETYNNI FSQLHEIVHGEPPTLPDEFSNDAKSFVRACLDKNPNNRPNYAQLLRHPWLTSLMEPPT TTSPADDEQSTSSDQSPDPSPQPSPNETEDSEVAAWVSGALDRRRNNLMGSPEKPALH AVALDAVAGSPLLEDPSTISPLRG TSTA_086190 MAPIETKTYDYIVIGGGSGGSGSARRASGWYGAKTLIVESGRSG GTCVNVGCVPKKMTWHFASLNEELHAARHYGYDVPENIKFDYGSFKRFRDSRIKQLNG VYENNWGKEGIDLVHGRARFVEPKVIEVTAADSTETKIRYTAKHILIAVGGHPIVPGV QGAEHGITSDGFFEIEELPKKWAVVGAGYIAVELAGVLAAVGVETHMFIRGDTFLRKF DPMIQETMTARYEAVGIKVHKQHKGFKEVQLLKDGKGADKVLKLIGVEGDEIEVNELL WAVGRAPEVEDLHLEIPGVKQSRSGHVIVDEFQNTSVEGIYALGDVTGQAELTPVAIA AGRQLGNRLFGTPELKYARLSYENIPTVVFSHPEVGTIGLTEPQARQRFGDDKIKVYT TRFTAMFYDPFPTEEKKLNPTQMKIICAGPEEKVVGLHILGLGVGEMLQGFGVAIKMG ATKKDFDSCVAIHPTSSEELVTMR TSTA_086200 MLSRPILDFLVRYFVTKVNWIEQLLYAPWLLTQYQKWWNLDRLS SVADTEFPVLFLRICCYTSQFFPSWDYNIDSIKGVALADILKSHEEVIDVLTPICVRL DSRGSLVRVLHILFGGLGSLCLHRGTIKWANGTDELEKGMLRRAICDIHIRDEYFLFT ILLIYDVFNERVLLAQLAQFWRNHGSSVKESEEYTVIATEERYDKFCSAFLLELSPLS LFNQICNGTNALAAASLALLEGVRTLHGMMGDSHTRLSGVIVPTFDAAVPLLRLCADN DFPGAIGTESQSQNIRSPHPLGAVTCGLTRSKCLQAARDALDSLQNLVEVSNQAEAGA RILSHVI TSTA_086210 MDALIMGCVICGLGGAGMYFGSMALLTQMTSERERPLFLNLVGN LVVGGVCAPVYLFLLPVLNPHPGQTIKRRAGEIDYIGVVLPCGLILSIGMAISFGGVE YAWNSGRIIALFVIAFVLLSAFLAQQILSIGTSVDNHKFPVPFLMSSCSLIVLFLEIA CAATCVLVPVYFLHLYFQFVRANSAILAAILMLPFMAFHTAIAILSGWLVAEPVTMFH GTYLRA TSTA_086220 MADNHHEKNTEDVESNVPMQPINEKQKQPTQNLSDGNLSVQSVS DLVNVQLDPVKEKKLLAKLDLHFVPIIMFAYLTCFLDRGNIGNVKVAGMPEDIGASAA QYSTAVSIFYATYVTFETPLAMLMKKITPRNLLCGLCVVWSLTTIFTGFVTSVGGLYA SRLVLGCCEAGLFPCLNLYISMVYRREEQAKRVSYLMSCAALSGAVGGLLAYGLLQMD GVAGKAGWRWVYIIEGLFSIVVAVWVWFGLPNDPTNAYFLTTEQRWMMQVRNEQSRQY LGPEKFTWDQFWIEVRDPKLYFSAATQFCQDILLYGFSTFLPAILKSMGYNTLKSNAL TVPVYIWGVISFTILAWWADRVTRFVPFLLGANAISIVGYILLIAVKTNDAVRYFATY LCVVACYLGPGLNIAWLNVNVAPHHRRAVAIGLQQTIGNTAGIVAGQVYRKSPYILGN SFSLGSVCVAQLLIVAHALYLRREIAAKERIAEGKVEDKRRVTTGDGAVDYKYFY TSTA_086230 MAESDLPASYAYLPTKHIKLSHVPVNCPTVTKVVVIELNRPTKR NAWVAEMAKELETVYKLFDIDDRVRAIVLTGSGSTFCVGADLEVGFASIASKDDKDPA PSRDYRDSAGWVTMAMHNCRKSTVVAINGSAAGVGITVTLPAAIRVAYKDAKIGFVFA RRGLIMEGASAFLLPKLIGHSRALHLVTTGAVYPASHPLLSDLFSEILPSPEATVARA LEIATDIAENTSIISTAIMRDLIWRSPGSAEETHLLNSRLIWDMFGSADNIEGTKSFF EKPLRERHESRDYEAIGQGDLSRMNTLLI TSTA_086240 MTRQSSSEVQYYEEFVWKEDLFANASDFEDQGQNPTELCSFFPP SFWRIVKEDLNGAFGLERHEGTSELAYGVSLNSQKLSKSSIDMISDAWSTFKMKHVYK EEDSGEIEYNWSQVSVLTRQSKTKQLVLFIDSPPAVVDQIVRDCLLNTSRSVGLASHV SSAFHDPFIWHALLIGALETEYEKDYWNLRNIVRGREKGRDGEPDFPLLHDLGRHLIH AKEIFDVAINTIDSIMHHHQSLYGEFRKGKALGIEEQTSRERLHNIIQEKLYISEKDM TAKKARAISLNERHHNEINLGYHVVTQKANQLSIDIARIARRDNATMKALALIGVLYL PGTFISGIFGSNFFNYNPPNNDGSADWRMSDKFWIYWVITIPVTIFTVMLWAMLDETV MLYKKFIGIVEDAMQKWWDDLAIFNKRRDAEEEYDIGGFGANTDS TSTA_086250 MFLSVCLRTILAAALAVVDPLIVNCQALDKPALTPNLDYLEQGS LCSLSPTQSTWTKLGAGSTPEDCKTIANNHKKNPGDLEIYSVHYTDSDDAWVFCRYED TPFGLASTVDIFGLPVAMRSGENMDVVIHETGHSLDILGAYGERLSGTKLFIIVMDAD PNVPDNYARTNQAENVAQNTVVGVYDKVVAGGFPSAQPNWHNIFHRYSTLQRRAGNQI LPGGQCNRHLTISTSKAAATANGAKRPDTSFRGNYTNIMTDYPEFSTKESCAF TSTA_086260 MEMAVLTALMVGPTLASPVKNLPKPVQVTPGGPEDIIINAQDTL NGTFKGTSSSKNEDLMAAASSGALPLQIVNNLAGSGSGAVNAYISGLDSNNNVVFLQA DGSFYYPAGTTSAVPVQITANIGIPIGAEGTTTTITIPDYISSGRVYLAVGTLQFFVV SNGSGGVGLVQPSATNPSDPSANTNWGFIELTNTAAGGLYTNISYVDFIGLILGMSVT AGDGSVQTALGLKSDAVNTICTALAAQTASDGYPWASLCQTDTSGKYLRVLSPNDYVS TDSSAFSDYWTSYVSQVYSKYSSSPLTINTQAAAGDVTCTSGGSTHLTCQGSDVTFAQ PSAADIFGCNSGPFAVTGNAVDAAIVPRLCAAFNRGTLLLSGGDVQPSLGADSYYTVS PCNYYSKFVHENEVDGKGYAFSYDDVNPDGENASGELVTTNPTALIITVGGPSS TSTA_086270 MNPTSKEIISTMTKFYEFIVKLPYMDTTAVLYPPNFESGEQQGW PDINAEELRKRGRTEGTITLLRHLPYLRKPTTRNSKKGWMIGPNTHAIAYCDGEVYDE ELDKIQPTPGHCIWLANATNTEDGSALLLDIESGTVTEYAVRSSRTVCLNIEEYEYLS QEDRWMAHFTLPAAHFFRLWIDKYKNLEWMPCFKEGDYAETVTWFINSQGLEGLLDDT DSGDSDESYEPSSEKLDDDDYDSEEYDPYEFNSEYESDGIDDIDDIDDIPVDEDTLDS KVAELKLDDGEDSTHGVRSQAFDRLGHEIRSGNSKLLTTDKSALEARVHEARSIYIGN GWPDSFNRDECQRQLSDLLKQITNSS TSTA_086280 MQSYYINNYTPPQGYELGELPRPEIENVMEVLIKVHAASVNPID VKMAGGATKMMTSFEFPYKLGYDCAGTVTEVGSGVTRFKVGDEVYVRLPESTRGSWSE YAKAPEEVISLRPKNVTFDEAASLPLTALTAYQSLKRAGDLSGKTVFVPAGLSGTGAY CCQLAKNVFKAGKVITTVSTGKIPKVPELLGEGVVDQIIDYTKSDPKTEIPAGSVDFM FDTVGIAMSCLSLMRPKTGHLVSISTTPSGDHLAEAANIQMGFPFRHILNFLDSVRVW RSNRWNVKYEYIFMHPDGKDLEELKEHVENLKLRPIVGNKVHYKDVKAVKEACDVVYK AKGGIGKQVISFE TSTA_086290 MASKKINVPLDESWVVSEFENSNYSQSVIVEGIEAASASFPTDN ISPVSSFSESDLIKEYEDEEPAVALPSSPPNPIESTATLASSVSSGPELIMPSIMFDT HSSDHGSWVIPRKRSIRSLYESRKAPRIPKARSEASSQERKKHQASKKEPLPATTPSS DKSARDYFAQVKGYLSEDINRYQLLRVVLNSLLVLMTMHLLIFPELFHQMPVLCKVPG APKVYSQTCTRSNRTIAGIPPASHQSTVRTQIQLQNYLNQTIQDMAPLDVLFKDTDII LRDIYTAMRQEYGSARREIELEFEGAWAASRSISRTLISLKVDMKSTVDGFQAPIHRL EYLKGAASIFIRLMGREKSAFENEEEPGDMAMNHFGRLSQELDTSIAHLVHRTDRVLV HLAKLDDHLQSIQKLGVREQYRLHPKPTDGNRIQNMLNSLSGFIQGPKISESFNSEEQ ENIALDQLERLVSYHNFLADVVGRLDRELKALQKLRSIRT TSTA_086300 MRPTALVCRRQRLKTNILWSRCRIVPEVASTAGVRYCSCHSNSL TRPGQHDSSARSSLSRPNNTNAPSNIQSSTPAADHRVLGTAQELFTSSSYSPGSPLFL PNGTHIINKLIGFLRAQYRQYGFREVLTPNIYKKSLWEISGHWQNYKDDMYEVRGRGA TGETEGELGEDEQYGLKPMNCPGHCLLFKSQNHSYRDLPVRYADFSPLHRNEISGSLS GLTRVRRFHQDDGHIFCRPQQIGKEIDLALAFVNMVMKTFGLPKYTLVLSTRPEKDFI GSLELWDNKSGLPWELNEGDGAFYGPKIDIQLQDSDGKYHQLSTIQLDLNLPQRFELE YQVAEGEPDYNPNTPGKATPVLIHRAIFGSLERFLAILIELYAGRWPFWLSPRQGIIL TVQNDEPVLRLAEEAAAKISGYRSIDVEATELLQPAPIASDPTFLIDVDSSSRSLSKK IQRAKSMKYNLIFVVGSKNLADNSIDVDVSGQIQGSSEDGASKFQSVLTDLIGASSQG NSRALKMQIDDVRALLLRMERDFI TSTA_086310 MYDDYECETCYRTFGSQHAASQHMNALDHWAPRYSCETCPKQFF SESAAQKHMTATGHFENYCKDCKRKFINENNLRMHLNSKIHRGSQIPCPFCKVNYTSA SGLTHHLERGACQNAPFLNREKILQIVRRQDPNGIITKKQIEWYKEQTTVYEVTSQAY NGSAWECYLCHREFNARQHLTQHLNSPVHKQQVYHCPNFKCPKEFVTLAALFNHLESE TCAYMKFQSVQKHVHDIVTGRKMIGF TSTA_086320 MKTQAQPATVQDLDQNDNLVLHGIKSASRIAKSRSSSSPPQKIN LSYVHKQIPMDKVIYGKSISRPIEQMARIFQSYNRGVPLTIFSRSRDRSRERNEVPGC KFRKVFVSDDTEVLESNPAFRSKYVGLTAFISEKDKNHIDPRIYVEQSFIDPGTGKEN LIVHELALGSNVDTDYDYVFKDCVDEHPVFGKRSLRVRIKALNEMTFESYMKAAGYYG YDRTEYAVVNKYILDNGLPLSINIPNCMNQQFQTVSFDAADLRLLLEAKEKIKDKKQV SSIDGGLELLTLRVHGLGEISECQQYLGALDIRVVYTPL TSTA_086330 MPPIRNKNRKNLDEQEGRILLAISDLQNGRIQRVAQAARIYEIP RTTLQDRLNGIQQRSLVRANSHKLTQYEEESLVKWVLDLDRHRFQIARKYNYERAKCE DPKIIQEHFDRVQAAISEYGILPEDIFNFDETGFAMGLCATAKVITGSDRYAQPKLLQ PGNREWVTAIEATNSTGWAVPSDNGWTTDQIGLEWLKTHFIPYINGRTVGKYRMLILD GHGSHLTPEFDHICTENNIIPVCMPPHSSHLLQPLDVGCFAVLKRHYGQLVEQRMRLG FNHIDKMDFLTAFPQARTVAYKAQTIWNSFAATGLVPFNPDRVIQQLNIRLKTPTPPP SRSSNTASSCLQTPQNIRQFIRQSTTINKRINERTESNQNQEINQAVVRLSKAYEMIA NDVLLVRKENYDLRAAHEKEKQKRQKSKKQISIEQAVTKEEVQALVQGQVEASHAVTT TPAEPELPASQAVVRRQYRCSGCNVMGHRINQCPSRISS TSTA_086340 MVIVARLTKMRHSLHKKYYRGGCGPPIRPESVETSWLVGDDYFG PGTSVGEPLLESALQSVEIKANMSTADHTQTDGQTKKMNEILEAYLPTGLTPFFANSG YHARLGWEADGRLEYQYSWMSARLMLLRHVIRIYGIVYGKSLSPLKLFVLSRLIVVVA QLLASQLVTGSSYWKSG TSTA_086350 MAARPNHFLAGKRIIVVGASFAGLSFVLALEHLWGPSIKLPTVT VYELDTRDKSVEKDPYVLNINGARQDDGLVAIEQLGLLTEVYKHGTLNGGDIRVWSDN WKWLSSINPTAYGNLLAATIRITRGNLKRILVGKAETTGITLNWGLACTSAERLLTGA IRVTLSDGTTQDCDFLVAADGANSNIRACFRPNDMKTEYAGATQIGGISHLPGGVPKP IDRDFGLQMSSGEGVCCIYNPFDGERVAWAVSTVGPERKAKTDFTAEEFQILKEEALD TAFMFQEPFKTVVQGTLPGTAFIRPAKEKHAFRHDANLTGVMFIGDANHILSPFEFVG ANLALKDGWDLAGQICCNTSMNAAAASYDRISIPRFQSAFDFSHERIQFGHCTGKKWL FYKMSFGLLDRCVL TSTA_086360 MALPHPSGGHTGRAASYDLSQIPTHEDFSVGEEIPMPVYSKVYG RMDLANEGLKTQASINDEGRVNIDIDEPTSRLRAMFKLPTFGTRSRATTVTAPILPSR DRPRDQNPPPLNIVIQVVGSRGDVQPFIALGRVLKFHHGHRVRLATHESFQGFVEENG LEFFNIGGDPMELMAFMVKNPGLMPGFDALKNGDIRRRRMEIASIISGCWRSCFEAGD GTGMPVSDRNTDLKNFHGGNVPFVADAIIANPPSFAHVHCAEKLGIPLHIMFTMPWSP TQAFPHPLASINRSNADAGITNYVSYAIVEMMTWQGLGDIINRFREKSLGLAPISLMW APSMIARLKIPHTYCWSPALIPKPNDWGNHIDIAGFYFLSLASNYTPSVDLAAFLDAG PPPIYIGFGSIVVDDPNHMTQLIFDAVRLTGQRALVSKGWGGLGTEQLGVPEGVFMLG NCPHDWLFHRVSCVVHHGGAGTTAAGIAAGRSTVIVPFFGDQPFWGAMVAKAGAGPQP IPYKQLTAEKLADAIKFALEPATSEKAASLAAKIEAEHGSEDGAISFQNHLNVEKMRC SLDPDRTAVFRIKRTQVRLSALAFAVLNVEGKLGLDDVKLYRSKEYMTEADPTDPVIG GGAAMLDSIEEFLMGFADLSIDVKRSLNTRIARSRSQSPASVSRKSASQSPAGSPTTA ARAKILSDDDSVKNLTEISTVSTKGSTSRPLSGDLHSSTVPTTDRLFDDSTRVETQSV NSLPLPKETRTRKHARTINDIHDIDLDGIVSAGKSAAKLVNVGLRAPASFTMAVAKGF HNAPLLYGDDTVREQPKVTGIKSGFKAAGKEFGYGFYDGISGLATQPVQGVIKEGPVG AIKGITKGIGGLIFKPAAAVWSLTGYPMSGIYKEIQKRFGESVENYIMASRCAQGLAD LSNCTTEEKENILMNWSYYEADIVAKRNARRNRKKSNGTGKRARSGTIGDRSKDGENT ASERDTESVKAAERANRRQSLRQLFRGRSNSSPPPRTSTPDSALPDEYEHAIRHSVLA TSQRNDTEDEMIERALRASMTELRDAKADGEEEERAYSLAVEASIREAERVIEEKKRE REARGTVSEVEKSNHIHAPESQPRSKSADEAGKEQRKYPPKLPPRSSVSADHDAEMEN ALKESKKSYEDDLRREKEEMDILVEYVKRQSLAEAEQQKQQQKPADVQQEKAMES TSTA_086370 MTVGTTTVSKPNIGVYTNPKHDLWIADAKPTLEEVQKGEGLKSG EVTVEIKSTGICGSDVHFWHAGCIGPMIVNGDHILGHESAGVIVAVGPDVNNLKVGDR IAVEPNIICNKCEPCLTGRYNGCENVEFLSTPPIDGLLRRYVNHPAVWCHKIGNMSFE NGALLEPLSVALAGVDRAGVRLGDPVLVAGAGPIGLVTLLCVRAAGATPIVITDIDEG RLKFAKELVPDARTYKVQIDKNAEENAAGILAALNDNEGDSIRPQVALECTGVESSVA SAIWSVKFGGKVFVIGVGKNEMQVPFMRLSTWEIDLQYQYRYANTWPKAIRLVKNGVI DLRKLVTHRYPIEDALKAFETAANPKTGAIKVQIMSSEEEVAAATQAANGI TSTA_086380 MNASVQRKRLACAECTRRKIKCDKVLPCRNCTRRGSSCYRNEQS SSHRRGNPRLPRITDSETADPSKLADNLTACIRELQETLRAVRTASSPNDSTERTVSL SVESPEAATLATHAPSERGLLSPEESLLTNTLPSPGSAEAGDAATILEFLAWGRRKVS TYAEDFVGHDLGGRSAQFSGDVALDQDPATNLEISPLHIIDESSLSLIQLLLPEQQSI VPIVEYHCNCLLWYHGSFHGLVFQSEFDSFLYKHRGLIDQPGIDLQWVGLLFAVLAGS MACAPRLTARSWGFEDSERAVIAQRWFKAALVCLNRANYTANHSVYAVQCIATMTISA HVLGHSNSHSVMLATAIRISQSLGFHRLGNVKQDHPSNTITRETGRRVWTQLCVQDWF SIPFSESYYIRQLDFNTEKPCNCMEEDMVPLSDDVPTTMSYHRFLYDIALLMPRLHDD LSRSNTTYTKYEHVLRYDTQMRTLVSKCVPSCLKSAALEPSWPQYVPWARHCLTITSA HKIIMIHRKFLWQSFTNPAFEFTRKTCIAASKTIIRVQKQVAKDNGPDLWIYHAFSVA ASIILCLDLRFRAPSDSEYGEHRKLVYDIIEILSQSETSMIAKRGVKILKLLLQLEQE NRRSGRSNPHEGKTNYARPTTNRPNDNYREDRDNNGGNGSGDGLPLDIHRLVVQAFHD QDCSQPSDTRSGNLHYRPREGEHISGPMQYSSNPSTFQGRWFWSDPADDGDVDKDRDH IGGTSVPQSGFVMNGSLEDILFLAQNGGPS TSTA_086390 MRWARPSTWVLPKTLSSIAPSHVWSNADQDPVPPDKWTWTGWTF TQYWLSDLVTVSTWSAASAAFASGLSATDTVLLTLVAALCNAIPTVLNGAVGADLHVP FPVAIRASYGTYFGYFCVASRAILALFWFGVQSSYGGQCVTPIITAIWPSYARLPNHL PKSAAITTQGMVSYVIYHVVQTPFLFIPTHKLQYMFIFKSALVPPMALAMVIWISVKA GGGSDLFHAAPTVHGSQRAWLWLMNMTSITGGFSTLAVNISDFSRFSKKPGSPLWQLP MIPLFKVITGLFGIIAASASQRVYGTVLWSPLEIINRWQGSPGGRAAAFFCSSLWLLA QICNNISANSVSFANDLTTMCPKWINIRRGMVVCMLIGGWALCPWIIIKNGKTFLSFM GAYAIFMAPIAGILFCDYWIIKRRKYDVPALYDPRGIYYYQFGTNWRALACNLVVIVP LLPGLANAVTPNSVHIDTGLKHLYSINYLYGFCLSTALYFALNYFWPDRRTLIPAVVP GVVVAHLAAVDSDVEKISPVLDSKMARHSEKAG TSTA_086400 MVFAVSATSTEVETPKILTVREVYTPLKTTSSNGRIVPIQHPIG SKVDFGAEVYGIDLNNFTSADFELISDALHQHKLLVFKEQSEMLTPKQQYRLTACFDP DEKTGGFAHGADPVLLQENGVTIYGLPNRPAINAQPQVHILGRGEVPPNHFDFPPGFK VKGIDHVDFHIPPHIPQEERDQGASRFYQWHWDGSLYNIPPPRVGCLLAVRTPKGPDV TVHWGDGTGRTMKIAPGATAMVAGSRALELLDPELHQIVMHSRIEYAPHAFQWMSTAR SAQLGHILETEDREMPLDKLSPWTKDKVCIYPMVWTNPMTGEKSLQVHGQGAFKLYLK DRPDGEEMVVSDLKEVRAFMHRIMSPVLSPENIYAHPHKEQDVILWYNRALWHSITEF PESYGPRIMHQCNIAASDHPSG TSTA_086410 MFSSISSYIRTSNGTKVNINQSGNPRGPLIILLHGLGGSTETFT PLLPYLYPETNRLISVDLEGFGKTGLSSPEVKLSIPRYVDDLDSIVASLQGSGEEERE GTSQRKIVIIGHSLGSIIAMHYAGAHPEIIDGLVLLGAGRSIAHIPAARERMLSLATK ARTEGISAVADVAAISNFPPPEQWHSMTTTETREELREIVRRAVMDCDAEAYAKACEA VAGLDHLDPDYGNITAPTLLLAGSGDMISPLDRSMGLKDLIGGNAWVKVLDGVGHQMT LQDLDGGPLYGDCEVSVFKADT TSTA_086420 MDETTSFHITAIPRKAEDKYNFGAFVTGVDLNDVSDDDLDRLKA AVWRHKVVIIKDQSNLDPKKQWELITRLDPKAKDGHSHGSIDKFRAKGGREVVGIPGA ENVRLIGKGFQGPDHYGIKNHTVERGLSNDFHATPPSATDFENGITRFQRWHIDAPLY ERDPAWFTSLRCLKLPRGADLTIEWADGSGMSMKCPPGRTAFFSTSQLYGLLTPEEKK LVDHSWVEYAPYPYKWIQHCKGNSNGLGLAAGGERLSIEELGEFDPASVKKYPMVWVN PLTGEKAFQVHGICARRLFLRSSSSETPQIIEDVEEIRRFILGIQNRILRPEYILLAP VEEGDMLIWDNYGLFHSAIDYPAKMGPRTMHQANIAGSVGPRGPVAIDVKA TSTA_086430 MHSFLSNSAALLLAAAMPLASAQTYTTCNPLTSTCPSDPGMPAS VITDFSAGASGDWDVAAGSISYSGNGAGFTVAKQGDAPTIQTKDYFFFGTVEVTLKAA PGVGIVSSIVLESDDLDEVDWEALGGDTTQIETNYFGKGYTGSYNRATYVGVSSPQTT FHTYTVNWQRDSIQWLIDGSVVRTLSAAEAGDYFPQTPMRLRIGIWAGGDPSNAPGTI QWAGGQTDYSAGPYTMYLKSVKITNANPGGSYSYGDNSGSAGSIKIDGAAAPAAGTTT SVAPTSTHTSVASASSSTSSTSSTQTSTSPASSAGTTTGTTTGAESTTGAESTTAPSS TTGSTKSTAPSSTFSSISSSNPSAVTNPISGITSSNSTLPGSNSTIPHTGGNSTTTGR TGTPTPTPFPAPPRSNGGAQTSMTGSVVALYCFLFAIVAYVL TSTA_086440 MTDRTKGLKRHTRAEVIASLDMDVGIVRIEDSSATSQNQNASAV SNTTKSQSKIPTPPYSELSRSSIMSPDTTGGNMSLVTVERTITQLIGIHGSPKSIDGS TQLTNLGFLNHFVTESTESVLNPKIKTIMKTGLIRSAFTSAHLMHTILAAACLHLNRM QPGNKNREFLEVFHWQRAVQLYQTELACTNTNNVSVDNTDSLIGSCLLLALNQFCPAD FKPEHSWVFTSNPADLNWLALQGGLRCILGITQPVLSASIWGSAFQDADDRLRGFKEE QSGRAGLRDELANLCEIDDRATSENNPYHWSVQLLSRMMTLKPYNRPENFEIFVTWMG QILPEFITLLRDKDERALLVLSWWMALMCAVSPFQVWIYGRIVPECKAICEYLETTST DPDILRLIRWPAMACGYHDCNPAAIVDVTFDSSGCFSRCESC TSTA_086450 MPNIIVLPRPGSSKTNDNPQSIQAPSEADFVSTFGQLLPPASYL QTPIGGAAYYELPPTSANTSNPTLRVILLHGIQTSAIGLQPLAKALSSRLPNAHIVLL DLWGHGLTDTPLVAHTPSIFHFLLDSLITHLKWENVHLVGYSFGGSTIGTFATAYPHR VSSLALVAPAGLIRTESFDEAGQSYLRGEQGVDEEKVQAWILKTLEGGELIVPADWKK RIARGEVVAEAVRDWEIKNHKGHMASVVAIFRDGGVLDKHADLAAAAKMGIKNISIVG GTDDWSRKEDLQAVGFKDVVVIPQTGHVVVREKVSEVADVIEKFWKALLAFLKRWTAA ADSLRGYSCTRHLRNLLPMT TSTA_086460 MSPVATRAALRQSRFLIRRTAIRHNSSTSEAANKAKQASEQAKS KASEGLSKVSSSAGPAIAGAAQNVSNALRKVGGPVGKTVTFVERMIPPTVYYARVGLE LGKIVFHGQKMAPPNLATFQSYFQPLINAFRNPSTIKNLKFSPQNLIGRARNLSTKEW AFVGVTAAEVIGFFTVGEIIGRRHIVGYQGEPEHAHGH TSTA_086490 MHDLLEVQARLLERHCNCLLMEQVEQCFHMINGITEHELVPLHQ TLEDVSTDNDDDRGQDEEMTIEESCLNLDTIHSIQTEPRHYLDGDDVALPEQRLRQKV GHAGSFVQMYAQGHWYPGRVKRCVLLVEAFTPAIRFQIGTENVDVEAGIYVKIELSPT RHPEAYARKALKTDPSSRLAIKIKGKLKNGEIFEEDSYG TSTA_086500 MDAFKLLTRSTKLKPSTSTTSSPLPSAGKAANPQLFGTADVQSN RKRKRQNEVATKQAAEERNDEFEATQRLLFGGSHSTSGTATAPAGKESKKSKNSKKDA PAKTDDTQEGSDSEHEVQGVTMDESERRSILKTHKIKVVDLRDLEEILAEKTDDHDED AKTKKQKKRKISKEIASLSKKKQKEARQVYPEPLTTFQDLRKKYQISRKLAENIAEQG FTVPTEVQMGSLPLLLGNTSFSKTPMRDGHEPDLLAVAPTGSGKTLAFLIPLINKTVK YHRENFGQREITSIVVAPTKELVGQIVNEGRKLAIGTGVKITAMRKGMQVVAHAEKDE EEEDSSDSGSEFESDQEEDGDKSAKPNGSKKSGNLTKSDILVTTPLALVNALTVKDTE ETESLPSVRHLVLDEADVLLDPLFREQTLAIWTSCNNPDLGISFYSATMGSSIEELTK SILESRHESLNAKKRPLLRLVIGLKDTAVPNIQHKLIYAATEQGKLLGLRQLLHPTAA IAPNEVRLRPPFLVFTQTITRAVALHSELLYDIPIEAGGSSRIAVLHSDLSETRRSEI MKDFRKGEIWIIITTDLLARGVDFRGINGVVNYDIPTSSAGYVHRVGRTGRAGREGGV AVTYYTKEDIPYVKSIANLIHASEKLQGKEQTVQKWLLDSLPDLSKKDRQDLKRHGVK ARAASAKFKHDIKQTGQARISTKSGYDRRMENKRKGAISASRNRIQQSSSAKATVDSG DEWNGLDD TSTA_086510 MVIGLLAITAIPTVTGVAFGVSEQRKANSRMQDEKRMAKFYMKV YCEGDSEKSRSLNGRRAVLRDTKVYLDHADPNIRKIPSHTVAAFFIAYPDDDNKRGDG LVSTISDNPPMLNWIYVDKDTLELKYGNRTQSIKHIVGHWGWTEDESGVLLNNKELWA AVEEEEDVWALYYDKNEDGLEGVVDPSKTVVEISIDRDLVVKPGENADASKT TSTA_086520 MLSCGLFSKSEETSKVASIKKVESDDEDEKHIIPDFGTLDLTNG QYRRYGGLTKSLAATVSLFPSKRRHMVVWLWEKQYLCPFNYHALAEVKRDRFETFLVA AEKIMALRKEKKSTKHIIDIAGEYVATHRMVWSEHSGWREALVRYFVGHVLWCFFEAY PKFVPIHTSNKDPLEHAVTSMLGLPDSDIDQLITSIGVIVPNARDGGIDDLFGSWTDG RLIYLSRRVLNFGMHNKRTSDKLLKAGKENGSTINLRLALARHLDQYDRREMMANAIE MSRALYGEFHAITWHCTEQLGKFWLDMAPDNMIRYYFSNTKGNKEQVVLDLYEKFLNH AEKIELSSSLKTSEREALSDLLKHRVVPAVANTYMKNGKELEARKLLWRSIKDSSSQI YQDNWRPFGPRLGSMDHRLLLPIQSLGEFDGCYDLAYSSLELVIFPFDEISLQLVKPS DEEKSRKWHFVFEWLPNGDVHNFKSIEWSPGLEGGYEPRVVQEVENSDRKESMILELP YIAYGHFKTSQEYRKGRTYEYYRKGSNNQQLDEWMRKDENKYTLRPLHGGYVDYLALW ETWPSVGGALVNSEKGEIEKFLYDRETGSLKFVDEKEKLSPHEELDNPPRYKVLDISE CKEHIPGFFNSTPGDVAAVDETSAVSQGFNLVSAEWYDWKRFILHPCDSSMEQQPELV ATDGTPIPILGYIYGSWTDDKLRKQWRGLQIWVTQATFSPDTSQDGQSCHFVLNPQDF LTPSETREICKFLNPSMLRVMNHETPILWACTMGEPPPDDFEGVTIKPPPGTQRDIIA ETCFRDAWDTDFAFKFWNRLLMGNSRRKIMSDQLKEETSRRREWDRECSGALKPWLHS RK TSTA_086530 MITADEDEPLHVLDLPQLYTKPTAIEILKALDHLAIQPKSFGFG ARDVARRKINSSGVTEYLTTIVSSSLAWLDSDDLREQIWDVAAARLSERAGRTAMPAL TRLFHIPTSSGEELALTLHEPSLTSDNLGMKTWLSSYLLSRRLDSIFESVPELVRSES STSSTSTRLRALELGSGTGLVGLSFAALREASASIHLTDLPAIVPNLTQNVELNFDLL QKTNAEVTTGILDWSIHPTPNPTKDELYDVILAADPLYSPDHPKWLVQTINTWISKGL DARVVVELPLRDAYAPQVDEFRQRMQDIGLAIVEQGNETGYDDWEDADGQSMEVHCWW SVWGWSEKF TSTA_086540 MHSQRPLSYAPTPYSYTPNPALSATINLDEEVKLASSSAERDLY ESLAEIYSIIVTLDGLEKAYIRDAISESEYTETCARLLKQYKSTLADETVSREFVDLE TFKRMWQLECPRATERLRIGLPATIEQASHGTHTPSGGMPTSAPAAGASGSLILIATE NFITFLDALKLNMVSKDALHPLLSEVIQSVNKVTDRDFESRGKIIQWLITLNQMRATE ELSEEQARELSFDIEQAYQGFKATLN TSTA_086550 MGVKKSTKKFQQRRLGDVLERRKEFAKIRQRHQINDKRKVRNAE RREKEAAEKVIDPEELAKEKAFAEMNVDDFFAGAFDIADPKNSKGNKKQKKDVTPKIG KRKRTDEFAEDIESEAESAEEEPGSDLDSAQQSDASSVGDFEEHKGQLESLKEKDPEF YKYLKENDAELLDFGDHGDLAEVDELSETEEGPAKKKKKSKVAESEAMKDNIVTMSEV KKWQKSLQEQNSMRALRQVVLAFRSAAYVNDADNQDTKYTISDADVYHQVLITALETV PKVLAHHLPVKETAGGKVKVAAESQKFKTLSPLIKSHTSSVHQLLTNLSDASTLKLTV ASIEPMLPYLLQFRKVLKVIVKMVVNIWSDNTNSEAVRVTGFLIMRRLMKIGDAGIRE AVLKATYEGVVKGSRNTNVHTLAGVNLTKNSAAEIWGIDQNVSYTTGFSFIRQLAIHL RSSITNKTKDSYKTIYNWQYVHSLDFWSRVLSQHCNALVEAEAGKQSALRPLIYPVVQ ITLGAMRLIPTPQYFPLRFQLARALLRISRATGTYIPLAPTLLEVLNSAEMRKPPKSS TLRPLDFNTSIRAAKSYLRTRVYQDGVGEQVVELLSEFFVLWTKHIAFPELALPVVVM LKRWLKEAGSRSTGNKNSKINQSVLLLVQKVELNSRWIEERRAKVNFAPKNRAEVDNF LKETEWETTPLGAFVKTQRKLREEKAAILEQGRQDEEKQRQKERENVGEDDVMSDIDS DDASDGSDGDDDEE TSTA_086560 MTVPKRKAIRRMANLLPPLNFLTLHHAYFIVMGLIWSVIFWAAA SPVQTVRYIDALFLCVSAMTGAGLNTINLSTVNSFQQAILFGLIVLGHPILISSTVLF VRKRAFESRFRSIVQAGERRRLAALQGVNLPKIEKRVLTGEGNNACPINGDSAGNRET TDGPIQLSSETGQTNTQTHSQSDMNDADAIRWADDDQLTIQSRSRNKIRHRVFPMAGV GARPDARDPKDAAPSFVADDERRSSLLEIITRNPQKYFASKGLISRNSQFHGLTASER DKLGGVEYRAICFLSIIVPLYFVLFNVLGFIGLGTWFAVNRPSVARENGLSPFWTGSF LAISAFGNNGMSLLDANMTALQTCSYVLLTMGLLILAGNTLYPCFLRFSIWTMKHVLP RTKWCEEWNAVLDFILDHPRRVYTHLFPKRHTWYLLATIILFNGIDWAGFEVLSINNT AVEILGGYRVLDGLFQAFAVRSGGFYVVTIADLHQGLLVLYVLMMYVSAFPVLVTIRN TNVYEERSLGIYADDYLAEDQRYHARLGSFVGHTKAIISHGSNGKQSQKADSNPSTGQ AGENNTSRSYFVRQQIRSQLSHDIWWICLAILFITIAEGPHFTKDPISYSTFNIIFEV VSGYGCVGISVGLPDQNYSFCGGWYTISKLILIAVMLRGRHRGLPVAIDKAVMLPDES LAWAEEEDAAMRLERARSRSVAQGPIYDEKTDDIV TSTA_086570 MHFSTLASAGLMATTAMAFPHTLNRFSSAKRQASGAQNVVYWGQ NGGSAVENNDLSTYCTSDAGIDIIVLAFLYQWGNGATIPSGTIGQSCFIGTDGTGQNC DDLASAISACQSNGIKIILSLGGASGAYSLSSQDEATTIGQNLWAAYGSPNATSSSSS TSVPRPFGKTFVNGFDFDLEANAGNGNYQYMISALRNNFAKDPVNKYYITGAPQCPIP EPNMQEVITNSQFDYLWVQFYNNEGCSTDTGTNFEDWVSNIANTPSSGAKIFLGVPAS EDGATGTASGAKYYLDPNDLATLVNKYSNNSAFGGIMMWDAGFSDGNTNNGCTYAQEA HSILTTEEE TSTA_086580 MTAGYISPHKKLIEREEEYRSAELFDWLPERHDVSEDIGQPFGM GLNEMTGLDRMTHAIVMKKGDTQIFRIKIIIPEGTDEYVFVLNVERIVFVARYSAPTF RAFNPRDLHKSMLNLARSMNARVAVRDGKDFTLSPSTPEDYELAIYSSADEFDTTGAR DQSYYETESMHFPNDRVQCGD TSTA_086590 MITTTPNIIATCKQTRFHFLDDRPSREVDIQGLNITVHSPQQSS TETTEKKPKAKSKSKADGLELISNADLKLKAGVHYGLVGRNGSGKSTILRALAEKLIP GVPYSTRIAILQQTDAEVEDNKNHEIDSLTESLKEPGSISTSQKTALEQVSSCDTMRT EVQRKISLLSNSVEQDDPLAPVLTIRKLRHKQLMEQLFLAQKNASIRSGARGLQARKE LKSIENRVASSTELLQQDTASIDAQTLQSDTQAAIDMLQDLQSQYETMKAIDVEKQAR KILLGLGFNEDMLSRPFMTLSGGWRMRCMLAGILIQEPDIMILDEPTNFLDLLGVIWL ENYLKQMRQSGPGTTLVLVSHDRAFINAVCEEIIILRDQALTYFKGNLAAYEEHFEFQ KLYWGRMKEAQEKQIAHMEATIRETTKIGKKTGDDNKLRMAKSRQKKIDDRMGIQVSA TGGHFKLNRDHVGYHETRRAAIEVPKDEKGVAISLPDAPDLRFPGPLISIENVAFRYQ RTKPVVLNDISLTIHLGDRVGIMGLNGCGKSTLLQLLTGEFTVPSSGKVTRHPRLKIG YYAQNSVGDLQKEGLADQTLTALSYILRDANGQLNEGEARGLLSSLGLPGRVASDVPI FRLSGGQLVRLALAKILRNLPHLLVLDEITTHLDFHTVTALASSLSTFSGAILIVSHD RFLVRAVVEGKRDVDAPLDDDFEAESDGDSQDDSETPRRRTVYVLKGGKLVEQSDGVN QFEKSLEKRVVKMLAS TSTA_086600 MTINRPLGFPVPDPTPAPRPSRVILQGRTVTLEPLQASHAEELF PHVGGAAVGWLWDYMLGGPVADVEELRTQFGIWEKSEDPVIWAIRVPTKKSTSTQVVG YIGFLDIAHVHRTIEVGHVMYSLALQRTTAATETFYLLARYAFRDLGYRRLSWKCNNL NESSKKAALRYGFLYEGLFRQHLIIKGRNRDTAWFSMLDSEWSGDGGFEAGYQRWLDE RNFDEQGKQERRLEEFVVRKPVQKEKG TSTA_086610 MGLTKEYLERPHQGISAMWGRYLESGEYSDFLVTCGDRQFHVHR LVICPRSSYFRVMCKDNFKEGIIQKLELVDEDPDVFQSVLTFLYTGIYETDKITKCEE IENAPSTERSCHGSEDSENDDENQHQSGRPATTEDILAHVQLYSSGDKFDIRDLKEVS SKMFRNQLNRTPVEKLNLPLIIRAVYTSTPHNDTSLRPVLIDYCVQNLNALLVQPGMA EVLSDFGECAFEILRSYKDEADLRSRKAVEDQRTAVLEIKKAESQQLRESLAKLQKRD THLMEMLASHHNCRNCGKVFGAGPQVYGPMSDRVMLRCKGCYTKHS TSTA_086620 MAGPSVLRRSVSHMCYTSELPRLRYSGRYTVTHRHLRRQHSTAA VTVEERSPLTTAESTSSPTSPRAEAIKTAKPFSEFLTDTFHRQHDYLRISVTEKCNLR CLYCMPEEGVPLSPPAHLLTTPEIVYLSSLFVSQGVTKIRLTGGEPTVRKDIVPMMQS IGDLRKDGLRELCLTTNGISLHRKLDAMTEAGLTGVNLSLDTLDPFKYQIMTRRNGFE AVMKSINRIEEMNCSFNAGIKLKINCVVMRGLNEHEIVPFVEMGREKDIEVRFIEYMP FDGNKWSAKKMVPYQEMLDIIRKSYPSLEKVADHKNDTSKTYRVPGFQGKVGFITSMT HNFCGTCNRLRITSDGNLKVCLHGNAEVSLRDLIREKNNGLPINDDTMEALDLLESGR LTARIQAEKNLSDRERRLLDVIGMAVKRKKAKHAGIGKLENMKNRPMILIDNSILQFK HRTRMNTVYSTLVPTHLVTSSTHPLRTYTQHIRNSSTKKSKENQTAHEVKPNHPVLPH LNTAGHMHMTNVGRKPSTQRYARATCLIHFTNDITYTTLTQTNSDCSSTGGTMTLAKG DVFAAARVAGIMAAKKTPDIVPLCHPSIGIDSVSVDINLLGPDTSGSKKEEEGNKFGA VEVIATVTCEGRTGVEMEAMTAVMGAALTVYDMCKANDKGIVIDQVRLLEKRGGKSGI WKRDI TSTA_086630 MATLAEFSSTASPLPNFNSAHDLEFDSFLNLDQTAYPTSESMKS DPSLISQPSMVTPEPSLNDMGPSYNGPSHQYGDHQQQTGFPPDAVAHAMAYNDNSLGT VRAGHQGFPMADGSCMHMKREDSTVDFGTAPSRGHSEMDIEAENGNNKNFFVPLANTV RVQQFIDPNTLGGHEMSIIAHPAPQAGRVYPGMHQQQAAMARAAQQQKQQAEIIRQQQ QMRQRHAEQLVRQAQQPQPPQPQPSTRPVRQTDPVVEERISRLLQQMRQSNLGQDNRL SASTSLLPQMAKQKKDEEDMDEDERLLASEEGKKLTSKERRQLRNKVSARAFRSRRKE YITQLEGEVASKTNENNELRLQNHALAEENNRLTDLTRILLSSPHFSDVLNDLTANGL PASAQPPVQVAQPQHQPMVSQAPVPTSVAGPQVNMVIVPDNHMDLYAAGWNSGIDMNY NPSVFAVLEVPEGPVDLEALSGKSSSFETPASSCESSKVEVPQLEQPPIAPPTTVSTC EPTRAIEALDETDPCFALFLDNVPAVLSGQSSILFNEVDSGKPSQYKLVVDQESEDIS ELSVRDFKRLCYSMDAAFERVCRVTDHLL TSTA_086640 MSKKTVLITGCSQGGIGAALAKTFHSKGYHVFATVRNKSKADPF LQSDDNNTKSISILDLEITSPDSIKACAELVRERTGGSLDILVNNAGMGLCLPLLDTS IDEAKKVYDLNVWGILAVAQAFTPMLVKAKGVVCNISSVAACFPSAWGGIYNSSKAAT TLLSETLRLELSPLGVRVITAMTGAVNTQFFADRTDLILPETSYYQPIRDVIDRENKG LIYTNKQDVDVYAKNLVNDIVSGKSGLVWRGQSSSMAWLLSAILPGRLLAYLVNGDKG LDELARANRA TSTA_086650 MDRRGHSPGRISTYGLACMQCFKAKCRCVPRSNGPCERCHRLRK DCQPSESARRRNAQKSQQSQESDARIAQLEGKVDILMSMLQATASSSSGSSPVDINRF ITGGHDTSNSTTSGSTLQGPTPPTESFPTSSSDIPPKQAEECLEFFRTRMLPHFPFFN IPPDLTSWQLRVKRPVLFQAIVVVTTHSTQKKLSRAEDFKRLVFTSALVEVQSSIDLL LGILTYIAWSTDAFLGRANLLSRMMMLAISLVCDLRLFKPSSPDVQLIIRLTQGYSEG DEEAASAASGGTLQSFLEQQKVVLACFVLSSNISSHFGRIDALRWTPQMEEALRILER NMSCLADEAFIFQVRLQLLTQRAAHIREQHEADRARIETAAATMPIPSYLYLKSLQKQ LQDFRDALSQKLRQEDILITYGQYVELYIEQASRSVNPDTSLLNTATGQNIDNGTLVP GYERIGSLWKSVESVKTWLEAFHRIPPSDCIGLPFHFWSQVIRCTAILKYLSTLDDPA WDCQLVRKAVNIISALEWIPKKLDETSKEAGLQFDDDLFKLLSKLLRRSREWVAAKWN ISSEMRPETDDVQVVVPPAPNAGVDASASSVIIDMPDLDGIPWIQSMDLESDKWFEDV LGRRVGVARYTPSTTATLASLRGIGRVTFRGTTPRTARPTIWVSATRLLSRCSTNSLR DFHRNSTSNIEELYKSLAEQQPALSGAQIVPTIQPRKGPGVRSRYYIFDSHLHDQTED IRQPPPDYLVSRPMKFFYQVLSTPTADSPGSTIVLNYPNRRYVFGHLAEGTQRVFIEH GFPFSYLNDMFVTGKTTWHNYGGTLGMILTLADSRTSGLKGLEEGDTKTSKVEAALSR LTIHGGRNVSHMLATARRFIFRKALPISVKEYDSTSLARDQRGNSNDKDPFQKPTFVD ENIRVWAMALKPSYEIRHFESRAGFRSPRKRSLNEFEERDSEQSTASDARDKDQLLRE HIVKDMFDSDWKLDALYEENLYDVKLPATMFVRNPETKDLERYQGPLPGSSGIVPNIK VLVRRPWPAATLDRLPPTTPSSESLCYFVRNHDQRGAFDPKKAIELGVPRGPAFSQLT KGLSYTTEDGTVVTPDMVLGPTRPGRTVAFIDLPSTLYIDDLISRPEWKSPTLTETLS SVFWILGPGVAEDSRLLDFISGLKGCEHVVSSTDVCPNRLTMMAAAKSSLRLAKINSE NFAIPYHDNVTVPQPGTRPNGHNSNASTTQKPDWTVSCPGLLLDMEPNFGINESELIP QLDTRDVVTRIPKAVQQRLNVIRRRLTNHEVVRQVMDLRKELPPLAMESEVVTLGTGS SSPSKHRNVSATLLKVVGKGYYLLDCGENTLGQLKRTYPPEQFREVMQNLRMIWISHM HADHHLGSVAVIKEWYEVNYGARSPSTGSAPEDVTEVLKQKRLAVVGETMYIQYLEEY AGVENFGFEKILPLAVLPPAIEKPYTSLVYRYTQPDGTTQEDGRNPVFLRFDTPKSHL TPLLQATTGLVSLNAVFVNHCRHAMGLSLEWEDGFKVSYSGDCRPSMNFAQMGRDSTL LIHEATFQDDLQGQALAKKHSTTSEAMMVGRWMNAKLVLLTHFSQRYAKISKMEDSSR RPRAQHDNPRATFKMQKGTLDIPDDDPPEDGADDEVTQALESDFDRPEMPVCMAFDYM GVKLRDIPIAQMFMPAFEKLIERLDRVAEEESAVTREKARMALEAKQARTGKKKSGVK SPQRLSSRSFSTEAKKSAWSASESESGWSDEGEGEPEQKDTKA TSTA_086660 MAVINIEMIFDIVCAWCYIGKRTLDKAISIHQRTYPGGKNDIFN ITLQPYYLNYTVVQSPDRSPRDVATAAAAAPTIDKQELAKTKLKHMSEQQKIALEQRM NQIGSSVGIHFRSGGKIGSTRAAHHLIHLAQLNDQKGNGQGASNASVANALVARLFEA YHELEMDVSDRDALRKIAVDDDIALDSEAVGECLDFCFDASSSSFASSVLVSDENGGK ESIVDRRARENRLRTNTSVPMFIIQGEYRIDGAQDLMEFVEIFGKIRESTNSI TSTA_086670 MSRLLTIRQAEELHKSIIAYLSANNLTNAAAALRAELGLSEEVF DTGTMVKYETLLEKKWTSIVRLQKKIMDLEARTVALQTELNNATPTSLSKRNQDPASW LPKVPARYSLESHRSTVNCVAFHPKFSSIASGSDDSMIKIWDWELGELEATLKGHTRS VLGVDYGTAQSGVLLASCSSDLSIKIWNPSDDYKNIRTLLGHEHSVSAVRFIPGRNLL VSASRDKDLRIWDVTTGFCVKTIQGHSGWVRDVCPSFDGNYLLSAGDDITARLWDITN ISNPEAKLTMVGHDHVIECCAVAPQTSYQYLAPMAGVKKEAVSKLGAVEFIATGSRDK TIKVWDRRGSCLMTLVGHDNWIRAIVFHPGGKYLLSSSDDRSIRCWDLSQDGKCVKTL SEAHGHFISSLRWAPNVVKEVANNADSGNGKTDSNGLLKGKDNPPNVQIRCVVASAGV DCKLNIYAN TSTA_086680 MYFQSDHQKLYIQTVAETVNKPDAAVKRSSRAEHGLGGDFPASS SQGGQSQHQVQRALLPGGVGRTLSFAFEASIGPGGERTPVSARFQNVRVPINWPYCAV ARRMTSMVATSKDLSTWDTLQWRRKIETFGHDDEAVSTRGPAGETEAICHAGELTDKG RQTTFELGQRLRHLYVDQLGFMPKIMSNAEDMYLRTSPIPRALESMQQAFMGMYPASA RTADFETPAIVTRAFAEETVYPNDANCRRFRQLSRLFAERAAQRWNDTDDMKYLTKLF SKWMPSSSPRVAVDSHPRLSGIMDTINATLAHGPATRLPAAFYDAKALEIIDKIAVEE WYAGYKENVEYRRLGIGGLMGDVVDRMVSTALEGGWRSEVASSVPEGSRVPIKFTMSG CHDTTLAAVLSSLGAFDNERWPFFTSHISLELFSTNNESQKLAHKRESTQSSQTANAG LFSFLSKTRVPAPLQNPTPSTSPSSTARVPLSDLEESSRKTLQKYYVRIRYNDRPMKI PGCVAKTANHLPGDDSFCTLEAFKEIVDKFTPQQWQSECSQNLGDGIFGKDDKDKSVA GY TSTA_086690 MGSSKRAKGSSMLYFSLATVAIVAILGLLVPSFYVSATGRVGFS LTVPRSILKYFPNLKNDDQHQYRAQIFSRDPLVIYIPDFISEDEIDYVLDYSEPLYQP SKVYGGFDNPPIMDEKMRRSEQVIMDRKDPIARRLAQRAREFQGWRGNTTYVESLSVQ RYSLNGFYNYHYDPDGYITEGNRVTSFMIYLTDNCTGGGTNFPFLTQPNDTRWCDVIE CDEEAKDGFQGVTFKPVKGSAVFWENFYPNGSWHNGVYHASLPVKSGVKVGLNIWSWD TAWKAPAEE TSTA_086700 MSDIATDEKRRRQNRESQRRYRKRQKWKPKDCVFDSLPSYQPML PLDQQSWTTPSSSATAPWNDIPNVGCEESELQKSHLTECGGDIFRNPREEKGKQHYGS SEATMINYHDQTPSYTSMYEISPIPVAGPLPVPIRIAMAEHATMNPPRTLRPNKDSEP QPQNVHILRDSSMSKPVHISSSVSHPFSTEEHNSEQSTWMTPPPSIRTRKSSRSKGSI DSKYQYLQLSHCQSGQRDQKGARSQSDDPSLSRPGIPRVSSRAVEMITDVEKLYKFGV NIGILPEDKETQLSLRRMKRRFISFLPQPYISESESSRDEFSEGDGDDR TSTA_086710 MQFKYLFSIIFATMATAAAIIPDGCTSVDEDGPVARSPSMLDAR SPRNDALQPKCPVVGISNPVSGSIDFMKM TSTA_086720 MFGALYRIKMDEEENEEKENLLETDTTTIRPPAKRNNQILRLLF IIGVSLNTLFGILGIFTFASFLNSGKSSYENGFDTDLEPAREKIDLIVKTFTGGVELD DAGNFYTDDGGHEYVGPPGPEVDEAWETLLGLNLDLDEDEVVLKGSTFQWPESGNYFT GIDVYHSLHCLNRLRQAIYPEYYTRIFNHPSDPSRKNHIETLDHCINHIRQAIQCHAD LTPMEWRLDGNKIILKTNTQHTCRNFEQINGWALSKRTKFEDIHSWRNGSLQIVD TSTA_086730 MQEESCMLTSFNVTKLMKLRVSPYLVTIPNLEKVETGSCLPHHH LVFPIASPTLLCALVEISPVLSNIMKYDKLQPPKEDDSDHDVNETLLHDEELSSGPKT HRQAISRRWIWPCIFIFENIFIVIVLLILWKRVVPAPTNLNYQVLTADNKTYPSGPLS WSQHFEALPCGRTPEEARARGCEFDMLVTAWLPPRCIDRELVDEFQALGEWDFYTKYH GVEGDKFGSYDPDFLGSVNQTIYTERRWHITHCLFMFKKLTRALVNGWPVDAEAVSEP HTEHCMKTFTEQMLFGPALDLDEVETYLEIIYPPC TSTA_086740 MSSKTEYQPIDRPSNDIEEDTDLLRNYVNVHGNNRLEKLIWLGF ILTIVVTNAFWFSLYNQQKLQYKEEQPINGRLLRIPYRFTTPYVDANLKEQNKLWADL FPPGLGAIKVEHEWAAKHHLPTAQGNASDGKAIYTVAAFHQLHCLTVIRTSLYQFNSG RKQLDPWDHITHCLDTLRQEIQCSADPTIGGISRVHECRDFEALKEWTTKRSYTDFLE EIL TSTA_086750 MDFSNYGEPASEWTSYLYAHPIINQPWTKPSEEESLTEMHITGT NARAAHDQVKLKAHGLEGKFSCQDYEVGTRDGSSIPLRLYTPIGTPSPPGGRPIYVYF HGGGFLHGSIDTERSACALIATKLNIMVVHPCPRHVHEEKHPIPHHDAWDAMKWIIDH ASSYGGDVGSLVIGGISSGANLAAYVVQQFSTANTTIYNENNAVRIKGQVLIVPWLIQ PNAFPYGQFIEKDKTSLVQCSNALGLSTERLEWLSSILGVEDIANPIPNPALVDEKVL GSLPKTAIIIAGGDPLRDDGLIYASRLGKAGVRTKIHVFPGLPHHFAVYELPHPHVAY ELRSASVCQNRVLESIQWALKDGGDQAGNAWVIEKTS TSTA_086760 MWQEYSVIPVPKKWPRVPHGQDRSIFSDTSVLHGRPAQIKTRAQ LSLQNHLQDIQRAKQANQAAQIKAGLIPNPNDNKFRDSKPRLLLMGLRRSGKSSISSV VFHKMPPNETLFLESTTRIQKDSIHSFMDFQVWDFPGQLELLDPSFDLESIFGSIGAL VWVIDAQDDYLESVVRLNRTILLVLQYYPNINIEVFIHKVDALADEFRTEVFQDIVQR ISDELSDAGYENAPVHYYLTSIYDYSVFEAFSKVIQKLIPQLSTLENLINILANNCGF DKCYLFDVLSKIYIASDTRPVDMSCYEMCSDYIDVIVDISELYSWEHTDRKPKGEQMR EAESHVILQDRSMIHLMEMNKYLCLVSVIRNPDANEKKGLIDMNCRVFQEALNEVFSR PWEQPEDLNTVEEVHEEQ TSTA_086770 MSSNLRNRRAQAEDTTTSPRVVEVDTDEEVEITRTTEQQRTRNR LEREYKKSNKKYVVKDDADDDDESFHISVLDILRVIVTFIVLSVALSYYVTAGESYIW GFEKQRPWWMRYNGIKQFLQGPINLTPSQLALYDGSDPSLPIYLALNGTIIDVSANPR IYGPGGGYHFFVGTDATRAFVTGCFKEDLTGDMTGVEELYIPIEDADDSHREKSLTPG EKKRRHEREVQEAREKVAAQVDHWVKFYSNHNKYFAVGKVVPEKDQEEVREKKEWKLC ESAQQNRPKRSELNKQL TSTA_086780 MAPSATGGKKQKKKWSKGKVKDKAQHAVVLDKTTSEKLYKDVQS YRLITVATLVDRLKINGSLARKALADLEEKGQIKKVVGHSSLNIYTRAVTAE TSTA_086790 MRGIVDIKLEIYQHDVRNLDIHPRSVIVQNIDSTSPDIVIVDFG VSVIGRSYNPEGGLEGAGEITRDIYKPTCSFGDEKRETPFPFGLQRVDHLELERLASS YSASCSNNICMASGMWIHFVPHHCNSE TSTA_086800 MALHGTNGGSAIVTVSTDGSYIVRVHGKEVSVHSAIPVEGSHFL RSIKLQEAFSSQLKFVKVSQSDSTADGNSGTRMQIEDESQLNLVSQRRLLCASSNRIL VWQINSLEWHADIENIEPSVGTIEFGASDDEAILFHSWSSKVTIFNLESASSLIIKSP KFYNPSSMGHGYRPLTRQLAILLKPEASDLLTIHEACSYDTIAKVTLPTVDAQGLKWS PDGRWIAIWDAASNGTKVLVYTADGQLYRAYTGRSDIENTHDLGVKCIEWTPLKRRHS NSEILAVGKYDGTVDLLNTRTFSCSTTLSHTFSINDKSPPVWRERMSPDGKLEYAEAA SSSAFVTPGSDSTIQLPKGVSSLQFSPHGELLATIEQTQPNIVWIWAMTATPTLETAL VHEHNVKNITWHAKNQELLITTANNTLAVIHLWSKERPPVIAEIPIPRSEAGRYSVTW VKSTVPEESCLFWFNNTDDAVLGCVIVDDQTNTQGRASFNSLYMVSRSGLMGAPAETS GAN TSTA_086810 MSTASVLENRPAKLTGREFYKSIGSPKFIVAPMVDRSEFAWRML TRSFMAKDEPQRLLAYSPMFHARLYNETEGFRAQHFQPVRRDDPNVPFLDGNPAIDRP LFVQFCANDPNDFLEAARLVAPYCDAVDLNLGCPQGIARKGHYGAFLQEDWDLIYKLI NRLHTELPVPVTAKFRIQETKEKTLEYAKMILSAGASIITVHGRRREQKGHNTGVADW SYIKYLRENLPPKTVIFANGNILNHGDIEHCLEATGADGVMSAEGNLSDPTIFSKVPE IGNEGREYWRGRDGRGGYRMDAVFRRYMDIIHEYVLGNPVPERKPLFLQSDPVVDTTE QSKNTENHTEQNGQVNGKRKAENDADGKAVDLPSKKQKRSKEKRTHDPNLVAMQGHLF QLLRALVSQHTHIRDALARTHAGDIASFERILSMVEDAVKEGILEYEKNPEAFDKKAE DADELQGSQATIAEYSRPWWVCQPYIRPLPEEAIAKGAMQMSKKDQRKAAEAANTAAT HSVSEKTEPVPALVSA TSTA_086820 MSTSLLVRAATAIFIPAELTGGIIIANTPAEEQQSNLIELRDSI PAPAKLLKRDADATTSTAAPSPTQPGLISTCNSYYLVKQGDYCSTIISKFNNFTLSQF YTWNHAVKSDCSQLLAGYYVCIGVSRCSSKASELQARADPTPTPTQSGIASNCNNYYE VVSGDTCIGIASRYGVTFSQFYNWNPAVGSNCQLLLEGYYVCVGVSPTMPSPTQPGVT SDCIQYYEARSGDTCVSIVQKYSSYLTLSLFESWNPAVGNDCTELLVGYWYCVATKSV HG TSTA_086830 MASARAGFALITPASRGIGFALTRQLLAHTDLPICATARKDCDV LHNKLVESVDSKRDAAKRLTVFEVDVTDESSISELASRLREQFRDIPLRLSLTIPGVL RVEKSPSQVNYDDALESFKVNSLGPLLLMKHLNTFLPTKSAQSFSTEPFSPSTGGEKE DSPFKLPLHAIYAMMAARVGSISDNALGGWYSYRASKSAVFQLAKTFDLYLRTRSGNN ALAVALHPGTVRTNFTRDYWQASRNVLGPDEAAVKLLQVLCKIPPGTNDGRGHCWDWK GEEVLP TSTA_086840 MSPTTRYSLAGKPIGLDGFGLMRLTWHVTPLPDSETFPILKATI STGMTVWNGADFYGTPENNSLHLIKRYLTVHPQDADRFVLCIKSGVADMSTFKLDCSP ERLRQSVNQSLNILKGTLSKIDVFGLARVDPNVPVEESVKALAELREQGKIGGIQLTE VRAETIRRAASITKIDMVEAEISLWSTEVFSNGVAEACAEHGVVLVAHTPLGGGILTG KFESYDDLPAILKHRPRFAPENFDNNLKLIKKIKELASSKGCTPAQLALSWVKKKGTE PGMPVIVPVVGARTRETLLENARNVDLTEADMKQIGDILRAFPVKGDRWPAGPAKLNE Y TSTA_086850 MSTSSLKLCGEITGSQLDEIYQFAIDLGKKAGMKLMDGIHARVG GTISEISSSVASNANMTSNLAFEEKDNAVDIVTQVDEDVERFIHESISAKYPSHKFIG EETYAKTSSSAREYLITSDPTWCVDPLDGTVNYTHMFPMFCVSIAFIVGRKPVIGVIY APMLNQLFSACIGRGAWLNETTRLPLVGNVRNNTANHNKDHFKIPAMPENAPSKCIFS CEWGKDRRNVPGGNLRKKIDSFVNMAAEKGGENADGLRGMVHGVRSLGSATLDLAYTA MGSVDIWWEGGCWEWDVAAGIAILLEAGGLITTANPPEDPDTAPIEDVRLGSRLYLAI RPAGPSATETGRESQERTVREVWKRVKHLDYTRPGA TSTA_086860 MVLETTFDCLPSWVGQVAFGTTLVSLVLWLSSFFSAFKKYDRKT MTEDVENDSSLLGIDEVLVFPGRTSHTRLSPVVRSFWFHFLIAAVPIRNCRSNWFVSV DSDTKPWWHRGWLRVDPPDHLHRGEDENGLSYKLDKFLSSKQYNPADYPTVWLVTSPR FLGYKSDQASFWYLYTADGTLDMMIIEANNSFDERKVWVVPAAQEHGMCNNTATKTHR RKFHQYWPKEFHVSPFNSIHGSYSISTLDSFSPGKPLNSANAIDLTITLLESQRRPKL VARVWSVSKPLIPSRVWVLYGYLFLASWCLTAALVTPRTLFQAFILSKKHKLSFRVRP EPKPGTFPRRNTTAEGYLREIFIDYLRQIMNKSPENFYLTLSEPGFETIILNTRNVAW DSPLYHISVLTPKFYATIVTCPTIETFLYTALLHKDDGRRTACTDTKDSEGLIVLLKY VAAYFSLSSRDPNSVLWNTTDTASNGNTITRTWIVKSPKLAILSLLSLIWFLVTHVRY WLSKSSGEGLRLSNTVTAPTSVTESGTKVQIDEELCSHADTYRNGTVTDDSKCFLDHF VRENYGPAAQFGYLWAQLNTVMREQILGITGGG TSTA_086870 MASNDSITSDDKYESKRDGTSVNISVMSAGAVTVHSEENPPVTK KQWLLVLSSFFVFMNTWGLLLTFGTFQTYYQQELLPHKSASDISWISTICAFILLFSG VVTGTLFDYGYLRPLLFIGSLLEVFGLMMVSISTKYYHLVLAQGMCVGLGAGMLYIPS VAATAAALQPFRRAKFMGLISTGSGIGGVIYPIMFQRLLSSLGFAWAIRIIAFLIFST FLMSYPILIYKPRKSPLVRPFIDADAFSDTPFLLIILGGFLCAIAYFVPMFYIPLYAE TEISGFRGHHVELTFYLVSIVNGASVIGRLIAGVLGTLTGPTETTALAVGASSIVIFL WILVKSVGGMIVWATVWGIVSSVIVTLPGAMVPLFSPSIEVIGTRVGMFWAGVGVGVL IGSPIAGAMVDLQPGDIQWWRLQVFSGVLMAAGALCFVYPVLYVRKKTQNFI TSTA_086880 MQTTNNRAESASSIASAFASLSNGVTELPPRFVALKRELVADKE DAVLAGWNRLLERVSLEKLQNLDTSIIPEVEFKDIAANSGNIPKDIVKRLRDCGTIVI RDLVEEAQALKWKEQIRNYVNKNPQTKGFPVNDIQVYELYWSKAQLEARAHENMLAAQ IALNRVWSAKPEDAVDLEVPLTYCDRVRMRKPGDRSFNLGPHLDGGSLERWEDPEYRK CYAKILSGDWENHDPFDVTHRLKATVDMYNGPGGCSVFRSYQGWLSLSDCSPGSGTLH VMPDLVASTAYTLIRPFVKQTANGIDWELGLDTPHFHGTAMGTGQELTTEFHPHLNPH GFVSIPRVRPGDAVFWHCDVAHMVEAEHGGIADSSVLYIPSVPLCEVNIAYVKRQREN FIQGVAPPDFPGGVGESQHRERGQDEDVKSIAGRRAMGFQMFEVHDRLTTGQRVAAET ANAILGFN TSTA_086890 MITFNIEIVSDPICSWCFIAHRALEKTVRLYRTTIPDGLADEFN ITYLPYYLLDPTLPSRNKTNFESVIALRKHQNLTYNRIMQIGRSYGISFNFFEGKIGG TREAHRLIQLVQSRRGAEVRDRVIDRICSAFHERAMDITNQEVLRSIAIDAGVGVEEA DGWMDKDIGGEELEVQLKRARNLVGAENKGVPVVIVQGQVRFDGAPDISELLGTLVSI RDGMVEKNVDLQGQASTDRC TSTA_086900 MSPVTDDQQSGLLARAERVAEEFALGREDVRRITSHFVRQMRDG LTHNRAWQLPSFVRSVPTGAEKGTFLAVDLGGSNCRICLVHLRGDSTFTTTQTRHRVP PEVMVCQSYKPLFDFIAAKTAEFLAENLASNTKGYDRAHGRATPYRLGFTFSFTCEQT SLAGGTLIRWDKGWDIPEAVGKDPCVMLQEAIDKLGLPVLVTVLANDSVGTLLTRSYT AGWKISTLAAIIFGTGTNAAYVEKLSNIKRLGVKKGSAGEIMVINTEWGCLDDKMEVL PRTCYDDELDRESTDPGIQTFEKRVSGMYLGELLRLVLVRLVQEDSFEMSIDKESPLF QSQGIDSSFLSDLAIAGDKDSSKALSLVESKLSAKNVTVNDVKTIQILSAAIVRRAAR LAGASLAAIIIQSGRLEPPLTKLKYSGTKVTEQEAVESTNTRMSSIQRFRHNLNLLWR RIVKFLARLNISQEQLQSAGSIQQPDTEKSIIDIGADGSVFELYPTFEADMRGALIEV PEIGPSGEKRVRIGLAKDGSGVGAALMAQAALEMEKKAQH TSTA_086910 MSTNNKRPRVEYSNGRHAQEEEYGSEQRARKACINCRRQKMKCI AEDNSDGCRRCRRSGLPCIFVPRANAATLPEFNTRASESGFKTDVLRRLKIIEDTLGL SEAHNAPSEHIDCGDCEGSDDDEGYPDEFNSLHALWDAVVILQSSAPGFVPRSIWRRH TVRDLWLSFHDRMPGLHFMPRKQTFSAPQPILLASILYCSSMRGPPDMAEIAPHYFIV LCNAIAQLCIPGTKIGQMPEDSEEWAFQTVLGIVIAGLLTEATIRETGIWISIAYRLI LEHCPAHIDETSREWRKLFSGVQIIDLEHASLHLSCPVIPIESPLPGLRTSPRDQLYR LSRMMRAGLTHFTGRGLPTIWSCFTTDQPSTAVALTNSFTAVDAAVIRDWARQLDEWL VDFSQGLEGSDHDLKLVFRQYVLHRLVVLSIYHPARGCDLWSNSITPKEQYELLLSAR ATLKLHSHDDSIWSNWDLIMITWAALIVVQAIEGGAGEHDDLTNIRIHLEMLKRMNKT TPSLCDKLINRLELSLTNVHTPASADFRQQQNTYDDLTVGAPIDLSWQIFDRSSLQQM MYTDWPAHNVNEEATLS TSTA_086920 MRLIVRETQDQAAQYIADYIISKTSLEYLQSYNIILKLTATERI NGFSPTAERPFVLGLPTGSSPLPIYKALIQAYNQGKISFRHVVTFNMDEYVGLPREHP ESYHSFMFSNFFSHVDIDSKNVNILNGNAPNLREECLSYEAKIKALGGIELFLGGVGS DGHIAFNEPGSSLASRTRIKSLAYETIVANARFFNNDLSLVPRMALTVGVQTIMDAKE VVIIASGTSKALAIQQAIEGGVGHLCTLSCLQLHPCSMVVVDEDATMELKVKTVKVCI SQVLSERSSSKA TSTA_086930 MADYTTKDAVVEAKGTPEMDADELRLAQMGHTQELKRHFSILSL IGLASTTTISWTGLGLGIVTEIGAGGPGAIIYGFILVTILQSFLGASLAEFVSSYPTE GGMYHWIAAIAPKRYSAFLSFITGWLTVCGWVFTTASTNLIFAQVVQALYALYHPDLV IKTWQTFIIYQILNLLTAAVVLFGNKIIPALNRFSLFYLQIGWLVVLITVVACAPTHQ SSEFVFRTWINNTGWNNNVICFITGLVNPLYSLGGLDGVTHITEEMPNPSRNAPLAIA ITLTIAFTTGLTYLISLMFSVQDFGALSTTNTGLPLAELFRQATQSAGGAFGLTFILF VALGPCVISSQLSTSRVLWAFARDGAMPFSDTWARVSKRFEIPFNSQLLVAAANAALG CIYLGSSTAFNSMLGAAVTINNVAYLIPIATNMLMGRSGMHKGAFHMGKWGWIVNGVT VAWLLFAIVFFSFPYAMPVTVQNMNYTCVVVGGIPLLVLAWWFLGSKKYKDRIARAKE E TSTA_086940 MLRSINWLLCSLLSATLVRSVELSGHAQSLFDQSMSFQDQIYDP DVSYLRYFYYPLAAGPHETRSTVWYSLGLLQRNQGDDVKEAIKILENVIGDQEKNASV LWYGDYTVYPEQPTVGSPSYDPVIYNSWDPNWRGFIGTALIIIYEEFRSLLPPDVQNM VLESIYNSAVGDTYRVGGVDDDNLYPAYSNAWLMRTVASSWTGLKMNDSNMTTSGDRW AQEFLDLFDFNNTLSEFNGPTYAGVSLYALTIAAKYLNSTGSVIGQNAERVIQSIWDY ESLLWNPNMRNFAGPWDRSYGYDMNNYVAIMSEWIWALIGKDHVWRYKSPIATMTHAD DFQLAPVIAVLSDFHKTLVSKTVISRLKSFVGEHIYSGHTYAPPADYEPRNITTWLSP RLTIGTDSFNQSVIGGYSKDSTSFSPSVVQWIRPGGSIGYFNLYSTQTALKADVAPYA LNLTYPLGNATSSFTFILATNPLGAKRDISGLGDVDGLKIEVVGGSVNPVPEVSFCGL VGGTCNIIHEFEHWNLTFTMPANSSDVPSIHFKFALE TSTA_086950 MSESLAAFRRHRRDELAKLADQHLQHDLQESDRDALKAATTRVS TWTLIGSAVGIGLGFWVAFRLRSARKSMFEAFKAAEKPVKVVFADGRTESIPDITPFL RPSTLGDFATYFFASAGGLFLGGELGLLGGSASASRSITKDPEMRKRIENAFRKFRAD VLRREADELDRDDNLWDKMF TSTA_086960 MSVNQIPNLNTLRRGGGGLRARLRGRGRGSGGSTSDDTQSQAAN NTAAAKDKIIQGTDNDASVSRLSAVELGYLDDVFARALTPSGGGPGSRRFPIINRGTY VRTTAIDQLVNCFLDEDGKSQHATKKQIISLGAGSDTRPFRIFSKKQRSQLVYHELDF SVNTSAKIKAIRSSPLLQRAIQADTEALSTAGNEQYIADDSLHLPNYHIHPIDLRALA AKSSASTAKSAMNDSQQPTEDHNTSTAETKELLPGIDPTLPTLLISECCLIYLSPDDA DAVIDYFSQTIFPPTTPLGLIIYEPIRPDDPFGKTMVSNLAARGIQLQTLHKYASLPA QRQRFAHHRLGSGQGAVDIDFIWNYWISNAEKERVAALEMLDEIEEWILLAQHYCVAW GWRGDIGKGDFALWKGIRSE TSTA_086970 MSKKFKSQASSSRAAAGGFTNTFGGFSSGSSSLGTAPSSLSYAA EPPDLSRISDPNLVVAFKNLTKKDDITKTKALEDIKDAVLKLGNRSDELEEGFLEAWT KVYPRNSIENARRVRQLAHTIQGLIASLAGKRVARHLPKVVGAWLAGLYDNDRHVSRS VVESISQIFSTDEKRNGLWKVFQSSVLEFVEDVILHQTALTLSDERIVKPDDAEAKFA RVSATALLLFNRILSTASPEQIAKDRMTIRTILNSKNLWSFTHHADPFVRRSIYSLLR SSLAQVIEDLDWRMISSSIISQALPTSQLGSATEFSETLLQLSQNRPQLWTTDFTGKT DASKRLHQYIKRGSQGAAELYWSNLAELLQVIPTEMINCYGSKGDNETPQIGLSQAKA LMNDLLTGLTSRDEPRHNLKTGWAAYYDIGIWLSTLIPENERGELVTEFLTMIFDPYV NGHGEHQWIPPSIASATCTVAFLRLTNHGYDPELRQAWEAVTQNLLQAVKLSLPEQSK DFRSSQDGICTKATQYFKLEAAVLWNLASQDNSISSLFEESSLLLLKGSLQVLQARNG KPYGAAAIVEEAVRHVPEFIKDSKDVINDIRDAIPQLLSTPSADRIMSVILMCSDWEG FESVFNASLETMTEATPQSSGGAALQKLLSTVDFQKVQESAHFVSIVSSSVEKAIEGN SSQWPFVFSVIENKTAPNEMIDNIVTVLVHGLSSDEETVISTLSGLSSLGGEKPEALK RLRNGKEGSRLVARLLYLIDSPTEGISQKAEDLEKKLRETVSADVTSASTREILKHEL QEVGPQSLSFEVLSGMARDLIRNTESKDLSPLLTDIFPSYELWKTYLEPFLRLPIRHS TSIMSPLGGTVYLVANDLPGTGRQDLENIQRDSDDASSVFRLAYYVVRILSSQDVLVS LDAKQREGLFYYLPLALQLIDDDVSIEGSIGIAGLGLLEDRNEALETVSEGRSTIRKW IQSEARLVDGSRSVSEDLLVLWEEKVAELDNTSPESYRIGQAYAKIMSEAELTKTSDA LTSLAREIRKYNPIRAAAELAVWGPALASSSAGTRLCSELIADATGFKPGKTSEGPKS IVFFNILAQSMRESLDAIPTQRMVFLVKNLVYVLQSSPDSTSVKTEAFKALSVVLRPL FEIYGSHWAESIDALCSTWTEIGGGDAWLPLLHSSLRLFAVLRDLVKTGANDDLTDAW KDYKQDLFESLISTLNKLDSSSVVYHPRDITADLLRRELVHVPIDSLTNKNEMFSLLA VECKVIQQTAFELLHRHIPQAQEQVSFDVALSKTTVNLPDELLSLLLEVPQANLVTSL SDTKTWLRIRSYLLSWKLVFDHFSNASLPVQENYAENIKEHQILTPLLEFTFDFLQKS HGKLVDASKFDIRSWEPIEDPGEQDSQWLLIHLYYLSLKHLSLFTKNWWIDSKKRIKG PVETWTQKYITPSIIEDALTGVSTWIQTQEEDYERPLSVKVSHRAAEIIASIPVDEDS PPVAMAISLPPAYPLQPAIVTGRSRVLVDEKKWRSWMLIIQGVIMFSNGNLVDGLLAF RRNVQGALKGQSECAICYSVISTDMQTPNKRCATCKNAFHSVCLFRWFKSSNQSTCPL CRNNFVYV TSTA_086980 MRFKIDEIEVLFPYPKIYPEQWQYMCDLKKALDAGGHCVLEMPS GTGKTVTLLSLIVAYQQHHGDSRKLIYCSRTMSEIDKALHELKALMKYRALELGHTED FRGLGLSSRKNLCLHPSVKREKSGTVVDARCRSLTASFVAQKKERGEDVETCTYHDNL DLLEPHNLIEPGVFTFSDLLRYCQEKVTCPYFTVRRMLPYCNVIIYSYHYLLDPKIAE RVSRELSKDCIVVFDEAHNIDNVAIESLSIDLTEDSLRKASRGAKNLERKIEEMKTSD AEKLQSEYTKLVEGLKATEEARDEDLIMSNPVLPQDLLSEAVPGNIRRAEHFIAFLQR FIQYLMTRMKVTHTISETTPSFLQHLRELVFIEAKPLKFCSERLTSLVRTLELMNIED YQPLQEVAMFATLVSTYDRGFLLILEPFESEAATVPNPVLHLACLDAAIAFRPVCERF SSIVVTSGTLTPLDMFPKMLNFTPVLQESYTMTLARRSFLPMIVTRGSDQSQISSSFQ TRNDPSNLRNYGTLLLDFAKIVPDGIVVFFPSYLYMESTLHVWSGMGILDMIWNYKLI LVETPDAQESSLALETYRTACCNGRGAILMSVARGKVAEGVDFDHQYGRAVICIGVPF QYTESRILRARLEFLRENYGIRENDFLSFDAMRHASQCLGRVIRGKDDYGIMVLADKR FARKRNQLPKWINQTILESEVNLSTDMAVATAKNFLRTMAQPFKAKDHEGISSWTPAQ LDEQIAKRKMEEERVERGLEPVAPPRNGSHGADGPQPDKDEFDDDLDEEMMMLDT TSTA_086990 MSSFARPVASELAAVDFSVYSSEDIKKISVKRIFNTPSLDSLHN PIPNSLYDPALGAWGDHVCTTCRASSWSCPGHPGHIELPVPIWNVTFFDQMYRLLRAK CDFCHRLRIARVEINAYACKLRLLQYGLVDQTAEIDKIGEYGQDAAVDADENGNVDPQ TKMELRNKFVRKCIREAQKQGDSGAFFGGAKNPVAAEQRRELIKEFFKELAKGKICKS CHYVSPGYRKDRYAKIFRKAMSTKDKVAMTMAGMTAPNPVIVLEEEKKLLNKPKEQDK QNGTAIREVTELHGAEEEVVRANAAISQGSIAGGQEGGQQFVSSPEVYAAICLLFEKE KEILNLVYSSRLGFKISPDMLFVRNILVPPNRFRPPAQQGGQIMEAQQNTPFTQILKT CDLINMISHSRQTAETEGTRMREYRDLLQAIVTLQEQVNTLIDSDRGPGGMAAARQAN GVKQLLEKKEGLFRKNMMGKRVNYAARSVISPDPSLETHEVGVPMVFAKKLTFPEPVT SFNFHELREAVINGPDKYPGASAIENESGQVVNLKFKTLDERTALANQLLAPSHAKMK GNRNKKVYRHLTTGDYVIMNRQPTLHKPSMMGHRARVLPNERVLRLPYPNTNSYNADY DGDEMNMHFPQNTIARAELSMITDADRQYISSTDGKPLRGLIQDHITVSTFLTSRDTF FEEDEYQQLLYSCLRPENANTVTDRIQLVEPAFIRPRRLWTGKQVISTVLKNIMPPNR RGLNLQGKSSTPGERWGKDNEEDSVIFKDGELLCGILDKKQIGASGGGFIDAIHEIYG NAIAGSLLSILGRLLTRFLNMRAFSCGIEDLRLTPEGDRKRVDILEKAATLGRNVSLK YVTLDQNPAADQDAELRRRLEDVLRDDQKQSGLDSVYNSQTRKLTSDIAASCLPHGLI KQFPWNQMQLMTTTGAKGSSVNANLISCNLGQQVLEGRRVPVMVSGKTLPSYRAFETH PQAGGYVCGRFLTGIKPQEYYFHTMAGREGLIDTAVKTAKSGYLQRCLIKGMEAVKVE YDSSVRDTANGGSVIQFIYGEDGLDVGKQVHLQNFSFLANNYVSTMAQLNMTQDFHAL EKPEVLQWHKDAMKQVRKTGRLDAKDPTLAVYQPGGHYGSVSEAFSLALKKYEERNPD KLLKDKKAGITGALSKKAFESVMHMKYLNSVIDPGDAVGIVAGQSIGSQTTQMTLNTF HLAGHSARNVTLGVPRLREIVMTASKKPMTPTMTVEVIEELSEKAGQSFAKGISRLSI AEVIDTLQVRESTTSGKGVKAKIYDIDMKFFDAKEYFEEYAITKRDLVRALQDEFIPK FIKKIRAELKKREDEKDLKGVSAAQPEIGVSIGTTEYFDGAVRETQAGGADNDDDAVD EDNEDDEEDAKRAQSKQNRDNQVSYEAPDDDEDRIRREQDESDMEDDDEDNGSKLRQE KISDDGESDSSDESNDEDGSADQARLDRQDARMRAEEITGKFDEISVFKFNSKDGNSC FIRLEYSVDTPKLLVLPLVEDAARRAVIQAVQDLGSCLYTPPDKEKNEPAKIDIEGVN LKAMRGYQDYINPHTIRTNSIHDMLIFYGVEAARSTIIREMSDVFSGHSITVDNRHLN LIGDVMTHSGGFKSYSRNGLIKESNSPFSKSSFETSVGFMRDAVLERDFDDLKSPSSR IVVGRLGNVGTGAFDILAPVA TSTA_087000 MADVSLKRRHPGDEELNAQKRTRSNNGPPMPSNNGSAPNGQVDI DRMLAEARAKAEAVRARINMARGDSASASPSPAPPATSTGTAMSRIEQMRARVAAATG KVGAATTQQRPSFTPSPVAPTSIEPPNRYDDDDLSKARGGLGVGLHPALLADTIQDQR GTKGRGAAQPKFATTMANRRSTTPVSKKPGLDLSGPSLEEIKQNPYYDDSLHATSKPR LSKQLVFNQKGKYIAQAAALRRQAQMEEMKKRIASMARQAGVDEELSLEKAFEVPAPP ALEWWDEGLVNGSDYSAIDDPKNIKVDTDDSLVTIYIQHPVLLDPPQEKLIPQQKPMY LTAKEQAKLRRQRRMADLKEQQAKIRLGLEPAPPPKVKKSNLMRVLGEEAVKDPTAVE ARVNREIAERRQKHESANEERKLTKEQRKEKLAAQQEKDAEKGIHVCVFKIDCLANGR HRFKISKNAEQMALTGVCVMHPKLNLLIVEGGAHSINQYKKLMLNRIDWTENSGPGPQ REGGNRESSASWLNPEDEKGELRDLGSNTCTLLWEGQQKTRAFRKWLGARVCETDSAA KDTLARAKMENFWVLAKTYKPAAF TSTA_087010 MEDQPDIQMQLGEGQIQPKTEQPEISVLSTTPLEPSSDFYNTPL TGQTPVYPANNTTSNSDEATIESETKPAPPTDGQNTENTQQNGDLANKVASTAEQNLG AHQNEEVPTTDAMETDQPAADQPTTTEEEHPEWEIDSSPYESSSDSSTDSSDDSDEDE EDYPILSAEETARILMQAEGGSDDEGDNKAGGGSQLRTANEQIEEAPPIPDIKVTEDM KIVPLGHLESHVENLILIKATVSGDYQVLESNSLLCLEDRTVIGVVADTLGRVEEPLY TVRYQDPTKIQELGLEKGKQIFYVESHSEFVFTQPLKGMKGSDASNFHDEEVGEDEIE FSDDEAEAEYKRRLKQKKKERKDGGKPRNAPGPSPLSRGELNYDDDGDRATEDGYTPL ARPKNYHEIMNHPEAHTESSSSYQNNRGNFRGRGRGRGGFDRGSGRGRGNDRRGRGNH HNNYSQDNSHRADYSRENSATPQYQNYSAPPAALPSVAFPAQQYSQYPSFPQPPQQPQ FPQFGQAQTAGLPQFPFRLPFQQTPYQQNTYQQNPYQSVPAGAHINPAFFAALQQQLQ QQQQQQQQQPQQPVAPPVPQNQSAFSQVQEQLDILRQLAASRNANPPQ TSTA_087020 MSSSEDEHSSDSHSESGSRKRTPSPFYLPLNVAFYTFLASNALA AAYAPIQDCDEIFNYWEPTHYLDHGYGLQTWEYSPEYSIRSWLYVSLHAVIGMITRIY SPHKRTQFYVIRAVLAFICAACETRLYSAISRTLNRRIGLLFLIITVFSPGFFHASTA FLPSTFTMYTSMLGLAAFLDRRGKNKIAEGIMWFGTGAIIGWPFSGALLLPLLAEEVF TSMFSGHFGHTFRQIVKGGIRCIVILGLEIGVDSLFFEKFAIVPWNIVAYNIFGGEGR GPNIFGTEPWTFYIRNLLLNFNIWFILAASIAPLFVLQSLFGRKAFSSSQTLLRSLAF VSPFYLWQTIFTVQPHKEERFMYPAYPFLALNAALALHLILAYIGTNKPGTLMGLIPG KVKFIAVAAFMLLSINAGLLRSVGMVTAYGAPLKVYDSLDTPGLAQEGDFLCLGKEWY RFPSSFFLPHSMRAKFVKSEFSGLLPGEFPDASGVQTLLAGISANPTGMNDRNEEDPS KYIDISQCMFLVDSYFPSRPSTSLEPDYIHNTKEWEILACHQFLDASETPTFGRVLWV PNLPFVPRRFKRQWGDYCLLRRRQNPS TSTA_087030 MSQYISTTSTQVQQFLYQNRGQIHDTVLSITIIASVIWVVYISL QTLRSRYIKTGATATRPSTPDVEKTRRVTRKFGEWTPSSFKRPDPAPYPDWDVKTTRP LPYRPFRYGPKYFVTMGLRNMKWDEWIELDNHYPRYHADKARRIKERGSKCCRTAPEA MDAAIELLEELASYLPARYPSLYRKTGVGIDNLLTGETFNILQRPLPEDPMATCARLV QDDLALMIEKPDGQYYLLAGAILLAGFWRLEDKFGMPLSEIHTSGDVPQYREKLEKGM MNFFRRLKPEDAVLRNNYFLQVDDQLAWSPSIGSEDEPGISWNTAQKNRAIEHHYFRS ERQSLRRLPRSGAVVFTIRTYFEPITEIVKEPYVAGRLASAIRSWGDDVSIYKGKEKY AEVLLEFLDKKHEEQLTNGLDLEKEDEVRAYPF TSTA_087040 MSCPAKQIDMRSNNIDDNSSDYGCEFTPDEEELLNDLLARVAAP AILTTNPPTTTTEETPSEIPQEFIKEVLDDLQPLSDPLIVSDIEDYEVPHAARFPRVL GREAWSPARKWGWQQKQNSTTIARKLWSSRAVDVHAEVVEREGSPEGRERDRERQRNR EKEWTAVENQERSDSQTESTSNNNNNNDEDNAVANHQEQSPIQRFRKPPNKAFSVSDL ISPAWCELQYWYTLTKHGRKRRTPAMMQGSAVHKVLEDEVHTTVPVDITTKEDGWALR IWNVVQGLRTLRQYGLTRELEVWGLVEGEIVTGIIDQLSYVCPDPKLEASAAQHYTEM EAARAALPEYQMSISDYFITSGAGKPLDEMWKQQPEEPVTNKDVDDDYGTDLDQSYLD VPRIYITDIKTRASRSVPTVKSTGFRPTHLQLQLYYHMLNRSVTSDDVTMEMIAKRYD LDPERTFSDAFIAEVGGLNEEYYDASSTLSSDPDYIPGGSSQDSVSILLSHNNLHSLW DLMKKHLRYTFLPTLHNQNIAPSIPAATQPASLALYPTVLSPVLTAKYLSSTKASEDG VPDELGSRSFLFDPSDLTSYTSDQLSWWRGERAPRGVEVFDAWKCRICDFREECDWRI SKEYEFATRRRRLSKTAMEMLGQV TSTA_087050 MAETVTPTRIPFWRKVIDQAGVTPEVANYTYPGSGTKEDPYIIS WIPNDPRDPMRFSKTAKWGITMLVAVSTLAVALASSAYSGGILQTMLAFGISQEVATL GISLFVLGFAIGPLVWAPMSELFGRQILFTVTYCLLTVFSAGCTGSKNPQTLIILRFF AGAFGSSPLTNAGGVIADMFSASERGLATTFFAVAPFLGPVLGPIIGGFLGEYAGWKW VVGFIAIFAGVVWIIGTLLIPETYAPVLLRRRAAKLHKMTGKVYVTHIDVQRGHVSIQ QALKASLLRPWILLLREPIVLLLSVYMAIIYGTLYMLFGAFPIVFEGTRHWSQGITGL SFLGVMVGMLFAVMYSIWDNKRYLREVEKQGGFAAPEARLPPCLVGCVALPVGLFWFA WTNYPSIHWIVCILAGVPFGFGMVLVFLGVMNYLIDAYTIFAASVLAANSVLRSLCGA AFPLFTTYMYHNLGIHWASSVPAFLALACVPFPFLFYKYGAQIREKCKYAAESIAFVR KMLQAAAAQDESTDGEKIEEPEFDRTEAPAPHDVSSESGDEEAEEEPARRMYSRASRA STRTATSSHRRFEYEGNPYDIDRVNTRDSDFSIIAPGKPVAMRRVVSDLLISAGRRPS NNLSSLRNRRTNGSNPSQQRRHNSNKSIDGPDSIPTKGSSGSTTSSTVSPPPTSATSA TSPPLSVSRSRRSAWELIKSGPIGRFGDWYTNTQHKRPYVTQLASSLIIYLAGDLKMK TTESVDGGERETVATAGYDPLRTLRHLTVGLVSSIPSYKWFMFLHHNFNYTSKFRSIF TKVAVQQAVFTPVFNTYFFSMQSLLAGATIEETWERLKLAVPNSIKNSVKLWPAVTAF SFMYIPPHFRSVFGGMIAVGWQTYLSWLNQKAAREVAAAEAAASSGVEISAVRTADDR ISQAA TSTA_087060 MARTKQTARKSTGGKAPRKQLASKAARKAAPSTGGVKKPHRYKP GTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKSDLRFQSSAIGALQESVEAYLV SLFEDTNLCAIHAKRVTIQSKDIQLARRLRGERS TSTA_087070 MTGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISAMIYEETRGVLKTFLEGVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G TSTA_087080 MNPENVPTYIKVRSKHANQVNQKYLLPKTLKEFNLPWTWDDSDR DYLIIKQYISQDLQDALFEHSRRLMERKERLHSIGRKVLDELTDDYERSDDDDSDTDD DLFGLRAALLVDLEDQIFLGPRQRKGGPYVSFSVLSPVITVENLRGDLFIAYLSSSSK EDLLMKPESDPSSSLVVTRSTNTQINPQASLSLSQSPSASVSIGLTRSSGLSVQYSVN TWYVSAHRVVNGEYTSVSIESTAQLGIGQESSKAKSTKEHQKTQSLASAEELPRYQWF WAGTHEDTRKLTPDLKHTVTRHVVVKRKLQLVGFPFSDTTNLETRTEQGLPNPEMQIH EQQTRSKPEATPETKSYDHLLQALQHHFTFKFSAQVRVKRRYGRVHRLLVLSGNEVKG KFLKPVYTVNFTLRPPSDWFDELIKDGCRRDSGSIDESTVMTDKTGTKQSVDIVGTED GPDGKELEKKVSDSEDNSDPFVFPLGPTVDVESMLEKLKDKVRISFWGDEIHANVTKN AGKWDRIQAKRLTDITADPPLMDIHEKKKAPEFYKKVESMKEIIKMRQQDMQEMDRER EESKIPDIPALDLDERRRVAKRVVR TSTA_087090 MLLYPRNKDLITYSQWPYPNDNTILQDHQLPPNNLYDHQQQLQS PFHSPRLQFVPSSSACPSPTFSSSSPAFSSAHSPATNFSGDFPVFDGTWSEAPSMSAV SSYNPAFHIPAAVPSPYPNAQYPYSSQFMAQSPMLAQSGISPNAHGSWDTEANVQLLS PAHAQKGLAGFHGAHKRAASGSSGKTGSKSPQATTAFTGQYQNPKKSLPTPVQTPTQN SFLAPAFQNYDPSTHNGDTAAAEQAMRQAVMEQHSHNNNNGNNSNSHPATTEDDTSFH YSLAPSVSSLSHHNSPVTPQTTYDEFDDGSKAVVHGEDRSPDFDRWMGEYLQFDTNPD FNNNNQTTMPMGVPKLNRTISDIYQDELYNTTVVPTTSSSSSQQMRKANSNNMVNAPY RNLFADRLNAANQGHLSARSQSPAGNSINRERSPFRQGSPLAADFRQQQQARLQISGL GSALQLPGTQQDEQGQIKTISPKDALLEYNEGPDELAHGLPALFPTTNDMSLSGSNMT SRRQSATSVFQPTLAYNSMETFPTQYATQAAGLQQPLNNFMQPQLQPQDLRHANNSLL QQQTPGFPASLPTMESTNSDGVMETSVKRPNDTSSDSGTYTCTYHGCTLRFETPAKLQ KHKREAHRQTMAGGNGDSNSSLALRNSQAGPHKCERINPSTGKPCNSIFSRPYDLTRH EDTIHNARKQKVRCHLCTEEKTFSRNDALTRHMRVVHPEVDWPGKQRRKGRD TSTA_087100 MSMKMADPTKISILGRESIVADYSIWGTYIVQDLLTNLSSTTYV LVTDTNLGSIYLEKFSKIFNEAAAALSPPPRLLTKEIPPGENSKSRQGKADIEDWMLQ QTCGRDTVIIALGGGVIGDLLGFVASTYMRGIRFVQVPTTLLAMVDSSIGGKTAIDTP LGKNLIGAIWQPQRIYIDIDFIDTLPEREFINGMAEVIKTAAISDEKEFAALERHADA ILNAARSKARKGRFDAVRQELKDHIVASARHKAYVVTADEREGGLRNLLNLGHSIGHA IEAILSPQVLHGECVAIGMVKELELARYLGILKPVAVSRMIKCLSKYGLPTSLKDQRV RKHTAGKHCPLDQLMANMALDKKNDGPKKKVVLLSAIGQTYEPKASVVSNEDIRAVLA PSIEVIPGVPKSLNVVCAPPGSKSISNRALVLAALGSGTVRIKNLLHSDDTEVMLNAL EHLGAATFAWEEEGEVLVVNGNGGKMQASPTELYLGNAGTASRFLTSVATLSGKGSVD FNILTGNNRMKQRPIGDLVDALTVNGAEIEYLEKAGSLPLKIAASGGFKGGRINLAAK VSSQYVSSLLMCAPYAKEPVVLKLVGGRPISLSYIEMTTAMMRSFGIDVQQSTTEEWT YHIPQGSYTNPAEYVIESDASSATYPLAIAAVTGTTCTVPNIGSASLQGDARFAVDVL RPMGCKVEQTATSTTVTGPADGVLRPLPNVDMEPMTDAFLGASVLAAIAQGEGSNHTT RIYGIANQRVKECNRIEAMRVELAKFGVVCREHPDGLEIDGIDRSTLRHPAGGVFCYD DHRVAFSFSILALVAPMPTLILEKECVGKTWPTYWDALKQKFGVRLEGKELDESVTTH HAPADRSNASVIIIGMRGAGKTTTGRWAAKVLNRKFIDLDVELEQTEGKSIPEIIKER GWQGFRDAELALFKRVLAERPTGHVLACGGGIVEIGEARKILTDYHKNKGNVLLVMRD IKRVMEFLNVDKTRPAYIEDMMSVWLRRKPWYHECSNVQYYSRHSSAPELALAVEDFG RFIQVVSGKIDYLAAIRKKRLSFFVSLTLPDLRDTGDLLRTVASGSDAVELRVDLLKD PSSDSAIPSAEYVAEQISFYRSKVALPIVFTVRTVSQGGKFPDDAHDAALELITLAIR SGCEFIDLEITFPEELLRKVTESKAHAKIIASHHDPQGKLNWANGSWIQYYNKALQYG DIIKLVGVAESLKDNTALKEFKDWAEQAHDVPVIAINMGDKGQLSRMLNGFLTPVSHP ALPFKAAPGQLSAAEIRKGLSIMGEIPAKKFAIFGKPILASRSPAMHNTLFEQNGLPH VYTRLETDQTQDVKEFIRSPDFGGASVTIPLKLDIIPLIDEVLNEAEIIGAVNTIIPV EGKDGTTRLIGRNTDWSGIVRCLREAGAHSNEGKSSALVIGGGGTARAAIYALHHMGF STVYVLGRSPEKIQNMASTFPTGFDIRVLENADDIETIPRVAVGTVPGDQPIEPNMRE ILCTIFKRSGQDPSADGASSVLLEMAYKPSVTPLMRLASDAGWKTIPGLEALVGQGVY QFEYWTGITPVYEVARNAVLGTNEK TSTA_087110 MGVPALFRWLSNKYPKIISPVIEEQPYEVNGEVIPVDTTKPNPN GEETDNLYLDMNGIVHPCTHPEGKPPPANEQEMMLEIFRYTDRVVNMVRPRKLLMIAV DGVAPRAKMNQQRSRRFRSAQEARENDQKKEEFQKLLARQNAAKGIDPDNNLEEQVIK KTWDSNVITPGTPFMDILSASLRYWISYKLNTDPAWEKLKIIISDATVPGEGEHKVMN FIRSQRQDPSHDPNTRHVLYGLDADLIMLGLATHEPHFRVLREDVFFQESKPRTCRLC GQTGHKAEECRGQAKEKNGEFDEKQKAAVLKPFIWLNVSVLREYLAIELQVPQQRFPF DLERALDDWVFMCFFVGNDFLPHLPSLDIKENAIDLLISIWRENIPLMDDYVTKDGIV NFKHAQLILTGLGKQEEAIFRRRRQAEERKAANEQRRKEEEKARNAERSQKRRRSSPD YTAGEPTIAAPPSKARKSGEGFIASTEVTLITPSRGHLDKETRQLTHSMVVNRSAIYK ANEANKSAAAALKSQLLKGKLAVNDEAESANSDGPSGEDTAQDESQGISPSVLGKRKV EDLADADNGTPGRDSPVPAGQSKPTDEMPPDTVRLWEPGYTERYYEQKFHVDPSNVEF RHQVARSYAEGLQWVLLYYMQGCPSWTWYYPYHYAPFASDFVDIGDMKPNFVKGKPFK PFEQLMGVLPASSNHAIPEIFHDLMSDPNSEIIDFYPEDFPVDLNGKKFAWQGVVLLP FIDEVRLLAAMEKKYPLLSADEHARNTVGSDVLLLSDQHPLYQELAMNFYSKKQVVPK FKLNMRVSEGLAGKVERDDNHIPHSSLNPPYDVDMPSLDENRSMMVNYEIPKSTHLHK SMLLRGVRFPPPTLDQSDISAVKAKSRYNGGGRGGYRGNNGGGRGGNQYNPPRPGMNE RANPFAAHLDPSFVPPPQGGWVPPVGGAGYSRGPPPPPRGGSYGYQNSSRGYNNSGYY GQDNSYQRGYDQYGYSAPPADYNQQGYYNGPRGGGSNRGDPRGYNSNQGGYQSQGRGG YNFRRGDGYDRY TSTA_087120 MFRILESQAPAKQTATDAISVLSNRLQSATLLEDRRAAVLGLRS FAKNYPASVASGSLRDLIASVRKDAEDVDTLKVVLETLLLLFSPDENSPEASEEIVLW LADEFTQRQDNITALLDLLETKDFYSRLYALQLISAICAARPDRTQECIFTAPLGISR LVNVLSDVREPVRNEALVLLIALTPSSAELQKLVAFENAFDRIFDLIEAEGSLSHGSE VVVDCLSLLANLLRLNVSNQTYFRETGCVKKLAALLTDVTNPPEPEEELPAWSTARRD KNLWGLLAIIQLFLVKGGILKTNQTAFWQAGIMEKVLLVAFSKDFDVPVIAKALETCA GLIRGNSSLQEKFADVEVTWSTESAATEATNGSLKLNNPVQINVIEALLKLTLEPAPV YALEARLAACECIQAFFAKHNGIRLHFLGRAIDGHMSGNDQIPNILTTLLETPESRTR VDPYGNWIASVLMFHLLFEDPEAKALALKVTEGNAEKGEEVVTCIQTIAGNLTTGLQR GDDERISVAYLMLLCGWLFEDPDAVNDFLGEGSIIQSLIREIKQSGVGNILVPGLSCV LLGIIYEFSTKDSPIPRETLHNLLNSGLGREQYIDKITKLREDPLVRDFEVLPRTGRG DHDGTLPGIFFDAVFIEFLKDHFSHFLRAIDREPGLEVPVMTNGVQKGISRELVDSLR AQVEQNSQTIQKLELDLLNLQQKLEQEQLDHRRTKESTSHEMARIKNINEGLQKNHEV EISRLEEYYKGLNDSLQKNHRMELSKLEEHHQQELSKLEDRHQQTISSLQKDHTYELS KLEGQHKQGQNDLLKQHDEQLRAIDSQLKDTTADYERNNARLRQRHEDEVAGFKKSIS DLERNLKASSKDHMQELEKIRDEHSTRYGDFENKLKQATKRASKAEKRAQAFEKELSD AREAAQKAEKRATEAETARKEAQSELEDLLIVFGDLETKRKQEKQRLKELGEEVSEDE DEEGDDEDEEEDDE TSTA_087130 MSIDFPAEEETVLKRWREIDAFRRQVELSRGRKPYTFYDGPPFA TGLPHYGHLLASTIKDIIPRYFSMTGHYCERRFGWDTHGVPIEHEIDKKLGMSGSEAV EKLGIAKYNEECRAIVMRYASEWRQTIERLGRWIDFDNPYRTLDATFMESVWWIFKQL ADKDMVYRGYRVMPYSTALNTPLSNFEASQNYKDVTDPAVVVSFPLVDDPNVCLLAWT TTPWTLPSNVGLCVHPDFEYVKVLDEASGKHYILMESLLRTLYKDPKKAKFKIVDRFK GSAMKGWRYEPLFDYFIDDFKDCGFRVCNDTYVTSDAGTGIVHQAPAFGEDDYRVAVE HGVISDKVLPPNPVDETGNFTFEVRDFVGQHVKAADRVIIKHLKGTGRLIVDGQITHS YPFCWRSDTPLIYRAVPAWFVKVGPVIPTMLKGIEDSHWVPSFVKEKRFANWIQNARD WNIARNRYWGTPIPLWVSDDFKEVVAIGSVSELKELSGYEGELTDLHRDKIDHITIPS KQGKGQLRRVPEVFDCWFESGSMPYASVHYPFERKDTFQDAFPAQFIAEGLDQTRGWF YTLSVLGCHLFGKLPYQNVIVNGIVLAEDGKKMSKRLKNYPDPTLIMDRYGSDALRLY LINSPVVRAEPLRFKEAGVKEIISKVLLPLWNSYKFFEGQVALLKKIENIDYCFDPKA EVTNTNVMDRWILASCQSLLKFVNQEMSAYRLYTVVPRLLELIDNTTNWYIRFNRRRL KGENGVDDTQHALNTLFEVLYTLVRGLAPFIPFITDTIYLRLLPHIPESLRGEDDRSV HFQPYPQVREELFDEVIERRVARMQRVIELGRVSRERRTLALRQPLKTLVVIHQDQQY LDDVKSLESYIVEELNVRDLILSLDEEKYNVQYSVSADWPTLGKKLKKDAQKVKKFLP SLTSDDVKKFVAEKRIVVDGIELAEEDLVVKRGIKEDETSQNMETNSDSDVLTILDVN IYPELADEGLGREIISRVQRLRKKAGLQTTDDVKMEYKVLSDPDNVGLDRVFKTQSAA FEKVLRRPIDHHVITHVAGEIPKEEEPGLIMEEEQEVQKATFLLRLLKL TSTA_087140 MSNKVRPLEKLAQAASQCSVEAVAYGKCVVADYNAVQKDMCAKE FMRLKNCFLVRLRFVNGENFLGTDHQIRRLRKRND TSTA_087150 MSLVSAQRPTILDRDRALSDQDVGLLYQIITRAEQNPDVERLPY RALFAAYDEVIAEHGGPEADPDQVCMHFLFKMGTGGLANQSLFERFENVLQRMGIVLS FDDTGPEELSRYAQYLSVQEVQGEPQFTDRIGDDTTQRSHRKRRASFNSMYDVGEDAT QRYGNRPSSRSSMSRLDPGKPDFMEIDDRPRKNKPTRQDPATSLDKNQLLAQFLEMGR RLMGGLDPTRGLHNDNNPVQTSVNGHLSSSSHSVSQGHSDILRQMDGNHSPQTNQNFY SDDIGVIHDANPKPSLSDMLRDASSFSTYRRRATARNILIHWLTQAVRSQQRNRDMEA VAVNQDRATLLRQALDLWRAELEKKRQNSRTKRFFRHLENRAAKARDLYLMTKAFSHW AQLTSDELSKASAAREHILSIKYFNAWREITAVNVMKAERFAIQKPLDGWRRKIQQIR TLDDHAIHAHEYNRKRKYLMMLLWNFYYEHRAPEWSDFRLMKGAFLSWIRALRRQRER EAEIDHGLRQELLHATLKTWSYRLRSVEAAQLDADMGYRKKLLGDQMEVWRAQTRLQP AAIQIATKIDSRVLKTTLIQWRLRTESVQHAKEADRLRVLRNTWTTWNDHLRCYALKE RIDERLKKEAIYRWILAERYRLAQRIRDQRIQREAFAQFIFTVRDTSKELLQRENQYF RHYNEDLARSALDCWRNKLAVQRQREYAAMEFYVPRIEQEALTIWNSRHQHLQNLDKW SRDAQFYFITTKFIKLWHNAALDASKKRRQEAYVKIRRKVKMNIAASALRVWYDKSRR MTNLHNQANELMQTKLLIYSTELVSRWREKTAKITQDTQDAEVYYHRQLAYHQLSRWM DECEIYRGLEEQAEVLDLIRISGVVSAQLRKLSLRIFQIRSAAEMAESLHDRISRKHH RNMLRHWSAKVRTLRSEREAFVPSDIDIETHEPKTPGSAIVAESAEDTLNMSELGSLP QFRLASTTPIATPGYLSSPSRRAARARMLSQMSTTPATPLFTPFATRLRAALDGDRYG SENKSRIRRGSMGTIVRFAIEEPESPTEGRKSSTRRE TSTA_087160 MRAVLPGRPQSKLRALSTALWDGLRIVAYISGHALVILTGAQTL LQTIYIDNSNYLETIAIDESSGQIAVSSGPDVYIYRPNGFKGESLKWSLAITFRAKDD DEKVNTLSWGSSEELLVANSCLSVWFLKDDPRLMWKKKLAGPTKFAEFSPDAELVATT GKYDRLVKLWRRLSFGADAVRFEVFYLPHPATVTGIHWRKPRHREQSMDNVLYTICAD NKIRVWTVSDHQDLSAMHLWTEIDMNTSIQPRDNSQNLSTRRYGFIIDSRDFAAATER AVQQNTEKNNLALEHLVDVATKNPEICAVIDDQGHMCAWALENIGSKPKSATDVFNIL HIEGVQFSFPKGAPLHEDYAQFYTFGASTAIDSLSILVHCFDGRIEWFDSQIDALFDP TPRKHRLKSQGIWSGHTEPIKKIVRNASGRVLVSRTDDNNAMIWRQKMMRGPHSILAT PISLSSQEHIHRTCVIEEGSFLVNLHHKRISLWDIRVSPAVKIGSCEFTSTSKPLCVL TVPKSEPSDPNVYVAMIGADMKGIAWELELPNKECSNPTDKQSVSLQQYCTFDMGLDT EVAYILAVDPAGQMIRHSGFLDLFAADMALSYTEKGTIHTWAAKVDKTNRKIDWLLTS TVETGIQAPSLASGSAIRKAALVDQGRTHLTIWDTSGAQLEFEERFPDHDMIRDLDWT STPDEQSILAVGFSHKVVLLSQLRYDYLDAGPSWTAVREIRIRDLTPHPIGDSCWSGS GNLVVGAGNQLFVYDPAVEVDNHLISKLRIPVREGSDVKLWEIVSRLNGPLPVYHPQF LAQCILSGKINLAHLILMSLHKKLKFYTEGDEIDTLLGIPVEEFYRNDDELRNSEWKE MQVSHGYIEDEPRVLDETVAVILNENLTRFSLPQLSSQEQFRLVDTIECVAMVEKHRR SMDANAARYLLFFRQHMLRRSQGVAHKNTVSWREIVWAFYSESQDILADLVSRQFGGK LLWKAARESGIFMWLSDVTAVRAQLEIVARNEYTKTEEKNPVDCSLYYLALRKKNVLQ GLWRMATWNREQGATQRLLANNFQEVRWRTAALKNAYTLLGKRRFEYSAAFFLLADHL REAANVCINQIGDIQLAIAITRAYEGDDGPVLKEILEETVLVEAASEGNRWMACWAFW MLNRRSSAVRALISPVDTLIPPTPASPGSPGMISLQARSYLSNDPALVVLYRQIREKS LQTLKGATQIAPTDEWAFVLRNARLYDRMGCDLLALDLVAHWEFLRAPPRRVSTSAFS GKADTDYRKLLRRRSSLVIADLPVRLPPEMAPRGDEGATKPTKPQAKPTTFEEPDANS LLDSFGF TSTA_087170 MRYLTILSALYFTLLYSLPTRALTGGKAWVNFYKDCPNEILEIE EVTIIVSSPKQSAGNRAQSPSSPSASHSIGASSSSSVSIIPSSSAIPSASASSIKLLS ASTSSSSVLSPKSKFRSALAARNTEKSTIRSPFVNITQGQCEPVPIVTERHIDSSSVS VGTELLTVTPFQECNITVHEVPGCIDDPLLVAPVKNRKAESTCTPRNFGAFNDVWVRL DCSEIGTALNKFDTAQDSASKTRPLQRVF TSTA_087180 MAPRVHCVRHAQGEHNKGGDAYLIPDPRLTEAGIKECQDLEARF PYQSSIDLIVSSPLRRTLQTALYSFQPAIKRGVRVVAVAELQETSDVACDTGSDVADL KREFAERRLVPMPSSLDLSQVPENWNKKTGKWAPSSDALISRARAARQWLMQRPEKEV VVVCHGGFLHYFTQDWSGIKAEEHASAWENCDFRTYRFVDSSDDDATMLETDESRQAR GVAEQKIPSKEEQQNLYLQTMQTWEDRGFQNPLKLNEQFL TSTA_087190 MAEHHHLVHLQAGLFDPSHQFTAPPGITLTQEHYSNTTPDQLHA RLRDATILVLCYTRINAEALSEAVSPKLKFIAITAVGTDSVDLETCRRRGIRVSNCPG SNVESVSNHVMALYFAARRNVVRMDRATKDGTWLKVGSMLSNRMADRDGDWCLTCEEE VMGILGYGYVGKRVAKMAQALGMKVLIAGRKGEDSPSTSNDTDIQRIPFDEVLQKSTV LVIAVPRIPETMNMISTAEFAKISHKAVLINVSRGGIVDEVALLQALKYRSIHGAATD VFAIEPASVKTSPLLAEGVEELNLTTSPHVAWCADRTAKNYARMSPENVMNFLLGQGR FPETYPGGT TSTA_087220 MAVLRAQAIMKIIVVIGAGGNQGGSVARTFLNLPHWKVRAITRN PSSPAAKALEVLGAEVVQANLSDPDTLSQAFHGAHAIFLNTDFWATYRSFVATTTPKE KGPLDGEEFDPGKVAYQAEVSYGRNAAEAAATVPTLECLVYSALPAMTKSTGGKYKSH HADSKGAIADYIETELPDLAKKTSFIYLGAYNTNALLSPVLDPSDGKYKYFLPLSKAA RMPIINQKESTGLFVKALIEDEAPGTKLLAYDTNSYLTYEQIRDIWSRASGKEADFVT VTVQFMHEKFKTPMELIQSVPALEEYGYTGSMKVIEPGDLKTAVRTKSWEEWMMERDW KAILEA TSTA_087230 MSRKPHSGRAVPKTNDRRSDSGKARKRIVTPARREQNRLNQRAY RQRLRATQSPHGLQRESQRLSAQASEICWPSRSSCQVNGTTITREANFTSENSTISSL IRLEQDNQEKTNNSRPDEADMSNDLLLSSSDWSWTAHSDFQSLFENEISHDLLPTWPL TVGNSISCTVFEKEYERTGTYNHNAPSSHTGGTVISGESSAAAKGFIFCPDLATSPTD LPLEPNIPSESSSNDSNGIGQRNRQKDEFTVQGFDLGRVISAGIESLRHGSTSQVLPP SSRLPDPWMECIQFSRTHIIFACIRNAQSMGFKVEDIMVEKLAPSPFYQPLLSPTDDP NTILATITSPTTPAHLKPTLPQILYPHPAFMDIIPIPAFRAHAITLLATQPHMIDIHE LKKDVAVENGICYWSSLGSNRGKSLAAGDAQGQPWDMRSWEVAPWFMCKWRALFGGEE GETWKQSQWWQRARGEVVVSHSS TSTA_087250 MNYGTMTTTGNTSSGDNNTAADIDVNIANNNGISDRSAPNTSAQ SFTPRSLIVGLGIGTLIIFSNTYFGLQTGWMSLMTMPSSLIGFAVFKSLSKHLSFPFT PVENVLIQTVAGAVGTMPLGCGFVGVIPALEFLLKEGDDGKLGDGGTGEGGPLNVGFW KLVIWSLGVCLFGAIFAVPLRREVIIREKLKFPSGTATALMIRMLHGTSADEKSAEPQ QSRTLSTSSAAGRAVSDVPIKGDGRRDWRSKIRLLIGAFAVSAFYTLFSYFIPQVRDL PIFGLSLAQNWLWTLNPSPAYVGQGIIMGPSTSMHMLLGAVLGWAILSPIAKHKNWAP GPVSDWANGSKGWIIWVSLAIMLADSIINLGWLILRTSYGFLPWLKSKLQTIKRKGLK DAISERGHRAHVEYSALHSPAQAEELNIEEEEENEIEEPDAPSSELISNRTIAIFLPL TLVLNVICMHIAFGNIITPFLSTFATLLAVLLSIMGVRALGETDLNPVSGISKLTQLI FSLVTPSSLHTRRSAIVTNLLAGAVSEAGALQAGDMMQDLKTGHILGASPKAQFYGQV IGSVFGAVVSTAVYKMYVNVYTVPGDQFQIPAAFVWIFTARLVTGQGLPPMAWQVAMI FGAFFVGTTVLKIVSTVRRGAQGSPPPSWVAFVPGGIAVAVGMFNVPSFTLARAIGGV IAWWWARRNADNRIPLPPSSDQGHIVNAPSNNTRDEATTDEQDSTSSSPLISEPTKVR STTKAQPSPLDPSQEDTSSSTVVVLASGLILGEGVLSIANLVLASLGVPHL TSTA_087260 MSHAHLHRHAKRDFISDIESFFGVGGGNSSPDQQTVTAPVVYVT ASPTFTGAIGGYVTGTQPLDTPAESTSTAGKGNPVAQSTTHTTTIPHSDKTTTTTKAP ETTTHAPSTTSTTSTQSTQSTTSTTSLSDLLTTTTEPPQTTFLTSSSTQSQSSTTSST GTVLDQFPSPTASATTSAAASASNGLTGGAKAGIAIGVLFGVGVIAGLVLFWLHKQRM NREEAAAAAAAAENEKFTPSQPPPMTSAPPPQSIAAYSTSPTSQTAPQVSLRPITQFN PLFNQPAGANPYIAGAAGSAGAAAAGLQVNQPAERPYNGSAHVPPQSPRQDPFTDPVN PFDNGAQAPSPPLPPAKDASSPVRDLTPSPTGSTHNLPSPVTEEGPSPGSAEAGAVGA VAEAAVGAAAVAAVAAAKSEDKPAEPRTPSPEYVEGTGSRPQSPVVGGASVVSNVHRV QMDFTPSLADEMELRAGQLVRLLKSYDDGWTQCSSMDGSVKGIAPRTCLSARPLQPRR PPGSGGPNGPGPRGPPVMGPNGLNGRPMSPAGSGMGPPPQMRGAPPRFYNDGRPMTPT GSGMPQFPPPPGTPRSMSPGPGRGSIDVPRPLTPGGSRPASPAGGRSRSNSASVAQTG GRPPVHRGSQSPLAPPTGPLPGPPAQPVQTPTGPPAPPQVQVNGRPESPIERKPVPGQ Q TSTA_087270 MTGDWRVSCEFSKRLNWMTAITTACRNNSPSSTFAETQSQAVGY EKEAYDKATSEAEYDRLCQEAIDKLEAQSSNIAVVDDPRLRSDEQNESNAETVKIGAY QKCVHHADGLMSTIYRSKRSTDGTFVALKVTTPHQMGPPHDSKREARILRGTSSHKHI IPLLETFDLAGGRFILVFPFMRYNFAELLHKNVLTTLQVKAALRDLFRALDHVHALGI IHRDVKPSNILLDSPDGPAYLADFGISWKESDPGSEPAVKKIIDVGTTSYRPPEILFG STHYNTSLDMWAAGCVVAEAVDIRHRQLFDSGDLGSELALIRSIFTTLGTPNAQVWPE SEKLPDWGKFQFYEYPAKPWEEILEGASSEGRDLVSKLVCYESSSRMTAEQVLDCGFV ILNEANNIQALRHPFLSQKESQS TSTA_087280 MELSRQEYPALLTSLQPNQATAVLNDRIRLINKVNTDIADWLQE RRRVEELYVQGLRKLARRPQLDGGAALGIFQLPWNRITSATEALAASHEVLAAKIEED VERPLREYGSRNQELSAMPTIHSDLTHLAKGLEAAQKKLEKAKEKGAKGADKVAAAVA SVTQATQQWESQAPFVFEQLQAIDESRLNHLRDVLTQLQTHEVDQIERSRQTAESCLN VLLNIQTEDEIKTFAAKINGGREPVLTRNSSVAAERVTPAAIPSSEDLPPPPRFQDDA ASQHSNRSGRAARVPPPVPEPRHTPRMGGLRRLGTVMNRRKSVVLGSGTSIPTEKKSR PGFGFRRGDSSSNVHTQLPSTPPGRDTPSIAGESIGSPPPTASTANEYIQTEDTTTIT PIPETNETAATTNGLASNHADTAAANQIHVDSEGYSERPQLVDDITQAQREASGLEDT GLNLTIRDQPIQEDETQAQQALNETANALRMQGLQVGLRRNAGTIRGRRDVRNTAFIA PSTAAEILPPSLLSTSAATSPGGQLESPAPISATPSHEDRAMSDTTSIHSSHTLHTIA GPVSHPELHEPGLNASIIETVSSWFENSNVTKSFVVGELALAYNATPGSTTSEHVVRL DNFVLLEKVAANPHFVTEVSKTDDEKRGEYNVAVEKIARAIPTVAFKYQVHIAPPDLA SYSPVLFRPVWNIEEFQASVIVFYSVNPAFVDKPATGSLHLKNVMITVNLDLSPEDET TKQPREVVRATGAVMYPNTGALFRRKHSAVVWKIPELEVRVGSEEKLLARFTTSASWP RKGKVEAKFEHHTTDSTSRLGVSLAETAGPGTTDQAERDPFADDGSIPSQSASISTSW KPVHTLRKLAAGKYVAS TSTA_087290 MASNPAFRQSVRPSQPVKNDFENEELRVQVNTLRYELDNLKQER DLTELRHEKELRDLQLRADSDFRKAQTAEAASNRANQKSEALAKELKESQESALSEKA VFERRIRTLQDQNQSLQDEATEIEAQRADQERQFKRQITELDTLRITLAQTLDDVQRG MQNVQNSLHSANAQVSKLENDVATLEAENLRLRAEGSGAEELAVLKRELSEQVAHIKN LESTNREQAAELRHLRKVQKNVEVVEEQKKSLENQLQLMSNLEAEFGNVQIQNQVLED ERRSWTSMLESNSDFADFDSPEAVVKALVEERIEKLTLIDKLGSVEPQLLEKDEIIQG LESEKRHLKQEIEKLRSAAIAPGGALDSRAKLRLERQRALAVKEVEYLRAQLKTFDTE ELTMHEEGSHYDEQKNQQIEQLEKLVDEYRVELHKVHEALSALEKTSSQDEGTLPATF RGLKRPLSPADSDAESERISILTRKNRTLQESLSKSEQTAKVLRHELDATKSHLSSLQ EQSRTRILELRANPTSEAENIKMTTLRALQAENRDLLAQLRGENGKVTRVIPVSTLDS LKLEMAEMERTVAEKEKRMRRLKEIWTAKSSEFREAVASVLGYKLDFLPNGRVRVTSM FHLSPAYRHGSASASSSSGPGSMGNGEENSIIFDGENGTMKISGGPNSLFALEIKHLI KFWVEERKDIPCFLAAMTLEFYDKTTRAARV TSTA_087300 MGKDKSEKKEKKEKKEKKRSETDGVHKQKKEKKEKKLSEVVEKE LTAQVLEGLEKKKDVSEGAVVNGTIAEVTVKPIGALVPFANPLAEDKTAKKVFKTVKK AAVNKSLKRGVKEVVKALRKSPTPAANAPITDPVGVVILAADISPLDVISHIPVLCED HGIPYVFVTSRAELGAAGATKRPTSVVMVTPKSGKKSKKSDKKEEDGADEEDFSKVYA ELVKLALKEQEKVKI TSTA_087310 MAKAKKSGDTISSRLALVMKSGKVTMGQKSTLKSLRSGKAKLIL IAGNCPPLRKSELEYYAMLAKTPVHHFSGNNIELGTACGKLFRCSTMAVLDQGDSDIL SQEA TSTA_087320 MAPTKLINLIPERSAEDIAKLSNDASILKQLMGEHNRRIQSLRE PSPPRVEYYPRQRKEFHESTVSLECDSHTGCHARTGSAQDDGQASITTTFNGQQVSFA EDDDDEADSYDGDGDGDGNDDDDDDVEDDLSLSYEQLKELYRNCHSSPENRYSYLLNC SPYNHPQEKEQHATIGRITPATTNSHSIYFNSLQTLPTRTDGLVNMFLRAPGTFTFIL VSLLVIAIVLVEMLDIFCYRRRRRARDEEQNFKMRRRRLRTRGIRIPTVTVYDSPAVY GGEKTS TSTA_087330 MDLQGTPIGNSTGGTKAITKVTSDPASNISIQEGPGPVPNDSLA GESVRQGGAFRQNPNSEPLGVSGSKATFNNTNTSGATTLPSAPQAGARDSDRKERYPD ALGGQGNYPGTHLPESGYAGGSTQAKRDMGIGGHQHQYNTTEHAQAGGSGSQSNAGTA PSYVTPVVQNVGNTKPHGTNIKEGGFDSDPKKNASFNSDIGTNNDPGRLAEQKFQKYT AESGANAAYTNPTVDANQPYGVLGSDQRI TSTA_087340 MSSSNFHTTTQDLRKPESRVSKAHGGQTPADSDISVMKSVLDSG TNKQEQIERARNNLPLPDQPPVASDWNSADQRTVNVGSGRFESSASTGAGSSALRDPA TVDSSVRVDGEEYHKATEPGSSVGRQGQEGLDSLPKDARTR TSTA_087350 MPRDPLIGLVGKPSSGKSTTLNSLTDASSKVGYFTTIDPQRAIG YLQIDCACKRFNLTDKCRPNYGGCTGGRRSVPIELLDVAGLVPGAHEGKGLGNKFLDD LRHADALIHVVDVSGTTDAEGKETRGYDPSQDIVWLRSEIVRWVQGNLMEKWGSIKRK HVATKANPVETLQNQFSGYGSTPQTVARCLDRLNVKEPLEDWSNETIEKVVNAFVDEK FPTVYALNKIDHPDADKNISKIARMQDPNSIVLCSAISEVFLRRLAKQKYVKYVEGSE FVDTREDLIEMGDPDGGGLKEMDEKLKQRVENLKDMVLYRFGSTGVVQCLSRAAEVLG LVPVFPVRNIHTFASGSGSNAVFRDCVLVKKNSTVGDVARKVMGDVPIAYVEGAGGVR VSEDEIVAVGKHDVLSFRVGR TSTA_087360 MRRSLIPNPPRPSLFPLRAILQPASIYTPHSIRAQFSTSSSQQE RNQNQNQTSEPRSSRSSTIKGHKFQEWKGSSTSDHAVNRADKNDVTDPLVEGVSRGRA EKRENLGIADSTMSGATTERDLGRNAKRAKEKNPKSPEPIIGINDERGQVIELRWIMY TPCPMYD TSTA_087370 MLNRPLLPISALPAWARLNNIKFHDIGFEKLANGSGIVALTDKE YSAQQLQEGNKPEILITVPPDMVLSLDSVHEFAKSDPYLREVLEGCGDLGRTARGAIL IFLLCHITYSSDTQTRIGVTNPWSEYIKFLSSETLLPTLWSEDELVLLYGTSLKDAVD HKLAALEAEFDRLREATKSIAWCEREWWDEENGLTLDDWKVVDAMYRSRALDLPGSGH VMVPCVDMANHASGEETVALYETDGERNAVLQLRWGKKLRKGEEVTITYGDEKGASEM IFSYGFLESSVEDARQLFLPLDIPDDDPLKQTKKKICANKIAPGLRLAVEDGQIKWES DFIFWACVNEEDGLSVEMMQTNEGPVELKASWKGDTVIGQTVPETPPRNLTEVLSQDP QWDLFQLRAAVLVQQCLQSRLEMLGGELEMAFKTVEHDPDGTRTGVRTPVYEMIGRLR VGETGLLRRGVQALASEIEQLMSSETVQVFLKQQEQEEDFS TSTA_087380 MPGILPMKVIKMGSNSQSRIAQACDRCRSKKIRCDGIRPCCTQC KNVGFECKTSDKLSRRAFPRGYTESLEDRVRALEGEVRDLKNLLDERDEKIEVLSRIH SFGSPRQKTHSLQSSTPSPSSSSAAVCAQSRSPLSSEDVKPAIVEAEPVITVYNPTKP SSSSPFAGPSSVRAFSSTLTNKMEAQGVPSSSFSTKALTALPARNYQRKALSLQSPPR LVSDQLVNIYFQEWAPLYPVVHRSTILKAYDRYLADPSLLKDQPFTVIQLNLIFGIAA LSSMSRTNQDPKLFENNWYTPLEALSGDMSVPAIQCMVLAQMYFLTKGDYQSLLRYRA LSVGTIQSLGLNQSQQHLAHEPLLYETRKKVFWCQYMLDRFTAAVTGLPVMLRDEHIA TEIPADIDDENITEDGLLPGLPDERTRMSSALALIEASRILGKALEVLYPPALTSQIP LTKLHGLSEELETWNKGLPTHLKLIFIQDRPSTNVTGSRSPLLSLVYYLIRILIHRPA ACFGTSDVMGPALLTITDSSKHIIQILQLLDERRLSLSLAINRQEVAYLSGLGILWQD MNLQRGSKLIQESRNLLLDVKAQLESESSAAATEFRILSNIISGADSQRSLKIKKALQ TSQARSEPGCESKSAKEGQMSRRNTISNVTAAHKYTQEARQRLSPMEPPQPLNRPKSF DLSSGVNVDYYPLNADSLRSMSSTDVSKIALSSAEWECILSDLDHGSLNIFNGIYGGQ DSGEQPGSQAPVDEYSPHQTASAYAPIMQQHVRLSPQDTSSDWSACSSGDVPYAREGA PGYPSENGAITDDVLPFKDFDLPQSLQMVDAVKGIMIPSADEDYVDLGIFDGWERSLI A TSTA_087390 MTDTPGIFQPTTKSPSCTLCRKRKVKCDRKHPCSNCLKSNAECI VRESIPARHHKRRAEYALLSRLRHYENVLRRYGIDPGVIESEEVAGVDVDGGGGDGMQ RGMSRLKVSEANVRSNTVNGPGPMQPLRGQFVARGGKTLYLEKSIYSDVWGTLGNELE DSDYIPDESDTESANPSPKPENESYPLDNGYDILFSVSSSDTLTSLHPNPVQIFKLWQ IFLENVNPLTKIIHAPSLQQQMLNAIGDLGSMGKGMEALLFSIYSCALLSMTDEEMQK EFGQDKGLLQTRFRTATQKALANAGLLKTTDLVLLQAFCFYLVSCRSTYDQRTVWCLS GTAIRIAQQMGLHRDGSQLGLSVFETEMRRRVWWHIIYMDRSIARSFGFVSAPLPAYD THFPLNVNDSELHPNMREPPVERDDVGTDMIFCQLRQELSKWHQGQPQFVESMFPGKT TVQTPLRTDEEVRDEKAQRRQKAVDDFRNIVQEKVVRFCDPSIPLHILVSGSAYTIIS VIQLVVSRPFHLWVPDLKLPPLSQAENDELFVTCLDLIRHNEQLRNSEVLTRFRWHLD WHIPWPILLYIISELSKRSIVLEDTRQAWQCLDDLFLPYLGRLGPEARGPLHIVCLRL AAKAWNVNVLECRRLGIQTPPSPRMVEFLNKYSYSASKGRKGTPGREDLGLTVTTSQQ EQQRQSEQLYDYSTTNPDFAQHDSLPANTDTDNAQFHFMGTENLAEIFGEGQGMLSND TFIINWSDWDPFLQDIPPYGGSNF TSTA_087400 MAFTEVDQLAVNTIRVLAVDGTAKANSGHPGAPMGLAPTAHVLF HKFMNFNPKNPKWANRDRFVLSNGHGCMLQYALLHLFGYDLSIDDLKNFRQLDSKTPG HPEAHDTPGVEVTTGPLGQGFSNAVGLAIAQAHSAAVFNKPGYTLFDNYTYTIFGDGC AMEGVASEAASTAGHLKLGNLIALYDDNHISIDGDTKCAFTEDVLKRFEAYGWHTLEV KDGDHDLQGIEEAIRKAQQVKDKPSVIKITTTIGFGSKLQGTGGVHGNPLKADDAENV KKAFGFDPSQSFVVPQQVYDLYGKTAAAGAAKEQEWNNLLQKYASEYKSEHADLTRRL AGKLPEGWEKNLPTYKSTDAAIASRKLSETVLEKIYAAVPELLSGSADLTSSNNTRWK AAVDFQPPEYGIGDWSGRYLRYGVREHAMGAIMNGLAAYGTVIPAGGTFFNFVSYAAG AVRLSALSQVRVIWIATHDSIGLGEDGPTHQPIETLAHFRALPNLMVWRPADGNETSA AYYSALTSKHTPSIFALTRQNLPQLQNSSIENALKGGYVAVDAPDAAVIIVSTGSEVG IAIDAAQYLKDKHGVSARVVSIPCFEVFDTQSKEYRLSVLPDGIPILSVEAASTLGWE RYSHEQFGINRFGASGPYKKVYEKFEITPEGISKRALATIDFYKGVKPRSPINRAFQQ LI TSTA_087410 MSEITHPTIKDGWFSEASDMWPGQAMTLKVKEVLHHEKSQYQDV LVFESTDHGTVLVLDNVIQCTERDEFSYQEMITHLAMNSHPNPKKVLVIGGGDGGVLR EVVKHESVEEAILCDIDEAVIRVSKKYLPGMSIGFQHPNVKVHIGDGFKFLADYKNEF DVIITDSSDPEGPAESLFQKPYFELLNGALREGGVITTQAENQWLHLQLITDLKKSCK EVFPVAEYAYTTIPTYPSGQIGFMVCCKDATRNVKEPLRTWSREEEEKLCRYYNADIH RASFVLPNFARKALE TSTA_087420 MYPGCPTPQRGIAAYTISPNRQNPNAGALHAAIFNTFRRFRHQV LYFAPPFIIAYAAMNWAVEKNEYLNSKPGRLAAGVEE TSTA_087430 MASPNALLRWFALPIAGALAIDASMYDVKGGSRAVIFDRLTGVQ EKVVGEGTHFLIPWLQRSIIYDVRTKPRNISTTTGSKDLQMVSLTLRVLHRPEVPNLP KIYQSYGTDYDERVLPSIGNEVLKAIVAQFDAAELITQREAVSNRIRTDLTRRAEQFN IALEDVSITHMTFGKEFTRAVEQKQIAQQDAERARFIVERAEQERQANVIRAEGEAES AEIISKAVAKAGTGLIEIRRIDASKEIAATLASNPNVTYLPGGGEGKEGKGTSLLLGL RS TSTA_087440 MAGPTTKPGKSKGKPKPAKKQQQSVSTKKPSSRKEDTTTEDQID FSSAANSISSDVQQALLNVFKHALFSSAEDGEEDMRTQIQQLKTYLYNRDFESAFADA SPSLLRAYALRWSASRCLAYCGLFRGVLDFGFAFDGVQDGGGEEEVAVLCIGGGAGAE IVALAGAWRVLYDEDSANAVEGIERLSLNESVSEGNKRKRKLSITAIDIAEWSDVVHR LTTAIHSNVPGTKTCPTPLIQDRGSINISFQKLDILCLSEENLRSLLHRQRLISLMFT LNELFSTSISKTTQLLLRITDIASPGTMLMVVDSPGSYSTLSLSKSQVSDTQQQQRQY PMKFLLDHTLLNVAEASWEKIVSQNSRWFRKDVNNKLHYNVDLEGGQGFIKLEDMRFQ IHVYRRLAPSSNEIK TSTA_087450 MDYNPDDLDGVALHNRHPNSSFEFPPRSSSEAMHEYTPAPLPAF SFNPGNMHASTPSTSTVTAPRPIGHRRRPSELIGGEGPPSPRLMGTSPGNTADGEKAT LPPPLLSAAPRGPGRRGHAHRRSQAMSSMDLTALTLASPSTAPQTLTVGSAPTTPADN KHDFHGHMARPMSRSAIDLLPQPSPPGSPAKQLMFLRPGVAFHDKPRPVSMISTETSS SLSTVRPNHSRVSSAAPSNNNLEGSSSAETIKARPKTADASLLVSKLSKPSEHFGEMP FLRRSSVGRKSSETVDGSDAESIKEKHTSKKSKKKKQKKRKSGGKATLEEQKNRRNSL STERTLDISQDNSAEKWESASSLNSRSGAEDSNPCKGEECHNKKQKKVRTWAGAIFPL KNKRTHVKRPLSRRSPTPPPILTRTNSNLESIEVNFDEDNTVIIRTPTNPNIPKQTTQ DTEEESDKAFENAWKPRSFYEQGLENNTFSPVIDLDAALGPFNTPEMGASRIAGSAFS QATKRMYSGGRRGEFVGPEMRYHRRAESAPEMPPFDRSALIGFPRLGSDNAMANVDVF YEEEEDAFLAENQSPKVEDKDHADGEQVDAEVASVSDEESERDIAPSSSETLQAAQRP TSARELFQDDGLGIRVTDDAAVDDGPGDAVIEDEAVKESNRATSQHQVQNKMSVEIIE AEQWAQPRVPHHANSPDISPTMVAIEKRPCSSPLDLNSGLSQLTLPSRHASSSAFPSP DPSNMSFDGPRSATASSMTDHTTFNHSLHDQRQSSFEDVPSLSSSASTRTNPRGRFSS SFYLHSLSDRRESFNALPPRSSHSNSAKRSSLVSLSRLVGGSYGEKSKLSHEEKPPAD EAEKTRRKSNRVSRLMFWKSKDKQSDS TSTA_087460 MRWWTAQSEAVKCLNDDEIRNIRYAMRVSWQERVVQSIETQSTG GSPSGSSEHGICFTIPERTSGCKSLVERTVYNGLHCVSVVVNEKAVAGFTLHWLEVPV LIPNDDNTVNFSALASRFQPKFPVGLRLDCFPYVLEEGLHSARPYLWLGEPVPSFGPD TERATKTGTVWGTDRWGRNRPAQGGHQAYRTDAVCPAAEVKFSHEAPQESECGHGQQD SLGYKT TSTA_087470 MRNSTNNSRFKNKQIVAFQSRLASYKLTLVISLDFLTLKNTTKD LETTRALENKIENATSRFAGQMQGLQIGLQTFLEANATPTQNEPQLTDTQIELAKEQE SRILQAIEQQNIVLGYCYRACMAALRGTTQVTGNTYKYVSASDEVKMLVGDVGNVSGS AKHVYEDITAGGKSHVVVGNMQGEYMKDFFGK TSTA_087480 MGDTSDKKPSTTEEGDLEDVEQKYTECYGRLQAVSPGGSFETNY GLEICRLSALITHFNRWGTAMGFTKISTSSPSTEERSKRLSGNSAMEEESVFKDTATE EETRTQDPKTQIAKSTEGVQSLYAGLQRINVSRAPTKGLPETNNVSVTVYEKETFDIM LQTVHTQIHRLSDLFPSLKKEQGSLASDEVHAIKNARKDSILWLNEIVTSDDSFLREA LDQEIANNRDFYTDIEVKEKFHGQFGSKYAKGEQPSRPTTWKGIVAGGEANVQFGNIY GL TSTA_087490 MVLIASTGKPRVILGLMTYGPDPTTGARITSLDEYNKHLDYFQS QGYHEVDTARVYVGGKQEAFTREARWKERGLKIATKVYPTEPGLHKPETLRRLFETSL KELGTDQVDIFYLHAPDRSVPFAQTLEEVDKLHREGKFVELGLSNYTAFEVAEIVTTC TERGWVRPTIYQGMYNAITRSIETELIHALRRYGISLVIYNPLAGGLFSGKIKSKDIK PEEGRFSDVAISGPRYRERYFKDETFKALQIIENTASKNNLTPLEIAFRWLRHHSVLK WSDKGGDDGVILGVSGFEQLKNNLADLEKGPLPQEVVDALDEAWLVSKPTTAPYWHNE LKYTYDTQAALFKK TSTA_087500 MLLHIFSSVLFIAQAIALPQPANTSVNPNAITNTTCTAPGVTLN SHNINVAILGICGGIAGKIEQCQGAPTTTSGASGDARFNLQVETPGTTIIVTKGRWEG CVRAARAVCGDSPFSSTCIGGANDNKDNVLFQLVKQ TSTA_087510 MRNQTPTSSRSTPFRILIINPNTSTQMTESLKPIVQGLNYNDIQ FDYFTAPNKHVTLPDGRVIEPVHSINSGEDSIQSAHHCRPFVEPLIPKYDAFLVACYS AHPLVGMLRSTIKALENKARHSDSGTLHVFVEEEINPGKAKKYVTGIFEASVTSSLML ISSFHLLADWSHHKAQSQDTWGIVTTGAVWKEELTKAVGFMINGPEESEEKRRKLLNA EHTSPTPSPAPPSVPRFAGVETTGLTAVELHKTPPEEVRRRIIDATEKILKGSSHPVR AVCLGCAGMAGMEEAVRAGCIKAYGETEGENVHIVDGVVAGVGLLVNSCKSRPVLKNS TEIANGANPQNSNALFFNRPVSGRLPQNISDLHLGGEADFYKERRHHLEVLGFHALPE DKKAPIGAVEFEGVRGPHGTIPIRLFYPRAIISKAKDDGEDKQVVVLVYMHGGGYTVG SVDEFENGLRLLAEAADVISDHRCRVPPRSRTSFPDPIRRILRMIDWAQGPEGQSRGI NPNLVFGGGDSAGGNMTAALALRRQDQRLKNMAGQILLYPEARIPFDTPAATENNTGG SLYLVCNGIFSFADHYLPKSPREGAYPPSHRYVSPGMQKVEDLQANLPPVALFTCGWD PLRDVAVEYGSKLQEAGVQVNWHHYEHLTHGFLQFAPWSKERMDATMDVAKVLKKMAY S TSTA_087520 MPRYDQFDFLSVKPDIDCSREIITIQAGQCGNNVGSQFWQQLCL EHGINQDGNLEEFATEGGDRKDVFFYQSDDTRYIPRAILLDLEPRVINAIQTGPYRNI YNPENFFIDPQGSGAGNNWAAGYAQGEHVHEEIFDMIDREADGSDSLEGFMLLHSIAG GTGSGLGSYMLERMNDRFPKKLIHTYSVFPDGQAADVVVNPYNSLLTMRRLTQDADSV VVLDNGALSRIVADRMHVQEPSFQQTNQLVSTVMSASTTTLRYPGYMHNDLVGIIASL IPTPRAHFLVTSYTPFTSDNIEQAKTVRKTTVLDVMRRLLQPKNRMVSVTPSKSSCYI SILNIIQGEADPTDVHKSLLRIRERRLASFIPWGPASIQVALTKKSPYIQHTHRVSGL MLANHTSVATLFKRIVQQYDRLRKRNAFLDQYKKEAPFAEGLGEFDEAKAVVVDLIKE YEAAEKENYLNPDAGQKEVAA TSTA_087530 MAAVNGVDRNDFQPTGQLFRDHGITASTQQGAQQITRKPNDSTN QTPNSNNNNPNQADEGDAAAEHSWYRRIAEKYGTLELDNKGSVARDHLALERTFLAWL RTSLSFASIGIAVTQLFRLNSSVPCTNPGPTSQTSLSDNANNVLQNSIRSSPSLLEVL LAPPPSYSSSSPDTIDVIANTATTSGQYGNIGKPLGATFIGIAILILIIGFHRYFESQ YWIVRGKFPASRGSVALVAFVAAGLVIASFVVILVIAPTAREL TSTA_087540 MSTDAKPDLSKKLAELRASKTRRSPPTNQQDPTPVTPPLPAPMD LSSHTFSRPTRRILSPKDLETFTSSPAYTLILAFIFGLSDKVRGRAITDIQNERVPEN IEKILRIIDLLGSLVEKNPALDQGGSRFGNPAFRSLFDDNASQCPEWHREILGIENTE AVDEVSTYLIHSLGSRDRLDYGSGHELHFMMWLLCLYELRFISVADFPMLVFKTTYYL EPAGSHGVWGLDDYHFLPFLFGASQLVGHPYITPRAIHSSAVLDEEGDKYVYLDQVRW VDSVKTVKGLRWHSPMLDDISGAKSWLKVESGMKKMFVKEVLGKLPIMQHFLFGSLIA ASPEMGQQQSDESSSSSSEQQQTGHGHNHTHGDDFWGDCCGIKVPSTIAAGEEMRKRM GGGGLRPIPFD TSTA_087550 MGLSDRRVASSACPPKTGRPKVSLLMLSFPPVAHCRYLSAVIGH ITELGNSPPPSLASPLYKSFLYASHTSTTSPTTTQHIMPVRKPRCNFKECKNAAQRII GDCTFCNGHFCDKHRMLESHACSGLEDCKKESHARNADKLNSERTTVIKGV TSTA_087560 MPADEYSTISGGGKLKLKGVKDGRVDKTKKKKKKHHKPQEEGAA VSAGEETRAESTEAAAETGQEDVSKEVALGAQSDGRKLNEEISDSGEKRVVYKTEAER KYEEQRKKRLNDRLRREGVKTHKERVEELNRYLSTLSEHHDMPKIGPG TSTA_087570 MPFHRPALNNAHSQQPLLKEDGGPRRESNTFAESQDRLLGRPHM SRRSTFRSRTPDIEDRNLTRRKYVLASGFLLLSLISFVIQTETAVYIQHELHWDKPYC MLYLTHGSWSLLWPVQLLVLRIQKRKLSWDAFWRRHVFLLRTTAQMIERQDLHLTTRD DHRSPVPYMLKTTAFITTALTIAGGSWYVAVNMTTASDLTAIYNCSAFFAYAFSVPLL KDKLRFDKIFSVLVAIIGVLVVAYGDSGQEAGESKGSADNRMLGNIVIGVGSVLYGLY EVLYKKLACPPEGTSPGRSMIFANTVGSLIGTFTLLVLWFPLPILHWTGLETFRWPTG EAAWMLLISVLANATFSGSFLILISLTSPVLSSVAALLTIFLVAIVDWLRTGKPLSAA ALSGGVLIIVAFLLLSYSTYRELDEERKRRLIEDGPDSDSDD TSTA_087580 MTDAPIVLDGGTGFLKVGYAAQNFPEHQFPSIVGRPILRSEEQG ESDIVLKDIMCGDEAAAARSMLQISYPMENGIVKKWDDMQHLWNYTFYDKMKIDPTGR KILLTEPPMNPLKNRQTMAEVMLEGYGFGGVYVAIQAVLALYAQGLSSGVVVDSGDGV THIVPVFESTVLNHHIRRLDVAGRDVTRNLIALLLRRGYALNRTADFETVRQIKEKLC YVSYDLELDQRLSEDTTVLVESYTLPDGRVIRVGSERFEAPECLFQPHLVDVDQAGIA ELLFNTIQGTDMDVRSSLYKAIVLSGGSSMYPGLPSRLEKELKQLWLTRVLQGNPERL NKFKVRIEDPPRRRHMVFLGGAVLANLIADKEDMWVTKAEWEEQGVRALDKLGPRQ TSTA_087590 MATQIGWYGLGSMGLAMATNLQRHLSSTQALSLLYSNRTLSRGD SLQQLGAVPQSNFKDLVSRSNIIFTMVSNDAVLESLINTAIESPGTSIASKIFIDCST VHPQTITSISSRLSSKSASFLCAPVFGGNPVATAGKLVFALAGSTPEVRHNIIKPLIQ DVMGRKVIDCGEDPAKSSLLKIAGNIVTVSLMETVGEAQVFAEKTGLGTEAMEELINE AFGPIAGGYSKRLTTGAYAPELNTRPGFGVSLAIKDANHALSIAQDKGVELPSVELAR DNMASARDYAGECLDSSSMYGILRQKAGLAFWNEKSRQGGGK TSTA_087600 MQSPDVKPEQPSAESIGALNHAVEHQMEPIDLPTHHHNGNMVAG TKRKINSASPRGVANLTPEQLARKRANDRQAQRAIRERTKAQIEALERRVHELTSQQP YQDIQNLVSEKERILKENQEIKRRLSTVMNILQPLVERDGLQIISPTNATLSSTTVPI TTNAGLTPTNALPIPAETTHPSPLTDRSHDTPISLEYHQLDHSWTDSVAGNLSPTNVE LQCAPRPATVSVMSVIPNPQLATQEFGEKLILNFLLDNPRHIPKIRDIPKLSAEHSHS PDSPKIDPSASSTTRAGVSAGPNDHRLANLIAINNLKPTCPLDSIFLDFLKNRRGELT SGTQQRLAYPSVSSLLNPAESSTYSYSISKVFSDILRTFPDISSLPEQVGTLYTMFQI MRWQIYPTQENYDRIPHWLTPRPAQLFNPHPAWMDYILWPKVRDRLSYAYQDYPFENW FVPYTRTISCNWPYEPTDCLLHNTDSDELLINPVFERHIRDLNNWSVGTEFAEAFPAL VDAVRIKADGRRSRPS TSTA_087610 MAEESPYIAPQPRHPNAAFDGLALDHSDSSTSLPADSHDITSHR SLLGRALASENASPNATPALPESIISPAITPPSTPGGTIIRTQQAIQVQPNDAGTHKY VHKGPKLMDRLPNVECIVRARIPTTTGAEMFLHLYQNDVDNKEHLAIVFGNHIRSRSL DRVQEGETEMDRMIRGAYVGRLHPGRVSSRFDDEDGVNGGEAADKLRKSLQAPLVRIH SECYTGETAWSARCDCGEQLDEAARLMSMASESPSAIGMNEDGPILENTALPSSISAP TSKNDGPGGVIIYLRQEGRGIGLGEKLKAYNLQDLGSDTVEANLLLRHPADARSYGLA TSMLVDLGLGADAYPEGIRLLTNNPDKVLAVEGPNREVRVKERVAMIPIAWRTGGQKG IRSREIEGYLQTKIGKMGHMIR TSTA_087620 MQLRSGAQLGGEQFDEELPATTATSAISKAEESDKHGSKAEEET EHASTHAQGVPDYVTVGVGEANKKKKRKAKKAKKNKQEAKVELENNNAPQPSALVPVP TNHQGYNLRKSTSKVDLDAVSKLETSQCDEESKDKDSKTSINQTKAAQVPNMSRNRPR GPPTNRDNGIAANEEIDMWNKIIQDIRKAKEKNDKQKVIAEQIAALNEKIAREGNKPL LAEINQLDSWYRQVLKLSEEEKAILLEEPSDVIKNLGLLTALRSASEAETTISRAASL PKSSKQSIARDGTASHASNSALLSDKLSRVKGSAQRSSSVSSSGHAKDGRDSVSVKLE EGVEAAKGAVAERSGQFFIGAEVVFKHSKNRQGVEGEGIQCIIKGISGEGYKKRYDVQ DPEPNENGEQGAVYKTTAASLIPIPQIGSALPSFPVGKQVLARYPDTTTFYRAEVMGS KKDVYRLKFEGEEDDKEMEVDRRFVLDIPSK TSTA_087630 MQVPLIRLQCGVNSYDWGKVGQQSAAAKYAAATAGSDFSIEEAK PYAELWMGTHPSLPSKDVETQRTLLDLVQDNQALMGPEVTQRYGGKLPFLFKVLSINK ALSIQAHPNKKLAEQLHARDPRNYPDDNHKPEMTIAITPFEGLCGFRPLSEIVHFLNR VKPLRSLVGQQAAAQFEEIVKGSENAQDEATVNRNKDALKAIFASLIESPQDKIEEAT KELVSEAQNSPNSFAINPSTETNPSGASELAELVVRLNGQFPNDIGLFVLFFLNFVKL APGEAMFLKADDIHAYISGDIIECMASSDNVVRAGFTPKFKDVSTLTSMLTYSYAPIE EQKMQPTEYPYATLNTVAYSSGSSTILYDPTAIEEFAVIKTDLNKKGAKATFDPIPGP SIVICTSGQGKISVGPAKIEEVKEGYVFFVGANAECVIESTTEDDSQQFTTFKAFCEL TGREDLPNGH TSTA_087640 MDPFSAEGELVNIHNAFHQGQYQTVIDFDTTSFSSENALPSRIL QLRAKIALKQTKDVLSEIEAETNNDDDVPDLAAVKALALQVAGNTEEALALAQKLAET KSENATVQVLVGTVLQAQGLTEEALALLGRHQGNLEAVALTVQIYLQTNRVDLAVKEV SAAKRWAQDSLLVNIAESWVGLRVGGEKYQSAFYVYEELASNPNTTAPLSIVGQAIAE LHLGRLPEAEAALTSALEKYSEDVELIANTIVLNVLTGKDTAELKSRLESLQPSHVLI TDLAEKSSFFDTAAAKYVPKVSS TSTA_087650 MTKKGIQEQHTDQSDIQDQSHQNAENHDNNIFDQTFTSEPPPYS SSIPNTAIPRQPLRTPTTSTTTTTSPTPSSTSATFPLIDFSKYSIPDSSLSKDGSTIS TYHPSFSTNPANLVKFIQEQAALPPLPYIHILGDDPTGLQRDFDIKINMLPMFMNQSF AGGGKQNQWNYVRLVADGELAYRGRSDAAISPAVKGGLDEWAKKFCKESSAVKSFTLT RQLSNWNTSYIEGQIRSLIASTGYNKPVTITFPVKYSKIVVYPPKSSSFNSFFTTLMS PLLDKKRYEVVRAVWPYASLPPGPETTNRVPVVQSEEMWWEEWRDVLRWAIVSKKCSG WVSVDDMLEFRMAPGNHS TSTA_087660 MNSTTSSSSGNNSVSSKLSTSSLARGPKLQNVLTSASFGIAANI NTAFSAASSVPSSAITSSTTTSTPTTATTTTSTSPTFAPRTSFSNASSPAGTPYMLAT DSSRGRYLQSTLASPAPLELDESLDNMMAVNSIPSVPPQPIDQVPITAKGTTGLMRRI SRGAANKLTRRRQSSTQRDKRERSSGPVIMRRRSDSKTHPLTGRESTLDWSFDDEDND LYEPFNSGWAGSEATSISSDLPGTILPREAGVVAPKVDSVLQRGTLLTKVTKKKKKQV RFFLDLEAAKVRWDLSNPSKRFYIDDIKQIHVGADARNYREEYQVSAEAESRWITIVL HDPDRSKGSSKFMHLITPDDYTLELWTATLEHVMRYRIGLMTGLTGSSQSESSLRNSW QREISRMHSNKLQPAETEFLDLPAIESVCQSLHINCSKDMLRAQFAKADVTGKGNLNY SEFKEFIAGLKERKDLKQLYKQLALSNPEEGLSLDEFLEFLRVVQREDIIRDRDYWSS IFERVVRRTRARANSLPEPSDTNLPRMNLDALTSFLQSEANGIYSSHAPESRFDRPLN EYFISSSHNTYLLGRQVAGASSTEAYITALQQGCRCVEIDCWDGSDGRPIVSHGRTLT TSVLFADCITVINRYAFISSDFPLILSLEVHCNPEQQFAMVKIMKETFGEQLILEPLL TNCAILPSPEELKNRILVKVKTCDEPQSSFLPEEVPTKSSFHGRKRSSSTPFLRSTGY ESLHGAAGIPLSSPPSIGPPLDTSMPMPVIAPGRRSGTTTSLSSATEDSDSALINANR EKKRRQRSKITKPLSDLGVYTRGYKWHSFAAPESKQYNHVYSFAERAYESVCRDAESK ALFEIHNRRFLTRVYPSAFRLKSSNFDPTSFWRSGVQMAALNWQTYDIGMQMNQAMFA AGTDRTGYVLKPEAFRRPASALDAFSEGKLATTEREIVRLSVDIISAQQLPRPRSMGP DDNINPYIEVEMYSADDRGQCVALGGGGQDVSARNGMSGLGLPHRRRTKIEASNGFSP VFNEKLWLAVETKYPDLVFIRWTVWSSPDGRTGGSSSAIQLASFTAKFSSLSQGYRYL PLYDDSGDQYLFSTLFCRITKEELVPVQRLDLDELKAERKGLLQQISQTVKRTRSKDR DRAMKTGADRVDSESIRSKDYSPFLRPVGSASPTIPPLNALS TSTA_087670 MPSYMRPIIAALAAVPYTAAQTFTKCNPLNTTCPPDPGLANYTF HTDFTTGDSAFDYWNTTSGSVNSTQQGAAFVINKQGDSPTIQTDFYFFFGHVDVRMKV ASGTGIISSIVFLSDDLDEIDWEGIGTYNYEIETNYFGKDNTTSYARATYPNVTTPCD EFHIYSIDWTHEQIQWFVDGDLKRTLAYEDALNGQNFPQTPMVLRLGIWAGGDPSAGE GTVEWAGGETDFSDAPFTMYVESVNITNYYPAESYTYGDTTGAYASIVKSNSSSTSNS SETASTKKNGTTTLDTNSASYTGSNTSSSAASANSSVATSTAGSVVAGLTMSPVAVMS AAVLYLFM TSTA_087680 MDAFTNIGNHMVSDSASTINADDGYSTVDPDESLLSLAGGARRR RHDDEDDGSDAFDDDELESLASVPVGGRPQKQKYEEEKELPPHACAYCGIHNPSSVVK CLTCSKWFCSARGNTSSSHIVNHLVRARHKEVQLHPSSSLGDTILECYNCGTKNAFLL GFIPAKSDTVVVLLCRQPCAAMPSSKDMNWDTSRWQPLIEDRSFLPWLVATPSDQEQL RARHLSPQMIAKLEEMWKDNSSATIADLEKANSVDDEPAPVLLRYDDAYQYQNVFGPL VKIEADYDRKLKESQSQDGLVVRWDLGLNNKHLASFVLPKLELGDVKLAVGDEMRLKY TGELRPKWEGVGYVIKIPNNQSDEVTIELRAKGDHKSVPTECTHNFTADYVWKATSFD RMQYAMKTFAVDEMSVSGYLFHRLLGHEVAAAPMKTQMPKKFSVPGLPELNGSQINAV KSVLQKPLSLIQGPPGTGKTVTSATIIYHLAKINGGQVLVCAPSNVAVDQLCERIHRT GLKTVRVTAKSREDVESPVRFLSLHEQVRMNDSNIELNKLNQLKSELGELSSQDEKKF KQLTRAAEREILTNADVICCTCVGAGDPRLAKFKFRTVLIDESTQSAEPECMIPLVLG CKQVVLVGDHQQLGPVIMNKKAAKAGLNQSLFERLVILGCSPIRLNVQYRMHPCLSEF PSNMFYEGSLQNGVSMAQRLRRDVDFPWPVAENPMMFWSNLGNEEISASGTSYLNRTE AANVEKIVTRFFKAGVQPSDIGIITPYEGQRSYVVSSMQATGTFKKEHYKEIEVASVD AFQGREKDFIVLSCVRSNDHQGIGFLSDPRRLNVALTRAKYGVVILGNPKVLSKHPLW NYLLLHFKERKCLVEGPLSNLQESLVQFSRPKQAYRGPQRFHMAYNHASSMASGMSNG RNGGRPEYHDTGSVVGYIPDDVSSVHSSAVGGVGIPSGYPPMFQNFAEAWPSLQGGRR ANGARNKGAPSVAGESVAATESDVTSSVIDGKGGQGGVSLTGLSINDMTKQPSLSQSD RLKRYVESGGRDGYKSGINDSGSIFGGSSASIRVPRGPGHTQDDDDARSVSTAFASQI GGNYD TSTA_087690 MIRRQARERRDYLYRKALLLRDASIAEKRAKLKAALASGKPLDP SIVNDSQLREDFKYDESLPSGSSKGQGKDDEFMDVDDEYALTSGLVDPRPLVTTSRSP SARLSTFAKEIRLLLPTSIRLNRGNLVLPDLVSSANAAALTDMVLLHEHRGTPTAITI SHLPHGPTASFSLHNVMLRADIPDSSRGTVSESYPHLIFEGFTTKLGKRVVQILKHLF PPREGGGKLGNRVVTFRNREDAIEVRHHVFVKTGYQDVELAEVGPRMTMRLFEIRGGT LEKNAGGDVEWALTQYTRTSRKKDYL TSTA_087700 MSYVSILYTLNPNVDRFKRHTVQRARDLNINIVAYDWFEDSLMS KAYRPKREGPYLQNLIWESAMTNTKGIDIGMGKIFSYRMYKLANVMLCLEKVLGEFQN EARAVVSDIEKLGYHLYIDKNTGVSYSATLIDIRLSNIVPAKKNSSLVLVCQTFSLLG PQNSHEVYEMNKEPHTYTTYVKYTRVGVASKDMLAPKGSSLDSTLFAFKRFFHVKTGV EWKDGFGNSKLSSGTKLDKEGKIHLEPPE TSTA_087710 MDSGLVDYSPHHPAEVKKLDSASNVILIDNYDSFTWNVYQYLAL EGATVTVHRNDQITLEELIEKKPTQLVVSPGPGHPETDSGVSRDAIKYFSGKIPVFGV CMGHQCIISVFGGKVDVTGEILHGKTSVLTHDSKGVYTGLPTSLNVTRYHSLAGTHPT LPDSLEVSSWANIDVGRNPIIMGCRHKEFATEGVQFHPESILTEHGRSMFRNFLKLRA GTWKENETYLSTVSTGTKGDKKTSILEKIYVHRKAAVAAQKLIPSQTPEDLQASYDLG IAPPQISFPARLRKSPYNLALMAEIKRASPSKGIIAASVCAPAQARKYAVAGASVISV LTEPEWFKGSLDDLRAVRQSLEGLPNRPAVLRKEFVFDEYQILEARLAGADTVLLIVK MLSVELLTRLYKYSQSLGMEPLVEVNTPEEMKIAVELGSQVIGVNNRDLTSFEVDLGT TSRLMDQVPETTIVCALSGISGPADVEAYKKDGVKAILVGEALMRAKNTMQFVSELLG GNAALQSSKSLPPLVKICGTRTPEAARVAIEAGADLIGIIQVEGRKRRVSDEVALQIS EVVKTTPRPSSSPTVDAEASIYFEHSAKLLRNPTRALLVGVFAGQPLSYIIEQQRKLG LDAVQLHGDEPVEWARLIPVPVIRKFSPGEPSLARQAYHALPLLDSGTGGSGELLDLS SVIKELKGNDGLRTILAGGLSPENVAEVIKSLGTSASKVVGVDVSSGVETNGAQDFEK IRAFISAAKGH TSTA_087720 MAPAPEAYFASLDKCFSGDAQLLSWKRVFLALASSDENSDVDYI TSFLSQPESLRLLSNCFIPFEPRSAKSKSEFESKTAAIHVDTSSQAAYRIDEIKSDAL WLSQKAGIDEVAALRITVQEWQNRPNSRLLGRFSEEEATSLQDAASVDSFRVSLAGPQ LKEVLKKVHGGSDTDFSSEKSRRIRLQNIYLSEKSHIVKTSRKLLCAFLHGKVPADAS HLPLTHDARQFVRTDSLSRLGEQIFKDRSGDAESTRFLLDAIKAIEKRLSDFQTEGGW LSSSESNIDTENAWRTSLIDEVVHIMQIIYLHIQACDVVTSGEVVLAWLRLMAEYSFM EPIIPPCEDPMVLRLSLQVLASVTTLGLLKLPESLALVLMNGSLSQDEALSKSKPYFL SRTHITEINEVLLNAVDMGVLSASPAAFAWGTILYAMREIAQAAKETREMEQFHSAVD SFQSNQPSIPVARSLEQTFYEDLLDIARVPAFGDDYVGVLTVGAIEKGQVFEVISNIA SSVGSVHAIDDLVTNLWIRDSLMGIIRVSSHVTDYSPELVTATLSILNASTNAPQANK GNYISQPSDPRFVFLHDDDLMSRIFHIARSRFPYESIPFLQLCRALTGKDQMNTSGPD RILNELDAMETFTQMVSPDFQGYQTIREDENANFVSLVQPIPMIASTTAIFNDPTGTE TALVASSLQLQPATTGQVVSESKPAVIMWYHNYSGITLLGSWLEEWANHGGHMPDADD GPIVEIIGLLIDLIAACQLTDHELGPKRILEIASEGLNERCDIISVILHILERSLEGA GAQTKAPESLDITVACMRFVRVVLAIIPSRIWPFLSRSSLLGPGGKGSRFSAIVSATE VTSGNYPFLLSCVDLFEAVVEDSISNAAIRKITTRTASKSADPLDRNAGVPSHVISKV LSNFARSMIEVYNSSGNWRFNQPEHRLQVEATLAKNFERIIYYTYGIDETDSQQSKIT AIFQETADYILDVLRPQSKEELPLNPVLRIILDGLRIPSSSVYLHHLQRFERQLIATL DLATRLVQAAQLSGSAVSLLEDQLFKASPILVKLYNSHDRLRLPVVTLLELLITKAAL DAENEPASFLGHLGSESTCLFLDVLAQFDKPLRDMTLQVSIWRLLSAFVSKRQQWVAV YLLTGSSPRDSLKLKNSGEKTPRMRNTPFLKTALDSLANIDLLDPMVSLSLLEFVSCS QEHWPWATPQLGNHSSFFSSIVNYVSHLKIESEPVMSQINMIQIAAITANLCAIYLHS AKQAQDRTFVTMLVPLVFWYAQNAVDVAGYNASLHANLKRNFEMKYAGCQLQQLKRTK LEIRPLGKSYYYDLYLADKLLSFDFSWKGKKDQGFASEFERANLNLSLVEAQVTLFNS WKFFAIEHATEFSPDREIQKSMALIVQNCLLANTRPTPEEPIFSKLQKSRVDFALSML QKLVEFDAKGSEVMGLLAAVWEAMRACGTTYENALIHDHTDYYRGLLNVLFLALQFHV GKSSSGAPGNDNTKTKPNLSPNLSIVLEVIKVVIAQGFRSLTTYLHDEPQRCSPKDFA IITAILQTALRVKDAERLYEHIVFHIEDNDTARYATTLFSWADQLTVEGDPVYGELSI IFLVELSAIPMLAEYLAVEAVLMKLSTSRLTRILSQTKAFGPFDPVPRLYAIWREGFL PLCLNLLFNVSRAAPEVAAFLNQFEGRLTRAAESFASTHASTISQNEKRISLSMASEA NSLSLISFILERYRAAGPSAGVDSQAIQELKWDKSQLKEDIEELLSRRASLRARMVAT TEKEAEWVRQRPIHAASGAENRLEEKIVDELRAALTCLSGNEET TSTA_087730 MGCGMSTEDKEGKARNEEIENQLKRAGESGKSTILKQMKLINEG GYSRDERESFKEIIYSNTVQSMRVILEAMESLELPLEDPRAEYHVQTIFMQPSQIEGD SLPPEVGNAIQALWRDAGVQECFRRSREYQLNDSAKYYFDSIERIAQPDYLPSDQDVL RSRVKTTGITETTFIIGDLTYRMFDVGGQRSERKKWIHCFENVTTILFLVAISEYDQL LFEDETVNRMQEALTLFDSICNSRWFIKTSIILFLNKIDRFKEKLPVSPMKNYFPDYE GGADYAAACDYILNRFVSLNQAEQKQIYTHFTCATDTNQIRFVMAAVNDIIIQENLRL CGLI TSTA_087740 MTRTILQSHPIHSDSSPETDTYTIDIINLNNLLTRLEQNIFLSS SAEHRLLQQSHFQCARIGANIDYAQTLIKKIERSLPSVKAPAIRHERTTDLAKKRGRY EKIKERFDWIKEDVERRVDREEGDVYQEEDNDDDIWEGLRPVEKGAATGTETELEPEV SNNIISSEETTILRQRRHDKTLSPTTTPSTTAAIASGTSTSPLPKLTPTTTDHNKETT LSTHRAEQESLTTSLLTLASQLKASSESFQTSLENEKGILNRAVEGLDRNMTGMEAAG KRMGVLRRMSEGRGWWGRIMMYAWIFGLWIVALGIVYLGPKLRF TSTA_087750 MLQPEEAFEALVAEGDALKIYSEADDTIPSSPTTKSISPPSTAV KLRRYVNKIEKSIDGLSRRIKVVNQGSLTLAKLGDLHRESFAKVRDIATRKNQKTTKR QVKASGALYVKDANRLIKRRHDGDLLKIYKSHVVGVPQPMEEVASTEPQNSGFFFDTQ GDR TSTA_087760 MSFKKHDRQYDIVVLGATGYSGLLTAEHIAVNLPSSLKWAVAGR SSDKLQKVVSRCKELNSHRIQPAIETYHLNHEEVAQLAKRAFCLITTVGPYALHGEYA FKACAEAGTHYIDCTPEVPWTLEMIKKYEATAKESGACMIPQCAMESAPSDILTWVVA EEIRSKFSSQVGDVVMDLYRLTSIPSGGTLATILNLFGHYPLKALRQSIEPYALSPVP NSNARPKRSRLSSLTGVYKIPGLGLLSTSISGKANEAIVFRTWGLFKQEPGLQKEFYG PKFTYREFMYAPGFVRGMLTHYFIVMGGYLLLLAPIRSLIRQFVFKPGDGPDIQKAKE EVIELRAVSKPDSEAEKDEQVMGKLLYKGSMYYLTATFLAEAAATVLEEDDSSRLTGG IYTPACLGQRYVNRLKAVGVQISTEVQKL TSTA_087770 MTTRTMKQWVLHGKSGIDALKLEETGIPQPGENEVLIKIHTVSL NFRDLMIATGTYYWKQSDAVVPVSDGAGEVISIGAKVKRFIPGQRVMPTFFQGYISGT LSQQHMDSALGALPDGVLREYAVFNEDSLVHIPSNLSYEEASTLPCAALTAWNCLYGS RPLQPGDTVLTQGTGGVSIFALQFAVAAGAETIATTSSETKEQRLKQLGAHHVINYRK DPEWGTTVRKLSLNQQGANYVVEIGGPGTLQQSSKAAAVGGEVAIVGRRSVDAGSKEV AAWNPHAVVHSTRRIAVGSRLQFEDMNRAIEVNKIRPVIDRVFAFEDAKKAFKYVWNQ EHIGKVVIRVAGDISS TSTA_087780 MHIVSAREEKLTRKEEIIKERDSKKQIILAEEDAMTQSQQSRGD EEDEDMHETASEGTKVEESTRHNSPTSTPPPQTATYSFNSIPNSPSAADILRPLSTVA AQRSFPLPTSGTLALLARGHHADGRRISQDFDESTSHTTSSGGLGSSSLTHDRLLQSD SAMDATTTATDRDDVRVSSAEAAAAAVRGFTALVWESPSRRMETPTTTESKPIRSVSA YSSSSPLSSPTPSLAEGSSDPVEEEEEDGDIRHHIFQSPFASIFPEGTNTITPLIIRR YDDINNNDNSNNTIDDAADADHRTIFKYKGPKMEERRGRGVCLSIDEVYPKMQCNASF RRGTWLALDPRVGVTSPLIK TSTA_087790 MSYEMNYLGARAFNHEQSSQAEEEYDRLRSLARQEANKRNNCFE RSKQAYEDGDGARAKQLSEEGKEHGRRMQEYNKQASEFIFRENNASGRVPEDTIDLHG QFVEEAEDILEERIKYARQHGQTHLHVIVGRGNHSTNHVQKIKPRVEQVCRELGLQYA TEENAGRIYINLAGGPAEMPLSQPHQPYQQQPHYGGGGGYPGQQQPHHQGQPQQGKQD DIEAIVEKVLPRVLRKLGDCCIVM TSTA_087800 MTSEANPHHYSQSLQSPTAIPPRTSSNGVVNGIPTSPRQAAPLS ESEWMSSSKRKPLSTTSKHGRNRSSMDWTKAKEGLWTQEKESIIMGPYDYISKQPGKD IRKQMIAAFNAWLKVPESSLAIITKVVAMLHNASLLIDDVEDNSVLRRGIPVAHNIFG TAQTINSANYVYFLALQEVRKLNSVTAMDIYTEELLNLHKGQGMDLFWRDTLTCPTEE EYLEMVDNKTGGLFRLAVKLMQAESDTGRDCVPLVNVMGLIFQICDDYLNLSDKTYSK NKGLCEDLTEGKFSFPIIHSIRADPSNVQLINILKQKTKDEEVKLYAVNYMESTGSFA HTKKVVAQLRDRALKLIDEMDGVHDQAEAEDAPREGRFVREILEKIVELTLKDKEKEV TSTA_087810 MEALLTHSFDYLSSYEPNKIRKGLRQVEGLLAQICLLRSKQTSA EKRKSAIPLGQAPPAPKALNELSDDPAFREFFKLQEGFQWNVAIRLVTCLEHLLGRGT NGANDLLIISTLDLIQGVLLLHPPSRALFAREIYMNILLDLLDPINCPAIQSSTLLTL VTALLDQPANTRTFEALDGLLTVTSLFKMRATSREVKLKLVEFLYFYLMPEMPTIPTV AASAPNTAVLGLHRSPSKLSGAFAKSYSSPGDNSPVKRETRTTEEKQKLLGRYLNNVE DLVEDLKETAPFGSSVY TSTA_087820 MAKRIEQWEVERYWEIFSSLSNGQPRLNNSQAASVLRNSRLRDE QLEKVWDLADVDGDGELDFEEFCVAMRLIFDLVNGEYQEVPRALPDWLIPESKAHLVQ ASAALTGSQEQFERIEDEDDTPGLKDGFDWYMSPSDKAKYEEIYSANKNHRGEITFDS LQPLYDSLDVPDTDIRSAWNLVNPAASSSINKDATLAFLHILNFRHEGFRIPRTVPPS LRASFESNKIDYQVDHVRPAQKWGADGDTETTTGRKAKFGDTYLSRLGVKSSYRPQGT DFSNTIQDEEWEKVRLRRELAELEAKLEAANRASEERRSGGSSSSARNDGRPNWAIIK KEALQLLEYKERELREMREGTGRTKDGEDLVRLREDIKTVADQVDGLKDHLDRRNEVL ADLRRQIEDEKASR TSTA_087830 MSGVTTPTTLPESLEEATANSSAPSQQQSSPPTSPATKSDQEDM KMDDVDKETELEKPDGAVGKGDDDEDEDTEGMDMKARALSKLLQTSSVFVAIMSDKMR AQQQQQEAARKAAAKKQAAQAKEAPSTTGRRSTRLKTGDDAEKDAEEHANRTQSKKAP AKKGAKKNAANNKSISSYFKKADVEVNGDNPSVQEALAQAADELEAKPSALGEQEGLV ATEQPLLVTGGKMREYQLEGLEWMKSLWINGLCGILADEMGLGKTVQTISLIAFFKEN NISGPFLIAAPLSTVSNWVDEFQRWTPSIKTVLYHGSKPERAELRKQMKLKDQKDADF PVICTSYEICMNDRAFLSQFSWKYIVVDEGHRLKNMNCKLIKELLTYQSANRLLITGT PLQNNISELWSLLHFLLPEIFNDLDSFESWFDFSSVLDKNGQKDVIERRKRKLVTTMH AILKPFLLRRVKTDVETSLPKKREYILYAPLTSEQKELYREILSGTGRQYLENRAIER IETRSGRVSRSTSLKRGADDTGSMAPSNKSVKSTRSSTPSSISGRTRKGRQSYREIGD REFNAQLRKLENGIEDEEEKEDSLGETEQEEIERAKTIKLAKKEIGSKKLQNPVMQAR LACNSPHNFYWPWGDDSSTVDETLVTASGKMLLLDRLVPCLMQKGHKILIFSQFKTQL DLIQDWATQLRGWNCCRIDGGVSQIDRRAQIKAFNTDKNFKIFLLSTRAGGQGINLTA ADTVILFDSDWNPQQDLQAQDRAHRIGQTRPVIVYRLATKGTVEQTLLEKADSKRRLE RLVIQKGKFKSLLENNTNQNDVEDIRKALGEDEFEQFHIQSDDPESILSQHDLDILTD RSEEAYLRAERGLEESSGRAFQAVETKEDQGFMAELTVR TSTA_087840 MRLRETIRAPSRYEDECELSPGVSRRRLPRRRRGMAEERCIPYV DFNPNLPPAAFPTLDHPRPAGQGPPSFTQPEPSHVPSSSTSSSSSDDADNAGSVADRH HLDAFKLYCRDIPPEELDNFVASNGPQNPQYRRNMALLAREESSDLEISEDDDDQRWV VREEQMPEDPQWHDLPISLQIEIAENMLEHHMLSTVQLLLGLNQDDIEQLTCNLQRHN EDLEYENLVLEEMRAKQLEALMCLDNSDLKKHAVPARLVMASKLWNRAHRVIRKRSKW RYLLCQVGDLLLARKFLSKRGLSMIYAGEWDNQFVAVPSLSNTTGVPETMEWKGAREP PPDPINAAGNDGLLSNNTPRSSTAGRSNVQIAPPSTVTDQRGEQSTAEQGHDSRTLEN DQQASSNRHDRELFLPNQALVRLRIGPRNAARIHVEQTTQPFTPPRRHKGPSPSASPR PQSIVTEANSDDTIEEPPSEWNTSDELHNQYPMPVLRRIGSWSTDRTHPQPSEHSNST RSLSGSFDNSMHHGPSAVQPRAYQFNQPNTRDTHDPFTIDAAGSINSSPPRSSDLPSR MEGIVYENARAPSVRNTIPTPAASSPTCMTTTNRTVDKNQAGSLTVNPEMYLATPKSY RTVARDTQFQSNISAHQNSSFALIDEAQSKSPLYSMPPFSLKSHGEVTQTDTDELAAS NAMQVLRLLSPIKVAKGNTDEASEVVADQPKPVTSTKMANEVRQEVTDPLSMKQQRKS VKYTNPLFGFDSFEVYADNQAPQDVNTSHADPQGTVTTPSTNPFGSENSGVADSSKTQ VEISCANEPENVDANQAVARDVPSDHLSPISGNAPVETSPSPRKTRQSTNKEHLASIK RDPSAELKNAQPKRNKNTDSTTSPPQRATRGRGRPRKNKA TSTA_087850 MHISTAADTPMSSVQGPAMAQQNPIPQGPGALYVPVHDYHTSVP IQRQKALVPPFSLGDHETDNLIIRPPNEHIFEIGEFVPQGFFDRVDQSSEWMYSKRRE AQQILPFLYLGPASVARDRDFIRSEGITLLLSIRDKRSAIARLLSGTKVAEELGIQSD AIDVNNEQELISLYPNIIRGINQHISSGVSARSGMPPRVLVFCESGNGRSASVVIAYI MTMLNMDMPSAMWAVHHRRFCIDVDESMQTLLQSYQVILDAKREVANARLQEAYTSSS LAVQPPSHQNGQLARKRSFIEYDDDNAAISTAGSVNGHLVQPNAIRVEDNTEHLKQKP APFTERVA TSTA_087860 MSAVMGDGTDYPSPRSEGPTGPSTILIPAAESLSPSTKMTDPLS NLANVSPDATRPRLSVRRSRDPPKNESNQIFCDHPDCQSNPPTFRRPCEWNKHMDKHD RPYKCMEPGCDKIQGFTYSGGLLRHQREVHKKNATTKKALMCPYTDCNRSTGNGFTRQ ENLKEHLRRRHMHTEGGNSPELSVLTVADVEGLKSTFLDPTAGLKRKHDDTTDPEFNG VDAIDETNSVDLHNEVKRLRREVEEKDRRLEELEKIVAGLQQSMPHHGLSVVHVPGAD V TSTA_087870 MTGDEEHSLPELHTGALDTEQSPEQSHSRQIESKRTKLSVLIGS GIIQLPIWGFAMSYGVFQEYYFNNWTLKGDRTITGVIGTTANGVMYLSMPFLFALFTR HWARWRQVAAVCGTVIACASLFVSSYSTTVGQLVATQGVTSALGCALIYSPTTLSLGE WYTTSNRAVAYGIVLSCKNIVGTSCPFIIRALIDAYGYQTTLKAWTAIMGGTSIIAIL MIPTHPSKTSIHRTRARKIPWHFLKHRSIYFYSIAIIFQSSGYGIPQSYLSTYARDVT LLSQTSGTLMLALFNAPGIIASSFFGWLSDNKKIHLSAQTVSAIPPVCCALFTFFFWG LTTPGNIGLLLVFSMTFGFFSSGYSATWGGMLKQMERESAERNEAVDPGMLYGLLNGV RGIGYASGGFAGVGLLNAGAISASPRFGYGTAYGPLIVFTGLSSLLGGWVVLWRWNPK RLVPLILRA TSTA_087880 MTDLANAEYTLYSSPFSLYSMMARHTIQLGRTTHSAKPPKDITL KFVNRGKEENLSEYYLTKVNPKGQVPAMTGNVLKQPLTDSISISLYLAENHYPDLLPD EHAAVIKDLLQRFHSVYGLSFSNKNPTPEMIQHNPSPVEDFLKRTDISPEYRKALEAK LKFHNENNGIAFHPDVVAKARADLRTIFAEIIELRRQSGALKTPAEWIFGNKVGPTVL DSHMLPLVLRCIEVGNAELVPQELQLWAKTNEKSPTWEKVMHGRPTRYDPSMGPVEDM HDMMSL TSTA_087890 MPDIGGLAKNGSENELQRAASHRAVDYHSSFLDLTDDTESRPVS PSNRLEPDTCDYPFLTYTGNAEPPCQSSLIHVTIEKCIARAAPVSEGSHNAQRPISFH VPRHALEHVGKWALCSRIPEHQEYQKYRILWIDGGGSHPNHIHESLPTASELSRAHMV CIFFKCTPDLGHKDVKTSQENMSGQEVITVALLYGIIVQLTSLLPENIKEDSELHCIQ LAALDGSLGSAPVALSAIRVLLDHAVANPIAFIIDGLPFAEGPSTNSFLRELVEMLRH RDNDPCTLLLFSTEGESEVLSETIKLHERLRV TSTA_087900 MSNASSSVSEDFTKCLPKIELHAHLSGSITRQCLHEIWLCKKAQ DPSFAVEDPWVLMPPGKINYGLDIFFDVFSKSIYNLVNDAETILYTTKSVLNDFRADG VRYLELRTTPREIRDEYGHVLISKDEYVNIVLKGIREFDHEQRKDNKPMDTMSIYLIL SIDRGHDTTSSAEEVVNIAIRHRNNIAYPSNPTIVGIDLCGNPLKGAVSIFRSAFQRA KEHHLGTTIHFAETIYSNENVSQELETLLSFEPDRLGHVIHVPDEIKEKIAAKKIALE LCMSCNVHAKMIHGGGFEDHHFGYWWKRTECAIALCTDDVGFFCSPVSQEYLLASEHF GLGREELIALCERGVDSIFGGEEEKTRMRRLLTDFSKSNVQ TSTA_087910 MSSTSALPLTLKSISSTKLEELSKQRALFNQRKSEILEAANSVP ESDLRRKVQILLEGVTRLASRPEDAFDREDELDRQDGKKNIIPFPLAASTHSSDWKRS RDVNIRRFLFQSHYDKSISDEVLRGWIKSMESDLEFLSVNDMVTEWLSNFDTKPNDVK LPTVDDEKGDAKSDESAFEEVGRAAMHEQRAVWEQLVFSPADVDSQSVETYLERLFTG TKLSQQALRRLRERIITFGSQFRSEAKDSFNIELLKTVSRSLLGSDFLSPEKVMILKE FLRNDEVAQEVADVLNLRFAALDRWNWSPEGVPVEMRRQLNGKYRVFMDEDLIDAILF HYIGLKWSVEFREAFLQFFDSRAWKSNAKTIPKAKIDRRQYFTGQSTTNASVDNLRRN MYRGSYFMSQLPGSLDEGKRGYDDDDFGDDENTNTMRASAIETKHSLLHLLITESIIH NRLRGQFTVIRSDFKWFGPSLPHGTLLAVLKFFGVPQDWLDFFKKFLECPLKFVQDGP QPAVRIRKRGIPISHTLSDVFGEAILFCMDYAVNQHADGAFLYRLHDDFWFWGEEKTC RKAWRAMTDFTAVAGLEFNEEKTGTARIGRMINSQGQKQRQRHDEEAEDSSSSEEDLK EEDSESDTETFLDDAFDPLPRGDVRWGFLRLDPERGRFLIDQEQVDAHIEELRHQLSA CKSIFAWVQAWNSYFSRFFSNNFAKPSFAFGREHIDMAINTLSRIEREVFAHTTSTAS GNNGVVDHLRRVIRNRFGVDDLPDGFFYFPIELGGLELHNPFISLLAMREGITQMPQR IIEDVYLGEELDYEIAKKKWQVGNLGTSTYRNSISWKRDGSDPPESFYSFEEHTAHLE TTSHRLRAAYEELLRVPEEIEVGQSIDIRSGHMLPVEKKRGRFNRAELRKSKDRITSI WDDMLPYWKWVAEVYSAGMVQKYGGLSAVDRALMPLGVVKVLRQGKVRWQG TSTA_087920 MSVRRFVNKLAVDSEPGLTNAQLMLTNDDLRPVPPERRKWDWWN FVAFWIADSANINTWMIASSFIQNQTNPSLVALSWWQAWICVWIGYSISAILIVATGR IGAQYHISFPVASRASFGIWGSLWPIFNRAAMACVWYGVQAWIGGQCVTVMIEAIWPS YARIPDHLQGSGTTTKDFVSFFLFWLCSLPAIWFPVHQIRHLFTIKSIYTPIAMIAFF AWAIHRAHGIGPIIHQPTALHGSALAWAIVKGIMSSIANFATLIVNDPDFSRFSKKKT SSLWSQLFAIPIGFAITSFIGIIASSSSTVIYGETIWSPLTLLQRFLEGASSGERFGI FVIALGFALAQLGTNIAANSVSAGTDMTALLPRFINIRRGGYICAAVGLAMCPWKLLS TSNNFTTYLSAYTVFLSSIAAVIITDYYFVRKGYLELRELYSARKHSPYYFTFGFSWR GFCAYISGILINIVGFAGAVGAKVPIGAQYIYNVNYFAGFIVSGTIYYLLCWAFPIPA TSDTWNEVDVDVDHLTVAYGQEVGTDEESRSIPEEDTLAYNKKDKSQGYSTF TSTA_087930 MAWSNDTAVPSQEALLKLEKSTEDSDRQLAAAAQILKIDLSDIT SQLPEPSTFESTTRPAPKEEWVLRWLLKRLKTSTYRVHPQSYILLQKLLLRISRRAIA STFVEYKFAQLLKNIVDDLNNAVFMSFPEGIIRTSSDSETSETLEGSPVRSKKRKRGN ENADVDNDIVMHDAAYGEPQSERAILAYNQFSDAFYILMGLVNGQNNRGNVSQFHLQQ SLRLDPAVGAEILGKLIRLSAAMIVEYTKEKRSNLLRHLLKTTTAYFAMWDLRKSGVN GIDKRSINTAFSESCFLESLRLYRIVLSSGADSEDARFITHSIEKLIALHVVVPARSL FMERGGSGIDYSKGEDPDWSAVQPVTATFKPLFEDRSPVNIHCNSNGNGSVDQNSCVF PATWKSAELIPTFYDIVSRSVPRDSFRRQNTEASWLETVFVALAELAYSSTQKFSGNT VGFIPLLEQLFIVVRARKIKLSLHTLLTHASYTGLLKGEEDPKHVSWSLTTLLIDLGA DIFLPNSGFKDSGRLLDALFQSLHHIRSDMSNKETYELVKNEVVIPLIRAFATARDLP TFAGFWYEQLRWIETARKTGDKHFQKSTYSVWEDDNVLIVYQDTLKSTLSDTFLKSQI ENAISTVVAESGYISGSPDSYAYFVILEAGTRIWGHNGLPGLRIDLVTNLIEGLHKTL SSRKGDRQWLWRMWRVARNLMPIGLKSTFKIPNELADKFRREALVVANFSTGPTKLRS GWNGHRESFEACRFLLTASKDLYPKASSEQKDILHVIIKCLTGSFDSIIEAKLEGWNG RYQDIDGLPQQATAIIECLLQHPDLLSELGYEDLKCFVKFFWDLTSTIDQDWSQHQVP EITECVSFKQLWRVFLSYEYLRDSPSLALGVARALCELFMKIIESKKPQPVVLRYLPA IIQDLPGIPVRFGEVPLFKDTVEALVTKVDCPAELVPFMVSLIDGWCHESQRVGPKIL EWITSDIPLQNSWTDMRTIESFRSLVRTVFECEYKACKSLADTGKFLKRYYKLTTSLA ASAPTQLGLSVDGKDQPSTLIPVFVATSLRLLLEHKVELPEPKQLVNIARQRDKVFDL LRSRLDSCSGMLQRKSHIKAPILLATTHQMLEDFDGIAVERSMKIDKFMTGVEEDSLD MDGCIKALTQRWRIARAASSNDFDFNDSSLLKGVGLYRMHASEQTYLTRELAKKLKLI SNRERIEVIHDLRTTGFEGSEGASRLLIGGLAVSVLSEITEKDSAEAREVSSLCTAAT EVLQNSIEEIDRFSLAAECVDIILRLHPRGVTQFNIDNCLTAVTTTMSKIHSMRETDN PISIKSISTIYTRLCRILGTVVGLYRQQLGGRFHLLIPALQSLLKALFAPKQKRGKKT GLNLGIPHAVQFTRLLTSLCDPTVSAVSRPSRPAGNSSSNSLVDQTKKAKMIAGQHLR ILIESYAQNTLDAPLQPDIKTALAPGLYAILDAMPAESKRGLNAAMDISSRAIFKTLH EEYVRFGKWNSKG TSTA_087940 MAEANESKPTVPVARSTKPVSEALLNEKWDRAISSLIVRSSIGL GFGVVLSVLLFKRRAWPAWVGLGFGAGRAYEEADSAFRRGDGPVTSAVHQSRS TSTA_087950 MPLDEEGAKWSCEPCMRGHRSSKCQHFDRLMMKVPKAGRPLAKC PHPKGTCSCEKVYAFMVRIPKGSTCLCRPLYQVPASSATETPSVPATPRPPSLPPDPT SSISKTAAAGRVQKHTRKQSTFQNPSDNIIKALDSELMQAERKNDFGISEQPPTLDRS SAYTPVSGNSHTPSYNPSQKLPEKVAAESKPGGCCSAKIEPVTPAPEPQRSCCGDNAT SREEKEQFPSISKNPSTPAWNESFYSSQNLAWNTQLPNTDFTSQNNTYTTTNLTRPYY ANQPQSTQPAIPRTFQNLDAHYQLNISGPPMHQFYLNTATYPFNMSLPFVNDSNHDCS CGDSCQCLGCASHPFNETTRHHVQEMGYMMTVKEDEEKSGTSSPFAGLNSPPAMPSNI PANYNLPIPLNTQPSFTDDNTLPSTFDNTINSPTFAPNQFMQPSEYYTLEYPVGLNLC SDITGTCQCGNDCNCVGCITHSGHDGVVLDSMPPESQPMTSKPTPQQRFQDYYNQGSD IPRSMDRYSPSALSPPVVETPLV TSTA_087960 MTSETRADAASPVGTEHEAEPPRPTSSNAVPPKKLFGVPAPVKR IFDKFPLTTYEAEGIPGNVHTSGSPERNRLFVFIDPKQAAKGAPSFNPQCLRWQAYLK FVGIDFDLIPSNNHASPTGALPFLYAALPSGTKSPIPSNKLQKWAIEQVHCEEEQQLN IRFDVYASLIDHRIRNAWLHQLYLNALNFNQVARKRYINPATSSSAVQTALAVQLQQA ARDELLKYSDYIDVNVLEADADNAFEALSVLLGDNDYFFNRIQPGLFDANVFAYTHLI LDESMGWKYNQLAHSLSKYDNLLRHRRVLLQQYFA TSTA_087970 MSQPPTIQSTLAQDKNVTYIDTIQAYDQWADVYDTDGNFLQALD TIEMMSLLPNFWTQVKDRAEKTGRPDCKLVDLGCGTGRNTLQLLDIVSQDTQKEKIHI IGVDASNGMLDVARQRINDFQSPTTSIEVKLAPFDLLAAQSPINTQARELLQNADGII STLVLEHIPLDIYFSTAASILRPGAYFLVTNMHADMGRLSQAGFVDSVTGKKVRPSRS YAHDAGDILVEAARAGFEVLSLPSTVNTSTGSEIEGVLERKVTQDMVEMLGPRSKKYV GVTVWLGVCFRKV TSTA_087980 MVGHAIDRVTQDPDIPQEYFQEMLSWIACAERPLTLGELQTAMS TMPSSDSQVDPIDWNDWPDFETDLRTTFGSLVTLIRADGKTAETLQSGFSERKVKEYG EDEDEASVDADGASADSEELRVVDLPDFRSQ TSTA_087990 MKLTRWFKFEEDGRWYARLGLALDAYRLFDAACDEYSRTLELDP EDRRTRVYLAEGYSRQYKIAGLDGHAYGSWQGRGNLGRMWLCDFLSKDLPQCRTMIYG YNSKLSSHGIDTILDYGLELMEEIKKTGNTKENPKANSIISSSNDPFSSTRTALEGLY WPM TSTA_088000 MEEKLSYIGAAQCLARTPSASLRNDNASIHDELALLHGKFGNYS HNAAPFLPWHRYFIYVYEQALRENCGYQGSLPYWDWTLDYQDLSRAPVWNLIDGFGPS RDEYGSEGVAGGHCVVSGPFAGLMPTFFEGVRDPHCLSRGILDKETVRRVGNLTVRPT VLARLANTNGSYFDFLIELEHTSHLVIPYILQGDFSKITAPNEPRQSEYNGPSRNDSS VEGVLRDFLDSGDFLPMWPRVEDVMDTEGGLLCYQYDTTVWEESWVTI TSTA_088010 MHSYKAVLLTILALTHFTVAAPIAEPHDDAVTRVYSPEEVDLKA IGDTY TSTA_088020 MMNQQQYSQLVNDELDDGVSPKQSRTSNKTKILLLGAALVLLGF VAGLFFRVIITSPENVSMANACEECIPLVKQDVRHYPEFEAPPPKNGGQEPVWDSMIP NGVGYVSHSQLVPNTSMVGVFHQLHCLYLIRRAYYANPNLKPKRKTSTWESIALRTRD IALITYGKELYVQQIRA TSTA_088030 MARKGPGTDGPLQIALLESMSASTTRASEGQKIFSPIATFLNKY RSQTISLAPHLLRALTTLSDDLILVVQQHFNAYISNILTTSILPALSPSPSSSPTSNS LPPSLPPSHPPSGLEQLTYATITQYTPVKSTPTTHSKAPIKKPMPLTGFALCPSSPEA LLAFEAQKEIISTFFVNCQIERSSQWVSYRVVNVPVTAQP TSTA_088040 MRFFLVPISTKRAFIYCRPPKTVNTGYVDRATNKAAEIWANWEK SEKGWKKQLVNYGHVILQRIPYEEWGLKSIPPLNASREIQEAQQKQKMDVMYPKNAIK SEDVFGILRKLGTERQELHRRRMWWCIGIAPLTAPIAIIPLVPNIPFFYLVYRAWSHW RAWSGSKHLIHLLDLNLINPHAFPELENFYATRLSKNGVPAYQEKDNINNSNEHTNKD AETNTSTSKADAPTDERLLLEMKDGKELGEILETPAIAIEVERAVLQVGQKLKLEEEK RKEKKSH TSTA_088050 MAPDAREPPITSPEPDPLLPPKTSGTIRAQSSFPLTIESNDPPT QARSPPPVRTLPAWVRSFDIPDDDPNAASRLLPAEIDDALVAQHNHSPYQTLKPDRNR TRGIENEYGNFIPETRESRWKRFVRTAAYPREDFGAEEKRVSWEWLNSNLGDYSQPWG ASHDGSDAEQGRRAGTWSPKQRNLFERIQRHLLRSPMVPLMLRLTVWIFSLCALALGG SIRSLASHFHRDQGPSAAMAIIVDAVALVYLVYITYDEYASKPLGLRSPKAKMRLILL DLFFIVFDAANLSLAFVSLDDVQGTCTNAVINDIQDDRNDALCVRQKALASVLLVALI AWLLTFCISIFRLVERVSK TSTA_088060 MSLNPPSAIKISRHLIPAWRSIPNTSIQNKPLLIYHSAFERDVS ASQVSNYLKKVNVVVPQWQYSMYKQSHFHSTTHEVLAVVSGRARLCFGGEDNPERVEP VVEAGDVMIVPAGVAHRLLEDQSGGFTMVGSYPKGKNWDMCYGAGDENEDGIRNTISK LDWFDQDPIYGHDGPAVQKKLAKIKQLPML TSTA_088070 MSLTSAAFEAPVIPVRRSDRLKEYNSLTGMATPQKDDVQDTWTY LENGINSVMLKLEEGVDMKTYMGLYTAVHNFCTSQKAVGSSGGLQALRGAHLLGEELY NLLGQYLSKHLEDVYQDSETHIEEALLGFYIREWDRYTTAAKYINHLFRYLNRHWVKR EIDEGKKHIYDVYTLHLVKWREDFFKRVQERVMAAVLNLVEKQRNGETIEQSQIKSIV DSFVSLGLDENDSTKSTLEVYRFYFERPFIDATRVYYENESRQFVSENSVVEYMKKAE TRLEEEKARVGLYLHPDITKRLTETCLDVLVSAHSDLLRDEFQVLLDNDRQDDLARMY RLLSKIKDGLDPLRAKFERHVRNAGTAAVEKVASEGESFEPKMYVDALLQVHSRYQNL VNAAFAGESEFVRSLDNACREFVNRNSICKSNSSKSPELLARYTDSLLKKGSKATEES ELEEMLTQIMTVFKYIEDKDVFQKFYSKSLAKRLVHIISVSEDAETSMISKLKEACGF EYTNKLQRMFQDIQISKDLNASYKDWQEKVHDEEDRKKMVDPHYQVLGTGFWPLNAPT TEFIPPTEIVKTAERFQHFYFDKHSGRKLTWLWQLCRGEIKANYIKNAKVPYTFQVST YQMGILLLYNEHDSLDYDEIQKATKLANEILEPNITLLLKAKVLLANSEGSKPAPGVS FSLNYNFKHKKVKVNLNLTIKSEQKTEADDTHKTIEEDRKLLLQSAIVRIMKSRKKMK HVQLVQEVIQQVKARFPPKIPDIKKNIEALMEKDYIERLDNDELAYIA TSTA_088080 MAPSLDDIAIIGISCRFPGADSPSKLWENLISAKDVQSEIARFN SKGFYKPDGGPRKGLTNVRHAYLIEEGIDRFDNAFFNISPLEAEAMDPQQRILLEIAY ETVENAGIRLEDFQGSDTAVFTASIVNDYHASLLRDIDQTPKYQATGTSNAILANRIS YVFDLHGASLSIDTACSGTLVGLHQAIAALKAGESKMALVCGANLIISPDMFVHMSEL GFLSPSGRCRSFDASGDGYARGEGVAGILLKRLSDAQRDGDPIRAVIKATRLNQDGRT QGMTMPSGDAQRRNLEALYSRTELDPGSIQYVEAHGTGTAVGDPIEMGAIDAVFGKSH TERKLIVGSIKSNIGHLESTAALAGIIKTVLAMERGQIPPQMHFKKPNPKINWDRILV PTDSIAWPATATGVRRAGVNSFGFGGTNGHAILEYDPSLEKTSPVFKDRPYLFKVSAA NAASLQLTAASLATYVETVKPNLGDLCHTLLARRSTLRQSCYVVASSHEELIDKLRAG QSKLLTRSNKLVEKTAFIFTGQGAQWPEMGKQLLKCSPLFRTVIERCDETLANLPDGP SWSIIEELQKPKETSRLPKASFSQPICSALQIGLVEMWKSWGITPQAVVGHSSGEIGA AYAAGLLSLEDAIIIAYYRGLYLGENAPIKVEGQKKGAMCAIGLGQNECHSLLQKYSG RIALAAVNSPSSCTLSGDEDAIKEVVESCAKDGTFCRALRVDMAYHSHHMLPLAPAYE KAMRAVGVCSSVTSSQTCEMFSSVTGRRLSKAEVTPAYWKQNMVSTVHFSTAVSELVQ NFHPDAVIELGPHPALSGPARDTMASAGLSEILYFSSCYRGKPDLVALLESVGEMIPT SMPIDWKAVNALEIVENTHFKHQVGRVLTDLPKYQWDHSLVHWGESRLSLNVRNREFP RHELLGARIPTDIPLAPSWTNVLTSSEVNWLNEGVGSTPKTLSITIFALMALEAARQV QIANQVELPVVCLKDVNLKKALELGDGRIETLFHLHQTEEKFQWRFEIFCSAVGRIDN TSWTKCCTGTFSFSACMSQKESTSLQVVNDEELLRYIQSFDTVKIPPVEDFNISAQGA SGKFCKKIEGYECYPVHPILLAQLLNVPEMLMLSSDLPASYFVQSVGLIELPLGHGQL ETGSFETSLLNRSVNVGYASLKLSNQNATLMSIDRIQLQMEGLVMKQPPLQSKFFKRT VLPDITYMPRSSQMSVDSLIKLVTHKWPMCDFCLVDLAAGDITTITSSIRGFKPGERP QFRSLDVVGSQVPVLDSPRVRNLKEIENSRKFHLFVGPVKAMRPKLPPVLQNGFVCLR WEDEDDEIYLRNNFMEICPVTGLGSNNWVIARPQPPPNGVIQPNKLLIFATSHVAPVV SQEHTNCELVLLDDPQCLATWKGNVQSSSGIDPFDVIVFDSDEKSLLTKWSGADLLSW IRPLLQRIGSLLWVSEQHKSDPFQGVAASFVKTLKAEQPMLKAASVVFQDCGDQLFLA ETVFEIFDRLIHNDAEHELVVKEKVVHCVRYYPDDVLNATVGLLPPISTNLSLQSRSY SLMVTGRQKVTLISEKKGVDEHAPDGAVKVRIGLSVIDDADLAQFTTGYTITEECGLG HYFYGSVISSRDVQFPLGSKVVGWCSHAHKDTVDVPPDYLHIVPDSVPAADAVAQYAA ITTAVLLVHGVARARPDDSVDINITGIMGEALRIVCDKSNISVVSSDQAKSADFAISF DLKNGSMLNNRRANTMKFIHTSQLRELSNKIFSEWNGSTNKISVFTLRDHQKAFCDAI ENSPFTSVITHEKMDEIEDALVCSTRPFLFHSDGVYVLIGGLGGLGRHIALWMAEHGV RHIITMSRSGTESEEAQTAVQALQQFGVEVKALKIDATDIAAVEDALSQIRKASPIRG CINLAMILADAPISTMSPEQWDRAVQVKIRSTWNMHLATLEDKMDFFIMFSSISSIFG NRTQSNYATGNAFLNEMATYRHSLGLPATTIALSPVTGIGVLANNEELLRTFRVSGLE ASDGEALHKIMTAAILESSSQDNSVITVGFQMFETMDGQIQASPDQTQIYWKEYPEFS SLMDHKISDAGSSDKVSLREKLLQSQRPEGENFLLKEFMACLQNIMGQDQLSFDPELP LSQYGVDSLNAVAIRYWFFKELDLDVAVFDILGSKSIRKLVVRVYQKLRDEGSKNQAS VSLPQPKRLKDLKIRPLSSSQRRIWFLQNFLPDKTVYNLLLVCHIDGSVDPELLARTW TVFMQRHEVLHSRIVDTPDGLQQIPNEEFEFPLIVMDCLDHKFNENVKQLTTGAKSHI FNLEKGEVIRGWVLRSSEHARFFLASHHIAWDRSSVPVIFSETMAIYKSLLNGHDPQA SLQPVPYQFIDYTVWQEQFLSEKALVRPLIDFWKQTLDGVPEAVGLLPLSKIDKRPTM KQYNVGTLTRTLSTSLSSNIKEFCKRKGVTPFMFIAAALSSLVSRLNGEQDIVIGIPD GDRGHSAFDRVVGFAVNMLPIRSKIQTDASYDTILENYRNTCLRAYEHRAMPFDYLVQ ILEVPRRTSHTPVFQITVNYQIQGSFPEADYGSFKYIKYDHYNAKTQSDLSLEVEELS SGELLCTWEFDEDLYDVLGVSDLADMYRVFIEDIIEKDGQIKLEDVRITSDSDLAQIK SILQPGYENEPSLEDLNKSLFPELFRLAVSRYPDKLALADEQGCLTYRDLELQTNAIA NRLISSGTKVGDAIAICCEQNRELLIGIYGILKAGCGYVPIDPDFPVERIQSMIEDTG VQRVMVENTADAKSQRILACGICISHIFEIHATRTAVDADTSSPKLDRTITHLDPLCC IFTSGSTGRPKGVFLNHGQLRYQMEGYNKFIGVNSESRILLSSAVVFDLHLVAVYGTI LRGASVFVASREARYSPAKMVHTLVDQRISSCIFTPTQAKMIFSAPNKVKLLQWVDIK SFVLGGETIPPWFVREFYKLLPHAKLYNGYAPSETTVVNSLRLIPPEDSQRPSVPVQG PIFPSRFYILDSKMQPVPIGVPGELYIAGPNVCHRYLNRPEITARAFSEDQWAPETET TLGYGKIYRTGDMLRLSRDGTVDFLGRIGSDRQVKIRGMRTELEEIENAIVHAYDSAE DDEDIPKLGLVATVYHRIGDYDGVLTAYLTTADGSVVSEQEQQKFIGYLRLSLKSVLP PHMLPAAYVFVPDLPRTASGKVDYKTIVAWPVPSILTNNSAQPVHGNVLTETQLLIAS IWKELLAISGELNASDEFFALGGHSLLMVPLQQKIKEAFGVSLNLADMFAYPSISGFE ELILSNPGYRYGQGQAGTSKSKNTIDWAKETSLPEHTEWEITDYQFKSPLILVVTGAT GMTGAHFVHHTLTTTNNIVHCIAVPNNENVMDPKQGVLDAFVKWNLELPNTKAEYYER IVAYHGSLSDPLLGLSPAQWNFLDSQADAIYHLDSEVSLLKNYEDVRAGNVGAVKCLV DLARGKLSGRVKPLNHLSTWGVPHLQTWATTKLTSDEYLTGEEEMVNMTPGPESSLGY LKCRWVCESLLYLAAERGLPVRIYRSCMVATNPSSQRGLDRADINRRILESVLETGLI PDFNSGLGGGMSWIDLQFLIKSIDFLSRRPTDNSGRRAEIYNIVSDNHIRYNKELIRV LGTSYQGNKLRVVEPKEWAAELYKTGNPEMVMHAEVLESWYNAHWAPIPLKAEKTLQL LRDEAGLVPPKVDRQFVLEQIIGQPGF TSTA_088090 MATRKDPRCVPRNIAIIACIVVAEPLASTSLIPFVYFMVKGFGY EEAQIGSRVGIITSAFFIAQMIASPLWCLVSDRFGRRIILICGLLGTSLSIILFGFSQ SLAWAVATRSLGGFLNGNLPIARTYIGELAKQTGYDLSKVFSVFGFSLALGWVVGPVI GGTFANPVSNLGFYGPGNIFVSFPWLLPCLFSGIFSLCACVVTYCFLNETLERSLSED PGNPGLTDPLLAQTYDDSATERVYNAIGRSGSQNNMADTQDSIAGVESGNLVKSRISQ VAFLISTAFYFTHLILFDELFSLFAASSLRHGTGLSFRPRQIAKALSVAGPSMCTALL IFPWLKTKISFLSLYRLTAIIFVFIYPLFSLLPELGNITDGSQGEPGTSLWTCLLILM VVRYAVLAIGLTSLQVVVGFPCGGVANLRLLTKLLAS TSTA_088100 MAGSMPLKYMSKLAGSRVLILGGTSGIGFAVAEAALEHGATVII SSSRENKLQNALSRLREAYPDPTYHARINGYTCDLGTPENLDENIAKLLAQVTKNSPS ERADDQRDDPALLDHIIYTAGDAIKVTPVPDLTVDTIQRMGTVRFMGPLLLSKLAPRY MKKSSTSSITLTSGSQSLKPMPNWTAVVAYSTGTEGMMRGLAVDLAPIRVNCVAPGAV HTELFNDISADRLDSVLESMASATLVGKVGKPEDVAEAYLYAMKDGFYCFSGGLEVYT LLILCNLWRLEAKGISVFKSLVHS TSTA_088110 MLDSNPSVIQFGPAGQRNVPLILFHDGGGTTMSYHYLGDLNRTV YGIHDPRFIHGRPWRDGIPEMARTYANLVRSVVPRGQVILGGWSLGGYLALETAKVLE SADEHSHCIEIIGIIMLDSPYPNRVPNPPNTRLQPNRPFLEKTCPPELSMLVTRSMKR VGQMIDKWSIPSWWEDNGIQPPPIFLLRCTQMVPVQNSDASMTSSDYSSDTSNESEER VIVAVDRFRAHRLLGWELYHDTEFIKAVFDVPGHHFNLFAKENRDTTTMQLKQACKML ELPYYNNRQISRTQ TSTA_088120 MAYLQYLLLLLTSRVPSVWAQVLFHGTSVIISPFNGSDHPRDSS FHTANFYCYATPTGYFSFGWWLPAKPGTDTSRCSPDAGLDSIDWFEYTTSGGCGATTC KVHAVNYMLNNTRSPLIDVDYFAVSLDIANGEPHGQLALSYFDQPGGAYAVGSREILS GGISDHRQAKECELAFKTLSKIYAEYEAPLTFDLKDPCKKGLLHGLTASFSDHMKQSF LGWRKTR TSTA_088130 MTAAVQENYTQVQIPGDGGSSDHRRSSTTEVDLEKNGHPSVEHG NKENGLLDDSRPGAKAGLSVKQFWIVMLGLNVGMFLTALDFNIVATAVPIIASEFNSY LDSSWLGTGYLVSFALCLPVYGKCAEIFGRRNLFIFANLVFILGSGLCGGSSSMNMLI ASRIVQGIGGGGIYGLVTVIITADLVALRDAGKYLSFISLVWGIADVVGPLLGGVFSQ YVTWRWCFYINLCISPISLLITLFVLRLPRTPVNYAEKARKYDYIGTVAMIGATTMLL LGISWGGVNFPWKSGQVIGTLVGGVVLLILFGIFEHFVNDPIFPPIFFRNRSIMAIFV AEFFYGASLLGMMYYVPQFFQLVFGDSATIAGVGLLPLMLGLAIGNPVAGWVTSKWGI SLANAWVGAALEVLIIGLITRWNATTSRAEAVIELIILGIGLGAAMEGLLISAQASVE PMLIGVVTGLVIFMQTVGDIFGIAIFAAVYQNKLRSQLTHLAIPASQIETILEDVQQV RKLFSGDLRTEVIASYADSLQNGWWWMFACAGTLLIASAFAKQHKFTS TSTA_088140 MFDAVEFLPSVNSDSPTSSPTSSVLPSQSQLLELTRIFFQQHHP LLPCIHAQAILDRISDPQWAESSPLMWSILATVARSSEAIEILAQRKMWYERAMFLYE RSVVSNGDVLRNVQAAVWNVYLQFMEGDILQASLRLAQAYTLACMHGFNRIDDDRKPT HVKIPLAHAMEEEECRWTMWAFFLLDRHINYLHGVHFVVNDHMFYVNYPCNNLANSPS IEIADAELFSRDLELWSLTLPPGKSSGMPITRLFQKAVVLLGRIVEYENIVPIDADQK QRAAEYLKLQSTLVQFWIPVSTHLSNAFHDPYVTWLNIILHTCSILLNYPVSVCGKTD GLPSKFAQGSANHQGFLRAFRGVQAIVDLLKQLLTANQSLSTLLNPLLAPSYFLCCRF LVARWRQTHDESYRIQWGLVVDLLGRMADKGFHLARLFKDTVARDVQTGGPAGPLVHL GLEDGMVE TSTA_088150 MSSKPLSRERKPSIGVPVAELQAVGPGFSRPKHKRTFTGLGPVE SKLVEASIPEHLREVWKKYSATGFENKDEFEREFVRHVETTLARSLYNCDDLAAYSGT ALAFRDRLIIEWNKTQQRQTMVDQKRVYYLSLEFLMGRALDNAMLNVGKKETAKEGLE ELGFRIEDVINQEHDAALGNGGLGRLAACFLDSMATLNYPAWGYGLRYRYGIFKQEII DGYQVEIPDYWLDFNPWEFPRHDITVDIQFYGQSVRQENEDGSITYNWHGGEIVQAVA YDVPIPGYSTETTNNLRLWSSKASSGEFDFQKFNAGEYELAVSDQQRAETISAVLYPN DNLERGKELRLKQQYFWCAASLYDIVRRFKKTKRAWSEFPDQVAIQLNDTHPTLAIVE LQRILVDLEGLEWDEAWKIVVETFGYTNHTVLPEALEKWSVPLMQHLLPRHLQIIYDI NLFFLQQVERKFPNERELLARVSIIEESQPKMVRMAYLAIIGSHKVNGVAELHSDLIK STIFKDFVKIYGPDKFTNVTNGITPRRWLHQANPRLSKLIASKLGSYDFLTDLTLLDG IERYIDDKEFRTEWADIKTENKKRLAKHIKDTTGYTINPTSLFDVQVKRIHEYKRQQL NIFGVIHRYLKIKSMTPEERKKLVPRVSIFGGKAAPGYWMAKTIIHLINKVGQVVNND TDVGDLLKVIFIEDYNVSKAEIIVPASDISEHISTAGTEASGTSNMKFVLNGGLIIGT CDGANIEITREITESNIFLFGNLAEDVETLRETHRYKGFTLDEDLAKVFESIRSGTFG DPKAFESLIASITDHGDYYLVSDDFKSYIQTQALVDEDFLKQDEWIAKSITSVARMGF FSTDRVINEYAESIWNVEPLVVE TSTA_088160 MGGCVSSESNADLEQKKRSQMIDRKIEEDSRRLRRECKILLLGS GESGKSTIVKQMKIIHQNGYSVEELTMYRLTVYKNLLDCAKSLIEAYEHFDMEPTSQK VRDFITYISEYNIDPDPSIPLDPKVGDAVTFIWSDPCTASVMEHQSEFYLMDSAPYFF ENAKRIAAPDYIPNEADVLRARTKTTGIYETRFTMGQLSIHMFDVGGQRSERKKWIHC FENVTSIIFCVALSEYDQVLLEESNQNRMMESLVLFDSVVNSRWFMRTSIILFLNKVD LFRQKLGRSPLSNYFPDYSGGNDVNRAAKYLLWRFNQVNRAHLNLYPHLTQATDTTNI RLVFAAVKETILQNALKDSGIL TSTA_088170 MEEAHVVYDHFKEGEQLSIVSQESLARICILALWIHRSPQQRQK WNKVCKIINLSSKYIEYDVDIRWNSTFRILVIPIYYKLYDLLDEASERKERFLDLDED ISLAVKEGIKKYKKYYTFMDASDTYYTALILDPRVKGDLLLDELEDEATRREILKALH DNLHRDYSVATMGSSLTIGQSLLEYNTNYNDVESRLLKRLQPLNQPLLSDIDHYLISP QVNINN TSTA_088180 MQGIGGLSKHIADDDISCQVLQMLWDERADEHIHYRPFVDWPDV DPSFKDLIQRLTNLDPMKRLTARQALEHAISDLQNGRILRVAQAARIYSTPRATLQDR LNGTQQRSQVRANSHKLTQCEEESLVKWILDLDKRGLPPRHSLVREMAGYLLSQRGNQ QVGENWVYNLVKRRPEIESNFSRKYNYERAKCEDPKIIQEYFDRVREVISDYGILPED IYNFDETGFAMGLCAIAKVITGSDRYARPKLLQPVNSIGWALPSYIIFKAKKYTRLGW FEDLSDDWKINISDNEWMTDKIGLEWLKTHFIPLTDGHTLGKYRMLILDGHGSHLTAE FDLCMPPHSSHLLQPLDLIEQRMRLGFNHIDKIDFLTAFPKARTMAYKAQTVRNSITA TGLVPFNPDRVYQQLTVRLRTPTPPPSRSSDTQSSCLQTPQNARQFKRQMTTTKKRIS RHTRSSSEAIGEVFTWASKAYEMSINKLTIAQKELHDLRAAHKKEKQKRQRSKQQISY EQGITREEAQSLVQGQIEASQAVTTTPVEPELPVSHPPVRRQFRCSGCGVAGHKIT TSTA_088190 MNCPSRADPDAVANGWNQNPNPLALDTNADGNGIVNQRRRHRDD LSTGLTSDLSGSINPALELIRLDSKANNTIQVANQAGGNVSPSLASNDGHTGNRGWHY FKHVTHCAHTIRKFVKFIGPGFMVAVAYIDPGNYATDASAGASFRFQLLFMVLLSNII AIYLQSLCVKLGSVTGLNLAENIKEHCPRWLNYTLYFFAEAAIIATDIAEVIGTAIAL DMLGHIPLVAGCALSILDVLVLLLFYKPSGSTIGVRAFECFVILLVLAVAVCFCVELS LIHVPNVGEVFKGFLPSSTIVQSQGLVQACGILGATVMPHSLYLGSGIVQPRLREYDE AHGSFNAPRDNDNDVKYRPSVAAIRYCLSYSIAELVLSLFTVALFVNSAILIVCGASL YNMASANSASLFGIHDLLSNTLAPAAGTIFALGLLFSGTSAGIVCTIAGQIVSEGQLN WTVRPWIRRLVTRSISITPSIIIAAAVGQNGLTHALQGTQVALSIILPFTSAPLIWLT CRKAVMTVYDPSNITGVNMQNNLLTAILGSLIWLLIVVLNVALIVLTAIGVN TSTA_088200 MPPIRNKNSKNSVEQEGRILLAIYDLKNEKIHSIRKAAEIYNVS RSTLQNRLHGMAYRAETRANGHKLTQSEEESLINVDYLLDTLVRDMANCLLSQRGNQH VGENWVYNLVKRRPEIESKFSRKYNYERAKCEDPKIIQEYFDRVREVILEYGILPEDI YNFDETGFAMGLCATTKVITGSDRYARPKLLQPGDREWVTAIEAVNSIGWALPSYIIF KAKKYTRLGWFEDLPDDWKINISDNGWTTDKIGLEWLKTHFIPLIDGRTLGKYRMLIL DGHGSHLTAEFDRTCTENNIIPVCMPPHSSHLLQPLDVGCFAVLKRHYGQLVEQRMRL GFNHIDKLDFLTAFPKARTMAYKAQTVRNSFTATGLVPFNPDRVYQQLTVRLKTPTPP PSRSSDTQSSCLQTPQNARQFKRQMTTTKKRISRHTRSSSEAIGEVFTRASKAYEMSI NKLTIAQKELHDLRAAHEKEKQKRQKSKKQISHDHGITREEAQALVQGQIEASQAVST APAEPELPVSHPPVRRHFRCSGCGIEGHKITGCPNLANSLVWPTRLWITVTDLTQRSA VGLYVTISNGSGTAKQAKAVRFYDTKGIGQGRRVIDLGNWASVAEDGSMEGVLTAGVR ICRIWKAWEAGEVDMG TSTA_088210 MVSPEVAVDNFFCSKCARFYTSDEFETNKKGKRNKTCRRHSRKR SLELHDWENFVQILRNWKMQNQPLVLSGSYVFDLDTLPVQFGSVRMPEIAQDNERDVD RSTYPRSEMNAAMRDLTDFIWKQGGFRFTHTGTNFQSCTYQYHCSQDLIHARGYRSRV EVEKQRDGRRMTRFPCQSKLTIRPCLQNRTISVSIHHMWHTPYEDIELSPVVQEMISS LVSTKTPSEIYREIRQIPEGKPVTRHQIYYQWQKANAEIWQRHSDPFTSAKMLLSEDK RYHDHHGVFTAGNLRALGLFASEPIHKLRNSGPQLLIDSMFGTNSGGMDLFAVLAEVE GTGVPLAYCLVELLKPPQADPVEKKPVRADLGAMTFIIQQFLERLKAFGFNPRCVAID KDPSEIAAVTTVWPGVKVQLCYWHVKRAVSTRLNSSKSTNTQGHYRPEEAQKLIPGLE ICWGSLPIRRPVGHRFGGCECPSKGDRISEMGRLEPATKEDCDTVLDIFCRHFNLHPL IPDSNGTYKSSDTLHRECATEMHTWCKARGYYCLWAYLYVNWYSPDQWKLWARAADPA EIPVVKTTMIVESHWRTLKHDYLHRFNRPRVDLVVWVLTTRVLPDAFHRMTAISNG TSTA_088220 MAEFVRAQIFGTTFEITSRYTDLQPVGMGAFGLVCSARDQLTGQ PVAVKKIMKPFSTPVLSKRTYRELKLLKHLRHENVISLSDIFISPLEDIYFVTELLGT DLHRLLTSRPLEKQFIQYFLYQILRGLKYVHSAGVVHRDLKPSNILINENCDLKICDF GLARIQDPQMTGYVSTRYYRAPEIMLTWQKYDVEVDIWSAGCIFAEMLDGKPLFPGKD HVHQFSIITELLGTPPDDVIETICSENTLRFVQSLPKRERQPLANKFKNADPAAIDLL ERMLVFDPKKRIRAGDALAHEYLAPYHDPTDEPVAEEKFDWSFNDADLPVDTWKIMMY SEILDYHNIDQSADPAQVPLQ TSTA_088230 MTQESSMRQDPIVLVIDFHHARGPEIELCFANEGSNPAVENDWS LLPFMALSDGAHASTEDFSYFTLRREATSTQKATSLFGISCTRQIPASSLIKRAPDVT RSTVQKAVVVVTDGPRHFGTLREKLSMVTSAWFAQRDFSDVDILKKFRESLIASLKNE EAQKDTYLGLSLREMIHAFKSQTLVLFKCLLLQPKMLFFGSRCERLCMIQFSLLSLIP GLLSNLQDCADPTFDSYAENAEKATSLKTSERSSLLAYMGLPLQLFGKGSIFGPYTPL QQLDLLADHGTKSYVVGSTNSLLLQQKDRYSDILINLDEGSISIHSPSLRTALALTAA DRRWVDFLTQTINETWDPAHPEQPKTHGYVGSEEFIRLQFEEYLLALLASINNRQHVQ PLSPGRTAEMKSKGQSTDAEGDPTLDFNADFIAHWQTTRNYALFHRLTSDALLFSIVE PRHPYAGGLTIDDIQRRLAQQVSELHLDERVREGREALNKTLASGQKKVSSAFNSFWA DIEALREAQRKKNEEKTAATTERLSSDDKSIRSESMQSGRNGAVSPTSENSSSFSWFG SRRPPSVDISQAQASMNVAGQKAGAYISSWGAWASERRREWQERRSNTATTSSTLSTP RTSSETTPRKSTGLPSVNEEKTELSDHNHQPNNDITTIQQSTETPPSRHQSEEESSSS TSLGRSMSRRKRWSSVLRMKDHHHHHHHRSDSDTESYTSRKGSLDIPRRGSASSTSVL SVSAGGTDGIPKSPLGQKQMVIQGDEHDHDDVPTASISKISVVEGGEIKDDVTDSTST SPESEETRANTKVDEVTKSDDGSGK TSTA_088240 MAALSKILLPERSIKQAISHLTSLYVKHRTRVSRAVYLALFLAL AKRIHNAIAEQKAASQRQAEMRERPGTSSLDNNNTESKGSGSSGANRKKVEINFEFFR NLLRLLRIVIPDWKSKEFRLLLSHSVFLVLRTILSIYVAELDGKLVSHLVRGKGKEFL SSLVWWMTVAIPATFTNSMLSYHQCRLALQYRKRLTDHVHDKYLSNMTFYALSALDDR IKNPDQMVTVDISRFSDSLAELYSNLAKPVLDMVLYNYQLSKNVGMEGLFIMSLLVQL SANMMRALTPPFGKYVADEARLEGEFRFQHTRLIDYSEEVALYHGHEAEKDNLDKGYF TLIKHVNRILRRRLYHGFMEDFVIKYFWGALGLMLCSVPVFFKIPGQISQTMGDRTES FVTNRRLLLSSSDAFGRVMFSYKEVSELAGHTARVSSLLDVMDDVAAGRFEKKLVSSA STDENAAVLAGRGTITESPSIEFTDVPIVSPNGDVLVRKLSFTVYPGDHLLIVGPNGC GKSSLFRILGGLWPVYGGTVKKPKFDDIFYIPQRPYLSRGTLRQQVIYPDGVKEMRAK GVTDADLFEILSILEISNIVDREGGWDAEEEWRDVLSGGLQQRIAMARLFYHKPRYAI LDECTSSVTLEIEKVMYETAKKLGITLMTVSHRRSLWQYHKKILQFDGQGGYIFTDLD WEKRLKLEDEKEDLDLQLRAVPDIERRIAELSAA TSTA_088250 MEGPNTVDVSWLHHCHRADQLLRTKSTSSVHDKPNGQADPKLEQ SGSDKNISQRVANEPPRSTNNSQHKANGTTTDRPQSNTRNAPDKKGANASATPTKGSA PKAVPGRRNSWISTISSKFSTGTTPPGQGAAPQASPTLSSPPIKPDINPFGASFSPKD KDGMSDLANAFTSASSSPKNPSFLQSAFRKLSSGAGVTGKSTNHGGICERRIMNVDPN RDRCKVPELNQGKLKRVAFCVDVEIAGFASREDDEVTRREPTNVPTPQASKLKSKDKS EGPILKHPDAVVPEKVIQPKPGTADQTQPTPAANGDAAADARTKEPPTKKQEKKKRSE EERKERKERKRRLAEENGTIPMQIRQGEDEVPAAPSTAPSGSRSKTQSHPTTDPVRIY RRCCQLRETPVLKKLVEQMTSPSSTLVEAAGTVAVLDLTDFPMTLADIVTFSDWLAIV PVRKLILENCALTDEGVRSILAGLLSTKTMQQARNRRRSTKEKLASVPPPFGVVEKVS LKNNTKIGPEGWRHICLFIHLSKSLKAIDLSGIPFPKKMGGGDGPLKTSDLGTTLANS LTTRFGGDYLEELLLSECQPSTEDIEKICNAAAAVKLRRLGFANNDITHEGLEHVVRY LRSGKCEGIDLGGNDLHEHLNLLADAIDSENPLIALSLADCSLTPASICPLLQAFTTL PNFRFIDFSHNPALFTTQPDCLSMLRKFLPRMAALKRIHLADVDLSPDHAIALAEVLP ECPSLAHLNILENPRIASLGSSSDPADQEEACAVYASLMAAVRVSRTLIAVDIEVPGA ESNEVVKALASQIVAYSLRNLGALEELSDNSQVQRSEVPIPEVLQHLVGHADPVASTE DEPAPNEDYVIGGTGVVKALGVCLGNLDRQQAEIGGSRSGSGRSTPVPQVTSSLNKTK KARDMSKNLLGSARNIQARIKLALVREDRAGNDANYRRLQFLDQTLQRIIERFEEEFP ECRVPTSKQAEETSSQQSSEDSHEPTSALDSVSFYVPDMSTAEDEEPDPFAIRLSRRG SNTSLHSRALTSEEGRLHRISQNLRRDFLNPSLIAPEDGGSVPVDDSHINALREKLEN LKGDEKMGNHVESVGAEKAFHELGSTMEELWIIQQQDRDAFERWKESQIAAQINAGLR SHPSQDNQENSSSQPSK TSTA_088260 MPFTKLVKNSAYYSRYQTKYRRRREGKTDYYARKRLITQAKNKY SARKHRLVVRFTNRDIITQIVYSEITGDKVIAAAYAHELKRYGIEHGLTNWSAAYATG LLLARRVLKKLELDETFEGVEEADGEYTLTEAAETDDGTRRPFKVFLDVGLVRTSTGA RVFGALKGASDGGLFVPHSESRFPGYDIESEELDAETLRKYITGGHVAEYMEGLADDD EERYKSQFSQYIEDEIDAGDLEELYLEAHKAIREDPFKKDEDEGSKKSKEEWKAESKK YRTAKLTKEQKRQRVQEKIRELAA TSTA_088270 MAGSNPPRAFLFDVGGVCVVSPFQAILDYEKSNGIPPGWINFSI SRTSPNGTWHKLERGEILLDANFFEGFRRDLQQPHLWKEYHQRLQQKEGNSSTMAESL PPLPNIDAEFLFWEMMRVSREPDPFMFPALGKLKASGKYLMGALSNTVIYPPGHPYSE DTIGLRKQFDFFISSAHTGLRKPHPEIYAYALEKINEVAAQKGYSPISASEIIFLDDI GENLKAAKKAGFGTIKVVLGKSQDAVKKLENITGLQLLDSSDRPKL TSTA_088280 MEKSRTIAELKTSFIRNQIRILSASIAPQEGWRDFAPDTEDDLS DKVVEEVLQKLNTVLKQHNRVVYSNQAIQHIARQIESLHWESVKSEILNPNRGSTGIE IGSDLSNYLVIEKLPSQYRGDDASPEKKERYEALYKRLVALHNKRQEKQKQLAQYKQL EALLEPFKNPQENIQPNLVTRDGELVREIDKMRMLVARVAGRVSSSRVVRTGDDGSET IPSTDHEERLAALLDMT TSTA_088290 MPLDFPGLFSDAPTRESNRTTNSTSSAAMGNYMDNSPNVVNMAN MSRQSMSPSPQQMNGVGGGGGGGVGNGVGGNNMGNNNNNMMMNGLPMNAGHQMDLNNL YDMVVEFSDILKNNREMTRGIVASAEEIMRRSTAEGTSPDINQVSGEISAARIAELER ALARERRTVEALEREQFENTTLIGEFETSMGIMVEQIRNYCQNNNMYFLAQKKEYNAL LQAERDAHLASRLDRDHWHAQTMRLAEMLRTAYRLRCEEDQAPTRIIQALQSEVRALR SAVGLEPEKPEEETGWEFLKDLETPAVD TSTA_088300 MNLYRRIRAVALWDSYASGETSFLAKPEWRGLSDTPYDLLLDIL LRLTSLLSRADQIETMATKGDETGAAEAAQRLMWRCHSLRDELVTWYADFQTKETGPL FYLEPEEQHPYLDPDSELNGVFPDSVTFQTPYIAQLLLLYWYGEVIVHGAMSIAHRYL WGQSPTNPESSGTTPSSTASPSTTASVTTEKLTEARQQMTMLEGETLANIEIIGEYFA AKICQAMAGCGRNSLQGYGFQTAMVPLWAAQQYYLDRSTRKYLWCRTVLMNFSRKGFV VAQNLGSLALSQYPGRYVSGKSVVEEHIL TSTA_088310 MNETETTTNPATTSNNKPINPRSRIFRPCLTCRRRKISADLEDS AIRPDPNARDARKPDISAKDTAKNAISSMSSQPDPQLHQTVAEAAAAVGRIAAATAKT LTTRKKSHSDPLGSYHQNTSNNNYGIIIKHSSFVRHTLPVRRRSIHQPSTVYRLYPTS STGIFPIVWRSKTGMSQQ TSTA_088320 MPSSNMITSMFKAPEINPLTYKALSIPILNPINRYGRVFFFSWL GFFVAFLSWFAFPPLLTATIKKDLGMTQADVANSNIVALLATLLMRFICGPLCDKFGP RWVFIGVLLCGAIPTAMAGLVTSPGGLIALRFFVGILGASFVPCQVWTTGWYDKSVVG YANALAAGFGNAGGGVTYFAMPAIFDSLVHDRGLSDHKAWRVAYVVPFIIITAVALGM IFTCDDTPTGKWSERHLGGAETPVIASTNPDYPNSRSALSSGTTTPRVPNEKIDSKIT SSSSDSEAQVDSSNGAVIDELIVAPTWRETLQVACSLQTVSLAALYACSFGAELALDG ALGSYYSKNFSHMGQTKSGQWAAMFGLLNVVTRPLGGYIADVIYRHTSSVWAKKIWLT FLNVVTGVFLLAIGLTNPHSEATMFGLMAGMAFFLEAANGANFALVPHVYPFANGIVS GTVGAFGNLGGVIFNIVFRYNGTHYERSIWIMGVITLGISVVQSWIRPVPKEAPSAVK H TSTA_088330 MAPLMHSDSSIEGVIPGVDSHRENAVSSRKKIVIVGLGMVAISF IEKIMKWDAERRQYDIVVIGEESHLAYNRVGLSTFFEHRKIEDLYLNSQEWYSSFTDG SFNYHLNTLVTEIDPTRKQVHTSAGDWISYDLLVLATGSDAVLPESTPGHDAKGIFVY RTIDDLERLIEFASHHKGETGVTVGGGLLGLEAAKAMTDLQDFGSVKLVDRNKYVLAR QLDADAGSLVTEKIRELGLDVMHQKRVARIETDEGNNVTGITFADGERIDCCCICFAI GVRPRDELGAKAGIECAPRGGFVINESLETSISDIYAIGECASWENQTFGIIAPGIEM ADVLSYNLTHRGEDPKGFQRPDLSTKLKLLGVDVASFGDFFADRDGPKFLPGRRASTE RIRPFEMSEQRKISTNKVKALTYKDPFGAVYKKYLFTMDGKYLLGGMMIGDTKDYIKL NQMVKSQKELDVPPSQFILGSQSGGEENADDLPDDTQICSCHNVTKGDVVESIKNGTC STIAQVKSCTKAGTGCGGCMPLVQSIFNKTMLEMGQEVSNHLCSHIPCSRADLYNIVA IKQLKTFEEVMKACAKNPNSLGCELCKPAIGSILSSLYNPHLMDKPVHELQDTNDRFL ANIQRNGTFSVVPRVSGGEITPDKLITIGQVAKKYGLYCKITGGQRIDMFGAKKQDLL AIWTELVEGGMESGHAYAKSLRTVKSCVGTTWCRFGVGDSVGMAIRLEERYKSIRGPH KFKGGVSGCVRECAEAQSKDFGLIATDKGFNIFVGGNGGAKPRHAELLAKDVPPEEVV PILDRFLIFYIRTADKLQRTARWIENLPGGLKYLQEVILEDKLGICADMERQMEELVG SFFCEWTETINNPERRKYFEQFGNTEETIETIEVVKERHQSRPAYWPKDGANEDFRGH QWSSLSWQPIIQASHFSDSKPEVSSTNVKRGDTQLAIFKIKGKYYATQQMCPHKRAFV LSDGLIGDDDAGKYWVSCPYHKRNYDLNGEQAGRCSNDETMNIATFPAEEREDGWVYL KLPSTEELDSVLGTEKWKIKSTESEDPFEKVDKKYKGTRGKKIGDVHVAVKEVSPCGG GNSIDW TSTA_088340 MATTTTQTYTQHKQGVLEFSLPVSSDTDNTSISQSDIDSTSTVS EILPDIPLPPPSKAPTEILSVDRDTPDSHVPRDPRLIRLTGVHPFNVEPPLTALFKEG FLTSPELFYVRNHGPVPRVRDEDIPSWELSIEGLVENPIVLNFQQILQEFDQITAPIT LVCAGNRRKEQNQVRKSKGFSWGAAGVSTALFTGPMMRDIIKRAKPMRTAKYICMEGA DKLPNGYYGTSVKLNWVMDPNRGIMLAHKMNGEDLRPDHGRPLRAVVPGQIGGRSVKW LTKLIVTDKPSDNWYHIYDNRVLPTMVSPEQSAIESKWWYDDRYAIYDLNVNSAVVYP QHDGELMPATAGPTYTARGYAYAGGGRRINRVEISLDKGKSWRLANIEYPEDKYRDFE SDLFGGKVDMYWRETCFCWCFWNLDIPVTDLTESDAILVRAMDEALSIQPRDMYWSVL GMMNNPWFRVAIVKDGDVIRFEHPTQPALMPGGWMERVKRAGGDLANGHWGEVMNTEE AESNQKAVEEISMKKEGLDRVINLAKLKAHVSGQEPWFVVNGEVYDGAKFLEGHPGGA QSIVSAAGMDVSEEFLAIHSETAKAMMPDYHIGTLDEESLKNLHAESQNQPSSDTPDE KYLQPKAWKKAELCAKRSISWDTRVFIFKLDYGLQPLGLPTGQHLMVKLKDATTSESI IRAYTPISETNQQGTLDLLVKIYNPTTTEKGGKMTMALDKLQIGDNVDIKGPIGKLIY LGHGRVLLNDKERTVKSFRMICGGSGITPIYQVLRAVVTNTQDPTECVVLDGNRMEED ILCREELDSYASMNDMRCTIVHTLTRPSGDWKGLKGRIGEGLLRQYAAPSAAGDSLAL ICGPKGMEKAVREGLLKMGWDENDLVFF TSTA_088350 MDAGDDIEDSFKLKDLWQTSSFALETLPPLESTHFDSALSDIPN GLFSSPLHDFSKNDSILYEINVFGTDDTENLSVELPDVAQSSTDDDNTDINSTSEDQD QDQDNPWNIGSLLEYLHEAPTGGPKLASWDGFLDRHYKEPASAYLSEFGNRGFDAALS HQATVSGLENAGRIIRSSVFLDSLIRLGLGWNSMLFHYNEQKRVFEKSIQDIRITGLS LKALDSMISDISRCGTDVQRIRKFVRANPSITDQPSALSSLASALSVLLYSLEKQLSG HLRVNVSLIQVHNLFQKCGHLVSTLADIATTAEKARSESDVISVLLSKSEDYEQRVPW LANTLHELIRRCTKPWLSLVETWIGLKSEKLLLGQGQSMSNSFVEVEQFRNLTDTALR PATVDYIYHPEFVPSSLPSEQARLLFETGKALRLLKQNQPDHPISRSDIVEAAEAPSL TCAFSWTHIEEIQRRANEYESRLRREITRYNGGDTSRPRAGTRHGEPEHGRKEMYSDD IPDNNFELIDLDDEQHVTGLLASNAQMESDKLYQDTEGNEFFSPQSLIQHDAAFGPPL GSVLYLSLGPTLAVQAKLVDFSCLHLLFKKYKLRYHLTLQWRFQLLGDGTFSSRLSHS LFDPEMSSGERRSGVARGGFHTGLRLGNRDTWPPASSELRLVLMGLLRDCYDAGESEL ILSARPDAEGKELPGNLSFAIRDLTGSEMIKCKDPNAIEALDFLRMQYKPSTTLESVI TPKSLEKYDHLFKYLLRLLRMVSVVNGLIRDSTARTSLSGDTHNIFQEFRIESHHFVN ALNDYAFQVAIGMNWHRFESALSAIESCIDRGDIDGTIEIAGSLHKLRKYHEDVLDQI LFSLFLVDPKKQSRRSSSLKQVAKLLQEIFGTILAFMPLSKLDGEHGLRSSNEKTVYR LHVTFRKQVGNLVQFLRELDGKRALSTSSSKGGDASRGNAGGMSARGTSSIFEYLLLR LDMKQYY TSTA_088360 MPPRKRPKLSSRATSSTPQVETTKQPTSANSTTGSAQKSDTEND LINDPWTDEQETALLKSIVRWKPVGMHKHFRMLAIAEYMKSQGYAPADEEHTRIPGIW KKLSSLYNLPALDEREDSIISRDADESDGSEYCPFELPEDEYGEMMFAKRLAAERSES PAETSVHPESRRGSTVADTDEPRSSPAPSRGRRGKPTRASTRGTRSTRLQVEVERPSS SSVATEDEHMEDADADEEDGEEGDSDAGEAEGDNDGEEADAETASSPTTRSTRTQTAK SKKDKKSAAASSTTTRRTGRRR TSTA_088370 MISARAFVAPSRQCLRGLRTVSAQTSPFAQARGYASRVGAFKGQ KGSDGNYTVTLIEGDGIGPEISQSVKDIFAAAKVPIKWEPVDVTPILKDGRTTIPDEA IKSVQKNYVALKGPLATPVGKGHVSLNLTLRRTFNLFANLRPCRSVAGYKTPYDNVDT VLIRENTEGEYSGIEHVVVDGVVQSIKLITREASERVLRFAFQHAQSSGKKKVRVVHK ATIMKMSDGLFLNTARDVAKEFPDVEFDAELLDNTCLKMVTDPTPYNDKVLVMPNLYG DILSDMCAGLIGGLGLTPSGNIGDECSIFEAVHGSAPDIAGKGLANPTALLLSSIMML QHMGLNEHAERIQKAAFDTLAEGKTLTGDLGGTAKTHEYAGAIIKRL TSTA_088380 MADDLDVPSHYGIQNPFPEEWPAELDEGDVSEPEEGESGAGPKL KRRSTRYSALAGLNSRTLSTRSIRTGAAGDNVAIKDEPDPLGTSDSVMYILKQQRIPV DDDPRLRSRFLLSSTSFSPALFLAQAHQNASTESLLEGLEFLSRSIDQKSASLKVLVE ANFERFVRAKATIDSVYTEMRDQGAAKARPQSQRASSSYRYSLVGGVPPPPAPATKKT ALTKEAEYGVKGIRTPLVEASVKAEELWGPALGGREREQNLYSLVNAVEKNRAVYEVG GNISRAIKQRDYQSVFEEYRHAKTLRNEARQLADRATTRGRQLTDQESHTMLATGRMW VDVEQQVESFKRDLWHRLSDVNASSSHMTAAGPVEEHMELIGALLELGVEDNPVWIWL LSRYDLLKSKIVAFCERSSVEIEILRRRLALGEKPSTQVITTYLRATTREGTESQDSL DTDQVIELWESIHTYLTKLLSMQTGLLGEVTEFWETAQSFINGSKQKMLPAGFEGESR KHHRLSNDGVKQLQDGIIELVDLIRKSVLSLFVDPPPEDISLLASPLPPSPNSPMSRI TPTESRFKLDPKNLPPPSPRRGEAWEEFAFWPPYSNSLSGVHYLSQFLILIGTAASDM SAMSPIEAGSTSYDRLKNLVSIARERCVRAACAAWNKDAENCKMLEDWTRDPDRRELT KMPGFFVAFESNVLSGMQKILYISEAMSKAGSVNVVTPPPAKLLQMVRTQFVTSVYKA LSGIVENAERPVKVGEEEEWALKPTVPVRSIDAASTIITTDNVDARNRNIRMLLTLSN LKALQSDFVPQLVSNFETAFSVKLTDEANTIRDVLGQIDDRLFQSYTNPTSATLHSVI QEGITAADWVPTTSRPEQVKPYVYTTMLTLVLVHTEITTTIPVQPGTSLSSTSSSSAQ ANPLLTSVLTHLVTKISTSLLTAFQSRPKYTLPALMQATLDTEFIAQTMSQYVTEEAS SIQSQIYLELDRRTNNEARTMLQAELGEMRGILKKLREHTKGEFTCFRKVRTGVKGTT Q TSTA_088390 MSTKRSRDTDDVADAHTNTATETHKRRKGFTVGPENLPDGTYRR KAQKIKRDLIQKAKVKKAYAKIKAAEEESAVPITRRYYENEETSTSTDNKPADSAAGL ELHPDRQAMLDAPEPSTEIQHNRENKNNNRERNRQKRRPKPNAFQKEMELAEKRRKEQ EARQKERELKAKERQAMARARRPDQFGKRRLGRESKVLLSKLQRTLGAQQP TSTA_088400 MPLFHDPGRSTPTARLTNSETGNHTDYSADPKWKTEQFKEIEMS DSSSKNTLAYDHRFSALHSRSREELIQSIKRRESPTWPHKDLESKALNYNTRLNRPLH DWSLEFQRKDDLASDKDNVRSDVPNLDDRSEPAKPSEIERPRSALHSGDFREGVAQIQ ETANFPDFVGYNSPPQPPSFKRYGSSPTTPWFSHATSARFLPRDTESSPLTGQHAKGV LGARSRAPSLGSFSSSYVLKAPTSPLVHQANNTDLDFSPKHDLTDSPQKNVKSSRRRT LPPETFMQIHDSPLNQSRNFDLSRSYASAHESDRLRLQAYQPHRSSFYSLQPASSSPQ TPLRLRRPSFASEWSPRIHASMVGSYEESILRGRMSTTPSQPLDFTAQIGVLGKGKCK SSLRCPPHITVPFPAVFYSYPTSGHGRSISDDSPSPYVGLIDLQNALPRDNSSEKKRS RVQKTPAYDNSSSSTPIPSPDCNERQKREKRSNRSDTAKVPPGGSYRIPQRGQLQIII KNPNKTAVKLFLVPYDLNDMDPGTKTFIRQRSYSAGPIIDMPLTARKNLGTDRPEASL NPTSDPKDKPTLRYLIHLNICSPSKGRFYLHSTIRVVFANRVPDGKEKLRNEIQHPDP RYSPYKPVKDSSNSGHNSAIDKSHRRRSAGVKFSGNQFFDDKFYPDSSSTSSNLNQLQ PVPFKLNIPPPQGSSIEDADPSLFSNDDSWQTGNSPTTHPISDKMSLDSPPPLKSADS GDIDNNTEMSNDSDYYGRFHQLATSPNGYSSVGESLLAQRLREWSCSSEQNYTDLQE TSTA_088410 MKLLKCLVLGIVLQHASATVGFTTYKEIDEGADNSGTILYSDLF NRSSTLFLYIQITPDGAEHTDIINDFKTLAQNYGQHGVSVIPRVRYGWPNGTIATEPE ESILMDDVSTFASIFTDVTGTINIPVIQAGYCQSHGSADTPEDLAIKIKIVNTLLSTS HKVALRYPSDHQALFNGSRSVTLHDDCIFDGGFNGTDGGTWPSGDRQTWIDYIVQVAG NNTFGGEGCNDAGDAMFDWSDYTALCGGEGIAAYINTLQIAYMNYDYPQPYEELIEDP SQSTCVDAIQAALESWN TSTA_088420 MGNGQNPYDFVYAGNLADAYLPAVHALLDAWGNPRPTDPTVRVD GEIFHITNDNPWFFWDFQRAVASETGNAVRPEEIVVILKWVGLMMGLVSEWTVWAISG ITRTPNMTRESIRFSTLIRTLNISKAKRVLGYRPRKNDFQGWMRRELAIYT TSTA_088430 MAGNQMHNMTTLIKRLEAATSRLEDMAMSFEGASQANHVNNIAT TTQQTAIEPSKQAPSLPTPVPEPDPPQIEDFDALIKSDVQAFVDLGKQIGGLVGDQAE AVLQAFQAERTFLLVTTKAKRPDPLAPELMTELHKASDTINNIRESNRSSPFFDHLSA VAEGIVALGWFFELKPANFVNDMLGGIQYYGNKVLKQYKDKEPLHVQYIQAYYKVFRS LSAYLNNHYRTGLTWNNQSNFDALDALKQIQSGSIKTAPPAPAPAAGAPPPPPPPPPP PPPPPPPPPADLGAPSSQPKQGDMNAVFAQLNQGDAITSGLRKVDKSQMTHKNPSLRA GSTVPERSDSQSSLGSPISRGKSPAPGKKPKPESMRAKKPPRKDLEGSKWYVEHFDNS SEVVEINAQQNQSILISRCNKTIVKVNGKANAISIDNCTGLSIIVESLVSSLEVIKSA KFALQVDGVVPTLLLDQVDGATVYLSPSSINLEVFSSKTTGTNILLPPKEGTDGDTKE CPLPEQIKSYVKDGVLVSEIVEHAG TSTA_088440 MSDENHRSEVDFCTLGMFIIDDIEFKPPTPSVYGIIGGAGSFAI VGARIVAGKEHGKAVSWIVDTGSDFPEDMMDTILSWNTGCAIREDKDRLTTRAWNGYG ENEKRDFKYLTPKKRLEPYMLSDAQLLSKTFHMVCSSERCIHIVQEILHRRELASSNQ SARPIFVWEPVPDLCAPEEQEKFLTACRVVDLVSPNELELGMMFGRPGWKETNEIDRE LVQKILQSGIGPENKGALVIRAGKDGSYSYSKGQKGLWLPAYHQPRPDQKSKVVDPTG AGNSFLGALAQGMISNDREPGRIMDDILSKSDEWDKIKARWGNNGQIPQALICATVTA GFVVEQIGVPTISTTADGRETWNGTEFNERLRNYTQWLCETFEQFPQDHEWVID TSTA_088450 MGGGGKIPYPKEVWSPAGGWYAQPGNWKANTAVMGLAIVGIVAA TWSLSAEREHRDRMPEPGRFFPSRKSVTQLNLTAVAAFADSHFLQLEQADN TSTA_088460 MEPLGPVAVLKDRVGWSISTVSPAAQVPEGHAADHTLSEELKPV ENTQIPEAFPRAGFSNPSPKVYALHSRLALPKKFPLETLARTLRDASADPHPHFNNAS LAVLGHDLLAQYTTEHIICTYPRLPMTVIYAAMYAYIGPRTLATIAGEWGVELAAVPG PEVDPGLLQFKRVQPGTEIVQDPKKTTRPNTHWRKSIASSVVHGDIFGEPDLKTKNPK YVRPQLPDLESLPGVTAEKATSTFVQAVVGGIYLHAGRPAAKRFFKEHIVSRHLDMSK LFSFTQPARDLATLCHRENIEPPVAKIISETGRHSRHPVFNVGVFSGQDKLGEGSGAS LIEARTRAAVAALKGWYLYSPLEVRVPSSTEEEGAKPWKPVYVDHGEVFV TSTA_088470 MTTTKIPTPSPLPVLTKPPTHQVNNGAQPTRKEKIPKPKLRLRL QDARHPAAASFFGRIPDLEAVLDSALTAIVEQLYTSPRRHHDNGNDALKAFIPSFVPF IPPTRSVTIILEDFDGVAYTNGTDLDDDHKEIHFSLSYIDHVCKNNAQPLAELEASDG NNNKDNNKDIPRPPGGLIEGIADFVRLKAGLGALHWQKPKSASDRASGWDAGYQHTAY FLAWLEDVRVGQGAIGMLNDRLLRTGYLGELEDQEKDKKPGFWRGLFGVEVDNLWEEY GRYLDKDVREGIE TSTA_088480 MEGLGGTTLSYAICLEKEREARPVTSSWYLWRNSNPSPEKHNFL GDVVVSEAEVEYDFGTLYPGGFQQKTDVKDTLGRANREIRTLIAGLGASQSRREFRDL MLRYLHAIQQKDQKQSGAIQAP TSTA_088490 MEARATELLNTLKNPNVSIDAKIAALTNIKSEIKQKNVPEPAAL PLFESLRLAISAQHSSLSSAAFSTLAHLLKRLYIQENHHLVLFQARQLYPICLERLGD HKERLRTQASQAFSDLWIAAPQEVEAHVLGTALVGKNARAREMSMIWLVTMTREHNIL FRAHVPSLVAALEDADSGVRDTAKTTIIEIFQNAPSRAKSDLKRQLSEHGVRKSIVAA ILSSLGLSDHDVLASSRSETRRPGSSLAVSRHRDDPPRPTSVLSQRPQSRAAIGREDS EHTLRLAPKIDLHSGLSHSISTDSLAASASAALEGEYIEPLYVDSNREIDDMLRNMLP HFEGRETEHNWIPREKSVETLRRLVRGNAPQTYSQHFIAGIKSLLDGILKVVNSLRTT LSTTGCLLIQEIAKVCGPGIDNMVEILLQNMIKLCAGMKKISAQNANATVDAIISNVT YVPRILQHLSFACQDKNVQPRLFAAGWLKTLLNKQARHKSSIEHGGGLDLVEKCIKKC LGDPNPGVRESMRGTFWTFYKIWPDRAEEIISTLDAKSKTLLEKDPHNPNAAPAPEGR SRPVLASSVSAPSQRPTLKETIAAQKRAAAAKNVPQSIPPRPESAQSSFTDTKPTRPT TRTPAATKSASSVRTVPTGTHLSSLSSAPMRPAVKPRRPELTRPATADPYSDRRPGSA ASSRKPLSPEVSPAKVRPKSVATPSARSPARPKSRAGAAPVSSNSRNKPKKLDISSLK NGEFRSGAGPRSDSDDIAQLHPTIENEALEPSPHFEDHHSVQQSNVLVLDDHEAFPTP TTPIMQTQHDVMTLEEPPVAGHELRASISAVTSPSRIPIIHSRTNSVETNGTPSVLVS PRARHSRQGSEDRPSHIPSPIAPRSLVLEQPRPAGLTVYEDPESKASPEMTRPNNIIQ SPVAHKKPVLEEIPVNEPSAATPRVVKQQAATPSPLNANVASPGQLQISHRILGKIAA ERRRSLSPRSKDPAKAREMIDKGISRIKAKALDVHGYRKLQGLIKYHDSIFVDESKYD EMLLGLLDALEAPSDDKRTSVPKSMDLKIQILVTIRLMFAYNGAYFADHYPRVMTALL NTRKNYELTHHIVSGLEETAEDVIASCEAVDVIFAVLDLIETENHDDESDRAITMGIY ILSGLLKRLNKDHRKLNSGEIERLGRFANASLAQSQPDVRRAITEFSVELHDMVQNEE MFWRMINPAGNDQRNLLTYFIAKKSSQAI TSTA_088500 MESPAGFSDAAMDQDDIPYPCKGCGEILEEGKAFELAGNRWHID CFRCNTCNTLLDSDAHLLLLGDGSLICSNCTYSCSSCGNKIEDLAILTGDQAFCANCF KCRNCKRRIENLRYARTSQGIFCMDCHESLMQRRRKKKTAAKKPSTIYDKSLPSLPPT MEDARANREPETLPAREYSASPDVPGRGYPVDERTSSRTRSEEPPAQQPEPTHNQVDN LILPSSAYRPDGNKRQSTMSTRSDVDAGEFLIPVAFDPTPEERVTPRSEPVPEETRHP RDYMDNSHPATSSRQASADRTETSQVSAPHIAFQAKERQPSDSTTDQNRWRQEPTPKV AQTKTDSSDGFKLQEAPRGRKSASTNSSKSDLHTAKEGTSVSTGSPGAATATSSESSK PPQSFTEKPTSPSVSISSPPVRSPGQNRNSYIEIETNRVDANRAFPKLNTLQFPPKRG DSLEHFVSSQIQRKDVGSTPRSPSGQVEKKTNVGAVTTEQGRDSKEPSGVSRQLTHMS DESEGSRNASAEPTNVPISLDNYHTRNGSHAPSLSDGVKNNLNSSPGLLRYSGGGDFS LDEDLARILGAEGQAGKRESFKRQMTNSVRHGRSYSDKGSVMSKDGKWPKSPVIGSPF AQDVSSPDTASPSEQREDMSWLRGELRRERQRVVERDQKIAELERALNAQADVRKANT ELREKRSTMVVLDTKKEVVMRELAAITEHLDREKHGAAPLDLEKITNSVVRSFAEKLQ QLKDSFAPQIEELMQNRNDIVEEVANLSRMKDKSFQEFEQLSSKNAQLAELNNQLVNQ IQGLYKASSATESTRSTNGLGVYSHNKEKSTGSVDASKAADLASSVSTMTIQDEAEPA TIVPGPQVVSIRKGQVRKFNWKRGGQSVAKGVSKGLKAFSGEKEIIGPDGTVQQVEGV NPPLPRSQTQEPRFGFFGNQKRQAAWKNQTNGSSPALVDAALTSESLFGVDLEQRLEQ EKSIIPSIVTRCIQEVELRGMDEEGIYRKSGASTVTQIIREGFEHANDYDISDPDLDI HAVTSALKQYFRKLPTPLITHEIYDSVIETNEVSGQSARVEALRASLDGLPRVHRDVL EFLIFHLKRVVEHEKTNLMTSQNIAVVFAPTIMRPKDIAREMTDVQKKNEALKFIVEN CQEIFM TSTA_088510 MSSSTQRNLTLTEELERLEQSITLTLQEIDSNFSRAHRIVTTSI LPIVEQYAEHSKDVWEGAKFWKQFFEASANVSLSGYEEMPNEDTTTTTDHEQTVTEDS TNMTQTSLDDEAVSYQTPSSEHLGLGHQLHHDESELDISALTISPSHSTPRPRAYVKG GETPTTGGYPAGYSEDEPDRAGYDDSALPEGNNDSGLVTPGRHTNETPMSSPFIPPPT ISRTTQPSTSKRPNTNKYQKPTDPVLHHILDKTYRVQATPLSKTYKPTKFSVSTPKEK TSDNKKLNYDDSPISSPELEAPKLSEELFAYRGWKGAGPNTPGTNRKAYRRSPQKRTP KPGISVLTPAKPRLSLLSKDKKKKTTTGGWESDEDNFDDDDDEDTAAALGFSPPKTMQ FHIPHSRLMKTPAKEASKRIVSDLLATAGGGTGTTSDDMTDDFYNKYRAVADEEHSPT VVRSGGRLEDDTF TSTA_088520 MPAPLAKGWDNLGFRSVVIDIVTGIIIGVSVLVAAGIAVYESPQ FRRWLNQSRRKVAVALYNLGDEIHPREVHSEDISMTEESGEVAEERRRKAREEIEQRR QLNQSRRRRSTRSSSAATSFDALVDKDGRLRTEDGEDDTRGIFENDHAAAQSTALDTS NLSSLHKRGGSPEPEALTNARLQAQHEMVEAMERDRLHIALPSETSSHHPSESLIDLT PTSEFPETTREITSDRGQMENSEYFSVANLSNSTHNSEIGQSEYFYAHPNWSHSSTES SNPFVEHDVSTTSSIPGSLEHVHDGLSSDGTLSEWGQPTDGVMTPASWSEVGSVVSSD DEHHRQ TSTA_088530 MSIRRILFGIAMGSPCLSQIVTSAPVTENKEQQQPPFPPPPPPV LDGMSYLELDRSLEDKYFHEPAGHELGADDRLGHYDVRYFHGMVSEEERAESLHHMVI AYLDFFRLNKLETWIAHGTLLGWWWNGALLPWDWDVDTQVLDTTLARMAREFNQTVTS YISNDKRFKRKYLLDVNPWAYFRDRGPGHNIIDARWIDTSNGLYVDITALSRFNPEDE PHTWECKNKHEYQTDDLYPLRQSKFEGTKAFVPYKYQELLTEEYSVQALTRTHFQNHT WSPSVGQWIMKV TSTA_088540 MCKSGVTQDDYTGMDLCLAAGNDGGEPSTDHNSYTLGRVSGHNV VVACLPSGVSGTTSAAIMLAHMLPTFPSLRFGLMVGIGGAVPSKTADIRLGDVVISMP TANSGDVTQYDYGKKIRDGVFKRTGSLNKPLQYWQYPKYAVTP TSTA_088550 MSDHDKSSTINITIHHHGSPYTFTLPATATLNDLATSISSSLDL QIPIENQKLLIAPGKLGLQKPPFENTVPLLEYLPLSSPKLKITLLGAKPADIDSMNET ARAQARRQPHPPITRRPPGGLHTISSPSSSSYTFGRCEPLSYLPNPERSLKFLERLRD DPGIKFAMAKHRFYVPLLTEMNPAEHTTHESRTLGLNRNKGEVIELRLRTDAYDGYRD YRTIRKTLCHELAHCVHSEHDRQFWDLTKQIEQEVERGDWTRGGHKLSDQEFYNPQDW ESEGQRSGEEWVDHGGWTGGEYVLGGGDTGSSALSRREIMARAAEDRLKREQQRGRGS NTEGET TSTA_088560 MAVDRLSSILNHLKPGHSGISAITTKNPDDIVVTLAIRTPMTKA AKGGFKDTSLDFIVYSLLKQLVDRSQLDPALIEDVCLGNVGDGRAAYLGRAAALAAGI PHTAGASSVNRFCSSGLKAIHDIANQIRTGAIDIGVALGAESMTFGDRGVDTFHEEIL KKQEAADCLQPMGQTSENVGDDFGITRQMQDEYSVESFRRAEVAQKAGWFDDEIVPIT TKVKDPKTGEEKTVTLTKDEGPRYGTTYEALSKIRPAFPQFGNKTTGGNASQVTDGAA AVLLMRRSKAIELNQPILAKFCGATVAGVPPRVMGIGPTAAIPKLLKQFNLNIADIDI FEINEAFASMAVYCLKNLGIEHEKLNPRGGAIALGHPLGATGARQVVTMLSEARRTKK KILINSMCIGTGQGMAGLWVNEQI TSTA_088570 MSVIGIDFGAQSTKVGVARNKGIDIITNEVSNRSTPTLVGFGPK SRYLGEAAKTQEVSNLKNTVGSLKRLIGRTLSDPDVQAEAEYNTATFCEVEGQVGVEV NYLGKKDKFSATQLVAMYLNKIKQTASREIKLGVSDVVISVPAWFTDSQRRAMLDAAD IAGLKALRLINDTTAIALGYGITKLDLPGPEEKPRRVAFVDIGHSNYTVSIVEFRKGE LNVKATAWDRNFGGRNFDKALTDHFADEFKEKFKIDIRSNPKAWARTLTAAEKLKKVL SANAQAPLSIESLMDDIDVRAMVKREEMQEMVKPLLECISIPLEQALAEAKLKPEDID SIEMVGGCTRVPIIKETVSNFFGKPLSFTLNQDEAVARGCTFSCAILSPVFRVRDFSV HDIVSYPIEFTWEQSPEIPDEDTSLTVFNKGNVLPSTKILTFYRRQPFDLEARYVKPE ALPGKVNPWIGRFSVKGVQAQDNNDFMICKLKARLNLHGILNVESGYYVEDVEVEEPV EDEKKDGDAMDTDAAEGEKKTRKVKKQVRKGDLPISAGTSGLEEATKSAWTEKENAMT MEDKLVADTDEKKNELESYIYELRDKVETDYAEFSSEEEKEKLRAKLTEAEDWLYEEG EDTTKAVYVSKMEEIRFIAGPIIQRYMDKVENERQAILKAQEEEAAKKRAEEEAKRKA EEEAKKAAEGQKTETPDAEMKDAPAEGESKPDVE TSTA_088580 MPPIRDGNKKNLAEQEGRILLAISDLKNGKLSSVYRAATIYNVP RTTLRNRLNNIQQRSQTRANGHKLSESEEESLVKWILDLDKRGLPPRHSLVREMADYL LSQRGNQRVGEKWVIQEYFDRVREVISEYGILPEDIYNFDETGFAMGLCAAAKVITGS DRYGRPNLLQPGNGELVTAIEAVNSAGWALPSYVIFTATTYYQQSWFETLPQGWRFDI SQNGWTTDEIGIRWLQKHFIPHTTSRTKGRYRMLILDGHGNHLTPQFDQICTENNIIP VCMPPHSSHLLQPLNVGCFAVLKRQYGRLVDQRVRLGFDHIDKYDFLTAFPEARTMAY KAENIQNGFKATGLVPFDPDHVYQKLTVQLRTPTPPPSRSSNSQSSCLQTPQNPRQFK RQMTILKKRINERTTSPLEMIDRAINRLYKAYEMSFNELLIVQKEVHDLRAAIEKEKR ERKRSRAQISHEGSPIAQEAQELITSRDEASQPISAVPVESEHQASQPRVRAPPKCSG CGIIGHKINRCPNRTTS TSTA_088590 MDDLMESAPPRRGQWLVADIIYITLVTPLLLAAFVEWFLWLAAF LFGLVNVYRKAEHWTTRVIAVFIMTVFSILRSIFLPVMIVTLPLPTNITSHFSWAMVN LLQWFAFYTFSVLLLVPWALCIYRLVTNEVGRAKRIEDVLHDTVSPKVVVVMPVYNEE PEVLIRAIRSVVGSDYPSSCLHVFLSFDGEPYDALWDTVCMQLGIPLGISKKAPSIDT IYHSVRVTVSRFPHGGKRHCQKRTFKLIDRIYADYLSQHDDLFLLFIDSDCILDKVCI QNFMYDMELKPGSTHDMVAMTGIITSTTETMSLLTILQDMEYIHGQLFERSVESTCGS VTCLPGALTMLRFSAFRKMAKYYFEDQIDKIDNFFDYIKCHLGEDRWLTHLFMVSTVK RNQIQLCTGAFCKTQAVQTMSSLIKQRRRWFLGFISNEVCMLTDVRIWRRYPLLCIIR FMQDTIRTTALLFFIMILSVSTTSTSLATLPLGFIAISLGLNYALMLYFGYILHRFKA WLYPLMFLLNPFFNWLYLVYGCCTAGKRTWGGPRTNAPKADEHTTPREAAEQAEAQGD DLNVDVSTFREYGHAAAGVPLHPAESVTDRLAVDPSHSGPRDARLESELSLMRHDREE SAMPRIPLHPRTSFDSATTDSYSVTLPRPVESLIEEIDRIMQEIRDPDKQTLNSDKEE QPTTPDWRQSFRNGNNLSFPGRQRNFSPQSSPAMSTLSRFESASTDVSPDPVRQLTPL HITPSPLGQSCLQNTVPEDDNTEQTISCYDALLVRHLFFPDLEIHTVCFDILGVLAPS LTT TSTA_088600 MSAEEVKATETPQNGNADAAKDVQNLLAELKGDEEKKVESGAPA EEDEAAKEARIIAEATKLGKEQAEQESKDEKKPAERPRRNYRENIKSDLTAQEVTDDH NEIRKQVEFYFSDSNLPMDNFLLKKVGGSANHPVELSLLHSFKRMRRFQPFSAIVEAL KTSTVLNLVDNDTKVKRKVPLPESVNDFLDPNVVKVFETEAMGRSVYVKGFGEEGPKT QFEIEAFFAPYGPTNAIRLRRAFDKTFKGSVFVEFDTEETQKKFLALDPPPKWNGKDL IIKSKKDYCDEKVRDIQAGKIKAGNRNSGRGGRGGRGGRGRGRGRGGRGDNDRRDWRE RRDEDQKRGFREDKPTVQKDARGIPIVKSTEEPATSGQKRAREDTNGATNEHAAKKVD VKEG TSTA_088610 MPVYHIVLFHLKPSVTDTQITEWTQMVKTQLGQVPGLISIEVNR PLPISVSRTKGYDMALVAVLEGPEFVEGYSTFAAQSQAQSFREQLFDDTIAFDLQF TSTA_088620 MFKKKPTIKTLSPLRSSDRRKIADQIIKGYQISIPTTAPVEESN NNNEEGAATKETAPNLTTIRNALLPENSLSARFTTTAGPDLREVQGTVYAGSHPEFGG EERILWFKIEHGPGADGRIYPTVYSLWHNPRILPLLHTPSFVMGKLFGGADLMTPGLA NRPPFPNRAIKGAAVAVATLDSPTVPVFVGVCEIDVSALGEVHGTKGHAVRGLHWEGD ELWSWSSSSRPGQPSPEYLEGWGEDIKDVEDAVEEMTLKEEQAEAPAHVENAQPDTEQ DPVEPEAEPTVKKIDDAFVKAFVYSLYQLKKDNPNTPNHGLTLPVQPSAVISRLVTPY LPIYSSQQAQYYQIKKTSWKNVKKFIKYLDKERLVKSKDRNGQETVVIDVDFNDHRIE QFVPYRLPTKNIVENSGKAVSGKQKGAEETGTDPSVGQVLTVQVLYRPTSKLTPTLFP ALNATDTKNYYKYSDISNHLDEYLSSQDPPLVSESNRRIIKLDPFLANTVYTSSSSED KASLSRGEVTRDSLLKRIISDSSLLSPYHAILKQGQTISDVKPKSGHAPKISVVIERR ASNKTATKISGIEYFGIIPTLLAEDLQKKCASSTSVAQATGAVKGMMEIMVQGDQRKA VEMALEKRGVKGQWIDVVDKTKKKK TSTA_088630 MPSTKVAALSAVLALASTVAGHGFVQNIVIDGKSYTGYLVNQYP YQSNPPAVIGWSTTATDLGFVDGSEYTNPDIICHKNAKPGQLSAPVAAGGKVELEWTT WPESHHGPVISYLANCNGDCTTVDKTKLEFVKIDQRGLIDDSNPPGTWAADQLIAANN SWTVTIPESIAPGNYVLRHEIIALHSANNANGAQNYPQCINLQITGSGTANPSGTPGE KLYTPTDPGILVNIYQSLSTYAIPGPTLWSGAAAHVVATATGSATGVASATATPTTLV TAVSSRTGAPSVVTPEAPSVTSFAPVVTVTDVVTVTTVITTTIS TSTA_088640 MKPPEASSPMFATLDPTVLDTEMNGIYQDGDMDFMNSGDFQQLP SDAGREFDDIFARSPESQTTDHNGLYVSPSEMSFRRQQQTANSLKRPLTFSFDSPSDS TGDNSSPGSSVESTRDHARNSSVGSAVHSDGAVKYENDGWPAFMNKDNLFGLESEFNG FDTKYSDIESSNRVMDSAFDFDSAASSPMPANKNTPQTAKKQKASTKQLMTSHPGSPF YPNSSREASPMTSRLHPNTRSISSSQWSGRSPSSIMDDPPAAVDGNSFSAHNALLHAN TNMTIGPNDGQFEASDYISPFYAKSVARVPSRPILHVHPTSLKSRVETQIPIRLTLFP LPAGVKKLRLPTHTISKPKFLAKPDVGRSPDTLELHTSLVCTSAMQDKSKLEKAYARA RGEVVKRRSSTVSTTDIQEDDEDKPLEGGEVKICQGCIQRERKRASRKKQRKPGEDEL FQKDEDKRVIVFNTSEIKEWVEPAKESTVTYTPPGAMQVELPMRIACYCRHQNEKTGF QVILTIKDHLDNVIAQAMTNSIMITDDHKTHAAGSNSSAAANPSAPLTDGTQLPGTSV FISSSSHELAKAPVGGQQAIRISPSTDSQSLPQHMASQYPMTSGPFVSMPQNSGTSSA SLTPRNSSRQTSPSEYGGPSSKRRKHSNSGKLPTGLTMTRLDSPQASSSSGSSANNQV PPNIHGFFSPTERPFATPIGVSGPFSNGPPTPNNNNEGSYFTSVQPNFDGLAQHHFMS TPSSTHASRPSSPGLTAARSLFEPAHNLSTGPNPVNQAWPHLTNAGNRLPTIIHKLVP AEGSTTGGTEVTLLGSGFYPGMEVVFGDTLATTTTFWGDKCLNCLTPPALQPGLVPVV FKHEHPTFGQVPQAQPILPKQQHFFRYVDDRELQMYRLALSILGQKLRNPSDAFQTAQ RIMGGDQAALWNLQNDIQGGSSGQRQIPNLNVQGQTSDLDSRMLTYLEFIDLDDSPRA PRYNMKSSTGQTLLHFASSLGLTRFVAGLLARGANPDVQDNNGHTPMHFAALSGHAHI VHRLRLAGADAMARSIRGFTSADIATSLPAHQAAVIPARHYRSRSVGSSPSLRRRPSS SASLSSFWEASSASESFNLTQEQSDDEEATETDEEELNVYISSSRRSSVHQDVGPSLY YTRSREDSVPADSVNPEVTADDETSTGANTAPPASLVAWRNQLATQINQFQQSVGRAF PNLPALPPMPPMPALPDYQAYPMMRRISNLVPHRPGSSWSSKDGWWEMFTGNSSPTAT NEPPAYDELYPHQEADEDRDLELKKTSLLRAATDAALDQHFEDHAGPSNSNGETEESE EELTDIRIGRKTVISRQQQEQLKKQQARKMKGLAKDKNLYFFWIPMLLLFLGLWLRNF VPGLWQGISEGYEFFKTRYIHRAIAATP TSTA_088650 MQCLTTALEQKGDFEEVVRTTAAFVSLATPHTTSEEVKDWCQPQ LLSEAKSFHRKIYPTTGDDAKRLGNLYPRTISTSRQVTGTSSETRKDGPSLSDFSTTK RDPLLPCHIIPFTKNRDFHDRVEVLQMIEKCLSLVDEDGLDRKELRTFALCGPGGMGK TQVANEYAITHMDTYEAILWVDAEEPTTLMDEFSQLAEHLGLVLEGSPDARDQALTRE LVKGWLAKPVRSYNRTDNSAVEEVPWLLVFDNVNNPDLLSDLWPPDGSTGSILITSRD NLAKTQFYQIKNGIDLPPMSQDDASDLLLKLTWRENDPEEQRLSLDVADILGGLPLAL TQMAGVMIRQSLSFANFLNRYGEEEEHAILFNLSLEPKHKRANYGHTLASVWSLEKLE YSSGLLDVMAFLYPTGIPEKYLEGAVGTTRLTDYPKTVTAYQNARSELLKSSLVMTDR SSSNLTIHRLIQDAARARMDTYRITTAFSDAVDILRLFWPEAERGVRHHIARWKDCEV ISLHIVRLKDHFLRAPKALKLRWSGNLNFAMLINELEARNRQEKNTITREDGERIFFL LSEIHNNIAGSATELNDPDKALHHFERYNQLLRDRYEKTEDVFDSRLTSSYYNLGMSY AMKKDYANAVKYIEIALKEAGRLQNPESVKAARSLGLINLALTLWLMGRHEEASSMLT TALREREELLGSNDRDSMITGRVLYGLGNVRHSQGLLEESLLYHERALLHFKETVGSN HHRTGNSCFKVAQHYSRIGRLSEAINLLDQAIKILDRHECYVPEKGRATLMKGIVLQQ QSKSEESKICFQRAAALYEIASKRTIIEEDLTLQDFDAYVHIWGR TSTA_088660 MVDNTTVDPQSDLEPRDMVYYEKVVDTCLVYQSSAYKFKYLSDQ KPSEAWVILQSDSGGLTGVPHTAFSNPLARPNDPPRESIEVRTLVYYDE TSTA_088670 MVFSSLLNIGAHLAGLASRSQHIIDSRTSKHEPEPVIINSWISF KIDNDQYLARPTISVNPTFKHVHISKTPSTSTAGHEYLLQSSKEAPILATYIQVPKDQ KVNARMLEWAKNILDQDDVFHIDFLQCIVLGGVGLDHMHLERDVERVFEKWNITPKVV YEPDLGIASGPYYLLKGYFHSVFKVYPDNQLAFVQALWPSLQKDGRFVEVEAAGNAYR AHGITVPSRSYSATLFPSAVREDITRPLEGLRVAVKDNYHLSGTRTTLGNRAYFETYP IQQNTAETISLLLKAGATIVGKTHLSSFAMMEHPTQSVDYQAPFNPRGDGYLITGGSS GGSAAAIAAYDWIDLSICSDTTGSARIPALQTGIFGFRPSTNSISGKGLVNAWAGVDM PGWLGRDLDIFPDIFRALHPLREQGNNASTDEGKFVILYPTDFMPEENVEQLHAMDSF LDDVCKATGCPCHTISIQDDWRSTAPVEEKDLHQYLYNLMHHGWYYAAYHSFDEFRDR YHELHGHEPFVTEVVRWYWALGSQVSMEQHTEILKRMSIFRSWFLERYASSCQMLVAI HIDSIKPRYRDEYPGNSNPEVPGLRPTYLAAILGAPELAIPISETKYESRITGKREKL PIVISLMGAPGGDLRLLEWAVTALHKSNRRTKVLPGKVAF TSTA_088680 MASQNLLNDWELGTPHPPQKRAMASFDALTISARQLISLLHDGI LNSVQLVERYLEQIERHEAYLHALISVAPKESLRAIAAKLDEEHAKGRLRSPLHGIPI IIKVGPVNPKGPDILRSFPTLIPDDRGKDLPSGWSAVGGQTQSPYVRGGVVPGDSKDG HSMPSGSSSGSASAVAAGYAPLSIGTETNGSLVWPASRCALYSIKPTIGLISQQGIVP VSNTCDSAGPMAKSPYDLALLMDVLLEKPPDNSFISSLSQDSWSDISVGVLDYDKWWH DAGFLKPVEEATQEMKFKSEADNGSQSAAFQVAYDSIRKTAKRFAENVPLVSPTDFSL HGIDSLSAVLLSDFPVDFERYLADLEYASLKDFHELKIFKADTNSEVEYPLNHNQARI EDATSMNLSAREYVTHLRNIREIGRTNGIDRILKDYEIDVIIGPADSQMTKIAAAAGY PIASLPLGYLKYNGRAFGMLAIACANNEEKLIQVMGAWDTMFNPVKPPPLLIEGL TSTA_088690 MARWQRYADTISSYSRLSAADSLYTGTHFESLASYLQIPFQPSD SRSDASPVGTEPQFLSVYDMTVDNRWRVTHFRSVNDFESTSWVNSSPRIIFMRGLPSP EWLLSIGVKFELDPEFFLRHLDFYKGPPYHFGYPCLPSANECMTRLRVTTVGYYKINF SMKNSHAVVESMQRESAGLMDAYLQKIKTRRHSRVGDSIFRDISVHDSEHFTIEQDIS VYIGTYQDDWIGYIVFVWLDNGEEVSTELTQWLKESSALFRSWQTGYLPIVQCQPGIA LRTPPVSTRGRSLSTVNTPQSASWLHLNYGQSLSSDLAGKSPFYALYQVFCFVASSEI QFLNMLQSKIEKELKSSAIVQQKNPTLSSLLYNQQVLDRHIQRIRENVTFIQQYKNLD DYKDDDATRLYDKVLRDFEHLLARATSLSKQCNRGMQVIMNNATIKESRKAISQAEGV AMLTRLAFLFIPLSYVSSVFGMNFAQFGQGKLSLWLWPVVSVPVFAITLLLVRFDVFD YFSGLISSTAGNMS TSTA_088700 MAVGMLLGSLACVANMHFGLQIGITSTMPAPMALMSFAIFRALS PCLRDTFSPTENAVVQTIASSIAGIPMAASLFSIIPAFDFLRNPQEGGKRHFSFFELA LWSIGVSLFGSVFSAPFRYHFLRRLRLRFPGGYATGVLIGILHHDRETARNAEWDRSS CEPNSNNQSITNAENDALADIREQSSRDLVSKMIILSKGFLGAAIWVLVSCHFPFLNE LPIFGLTAARDWNWFITLSPAFTSLGILLDLPVASSMFCGAIIGWGILSPITKTYGWA PGPIDDMENGIRGWLVWVSMACLLGDASIRIIHGVVMLFLQFRAWRRSSLPSSRSVPH TLSPSLGNITGDEEENRSRQSLLEEHSSFTNDDAPDINIESNAIPNDDVVTNKSLMFW SLGSTFLCVVCTYAVFKLETSIYADIAAIGVAMPLCLIVIQSAGETDTIPSTSLSNAC QFLFGLIISKSNTRNLASMVLGGITEAGLWQSAVLMTDLKTAHLVGASPRIMFHSQLF GSAVGGLISSGIYKFMIAHAYNDRIPSPSMPVPLAYLWVNTARLVDDRHLPPGLSLTL PIAFSLSASLGLLRLLAGNENWGKWIPSGISLSIVEKRCKLVRLELGILMLKSRTEFI LFSFWGGTTASNRNNSTRPRLAISSGVVYYSVRAYRRPAKMWSVRSSSRHREFATPQE LRNTLCAQPSFRRMDPTNETGLSKVTVSCTDPFGLFPEIRSVLAPRLPLKNLHWKSPT RPARSIESLNIDLVVSHPPGSSDEKRSSNDSTPGVAAPHRRHQIPGLRQTPYLRIYLL KCDDNEVYKASSRKLLRDWIKTHSSSQQTSGGGQDNHDACEWLILHVLQDGDVPEKAA SKWPGRGSTSVLEKVKADFNGSSKTAVDRVAQIRLPSRTLKQHDHGEFVDQLNDLIDK IKQAILTSFDLRVTQYEEDIREKDSQRSLPGWNFCTFFILKEGLARGFENVGLLEDAL VAYDELAAGLESAVTDYLLGTGDQHGGGFLDYSSDSKEEALSALKASKQQNSAQDDKD EDSCETETDISLDLAEEYFPLDSSKKPYREMILANNISVFDFRAYVFSRQLSLLLKAA KAPFLQRKQDSNPAVVGADDLALLAEVCDRAMEFIIMAARTLRYDIERALSEGSEEID KAQMTAVIDDLVSSWTYAASCQVLSQTSTPSLSLPESSLRQTGSSLTSSAAPADSRSN IPKRSSSLMTAGTASGGKQGPTNKTGLEDLASGRGELYQLGRGVLEEMGKRRGWAQKW GDLGLLFNEFKAAEDDMDDVPLDDSDTNGKAEKAQDATTVSYNNNIFSLPVLQHALLS RKTFYVLYEVFTDYMFRHYISANQIRSSKAAMTDIAVLRFRQKDYESAAFFFRQVAPF YESSHWISIEGAILELYARCLKELDRNDEYVRSMLRLLSQYASYTESDLSPRQKASLA ASDTSFESNVSPYVDELLQASKSLAKEVNIPFSSFFGDLVVDPEIKHYEDKDGFQMHI KLRCLFDKDIVADSIKIRLVGAIGTLSNEVWLENTRDIVIKSKTSMLAIDSSTTLQGK LFVDRIEIRVQNLVFTHTGHVSLSALPPGFRDSEPAEAETRPSIWCYPPVNGLEARLV APRSINLEELRTLEVELDSGRNNISKGLLRIRPATAGLRLRTSEIAVISGDIEMTPNT DSGSIEFINLEQETLIRIRVPYTVDENHTTLSARLEVAYETDAGHFTYISSSSVVSTL PISVNVQDIFRDDVLLSRFTVSPAMLVPLRILGCDIPDSDLYEIESGVKGPLSLDVFP KQPASIIYKIKPKGNRAPAANSTTKRNSLRLTVRYTCIDDECLFLVKQRFSSALAQSK YKPLTRLLTPHIVDAFRGQLSTSDMESIGLLREMDILSYKDVHWDTVLKGLRESLRDE VRSWLVKWHRENSVLSLQEDNESELARQIVIPVEVPEIQVVHTAELRLLTTDNNEFTH AAVGHMIPAELILHHTRRWCSQQTEKPESGEQLEFAYELHVNQDQWLIGGRRRGHFTA AEDEVRNFAIMLLPQKAGHLLLPSIEIKTFVLCSTATVVGANISTTMSTGASSSGNRT NAPVTSPSVTSPTSVTTPATVAAAPWTQQQRRQIPNEVDYKNHAETILVLPDLRKTTV SLESGSPGLIESESRIAV TSTA_088710 MNPSTDDTSAPPPPYDLSSSSNNFNRDKKTSSAQKSPQNISSPS RTELACVSLHMSDRIRLLNFRPEHVRIITDVIRANTVKGVPQVRLYDQATEIKLGGYP WMRGGTRPLPEDRIGAIRVIIAVLKTCYDLGWVVQLASDIWRKGSLDKDTVIMRYTHQ PQRPTSHWLGISFDSSDLLNIINAPPSLGPAIAARFGDKVSSHKVETRGYYEIKFHGY PWRPSGTGCDTWYCCRDADYVEGTAVY TSTA_088720 MSSTAIPKRIALQRNLTADSSVASSSSVSPVDSPRQSPSSTSLS SLASEVQELKDTKMVDTYGNEFELPDYTIKQIRDAIPAHCYERSAIRSLSYVFRDLFC LGATFYLFHNYVTPETVPNVYVRSAAWAFYTFVQGLFGTGLWVMAHECGHQAFSPSKV LNDTVGWICHSLLLVPYFSWKISHGKHHKATGNLSRDMVFVPKTRAQFASHIGKAIHE ISELMEETPILTATNMILQQLFGWPMYLLTNVTGHNNHERQSEGRGKGKRNGYFGGVN HFDPRSPLYEAKDAKLIVLSDLGLGLVGAALYYIGSTFGWLNLLVWYGVPYLWVNHWL VAITYLQHTDPTLPHYDNESWNYVRGAAATIDREFGFIGRELLHGIIETHVAHHYIST IPFYHADEATEAVKKVMGKHYRSEAHTGPLGFLKALWTSARTCQWVEPNEGAQGVSKG VMFFRNRNGRGLPPAKIAKASS TSTA_088730 MDLLTILPNFNTKPYTHILPPLERRHITTVDLITLDYLEIAKRA HVPPADVRRLCADVVIGLHGDLGFGIGSAKGSNNDGDDDKLGEGQVDGGNNRLDLSRW NTISTLDTALDELLGGGIATGYLTEITGESGSGKTQFLLNLLLTAQLPSPKGLGRKAI YISTEAPLSTPRLSQILQSHPYLSKLPANETPSLANVLSITAIDLETQDHILNYQLPV AISRYNVGLVVIDSIAANYRAEHASNSMQGLSARSGELAKLGHMLRNLAVREDVAIVV ANQVSDRFDMMVDVPQQPLQHHGRFPRSSQTPLVNRHTKLAMMEANDQTPSSSPGLLS SSLPSSPSPSSQLPPEDEAFDGAYIVGHPVRNETLSLSLQQKFFTGWGDGSEVNESQK TPALGFVWSTQIACRIALKKEDEIDLSRVAVPIQASQPPKNGSELTKPEIKTARDVSL QSSPPQPSISSQTEIIKNESPPTTTVSTVTPTTIERITKRRVKFVFAPWTSGVIPSDK STRNDNELEFEIWKGGLRSIPKTG TSTA_088740 MPRSVYGHNGGRAQASDSPEPEDAELVLDVDESDGAPSVGQPED DTAGPSGGRQHTRRLTIDINDMHDLLMDEVDRAGFAEGLNFPTFRMLFERHPEALFAG LCDQFTRLETRAGKAPAQNDYVLSDEARGELERLRKRTDLQHRAMGELINERDNLQAR LETMEHQGREGTVSTVMTSQKKSTKLPDGQVFVDGKDPKFESWLIDVENKLEANADHY PTALARMQYVKSMCKGEAADHLLPRFRKDSPQRYRDVEDIFEHLKTIYQDANRVTSAK RQFRRLFMNEMKFQNFLSKFVLLAQESEFPAAQWKDELYERLSPEMQVQMVKQSYDDT MSYSTFVRECHQTANRLEMIAENEKRAPNPRSNKGGHGGDATKDNKKDTAGAEKPKDG QGKPKLTWKEKQQLRVEGKCFICKMPGHMSGDCEFNTAKNPDLKALEPAKEAIKDKSS DSENDLTVPIQLAKNGLSICTKALADTGANGFAFLDIFLAERLARHFSHHVVPLKQPC RVKGFDGRTKNAITHLIVLSLVVDGRVMYDLPFLIVRLGKHDVILGRMWLARYNVMVD CSRRRLLWPEEVSLKEEIQAKQFVRLPKKLLLRDREIEIRHQEDADRRDRQLEGTSSS SRTLPVNHGRTYRRSHECELDRMRKELQKSYEELPLPEEVKPVLKPKRVRFRDAYPEA DIAVIGGAGFQRHMNNKKTETFVTSLSEIEKAIEDKRQLEDVATEEQEIKKQLPEWYH EFADVFSKIKSDKLPERKEYDHRIELEREVELGYCPLYRMTADELEAAKEYILENLDK GFIAPSNVPFASPILMAKKPGGGLRFCVDYRKLNALTRKDRYPLPLIDEVFERISKAK IFTKLDIRQGFHRIRMHKDSSDLTTFRCRYGTFKYEVMPFGLTNGPATFQRLINDIFL DCLDKFLIAFIDDLLIYSDNAAEHEIHVRTVLQRLRDAGLQASIKKCEFHVTTTKYLG FIITPEGIKVDSEKVESVLTWKVPTTVLGIQSFLGFCNFYRKFIAEYSRIAQPLHRLT RSNVPFVWTDKCQAAFDKLKVALVSAPVLVHYDPTRLTRVETDASDGVVAAVLSQLCD DGEWHPVAYYSSSMSSAEHNYDIHDKEMLAIIKAFREWRPELLGLRQQERFEVLSDHR ALEYFMTTKALSARQVRWYEFLQEFYFILKYRPGRANVLADTLTRRKDEGARNLDHRN LTLLPSEVLDERITTEIVISELQGASADNSVINRVLKANERFALTLEAKEWVNKKDSD WHLEDDRLLFRNRLYVPDDEDLRARLLDELHRTPSTAHPGRDKTKALVKERFYWKAWS TDVVRYVDNCKTCGRTTTWRDRTPGLLQPLPIPERPWQHLSMDFMELPKDRYGYDMVF VTVDRLSKRPVSMPCYKKTTTAKEMARLWIRYVFPWTGLPDSIVSDRGGQFVSEFWNE VCRILRIKIKLSTARHAQTDGQTEIVNQYLQQRLRPYVNFAMDDWSEYLPIIDFAASA LPQASVKMSPFMIEKGYQPRMSFDWKDPAPPRKLTLNEKEAQAWAQRIQDVWDFARGN MKLAQERQMIQANKKRRPVDFKVGDQVYVTNEGWDTGRPGRKLGHQQEGPFPIVRQVG HAFELDLPKGMKVHPIFAPEKLRLATTTEPLKGQQEDESPELEINGHSEWEIEKVLAA RIMWRKLRYRVSWLGRDPDPKWYPAGYLKNAPLALKAFHDDNPEAPGPPVRLQEWLQA AEEDRFVEDYADDDVPVTDARGQASGEGGGSVTASPAA TSTA_088750 MAARSGLMAPINETEHTFSRVSREGKRITYNLKVIQQPERARAC GAGAKSSADRRPVDPPPVVELRVFESELDNDMNKTDITFAYNANFFLFATLENARPIA QGRVSGQPPTCPVLTGVPVAGIAYLDRPAQAGYFIFPDLSVRHEGFYRLNFNLYEEVK DPKDADKDAALPAQQPVTTSNKPGAPKAFLNFRLEVKSTPFQVYSAKKFPGLATSTSL SRVVAEQGCRVRIRRDVRMRRRGEKSSGKDYGEYNDEYGRSSRYTTPTPVERPRSASN STVDAPYPYPGQTPRRDSTHEYPAYTTHHSRPPPPPATSTPYQHHALQHPPPPLPPAP ASTSAAPGYLSFGSSTSYQTPQLPAAPPPPPSHSIYASGPSTPTSTYSSQPQLPRSRH PSNASEYEPTPHSYSTRPHHLPSILNPAPIDPPTYGGPSSEPYPSSATTSSAQSVPPR PHTPASNIMLPPISSLDQFAGAVPPPPPSASDISQQRRPSYYAIKPTIAKRTHEDSFD SHDGHMHKSIRSSDNDYAGIGHQPIVPVSGKRDSFIEAETDNWERPQMEYRRADGRMS SKTQLSV TSTA_088760 MEKTSTEIAEHSQRPYHYTPYIDINLQFDPLQADPLLQKSLVFE DSDDDSDSRVDHLEADGTFTDTENEYRYHGFSMTKASSYQHKRKLSPAEVFPDSISDI SGRFDDADEDVLQEQTAEDTTSVHSAKRGRSNGWPVQNEIAYDENGMKIDLSARRSTP TLGKNKHTPVLRIRRSRFIEGSMNDRVSEKPPSIFFLDDRQTHADVGQTENRKSLEKR SSGIFRFGKAIASAFHPFGGSKGNKSEQDAAAKSQKEIMKQRQAKAEKAYAELKKSGY RGTNTTFSTKNQVDAGVADETWKSIQEKMGYKLANESRISQDDTLVGISPDGLGPISP ERGVLKLKSFSELRKRTSNLSIPAIRFRDVSPMSMRASIERNDDRDHQQMERRQSRRE LHKQAKLLKRVSNLEDKLERARRELREYVPDDNQPPIPTICVDDEHDRQFRQGLPTLL SERVLEAGYVQPGTSQRVTKWPPIDSLSRKRKSPIPMPTGTIDLEENYTADCGNPLPD GDDDDEEEGDKSNEKRLRNKLKSGRDKRASSLTTKASQRLKAKQSSRNLRADAVASKE EEGKHLQEQEGNISAQKKKKNKGWTCSSGDGYENIPPVPPVPKELLDSTKSGVSPKKL TSTMKARIPSKEFAWPEDIF TSTA_088770 MTGQTSSADAALERMGYKNELPRNLSMLSVLGLSFAIMAAPFGL STTLYITLVDGQSVTIIWGWVLVTLISIAIAASLAEICAVYPTAGGVYYWSAMLSTRK WAPLMSFIDGWLTLVGNWTVTLSINFSGGQLILSAISLWNEDYVPTPYQTILMFWAVM LVCALVNIFASRWLDLINKVCIYWTAASVIIILVTLLSMADHRRSGEFVFGHYDASSS GWPNGWAFFVGLLQAAYTLTGYGMVAAMCEEVQNPHLEVPRAIVLSVVAAGITGLIYL IPILFTLPSVDILLAVANGQPIGLIFKTVTGSAGGGFGLLFLILGIMFFAGIGALTAA SRCTYAFARDGAIPGFRAWQKVNKSLDVPVNAVILSAVVDCLLGLIYFGSSAAFNSFT GVATICLSTSYGVPILISVLRGRRAVKHSSFSLGKFGYAINITTICWIVLAVVLFCMP VSLPVTPSTMNYASVVFAGFALISVIWYFAYARRHFTGPPVMADLVDEIGDSGTPDVG VVAGKAVIDTEADPVGEPEKVDK TSTA_088780 MTTALNQKAPAPAPAPALESFHSVETTAASITPPNSADGKKNVA EGVPSELSDLDLDHHAAAASVEAVEEDIEPDHYYEGGRIPVFKPTMDQFHDFQAFIQK IDKYGMKSGIVKVIPPQEWRDSLPALDEAVKSIRVKNPIMQEFHGAHGTYTQANIEKQ RSYNLPQWKALCDDGSHQPPARRGERRRTQEKAPRPAAVKTHTTTVRSGSQKRGPGRP PKRTREVKIKEESPVEDQSEKSRLEGPPTPVSPESNPVETKTEDLSDGEALPAAKRKG RQPKSVSSRRKHNRGEALDQVDEEAFKGFDYRIHDNDEYTPERCDELETAYWKSLMFN NPMYGADMPGSLFDDSTTSWNVAKLPNLLDVLGQKVPGVNTAYLYLGMWKATFAWHLE DVDLYSINYIHFGAPKQWYSISQEDAPRFEAAMKSFWPSDAKNCDQFLRHKTYLVSPS ILKTRFGITVNKVVHYEGEFMITYPYGYHSGFNLGYNCAESVNFATEQWLDYGRIAKK CNCEPDSVWIDVNDIERKLRGEPTPDYYDEDIDSDLSDDDGASDLLTPPRSVPEKSSR GRKRKHEGDEPRSKRARMQNHAIRKIPCVLCPNDMDYEDLLPTEDGKAHAHRRCATYI EETSILRDDSGREVVCDIDKIPKARMGLKCLFCREVRGACFQCNFGKCTRAYHPTCAL LAGVQVEFGSILVIADSGQQYYVPSVDLKCKYHRQKRLGSSESSDEDRKVLQAASRLS MGDLLQFQADKEINGAVVLENRPVERMLKLKVLPRGDIIELPYRWILVVRKTNFAPLP PGTQPLPAHLVRKAETHRDLSTTLPQPGTPFCDANAPFQWAEFETAPLPAEAIRVQVD IYKPEQMWYYLGESSTECRAQFTHNPSVTVHNARSNFLEMVKALSVSAGRFRQSKHPH HLTAPPNTTLPSSSSSLQRRSPNTAMTPAYRHLPPHHHTLHHLNNANFYTANAVRPNP YAAFPKPQPDPPTNTFANVRELIARRRLAQMTDHANILAGYTIVSPELVVETLLGPMG SVPPTSGMEKLELAMAQQRVQPKASDGTLLPLQPLNMRSEEVTRLLRMLRFSVVTHRD QLDVIPKREPDYVKQQPFDRPNYAAGRVAGRFAYLEQQQAQTPAVYKSPYESPFGVAE WAKKEYNLVDEEPFSKPSLANDYFASLSPADQEKIVKACGSWVQERIVERAPSHSRQS STSNFRLSTALAQQNNSNNNNPIIDITAVDDLPMNGMDRMDRLDRLDFPLHADSPTSS FSRPHLVGFPSPHDFNSHGDHDSSIVPRHLSDHHDLFGDQQANTRFWQHGPWGPGGDG TTPIEENRPFFGPHERLKHDYASSELSLGRGPGSLHSVDMAGFGMDTTEDLCEVLSP TSTA_088790 MAPEINPIETQVSHSVQDEKRNTVRALVEADLLDERYTSTERGL RSRHVQMMALGGTIGTGLFVGAGQSLAIGGPASLFLGYLFISCLVYCLVTAIAEIGAY MPVHGGTMSYHGFRYVSRSLGFAMGYLYWYSIGILVPYEITAASLVINYWNPGVNVAV WITVMLVVIVALNFLPVKFYGESEFWFASIKVLTLIGLLMLSFILFWGGGPDRQRLGF HYWKDPGSFNTYIVHGDAGRFVGLLQCMVSSAIAFIFAPELIVISGGEMESPRRNVPR AARRYIYRLVFFYILAVLAIGVICPSNDSRLTNGGAGAGSSPFVVGIKNAGIPVLDSI VNAAVLTSAWSSGNSFLYMSSRSLYGLAMSGNAPSVIKTCNRWGVPWIAVSCSAMFSL LAYLSVGTSSSVVFNWFVNFTNTSGFISWICCCVVYFRFRKAAETQGVERPYQSRLQP YGAYIAIVGCVFLTLINGFTVFFPSKWSVSSFFTAYIGIPAFLVLYFGHRIVFWKDPW AWRPEEVDLHTGLEEIMAAERPPPVRDTWWKKLMPDGTGVLKLDPWLEPFKDALKTRF AYAQSWISKINDTEGGLEKFSRGYEKFGFNVKENGDVVYREWAPSAIEAHLIGDFNNW DRKAHPMKANDFGVWEITVPAKDDVPAIPHGSKVKITMVTRAGEVIDRIPAWIKRVTQ DLDVSPVYDAVFWNPPPNERYTFRHDRPKKPASLRIYEAHVGISSPETKVATYKNFTT KMLPRIKYLGYNAIQLMAIMEHAYYASFGYQVNNFFAASSRYGPPEDLKELIDTAHSM GIVVLLDVVHSHASKNVLDGLNMFDGSDHLYFHSGGKGQHELWDSRLFNYGSHEVLRF LLSNLRFWMEEYKFDGFRFDGVTSMLYTHHGIGTGFSGGYHEYFGPAVDSDSVMYLQL ANEMLHQLYPETITVAEDVSGMPALCLPLSLGGVGFDYRLAMAVPDMYIKWLKEKQDD EWDMGNLVFTLTNRRHGEKTIAYAESHDQALVGDKTLMMWLCDKEMYTKMSVLTPLTP VIDRGMSLHKMIRLVTHALGGEGYLNFEGNEFGHPEWLDFPRAGNNNSFWYARRLLNL TEDHLLRYRFLNDFDRAMQLTEEKYGWLHSPQAYVSLKNESDKVIVFERAGLLWVFNF HPTQSFTDYRVGVEQEGTYRIVLDTDDTDFGGHGRNQKETRFFTTDFPWNGRKNFLQV YIPTRTALVCSSYLYV TSTA_088800 MAYSRLAVKVFNMTSSGSEAILPSTMKLSGEQTQPEEIKPQQAQ TSSTPTSTPTPAKKGVTFAYQDSLPKLPIPDLESTCQKYLESLAPLQSPREHEETKAA VREFLKTDGPELQERLKKYASSKTSYIEQFWYDSYLNFDNSVVLNLNPFFLLEDDPTP ARNNQVTRAASLVSSALSFVRAVRREELPPDKVKTTPLCMYQYSRLFGTARVPTENGC IIGQNATAKHIVVLCRGQFYWFDVLDDNNDLIMSEKDITINLQVILDDAQQTPIQEAA KEALGILSTENRKVWSGLRDLLTKDPGSNNAECLHIVDSAIFVLCLDHMEPTSTADLC GNMLCGTSEIVRGVQIGTCINRWYDKLQIIVCQNGSAGINFEHTGVDGHTVLRFASDV YTDTILRYAKTINGQALSLWASNSPDPAKRDPRSFGNVSTTPRKLQWDMIPELSIALR FAESHLADLLHQHEFQVLDFSGYGKSFITSAGFSPDAFVQMAFQAAYYGLYGRVENTY EPAMTKMFLHGRTEAIRPVTNESVDFVKTFWGDNPAELKVAALKKATERHTAITKECA KAQGQDRHLYALFCVWQRSLDGTSSVDESMALDDRASSDENGGYTSSSSGRSISSGRS NSISSMRARPTPPPTVPAIFNDAGWDKINNTILSTSNCGNPALRHFGFGPTSGDGFGI GYIIKEDSISICASSKHRQTSRLMDTLESYLLEIRKLIRATSRKTTSPRTSRAREMEI LSERLENRRGRLIRQDVSHGRGGTETPTTDSGDVEDDGMGGYGFFDAGMLLHALKGVH GDRQRVGGEKVERRRPVGKKLQLAEY TSTA_088810 MEDINARKTPVVQALEHQNTEKGSITDIEKQPQYKNQAKVRSRH TIHESGIMLLWIGVIISVLIYCSKAASTRPVNNSNDNDTTRRATNNPNDYTQHVNIWL GTQGGGNDNPAVSRPFSMIKLGPDLFVSGTDAYSGYLPNGAFSGFSLMHEQGTGGAPK YGTVAQLPLVGDVLQPLSNLTVGRKGADEGSVGYYRATTSQDVVVELAAASRAGMYQY TFPSDGDDEKNNVLVDVSHILPSYRGMGLSQGYAEGEIRVAEDGHYEGYGVYNNGWNR SPDWTIYFCGYFDTAPVSNKTYTATDSTGSIEQPSGHAQSNSSAVRIGSLFTFNSTQV TSRVGISWLSTSQACTNLQNEIPSGTEFTKVVNDTKSIWNEQVLSKITTSATNSTTLE LLYTSLYYMHLIPTNQTGENPGWTSDEPYYQDIFTFWDLFRCGTSLMQILQPIAYEEQ IRSLIDIYRHEGYMPDARSSNYNGRTQGGSNADNVLADAYVKGVRGKINWIDGYAAMV KNAEMPPPNTIPPDPQAPDSSTKEGRGALPDWKAYGFITPKYTRAVSRAVEYAYNDFG LYQVALGLQLTADAEKYLDRSRNWRNHWNPNATSLGYSGFVVPRDLTQGFQDIDILAS GYWGDACYEASPWAYSFTDVHDAAHMIKLMGGEKSFEARLNTTFQQGADGTYIFDPTN EPMFTIPYLYNYINRPDLSVLHSRHIAKTYYNTGVKGLPGNSDAGAMQTWLLWNMIGL YPITGQTTFLIHSPWFESMSIDLGEGKRLNVTSTGGDNNGDTDYIVKSVRVNGREWER GWLSWDDVFVDGGLVEFELGKEPVQWARGDVPPSPASS TSTA_088820 MATETASKRLKTAGPLIGTHNGHFHADEALAVYMLRLLPEYHSS PLVRTRDSERLAECHTVVDVGGEYDPAKNRYDHHQRTFNTTFPNHKTKLSSAGLVFMH FGRAIVSQHTSLPIDHPDVELLYEKLYTDFVEALDAHDNGISVYDPAAVSASGLEKRF KDGAISLGSLVGDLNYPDPVIAGGEPQDEDGLFARASTFIGDVFLRKLRLAASTWLPA RATVSEAYRNRKQIHPSGRIIVLSGGGVPWKEHLYNFETESQCEGESEQVYYVLYPEN SAPDAKWRVQCVPESEGSFVSRKPLPDTWRGVRDQDLDGVIAAESQKAGKEGIPSGAI FTHASGFIGGHQTKEGALAMAIRSLEM TSTA_088830 MANDEYDFLFKVVLIGDSGVGKSNLLSRFTRNEFNLDSKSTIGV EFATRSIQVDSKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDISKHQTYDNVTR WLKELRDHADSNIVIMLVGNKSDLRHLRAVPTEDAKQFASENNLSFIETSALDASNVE LAFQNILTEIYRIVSSKALDQGEGGQNPLGERRQVVEISKTQDTETKQGCC TSTA_088840 MLKGVQPILTQGPTGVSLPSRPSNPDIAMPTAFTYLQKVGNPMP QQHPQSERTNKPHPTRTDPDNAQTTSKSRVSKKSDKRGRPKKISDDLTQKMIMLLENS NGDVSWEQLGHSVGVDGKWLSKQARETRMQYALAHHKWQNEWRSMLFSDFVNFGLGTT RKAKVFNRKDERLCEDCLQSREEIDKALFHCWAMVGYDYKGPLIFLETEDNDLSAMSQ RDYISQIIQLHVQPIVTARRMIYLDNASEVYEHATAPQNMVHAYLDSLHLPYIQNPPT SPDLNVLKDVLLLLKKRLQKRSIGDQIQLKIAVLEEWDKITTKEINKLVDTMKLRMEK VLTRKGMPI TSTA_088850 MASPPRPQGLGIQTPDLNDVPTSTVAAVEHDEDDISLTSTVESE FQDEYEVETIHAQEIINGQRMYLVKWKGYSDSRCTWEPRESFNTKEILREWKHRKRQI RRGLIQPFDVEAWQAECEALENARQERKRRRREKRARLGLPASENQESQNESQAIAEP TKEPDTVAPSSEASSDEEPLMARRSLGQNSPSNFDNTQSGSPNQPGNIAINNQPKPAT ARPPPTCPIKQTARPTNQTARQTERPRAASPKPVDIKVVDGRIQRRDLANVLNRARQQ PSASADKNKTWKLFQTTHRFEKASRQDPEPNRNDLELQSPKTWSPFQMSSFLRKHQEK DSDNSLFVEQDEVPEAQQTTVPQTPSVSTESPVLPSQQLNAAEPSPAIPPSTLPAGTT LPSNKPTNNSAQGVREGQTKKKSDYFPTKNQPCSRVLNWYPNGTLWVGKRQLRDPTDI LIRLSYGPEAVEIGDTLLCNCSFYAREIIFQLKYDLEIRLRFEELCTLERFRSLSREL NNEVFLNGFIRSYPDTKPGLDGLENLLLDKDIVAIARICPDPSKPDYGLTLICYPAAT RSFQFLNRRNYCAPSGTLRIAGIRGILPRHLREVAPAKNESMTRKISQRPERQGRYED AGKPQVEGLNTWRFPSQAIPSTPRSRPDDSSLGYKERVLLARNSRSEAPAICEDQAPG VPPSGQSNILSNPVATDQDDSADAHFDAMDTSSDSTPEANEDSIEIITSHAPVSNDID VPSPAPLTLNEQYSNQGIEEGQDVHMLDISNPENIKVVLENFFLTNYNITYDNIAAVT SHATVKQANCFYLWFPEDADADFQVLEQFLENHFAIVLSNRKQNDWEKFTKSSSGVAL FHHTFLHYSDMPGFHKLTMGSSFNFWNVSLATAIPNIEPKTHFQRLFPQGTGYLMAED FMLQERDAAIMVLAWFREASILKFPGTIKMMFRPNVLQWLDWMSEEDPRSSAELLPRF AVMAVLIGDTACYGDSSNWPVEARDLDCFYNPSLESPVVSLAEMPKIEPADGFSGPGT NKTQEMEQQKDADLLCEVFAAWAILNAASLRKFNIITHNKPMDRWQKWQHIEIIQGAN DFFRKSNINKDYYAKWLSQSSAALSPSKAAAALPVPASGASATTPTIPHPSLNDRVAP WNSDTQRIPHSKSQLPPTLAPANARRPSLNETAATWNSEAHKLASFNKGPPAASSNRR QSR TSTA_088860 MRRPPMRFPRLAANFGGPQRSYLHTVYRPWRIPITSCCYNSRSF SSISALLSSSFNRSDFTSQPFTGSYEAGLPTSGPLGSAPAFGAPRLTPRMLKQYLDQY VVGQDRAKKILSVAVYNHYQRIMELQRQEEAAAEAMAQKERREAMEAHPLDSHQRTIH IGSPMQDMIESRLGRSSSFTDTSMTQLEKSNILLLGPSGVGKTLMAKTLARVLDVPFS MSDCTPFTQAGYIGEDADVCVHRLLAAANYDVEKAERGIICLDEVDKIATARVSHGKD VSGEGVQQALLKIVEGTTIQVQVKPEKNSSRPAGGAPGSFPPGTAPGSGFNSSSTASG PPPQKGEVYNVRTDNILFIFSGAFVGLNKIIMDRISKSSMGFGQPVRASSISSGNGSS SQDASNETPIPIAPGSEEEALYKKYLPFFTSATPLTSQEEPIYFNPLDLSTPADLQKY GFIPELVGRIPVTTALSSLSHSLLLRILTEPRNSLITQYETLFDLSGIKLSFTTPALH KIASNALTMGTGARALRTELETILSDAMFEAPGSSVKFVLVTENVADRQEKPIYFGRG QGSRFHSMIAAEESDWENKMQRDKKRNSTNHGNGHKSGSKVLSFAEYRNRASTAG TSTA_088870 MVELAKVRSSNKRIATALLSGLSLFGRSKEAADSIIVDPKTTKL EGEIPSSRQTSVSSWRPTKVCEQIKGNETAINLLFQSQGSLATGGKTSEQLHYSVALA HYSRARFIANLLPLLQQATSLRRLLSVFAGTKEGQIHLDDLQAWKLPLSAVRGHMTFL ITLTMAHFADQAPTVSFVHDFPAFVKSNIGRGTKGIIRVANIVTNILEPFISVPPEEW EEGSGVYTVDNLGEEGGPAVVQTLAICAVEMLLRRSGMMWLKNLFVLQVSKLFKSED TSTA_088880 MTCLKFGTLESPKEGTFQRSSSLQIFRSNNSISDVVNLASTNRR LRQVFASSSRVTILMNVADAEFGPIHDIIQLITQNASQPAHLIRSASQSDALLKQVVR IGRVAQKWEVIYPLKKWKSDYENRRLLTDEERFRLRRAIYRLWLYNRAFHTSQYDRFS RRLRPVVVERSQLLHNWSTSELAEIEDVRMVIQDVVQNHICPSNGSIQRKFHKRYPEN PNPLSFNIHLNYRPERSNLSTGSYFGLLENSSAISNYDSLFHNHYHSSNASSSLSPWS KPSSSSTFAAKLRTDLFHDPGQEGWGDEIPHYYVVQDMLKLDPGEVLWLRDHAPLKED VESYVHSLGDWFRNNGETFVDTLLWVMNERGEDVEGLKIAVEDCEMGIVA TSTA_088890 MPPIRKKDPLKSAQIEGKIQLAISDLKNGRISNIREATRIYDIP RTTLRDRLKGIEYKGEKRANHHKLTQSEEDSLVKWVLDLDRRGLPPRHSLVREMVNYL LQQHGKSQVGKNWVTNLIKRRPEIDSKFARKYNYERAKCEDPKIIQEHFDRVRAAISE YGILPEDIYNFDETGFAMGLCASAKVITGSDRYAQPKLLQPGNREWVTAIEATNSTGW ALPSYIIFKAKKNVRLDDWRINISDNGWTTDQIGLEWLKTHFIPNINDRTMGKYRMLI LDGHGSHLTPEFDRTCTENNIIPICMPPHSSHLLQPLDVGCFAVLKRYYGQVVEQRMR LGFNHIDKMDFLTAFPQARTVAYKAQSIQNSLAATGLVPFNPDRVLQYLNIQLKTPTP PPSRSSNTASSCLQTPQNIRQFVRQSTTINKHINERTGNQNQEINQAVIRLSKAYEIL ANDTLLVRKENHDLRAANEKEKQKRQKSKKQISIEHGITSEEAQALVQGHVEASQAVM AAPVEPELPASQAVVRRQFRCSGCGVEGHKINRCPNRTTN TSTA_088900 MASVYKSISKKKQERLVADEVDEDEDVDMNDLIDEETSDSDDED EEEDGSKENAVNDSNGQQTNKNEGFMPKTRVLMLTSRGVTHRHRYLLSDLSALLPHTH KENKLDTKKSGGYNMLLNSLAELHSCNVVFFLEARKRGQDLYLWLSRPPNGPTIKFSV TNLHTMGEMGTGFAGNCLKGGRGVVVFDKSFDEKGPEAVGQTGTEYRGLIREMLRKVF CVPKRGVKGMKPFVDRIIGVFGVDGKIWIRVYEIRESEAGAKSKEEQADGEGNKKSAK GSKTSGPEVSLVEIGPRFVLTPIVILEGSFGGPVIYENKEYVSPNQVRRDIRLEKASR YAQRRDVQTDHEVKKSALGLGKESRKRDALDTREVFG TSTA_088910 MAAEEPQDSAGPSSPPPPLPEGWLAQWEGVSRKWYYVQRATGKS QWDIPTEPVILTPSTTPGSIGNGPTQAPRVGSQSLSPQTLAAESPDLSASRGLFSGNS TTRPGLSGVLGSGGNSAITQIADRVIGRIAKDFIPGKHGIQSSPGVSNNSSSHGSYGS GHNTLQNQAGQNSFGYASTGQSGTYTGSAPQYYPPGQTGPQPSDIPQHPPAGSSAYPS QYHAGNQPVQPNQFSSVNPGSQQPWPTQTSFSQNPHPAYQQPETYGHVNNLSGNIPPQ PEWQAGAHPPVPHSTKPGGPLPQPGSNISSAITNSHPPPPSGNYQPPYHQQAPQHTTQ QGPDPYGHGQYQYPYQQALHGNPSIGGPTQPGQQQQQQYASTYQQHPQVPSSQHSSPY HSNSGPISAPPPGIPNFQQQSNQLPHPNNLISPPNQYPPNNIPNNPPSHTPAFAVELP DNQINPKHQMMNMQNRPPNQHQYGQQQQVYPPQLDMPQKPHQPPAPNSAMGLDIRPSP TPMRHTPTDPSFVSGPWTSPPATIGHHYPPNRYNNPGGY TSTA_088920 MPPKKAAETTDIQVDPGKPDETAMLFAFEVLRNINNNGQLDIAA LSKTMGHTNVASTRNAFARYKKRWGFGNIITKSAAGTSTPPEETPCASMPSSSPDFIF QEMSNTYMNYTTGVLKPNNDANKVTKKGGRPAGMSAKGVSSKKQSDPVKTEVSSEVKA EQSDED TSTA_088930 MAPLSDEDHIVFLLSCLRNSAGPVDFNKVAQECSIVSVGAASKR LSRLSQKYQNGVVGGGDTGSNEEGGVKLKDFGDNEVSPSKKTPKKENRSGKGAVKIEK SSSKDVTAPRAVGVGKKRSPVKSKANATAAFTPAVEGTDLKTDDGDHGYGDDDADAEF VPGFFNEEIFRGMVGGEHDDTD TSTA_088940 MESPFKAPVPQPADASNSIVIQQFARYPPVFETPMRSDSPGSTT SRLAASQSPPLRRKETPRSLQSSPRGPLSGGVMPPSGLRTGISMSPPMTDLASRQTFP RDSEGEGDADHRVLPSREVTDESIDDAYVAFIMYCNPNVPASTDSSELRKTFRVPPRS DGKNFSIYVLWELIRKFDRKEIKTWIQLAIELGVEPPSIEKKQSTQKVQQYAVRLKRW MRAMHVDAFFEYLLGHDHDYYKQLPLSNAPASEDRDGVPLEEDLALRALVPEWKPKRG RKRAEDKEKEDSRFTKRPQLDTSMAILDNNTLAAHAANFPQSAIPFSAFPDDMDAPND PWVATASTFGTDQNSETISGQDLRWRPFEGDGSPPGFPRSAIIPRNHQNEPSSVVEPR SALTPSTGEKSRSRRRHGPAVSSAWPNTSGSMTGKIRGRPPNRGSAPGGPFSSFPVNP ARSNTPGDGPGLQSSPAIGLEHLPLAPPRNSMSNSVSQINTKPDKLQLHVPQINGRPV RLATPPTVVLNGINDVSNNFNSFPGRRDSVATSIDADDVASLPSVATERTAQPGKVSI NDVTRAVANKILRSKLIGRTIPIGADEARSLAQAAVQRLGNIYGMLPMDSVAMFCALY FGVAQKLGLARASPATLTVQVSASTSNGSDSYAVYSIFIEDDQPGQGFRFNTTLSGLT LSLNRADSTFKNNLESTGFQTDEDEFENDDFVGGGSTDEGSWKQRYMRLRQQMRRKEA AIREYKKNILQSVMADL TSTA_088950 MVSSRRFAASTTAVETQSHPVRSSRRQIASYNETSATDSSLSED DQEDSDTAATWRRSSTRRRNTRNQSVASASSSRPKRTVSKPRTYQEPSSDEDLDSVIA RYPEMVTPPSRNSQSASQPSRTSARLRQHHAEASPTKRKRTPVQSPRKRRQAESTTEV NQSAPPWQTLPYQVLLSIFLYAFPPLVDTRQGVETQSAKKLLDIALLCRSFADPALSA LYHTLVLMGSLKAHRILFLLSQPNDRLSVNYPAKVQEVLIDVRLTLVYKTGPTYGYFD ICKLIQKVPHIKSLRLFDSNDGKQPLWADRTPRWNYPSALFETLKTSNVRLHTFDWNI RYMETRALIDTMLLRHHETTFQGIRSLNLFHIHSDEVVPSRMEEYPDEPAIEKGLGEA LCLLPDLQVVSFTDCGAVNEVLFSAMPSTLSSLTLDNCDEVGTTAFSAFLTDRGSCLR QLVLKHNRHLNMSFMTILRDACPNLERLSVDFLMHNWPPHYNGMPHFKNLFKIGEVPT WPRTLQEIELLHLRQWDKPRAATFLTSLVDAAPDLPDLRKINIVATVQMNWQDRASFR QLWEDKLKRTFLRKDLAANTTSDTIHASRGVTGQRHSHRHSARVARQRLAGVDNGEED DEMEETGHDVNSDNAEDNAGSSNVYVQGMCDSVTFRIDALRPAENQYSEGDFLDSEQS GDEDWEGEDWDPDNDRHAW TSTA_088960 MVNWQASDAGFRFLAAVFADPSIRPDANQVAKLFGRGATSRAVD HQFSKIRRASKELVAEAQKNGVEISATPRAARTPRTPRSGGVFRSGASTKAHSKDKGL DTPTKSRKGTLSAGGLSMMDAITVDTDKDDESVISVAKFDTMSIQSDTKEESEHDTVE PKREADLTTPSIQLFGQRPTTPRVDSRSNGYVLGTGKKSTVTANVASEFSMDDIFGNY E TSTA_088970 MAPAKDSVKKRITWTEELTQKMFISIINTLEPSQINYAKVAEQL GEGHTRDTVYKRIYDLEKRYSNQGDGSTHIGCVILKAKHSHLTEEVKTKTNEELEEQV FNLRAKLFKFDRESHE TSTA_088980 MVNWTDSVNGKFLIMTLQEVTPQVSGEIWEKVAKKMGPGFSANA CRQNYAKLLKAQSSDGTVTPSANSSTPKSASRKRKEAPETPSKTPSSRKQKKMTPAAH LGIPEEEAELKPPQTPHLGVKKQLFSEDSD TSTA_088990 MATIRRSKTMPTEGHATRFLYTILKQLDLKTIDWSLVATELEIS NGHAARMRYSRFKQQMEGLTTTASKPAKPKKANGKPEYGKTTKQSTKGKSIYDKGKSF DKASGYESANYENSYTSDSTFKKRSAPDEFMKQESKMNYGVMGVEKMNSFTPVIYDPF ANAASYWTPSGGPILSSDPATFPYMTMPDLHQQQQQFRVDPYANLYLAPMPPLHSGED VNMAFNPGWAPQIFDHMFNNNEQFGQGHPKAAAPPTVTTAANPPEWNDQMDFCFSGCC QRPPPYPSPPSLSPDVPPTDQSGDTLMSAQPEPWVPPPQQNQWVAIKPEPGEEGGSDD IFVKVEADA TSTA_089000 MKFTDGMWCLREGISIDWMSNVERLSVEQDVVHLLLNKYQRHRG DTLNSPTISATVTSPLEGIIGVKLVHWAGQNDNGPHYQIASKTGHTTIDQTDGLLLYQ SGPASLKINTAPNELGFTWYGDSGQTLTGHSFRSIGYVRDRTTDRTRWTDGLYVEHDG YMLAELNLGVNEKLYGLGERFGPFVKNGQRVDIWNEDGGTSSELAYKNIPFYLSSKGY GVFVRHTGKVSLELQSERTTRVNISVPGQELEYYIIFGSTPKEVLRRYTALTGQPSLV PTWSYNLWLTTSFTTSYDEKTVTGFLDGFRERDIPLGVFHFDTFWMKSYQWCDFQFDK DYFPNATAYLKRLKERGLKISVWLNSYIAQASPLFKEGKEKGYFIKRLDGSVWQWDMW QAGMAIVDFTNPEASKWYTDHLMRLMDMGVDSFKTDFAERIPFQNVKFYDGSDPVRMH NYYSILYNKAVYEAMIGRVGKAKSLLFARSTAPGGQAYPVHWGGDCESTFEAMAESLR GGLSLMLSGYIFWASDIGGFEGTPLPALYKRWVQFGLLSSHSRLHGSSSYRVPWIYGE DCSDVLRDSVKRKISLTPYILQEALHGHQTGVPLMRAMFLEFPDDLNTYTIDTQYMFG SNLLVAPVFTEEGTVTFYVPCNEEDNHRGKWVSWFDYNKTYEPGQWYTEKHDFSSLPI LIRPGTVTPINPKLKSPEDDPLDGFKLILNGSIDSENYKIEIVNPENTHEVLKVIDIC KLIDDSEMSQKTTRVYTYF TSTA_089010 MAPFAYEFRGNGDRPRGGDRNGDSVRGGDSYRPRRDDGERDRTP RHQFTFRFPKPTADRPLLSRKRETTPELLVGQQEGQDQPRFKFAQLDELTDSDEAAME ESSSENEDASGSDPPRKKRAIEPAVTAAPERPKWSNPDPYTALPPPDETQTKRPDFVK LIRKARISATAAEPLIGGDAVTTNEDFISLKADDDDLEEDNSPPENAPLGPKNRQQPQ TEGVSAGKKRTRDDQIKVFTGKSGKISFTPEGDIVSIWKARPSDNGSPWLELMEPTMH IGTRLHNEILAFYDWVKPRPYEDVIRRDLINRIQGVFDSQPKFRDMEIRSFGSFASGL YLPVADMDLVILSPSFKRYGRETFVPYRRSSAGRISIYEVARVIERANIVASGSMEII SGARVPILKWIDRLTGLRVDMAFDNDSGIRAIQTFEKWKEAYPAMPAIVAVIKQFLLL RSLNEVPTGGLGGFAIICLVVSLLQHMPHHLNGYGPSLGSILMDFFDFYGNKFDFTSV GIRMEPPGYFNKVVYSNEKKVRLSIEDPNRPENDISVGTKEIGLIFRCFSKAYDVLKS RMTSLAVSQNQTHVCFLDTIISACYDEYADQRAHLRKIFEVEPRFIPYRGMLTPPPPP LDSPSDSEYDPEHAPVPPPPPPPSARTQPELKIKGLGTSNINEDKTAAKKQKKKKKKA PKQNSKAKKA TSTA_089020 MVDSGHMELTSFILDAVIRQSGTGNADIRCFFDLVKLINKGNHS SGFERYTAEAKAPHIIGLQLHHMTRSQLIAGHPLPVDQALKEKAHKMKASIQQLLRGI TLASLTKSPNEVPLCHCLACRATSGVLCTSYYSLLTPEPTLPPHSKLHYSNELLFSNP YLREYVQSTRLSRWFCGICGGHVLAQLKPENRYLIAAGLVVPAALPVVSDAGCVAVHW GVEGTRDGGLSVFMPGSVNDKGTGCLVESVSTASTSIPPSTLRDHNVGSQGKGNAGKD HLKAECHCGGISFTVTRPNDQSKEPWSPWPDMIVPYHSGSPKNTEDVKWWLRANETKY FAGTCACNSCRLTSGFPIQVWAFIPKANLFINQVDTFTYETGTMKRFESSPGVYREFC STCGATAFWHCDERPGVVDVSVGLLRSIAGARAGDWLEWATGRVSFAEDAQVKDKGLI ELLERGLKSFTDAQNE TSTA_089030 MRSTANLFVLLGISICTTVIAASGEASSRPRGVGPEFAKFYKDT TTFTCISVPAIKIPFSAVNDDYCDCPDGSDEPGTSACSHLSRLSPLTSADHPGTDDID LTPALPGFYCKNKGHNPAYIPFQRVNDGVCDYEICCDGSDEWAHPGGTKCEDRCKEIG KAWRKSEEARQKSLNTALKKKKELVAEAGRLTKEIEDRIVDLEVEVKAKESKIRSMEV ELEKLEKEDRGKVVKGAKKGKVGMLVGLARMRVNELREALGEVRQQRDESRVRVKELE EILSKFKEEYNPNFNDEGVKRAVRSWEDYAARGTAGDYSAEAAKDRDLNEIAQPDSDS SGIDWAHWENQDESEIDIFYKLLAYFPPVVVEFLEDKYTSIKRFLVDNNIIPGAENEA QTESKVVTEARDALRSEQSALEQTLRSINDHKADLEKDYGPDGIFRPLKDVCIQKDSG EYTYEHCFLAQTKQIPKKGGATVTMGKFHAISSITVDDANTAGEIRQVEKIALEYTSG QQCWNGPARSTTVILECGEDNEILKVMEDEKCVYSMLVTSPVACVETTKEKTKKVGKD EL TSTA_089040 MGNDGGSIPTRRELVREAAKAPSVTQVKEAQREQLEHYWTTCPL SHKPLLRPIVSDSVGNLYNKDSILKYLIGTEDDDISSKADCDEILQGRVKSLKDVVEL KFDIDAESDATKEGGERWVCPVTTKQLGPAVKSVYIVPCGHVFAEEAVREMKGDTCLQ CNEHYSEDNVIVILPTKEADKQRLMARGRKLAEQGLAHSLKKLSGSKKRKKHASGNPS AAEEPAALASKSKADSTDQVARTVPLGIKNASTALLTAKVLEEEQEREKRQKMARSKN LQSLFHNDNDKERPKIKDGDFMTRGFSIPANARR TSTA_089050 MLRSSAEKVEATQTTYRKNTRTMRPPVRLLKPLEAPSLRNSKKA LYVCSNCRYDASYLPSSSQRRHASGSGNTPFTDKLRRRIWGTDNPPGLEDPYGGEGVI AKEWAKRKAQYMGKDAQQKQKGEEQNAVELPRADEIEPEEDESLLPDFTPATTAHDLP RMGHLSQWNDFPPTEGDVYRPFISRQKALTSAHFRSAAQSVAIELSLLHELKKPLSLA CDVPRHDEMIWEMIQKCQINKSSSTTSLDAILKFPNKEIKDTLLFVFNQLGEIPEAQP EATVEATEQPETEELKTEAAVKEEIEAEEVAEVEEPQEIDDAVYSYALKAKTAPEHEG YLKFSLSDPDVKFAFFKRYSQITGHHMQDICAYKAKTIQDVIDHVEKIYCRPKKLATE LQMLKKELDIPNLHIHSKRYTKAVQDREVGRAKLVEAALRERGLLKEQQDKQSRFQL TSTA_089060 MTAPIPRGLKQVLQKSPSDIVILSSVRTAVTRAKKGGFKDAYPE ELLAHVLKATLAANPNLDPKLIDDVAIGSVLQELGGAKAGRMAQIHAGFPHSVPFHTI NRQCSSGLAAITTIANGIRAGAIDVGVGGGMESMTRNYGSRAIPTVLWPELKESPSQD ARDCIMPMGLTSENVASRYGISRADQDAFAADSHKKAAAAQTSGLFDDEIVSVPTKFF DAEHPGAPPRDITVTKDDGIRPTISVEKMATLKPAFKENGTSTAGNSSQVSDGAAAAL LMRRSTATELGLSSSIKGRWVATAVAGVAPDEMGVGPAVAIPKLLAQTGLQVSDVGIW ELNEAFASQALYCVRKLGIDEAKVNPKGGAIAIGHPLGATGARQVATLLPELARTGQD IGIVSMCIGTGMGMAGLFEQVIKMSKKKAKFRVNKEFLQTLLSLLELSNAPSSCNDAA DAVFGAIDFENADNIIKGNSSEVGSQIGLAIMDTKHQKNV TSTA_089070 MSSVLAKRSREEDDLSPFGYGYKKSRVDQNPNAISTSFPSARFP FSNPTLSPPLQPTEPSTEIGSNITFEDILPEPFLQWREEKKQPSHSSLQARRPPTLTL DTAMDVDMVPPSPLPNGDNLSPWPLSVRTKAVSNHLQPSPIPHHLINQSLTISGGRTS TPIYSHFTLNMNTELMSVPSADITSAAPALPLQNTNESNWWRRRRLPSPISEAGDILS EAEPTNNISKEAGDQNDFPDSPSSMDVDGDDPPSNIFLRVPGQKLGFPTHIPSAETET NGIPASTAIAEPMSKRAPVTAPRREKISFSMGYRADCEKCQMKVPGHYSHIVRS TSTA_089080 MPLVKAKTDQNDDTRVLGYDPLLSPHYLQTQIPSPATSVETVKS GRNQAIEIIEQRDDRLLVVVGPCSIHDPEAAIEYAHRLKALSDKLAGDLCIIMRAYLE KPRTTVGWKGLVNDPDINESFNINKGLQVSRKLYADLTSLGIPIASEMLDTISPQYLA DLISVGAIGARTTESQLHRELASGLSFPIGYKNGTDGNLGVAIDAIGAASHPHHFLGV TKEGLAAITKTTGNEHGFVILRGGTKGTNYDRESIKAGREALRARKQREIMMVDCSHG NSKKDHRNQPLVAKEVADQLREGESAIIGVMIESNINEGNQKVPPEGPSGLKKGVSIT DACIDWETTVVVLEDLADAVRARRATNKSKTNGSA TSTA_089090 MSRSAADATRFTATGPYVSSKAPYQLPESLKSNKQSTTSPTSPS SVSYTSPNNQNASSSPSGETPREKVERLRAQARAARLAQNGNAFDRIIEKGRRVANSA HKVMIYTLITASGVCGALTLYSVVSLTMWNRRQRELWLDRQLQDLQAAREAYVKGTAT PEQLEILRNEKIGEIEKQKRKEADEQKLWNRAKRFLFDGLKKEDVSDASVSTASGAGT TAAVSELINNKTASEQQTTSFGILEAVNAKKAESAQKHAASTQQPGSLDVLAMNAETT AKQSVKSWTSWIWGR TSTA_089100 MSSSNPDLSSVLRTLSAFANPASTPPPQQPQHHKRPTHTTSTSP QRPTPSLNPELPPPSAITTWPSALKHVMHLTSQNKDLSVRIQHLIKSQRDHERTWWKA REALIAKQASREEKKRRLEEVLFVFIPSFIQVLERKKEDNASELKAYDSKVYQASLDM SRSMETELRRLGVPFFCIDRSLVLEDYEGKGKGKERTEGESRSTTLDCNKTSKISSKE LEALKKRVLDLLVDLCS TSTA_089110 MTSSLVKVLHRMQELFHNLVAALESALFGETSSRRQRSVYGHGR KRRRSLDELAQEVDRLFNAHLDIQNMLAMSARIKDQLRSCLQSNPSCMLPSYNHALPT GKETGTFLALDVGGSTFRVALIELSGREHGMKIVSSTSMVIDEDVKALQGTDFFDWMA ERIDKFLTGVDAKYGREEAPLSIGLSWSFPVDQTSASSGNIQGMGKGFRCSDSVLGQE LGGLIVSSCQKRSLNVRMDAIVNDSSATLLTRAYVDPKTRMSLILGTGTNAAVHFPVH EIGLAKFGARPPGWFDRAKHVIINTELSMFGGDFQPLEYMITGRYLGEIVRLIIVEAV ETASLFGGDLPHSMKEPYSLDTAIVAFIEGDTSPSLAPSAALLQKNHTFSATPTVEDL KFIKHVCKCVSNRSAGYLSTAIYSMWCLRNEAEFPVGSMTEAVKKIEPQEVTVTELEQ PTKTLSIACDGSVINKYPGFRDRCQSYLDILTRESADAGTQAEDNPSITLELAHESAI FGAAVAVAIAVTERESLILSR TSTA_089120 MSVERVKDRKDEEIHEGDHVWTRYRGGVREGEVQKIVMDEHEAR QEEVANPPKVIFIDQHGDRVAHNPTTLSKTSAS TSTA_089130 MLDSIRRAFKSMVGGHEDIYALDHASTNVTLPPEHMWMNMGYWK DAKSFPDACEALLEQVLIAAGLLNEDKSPVDAGTLLDSTEVQSKLEQGRHATFKLVDV GLGKLHRSTNTYFKYDEKQTPISLFDSYVGIKITPAQVDVARNRLETPPNSKPTSPTG WTPNVQVFATDAGQPASWDHALKEAIFCAELNPYTAPPNAKEHTWLPGLDTVYHLRPS HIHFWKKIRLRLMCLAAGIPYANLMTKEE TSTA_089140 MSTSASSPPPISHILETCLYVRDIEASTNFYKSALNMEPFLNSP RVTAFNLGSTTLLLFKLGSTQEDITINTEKSHGIIPSHGPKDSNILNEVQRRNSLNQH FCFAVKSPQDVEEWHKHFQNRGVRVLGTMDWELGGKSVYFEDPDGHVGEIGSRGIWKH Y TSTA_089150 MITVTEGQAHAFYVLKYEANRSGSSLVVPSDQWKLLRSRLNNPN HPANSGSLIIPIPGIDKMMVTTQQQVQAGIKHFEKGKSSPREEPTNGQVPDLMSRRII KKVLQEGVLYLLAVNLPSDDEVQESVTQLEHIIAGVETTVFSQ TSTA_089160 MPQASAYYRVDKPLLSHLQTSYTPQIVVAGNGIDQVQSNEVINH IEQQHDGDTIKVERGPEFNYANNLNQDEVIRELDEIIDSARLAPTEETKAIIRDLTAW AGQPPVQPIPRLPCPFIIPQRRPRKRGRGFVRACAPVLADCGVSEGLFLQLLEDYDRV NEASHWIEVFYIAGSVLSLVPNLAAQITSAVVQVVADRARELQKRHR TSTA_089170 MAPSRKTATTAEVALVPLKSCLVNLPTPVVSLLVNANAAAQNVI VELQYRPSNSQSTAQKSAYLGWTGMPSKRKLAPVVSRDGINSNSSAREQEVSSIEIDT TFARVLGLAEGQKVGLFLHLDNPIAQTVNIEPLTPADWEIIELHASFLELNLLHQIRA LPNPGYTSPGSTPSNHLHPLTLHLSPTSTANIVVTSLTPAPPSTSPFAKIAPDAEVIV APKVRPKSGKANRGENRSTTSNSRKSVGGRSSSSTVRPKTRASESDEHAVYLRGVDRL HVEDWFDEENSGHSNDGLKVWVDRSVLSSRELRGNQWVAVSIIQPAGLQPPVDPQQQL QQKEQESGEAGRPSAKIVAKIQPWDDAPDSKHVSLSSRLCEALNCSGIMGGIVRVEAA PAPLSPSSIKTIKIHPFVSDIFKKRDGLKFGGETAASRSALAERIKFIFGSTGSDSGF MSGPLTDGMLLPAVDNQFSAGAFDGGILRFDPPLKSTEASSVSGWILGSESKFTIDVQ PDVPKPVDATLSSIPTDERISVSPPAMVGIDSLINQSLTSLSRGSSILLTGGLGSGKT SLCHLLAAQLREEQLCNVTYFPCRKLVTDETRVSTIKETLTRLFMSASWCARLGGTAL VILDDLDKLCPVETELQVGGENGRSRQVSEIICSIVRQYCTTTSSVVFLGTAQSKESL NNIIVGGHVVREILSLKAPDKEGRRKVLDKLMSQDKDDYALKGHHRNESGSTEESWLD PSNPGSRPGSSGADGFVLARDLDLLDLAGKTDGYMPGDLVLLVSRARNEALIRSVQDT SADSTKIALTMGDFERALKGFTPASLRNVTLTSSSTTFSSIGGLHATRQTLLETLEYP TKYAPIFAQCPLRLRSGLLLYGFPGCGKTLLASAVAGECGLNFISVKGPEILNKYIGA SEKSVRDLFERAEAARPCILFFDEFDSIAPKRGHDSTGVTDRVVNQLLTQMDGAEGLS GVYVLAATSRPDLIDPALLRPGRLDKSLLCDMPSHDDRIDIIKAVSKKLKLSPDVESR VGEIASRTEGYSGADIQAVIYNAHLEAIHDLLGDRSMSASNSTTKKTNGTHANGTDAS SKSFIQFLYSEQEADKSNGGVNAKLEPPAVIAARLAALKQARRRQRQAEHGTHADTAE GHEQQRANGTVVDDARDEVIIKWEHVERSLSTTRSSLSDRERRRLEAIYREFVVGRNG EMPNGEGAREVGGRTSLM TSTA_089180 MALLQYPAPVDYAAQREAFKDFLKGFKSFESASEAAATEAIQGL RIDGDRTEDEYDFMDDVETQGGAPRDPKLKYMRILQDVANRDRNNILIELDDIATYEK SLPEDTDLKLVESIQSNTKRYVDVLSEAVDAVMPRETKEISFKDDVLDIIMSQRDRRN ETVRMAAEAEMDASPPQALFPPELTRRYTLNFKPLTVSESSSGQGSKALAVRHVRGEH LGSLITVRGIVTRVSDVKPAVKINAYTCDRCGSEVFQPITTKSFLPMTECPSEECVTN NSKGQLFLSTRASKFVPFQEVKIQEMADQVPVGHIPRTLTVHCNGSLTRQLNPGDVVD IAGIFLPTPYTGFRAIRAGLLTDTYLEAQFVTQHKKAYDSMIMDSRTIKRMEQYKNSG QLYEYLSQSIAPEIYGHLDVKKALLLLLIGGVNKDMADGMHIRGDINICLMGDPGVAK SQLLRYICKVAPRGIYTTGRGSSGVGLTAAVMRDPVTDEMVLEGGALVLADNGICCID EFDKMDDSDRTAIHEVMEQQTISISKAGITTTLNARTSILAAANPLYGRYNPRISPVE NINLPAALLSRFDVMFLMLDTPSRHDDEQLANHVTYVHMHNKHPETSDTGVVFSPNEV RQFVARARTYRPVVPKEVSDYMVGAYVRMRKQQKKDEGSKRQFSHVSPRTLLGVVRLS QALARLRFSDTVVTDDVDEALRLVEVSKASLSNESSTEGDQTPSSKIYNLIRGMRESG AAAAEDGQEGELSLRRVRERVLAKGFTEDQLTKTIEEYQELDVWQITGNGTRLLFVEL DGFDDDMDI TSTA_089190 MPVKSPYPDFEIPDVDIWSFLFERKDRAFPDDKNLFVDADTQRS YTFAQLRETALSFGHGLKATWDWKKGDVLAIFSPNCVDTPAVMYGVAWTGGVISPANP TYTVEELAFQLGNSEAKALATQRPLLPIAREAAKKVGLPEDRIILIGDERDPSGVVKH FTSIRNISGTSRFRRPKIDPKKDVAYLVYSSGTTGLPKGVMLSHRNMVSNILQGKNTE GRYLSWNDNADGQGDRILAFLPFFHIYGLTTMIHFSLFTGLTVFVMPRFDIEKFCKHV QNYRITFVYVAPPVILLLGKHPIVDKYDLSSLRMMNSGAAPLTRELVEATSARIKVGI KQGYGLSETSPTTHTQAWEDWNKDIGSVGQLVPNMEAKYMTSPEDDSEPQEVAAGEVG ELWVRGPNVFLGYHKNQKATEGCLTADGWFRTGDVGYQDKDHKFYITDRVKELIKYKG FQVPPAELEGILVDSELIDDVAVIGVHSDEHGSEVPRAYVVRSAVSKASGASDAEEAE KIVKWMHSKVAQHKRLRGGVRFVETIPKSVSGKILRRVLKEQAKKEAEKPKAKL TSTA_089200 MAASPTPSDISLTGLSPTRIESPFRVRGSWSRSGCVTCKKRRKK CDEQKPKCGNCIRLGRDCEGYANLWITPMSTTTSTFKQQKVSKTKRRKLSNVCQNESI PWSPSSESYQTSSPSEKGYCATGPLTPESIVTVDVSETQESADTSRAMTLCSDHGKTF GNSIAYALPLFRPTESFYIQYHVERGSRLVSNLEMKRNPLNEVLIPRALSSPLLLNAL CTLSAGHMANWGSSNRDTLRKAEMMYYGKTLSGIRNALTDLSQQVVMSPAYITLLEEL LATVASLCKYEAVRGSVRSWRGHLEALQSLVSSCGGLINLDREIADWLSGLLTYWQYM ANLITPNLKPRLTFCEGLYTSPKIDIYLGCSEQMVKICSRIADLRRVNDFAFLSQEII DINEYLTNWSCREQSFIIPEGITEAAFERLEMVANCFRYAAFIFLHSSLERLAWPAVT STSPVPELTMWDELRSEISHSKSEALQNIMTLLHSNPPDTHTEFSALTFPLFIAGCEC EGSEDQLPSILSALKTLEINFGIQNTRRAQELIELYWGSERLRQRRHWLDLLEDMDWD LLLV TSTA_089210 MSVVTQAASQAGLPQLHPFDPLTPTEIQLAVKILEAAFPGVKLR YKRIDVQEPLKKDVIPYIEAERLGQTLPPKPARVLQTLFHRLDTGVFYKALLNADTKT VIYAKEMPKHVQGPVDVDEMIDIEHLCLSHPAVQKEIEKLQLPPGVTVCNDPWIYGTD DPKEDRRLFQCYMYIVEVDHPQNNHYSTPVKFSPVFDGITHELVRMDYLPGGVDHSIT ATGPWKPVKTVQYAHELLDTPLRTDLKPYIVQQPEGPSFNVDGNLVTWQKWRFRVGFN TRDGLVINNVTYDGRNLFYRLSLCEMTVPYGDPRKPFHRKQAFDVGDVGFGVTANQLS LGCDCLGHIKYFNGWRTDSKGEPVFLENVICLHEQDNGLQHKHTNYRTNSATVVRNRQ LVVQMICTVANYEYIFAYIFDQAAGIELEVRATGILSTSPLDNENGQTVPWGTNVGPG VVAANHQHMFSYRIDPAIDGFKNTVIYEDSVPLPEDDFNPHGCGYVAQETILKKSSTA NTSVDRHRVFKIRNDNVINPITYKPVAYKLQTSPAQMLLMGPKSFNYRRAEFATKPIW VTKFQEEELYAAGEFTNQSRQSEGVEKWVARNETVENEDLVLWHTFGLTHNPRIEDFP VMPVERVSVMLKPDGFFTKNPALDVPASVQSFNHSTLYQNTPASCCAGSSMDKAKLYK RVD TSTA_089220 MARTHSESTEDFEFIETPPAPASPAPEDCGVRTTSYPAIKNAPL PADSAGSDSFSNVLLIILLIGVPFYVARQFHGGFYTTIFFAIFTTIPILMVFWTVASA ASPRKNEKAKYPGRPVEHYLQFHSEHDRIKYHGKSKIPMTTFFEKYFDGDVDFKGDAL DILEYRHDWASFRFTWQLYKHFLTGFLPEMLIHSRSQDEEQVRDHYDRGDDFYAWFLG PRMIYTSGVISDINKEETLEELQDNKLAVVCEKIGLQPGDTVLDLGCGWGTLAKYASV HYGAHVTGITLGRNQTKWGNNGLRKAGIEESQSRILCMDYRDAPSITGGYKRITCLEM AEHVGVRHFSSFLSQVYDMLDDDGVFFLQIAGLRKSWQYEDLIWGLFMNKYIFPGADA STPLGFVVDSLEGSGFEIKSIDTIGVHYSATLWRWYRNWMANREKVEAKYGKRWFRIW EYFLASSTITSRQGGATCWQITLVKNINSTHRVEGIPSQYGLAGARQAAIDRVGHGKV PSAHISAKQ TSTA_089230 MLARRDQENLVHSHQVTAASKPLNQSVRQLQPKTPGNKAPKTPF KVPLHDENEPLPFGKNTVKGNGGLRKVGNDAFVTPLGPRNRAPLGAKTTNAKAATFKT PGRQSDSLKTQKTKHRGSSTKKPKKAEIEVHQAQPQVVDASDVDDVPDVEYAPPKPKD LPDPPEDITYDTTYPQFRGRNMTRGWGEIYLREEIGEDGLTARQRKFQEASLASERKL DEMIQNEVDAINLQDLLLIDEPELRRGTGFTEAQKKEQPQSSTAVLPTRASTLKARNA AAALSRPGSSATVRSDSTRSSSATAKTSSLSSFLPAKKKTLTPKTNGSNTRHAIATAS SRTSVGYSRGRSVASALSNKPTVSGKIPAKPKAVISPETYVQLYGAPPFGSEMWDRCK AADCLPEDEVVPGRDEDFGLPLFEEDEETRNFQLTL TSTA_089240 MNALRLEADEAQSQVEELKAKIKALEQENLAKEQEITSLTHRNQ LLEAEIEKIEGNMKEYKDKADVSAQHDTQNEALQRRLQLLEEEAEEADKNLRETNEKL RQTDVKAGHYERKVQALEVSNSQWEAKYEEMAKKYTDLQKELHELEVSISNV TSTA_089250 MAPQAKRRKIDSETEEINFDPAARHEFLTGFHKRKVQRIKLAQE HAEKRAREERREERRKIREQRAAEIEQALNESRKMLQQIRDDAESQESDNSDSEEEEW QGFVEPAPVDYEEEYIDEDKYTTVTVEEMGLSKDALHKKDTPSSDVEEITHKEKKEEV PQKPKATKKFGSKSGVTKKKKKQFRYESKEERKVTRRKERIGSRKKASSRRER TSTA_089260 MMNPRQLLLLALGAGIADALKDTSPFILLSTSDLLSSSSNIASA TSLLSDVWSSLKTCPSDYYIIATQPGVHAADYSNSKGTPRLREKVLGNDKAIRSNITV TEVLGSLDPTSIRSGLEEECGAQVTRIDGGASGTSLEFEGGPRVIDISFPALSLHGSR LQELSRNDALLADVLDHIPSSKYTLIYVTSPREYEDEDSGSITYSANDDLQAQELLHQ DLKRDFAESARKDDTSDDRSLFQKYQFLSPGIFMGFVVAFVLVTILYVGISALLGLEV PYAAFEKDTSPNSQKKQQ TSTA_089270 MNIFRNRGASQPSPLVLVLSTVTDTSTSSTSTTSSTSTTTGTNT ATTTSSTSTGTGLPPLSSTTLTNIPTATVPPTSNAPYMQESNLPEGTVFIAVGAALGF IGLSVLVWRAMVAWSINRSVRRAAYQQTKPDAKGAAVLRRSSRRKSHRRSGASGRRRS SRRGTRGTSMNMEKLSRSDRHSHVSTKTPATQSSLFFSPTAGAGSHQSLNRTSTYLPA GYYAAGNTAMGSHSQVNLGRSPPGTPTSPPSRGFDAPFNRSSHLGASTSSLNLNAPPQ GRAPSAYLEDLFDSHPPHTRPSGP TSTA_089280 MADNGAQPPDELDRFSNFFPLPFRVAILLVAGFWGWGINLHYLS LAKIDVPALIRYPSRSSPHQLTHHQSTYRLATLLSLPLGVFLLLFWIITHGSSERVQA WEIIPQSYIVLFLVILLFPFHRLSRNGRSRFFASLRRISVGGLAEAQDGKFGDVLLAD ALTSYSKVLAEIYINYCMFFSSSESSTGKPDRMCGGRIVVPLLIAIPYAIRFRQCLIE FFRVRRGGHKSDGWGGQHLANALKYATSFPVIIFSNLERNYSQETTHVLSEVTVSRLW ALSCFINSAYSFYWDVTKDWDLTLLSSNTRTWRHQDYPFGLRPRRIFPRDEMYYGVVA IDLILRFTWMSRLSPHLDKVNNFESGIFLLMFLEIARRWMWIFFRVETEWVRSNRGPA LDDVLLSDFNGKFDDD TSTA_089290 MTDKASDSSDIPSGASPSTTPNSAPTSHFYPFATSPDIIRSHEK DAYIISTLTNQSQSIIRSIKGARYAHGNADTIKNLTELLYFSLTTLIGNRTLGEEYCD VVQLETDTLQLPSIARRAGYIFSSIIIPWVLGRSLPSIRAKIRARLERSIARAQARAA LKSTLFQTESSKTTKRKSQSLFNPLCIQEYILEHFDSLTSPSHVYALSLATFYFTGAY YHLSKRLWGLRYVFTKQIGESEQRVGYEVLGVLLVLQMTIQGIVHVRKTLQQLSQTEK PTATATVSKGGAPLFSVQNPVSIPTLTATMARYDLSENPQAISWIPEGQHQKCTLCLE PFKDPSVTTCGHVFCWICARDWAGGSSCEDFASAGLIFGIGV TSTA_089300 MRLSVKPVAVWPSNGAAYLRRPRNYPDSINVRDSYERQSTQSCR FSQSSTCHGEYTTIFSPNRSCKFDKSLRIGGGLWRFSTSTPERSDGFDEDEEVDFAAS TSDISRMVRQRVNPIKEAEERARKKREEEQLLMRRSVELFQRADEYADGLRSAVNLVQ KIKQHAGERRRAHEQWMRLYEQVITSSASLVNGENQLFEEYFILKYSRAGTVGSFIDI NATILKHAQAEVSNSRQALRRIELASRRIDLELQLTRLADSLGSVTERLSDYHVTPIA SARRNMENILMPLSRFHVLYANLQTLGHRLVSGKEAILSLLRGITVKNPMNRSRHTRL QCKTWNGTEALLQAVHQYRAAKRISRWRGPLGRSHILTMAQNYNRISVIMMLAPDLGV SIRRLKAELATHGPASLLAHWYLHRWKEGAPRSADLDIAWRHLDVYHSFDLLYVSTRL LRSKVRCLSAVMGESGNFNPTFVAYLKEWTQRFAVMNASMLQDLELMSYYTWLRLETE DKVRRLGGVPDTISRDLFTPKDPLSADLHRFFRYVYAIGDGILQCMNLELALDRFRLL KGPKTSDLIKLLEFSPYSRGLVENLPSIAENATTTGVSAGRRKTAPLRQTNERVPAAR SLAKYSRSSLALALTKKAIILMRTKATGSGIISSATGASKIAAPPSRGLCTDPRAFVN DDGRQCGVSKPDLALINKNRKVQAGLSSPGRVEDKEAAGSLVSHTEHPVIMLSHGTPD NFDEPPVIDSDASKPQYWSYTQYRAPNGEKIPVYYCKNLEQAERAAALFSASEVLGFD IEWRPQAQTTSGIKSNVSLIQIANEERIGLFHIALFRGNEIHDLVPPSLRQLLESTTT VKVGVSIKADCSRVRRHLGINTRSLFELSHLYKLVKYGTTQPKLVDRRTVNLAQQVEE VLGLPLKKDGDVRKSDWTKPLDYAQVQYAASDAYACICLHRTLEVKRKALTPIPPLPA FAELNLPILLVEEDKPEVAEPEDEIVELSVRTEKSLKLKEEGEKEKALVKVVNEGN TSTA_089310 MASQLLPLGKGRFTHRAKWFIVLITDDLKQELIDKCVGSKIWVV MKGDKEFSGTLLGFDDYVNMVLEDVTEFDYAGNQEKLPKILLNGNNVCMLIPGGEGPV SSS TSTA_089320 MDKSYESKEVGGGHTISSSEEAPSYGETQPAGSFRQRFIDSFKR DPNAHVTKTGQVGADGNVFDLETAAQNTANSPLQRRLKGRHLQMIAIGGSIGTGLFVA SGSVLAAGGPASVVIAYILIGAMLYCTVHALGEMAVLFPVAGSFAAYSTRFLDPAWGF AMGWNYALQWLVVLPLEIVAASITISFWNTSISPAAWVSIFLVLIIVINFFGVRGYGE AEFVFAIIKVIAVIGFIILGIVINCGGAPQGGYIGAHYWYDPGAFHNGFKGLCSVFVN AAFAFAGTELVGLAAAETANPRKSLPGAIKQVFWRIALFYIVALTIVGMLVPYNEPRL LSGTSSADAKASPFVIAIQNAGISGLPSVMNVVIMIAVLSVGNSSVYGSSRTLAALAE QGQAPRFLAYIDRQGRPIFAIIVASLLGFLAFLAASDKEGEAFSWMLAISGLSSIFTW GSICLAHIRFRSGWKAQGHSLDELPFKSQVGVYGSWVGFAFNVLVLVAQFWVGFAPVG YADMSAAELTKSWFSAYLAAPIVIAFYIPYKLWYRTPFIKAHQMDLNTGRRDLDIRHL IDEERAEQAQWPVWKKVWHLFC TSTA_089330 MPGFADSFWTPDYASGLGILYSKLQQGIAENRQILILANLRADA EEAYSTRLGDITPAVDRITTGFSKDEGASVRKAYDGIRGEMIEASKNHQKIASNIREL VVNPFSRWCDQHAARVQSSHDDLQARIKDHMKQTELVKKLRSQYFNKCRLVEDLEEEN KLAFQSPEATSPKGKPPAPKIVLTDPQESDEPEIFDLGDKSYSIDEIKKILTDMLNTI KIGEVKVPILGTYQNTSTGADIVEYLQQKMEASSISHAERIGQDMVDLGFLRLIGNMG STFANSSRMNYQWRSKVFQLTGMPENKKPLLRMTSLMSNDEAAGSPTMSSVSEMLSGW NPLNNPYPDETPAEKLHREARETDERYKTAVIKLDQIRCILEEEIIDHLRFMERCELD RLKAIKSVVLDFSGAISNVIPGLQSTVDHMMLYQETIQPLGDLRYLLENYRTGGFAPK VQPYENYYGSVGDQVFGVDLEARARADRKRVPVIVTNILTFLDNHYPDLEGDDARRAI WLHDVPLAATHHLRQALNNSAGDHRAILEKYEIPIVASVLKLYLLELPDSLVSSQVYE IVKTIYSATANETSEEGRIKVLQSTLGQLRLNNIATLDALMTHFTRLIDLTSADETYI AALAQTLAPCILRPRLENTLTLNERHSYRLIRDLFDHKDAIFGELKRQSSASSTLGAG AGSARPRAISTDESNRRAAVEARNRAIASRSRATSPAPGARHRRDRSTDGSQGSGRFP INVGSPHGSERRNPRQSLDVPSSTDLHVATESKPAEEKDVPNGAAEEHNEKPAEVSAT ITDFSDPVPVPEAADDASEEQTNNINSSEGSPTPTASVAPIEKRDSLTRGRHVRRAGG SHPPSDITKRTSLVADGELKGVTLEDKPMEDFA TSTA_089350 MAPPQLLVGKVCGITGGLTGIGREIALDYLRHGAKVAVNHLGGP NDESLVKSFHKDAEAVIRELNHSSIDGTRQFITVAGDISKPETGADFVAKIVEAFERL DVFISNAGVCQFAEFLEIEPSLLSQTVNINLCGAFYATQAAGRQMALHQSPSGGSIIG ISSISALVGGGQQCHYCPTKAGVLSLMQSTAVALGKYNIRCNALLPGTIRTQLNDEDM SDEKKRTYMEGRIPLGRLGQPRDLAGPAVFLASDELSGYVTGAQILVDGGLFVNLQ TSTA_089360 MASVSSFPTIKHVRSFIIQGVGSGGDYHNVKGGHWLVDSSISTP MARWEQYRASRTSWGINVLGSFCVEIEASDGTKGFATGFGGPPACWLVHQHFERFLIG SDPRDINDMFERMYRGSMFYGRKGLPTAVISVIDLALWDLLGKIRKEPVYKMIGGATR KRLHFYCTGPQPAIAKETGFIGAKVALPYGPDEGIDGLKRNIEYLRKQREAVGPDFPL RVDCYMSLNVSYTIELVKRAEAEGLHIDWWEECLSPDDFDGHALLKKAHPTVKFTTGE HEYSRYGFRKLIEGRNLDIIQPDVMWLGGLTELLKVSAMAAAYDIPVVPHASGSYSYH FVVSQPNTPFQEYLANSPDGKSVEPVFGNLFLNEPIPSKGYLDVTDLDKPGFGLELNP KAPLIPASALLNPAPAKSLPASASTEEPTKEQVNGE TSTA_089370 MLYSPSHLHLQEHGLIIRAICQAAGLTELALFWGYPSYSRMYAY DHISSELDHELYWPMPDYEQGQSPDVESSAAESDNEQFMGSNGAQESRRTKRKRPLMV SCELCKQRKVKCDRAQPSCGWCARNGQTCEYKERRKPGLRAGYGKELEQRLDRLEAII QTQAQLIETHIIRNQHLQHDNHNQCQEPRLPSYVSHTSPSELSAATGPSPQNSVFPGE PSAYPIAQRLANSTLSHHNATGGSPSAMSAKIHHTPMVSNGISHSTPHSQVPSVPDRT GTDFSHNDSSLTVPVSLFNNQEQSLSNSELELPPYDLLYALVDLYFEHVNSWCPILHR RTTLDTLFGPSPLEEADRVVLHAIVATALRFSLDPRLNEANRKRYHESSKQRVLLYGI ENSSVKAIQALVILSLDFVGSSNGPPGWKLLALIARSVVQIGLAVERNSGLDASIYPS IYTLRANVLPDSETWIEDESRRRLFWMVYLLDRYSTIATAFDFALDEKEINRKLPCRE EYFIGNQPVETRWFRAAGDHGNHSNHGDNVDSFGFYIEILSILSRIHLFLKRPVDIGA LSDVEEWQATYRKLDNELSAWEFNLPTEYAFGNASRLLQSMKRKKGLQCDWVMLHATY QTTVIRLHSSAAYPTTRSPIFTPSYSASQRCLLAVDNILTLTRLVVENNMLDKLGPPF AFSLWVSARLLLVHGSTIAHTVSPDIYLFVDTLAQMGRHWKVAERYSTILKRVLDEYS EYEQTAGTESERVTPSSVKILADMRRCAFDLDFLISRQPQHGPKSSAASNSNGLVPLQ SANSSERQNADADGSLLMSRSLTNTDFEYLDVFGFFNVPRVPNMPTPLSALGMTSPDG NGNNTNNTNGLSTTNPMSINGPTTMANNNEFNITNYLVPTPETDWLFPQPHS TSTA_089380 MQAVADPETLYTKQNCLGGGSFGKVYKGVDKRTGQSVAIKIIDV ENAEDEVEDIITEISIMSTMNSPYVTKYLGSYLKGSDLWIVMEFCAGGSCSDLLRPGI IPEDYIMIIIRELLLGLDYLHSDKKLHRDIKAANILLSGNGQVKLADFGVSGQLSATM TKKNTFVGTPFWMAPEVIKQSGYDYKADIWSLGITAIELATGQPPYSDIHPMKVLFLI PKNNPPTLQGNFSKMFKDFVGLCLRRDPRERPTAKELLKHPFLKRAKRTTYLTELIER HERWQAIHGRGTPDDDEDRTYEQPPPSKSIEDDEDLWDFGTVRPAGRNAGLQALTGAA ANVRNQAHDPWSSDTSRLAKSESADVENSSKETIRVNSPPQSPTKQKSSIPNSFASPT KVPLPQSPQKPQSVHAYPGTPSSRLEKSSLTNKENDSPSTKDYDKALQQALASDLTFL NLAESPNTTPSRPQSRQQTAPTPIASENINRKPVNSQVSAYNAPNQHQPSSTVLSAPN LVVQRYVGLPEQKALPTFQPLPTPPMSTPQRPRQSESARTRENRRRSVDSQISTTSSL GGPNSAASDKNTLSREVIIPALDHALRCRARGLDNTLTVMAQSPGGMSLEASHRHQYA HDRIKRLAVKAASIFKEIDRLDQEAPVDMEMGQSSTTEAFIDALIRYCEVDTVDPEAG N TSTA_089390 MEGDVVAQFQEVTGSTEELANQYLDIADFDIQQAMQLYFENGGA PLGPTQQPASAAASRPSNAINIDSEDDDLTIDESRSSAPTRQPAESTFEDDAAMARRL QEELYAGGDSGDNVRAPIARTTETLVGPGADGYGDYDPLSHFRMRQGGRNTRPGIFNQ RDTSIWDESSENGAPSQRSALARATGGASETSSKSTLLAEMYRPPFELMSRLPWDAAR EEGRENEKWLLINIQDPSIFDCQVLNRDLWKDPGVRDTVKENFIFLQYNKDDERGLPY LQYYFQGSDVSDNYPHIAIVDPRTGEQVKVWSGAPVIKAPDFLMQLHEFLDRYSLKQN ARNPVAKRKPDVPREKKLESMTEEEMLEMALKNSLEGNAAAEKLADPDELTRSTGDLK GKGRADEDISMAEEEEEEEEEAAAEPEDPNVSVFRSIPSDRVHTEPPADPTTTTRIQF RHPSGRVIRRFSLSDPVRRIYEWLKSEPPLEEKAGVVFELNAMGKNLIDELDTTVQDA GLKNGTVMIGYLED TSTA_089400 MAATVLGKRQRTAVDIEDTLPLRSSSKRRARTPKIHEEDSNTQT SSIRLRSRVIESCEPQYTNQISAKVSTNGNSRSKRNVQNNDTLSPSKSDTHLKPTKPL DAENVVVSEFRTPQSKRFRDALANSPPVTPKHRVQVVGKSLTPRTPRHAGTPVGANTV YTPARQMFARSANPGRLIGREKERAELSKFIENGMQSRKGGCIYISGPPGTGKSAMID EVCRDLNVDAVVKSAHVNCVSMRAARDIYGKLVESLCDDCDVFSVSEIEKLRSMFVPT KKSKDLYLVTLDEIDHLLTADPEILYSLFEWSLNSKSKLLLIGIANALDLTDRFLPRL KANNLKPILLPFLPYSAAQIANVINTRLRSLVPESEAKASGDFVPFVQPAAIQLCAKK VASQTGDLRKAFDLVKRAIDVIEQETQTKLDKESSIPESPSKHALAENANLSSPPKTP ASTRTLANAYTALTAPRASIAHVARITAAVFGQGTTQRLQGLNLQQKAALCALVALDR KRRNMEIYATPSKSRVNAPTVRELFDTYCSLCRSDNILHPLTATEFKDVVGSLETLGL VGEFQGRGRGGTIAGGSGILRTPSKSGSSSSTPSRGSDEKGLACFVTEKEIVSQISGP GEGILKALLAGDCL TSTA_089410 MVIHIIDSHIHIWTETQLDALAWHRPSHPLGSQHSVEDYLSSAA ITDQEGKYLLRGFVYLEVDRISSVEEQGKKGWSHVLDEISFLARIARGTPVEGEGHVE DHKSLILGFVPWAPVPGGPAVLERYISLVTGRVASSIAHDKEDETWKKLRGFRYLVQD KPGGTMVQPDFVEGLKWLGRQRLTFDLGVDARQGGLGQLKEAVELIKRVNDGVDLEDR VVVIINHLCKPNLHIPPDKLNNHPDFLEWKQLITAMATHSTKTYMKLSGLFSEFPKLP LEYTQGDEQGIINYLVNHAQPWTDVVFDAFGPHRIMFGSDWPVCTIGAGATASKTGGG KRGAWIRWMNVVEKILERRGLSEEERKDVWGGVAVRAYGLDSTL TSTA_089420 MAENGVISIPQKQKAAVYDQPGKISTKVVEIDVPEPGAGEILIN LTHSGVCHSDMAVMTNTWKSLPYPTQPGQVGGHEGVGKIVKLGPGAESSGLKIGDRVG IKWVWSACQNCPACFVGLDGNCLNQKVSGYYTPGTFQQYALSPANYATRIPDGLSSAD AAPMLCAGVTVYAALNRSKALPGQWVAISGVGGLGHLACQLASRGMGFRVIAIDHGSK EALARDSGAEHFIDFTKFDKEGVTKHVLSLTGGLGAHAAVVCTAANAAYAQAIDLLRF NGTLVCVGIPEGEYVNISGANPGVITTKQLTITGSAVGNRGEAIRTLEFAERGIIKAH YEIDKPENLTNIFERMEHGKLQGRVVLDLS TSTA_089430 MSPFPTRQLGRLSLGENMPTNRSQTHRSRRQRRSGLPPVSDPAP TRNSPTRNTSASRRRLSLRANRRHEGEGRWGRARRGNKNNQEQRAEADIELENEINSQ GDNDSDDEEEEEESSPDTMASMILAAKSKIVYDIENLELESRARALAGLTGHFDVVYC RENSPFYEFQLIERPRIRIRDGGAECTCSEYINRPDMACRHIFWLVDQVYDSISPHNP PPGLPLSRDGVSPTLPPLHTLLQDRLESLANHLEWPFIPESERTARTSSGETIAGGLS RQEQVRDIMSAFNKVTLPEDFRKELVESSSTPTPRTPEQCVVQGDFEATIFRLAVHDD NVYSSIRKAMPAGACAAIYFDKVHQKSRNLLMQFDEYRRTGRLPSGSDRQPSLDNVSE VARELRRHVAQIRNNLLARIPYGTKGAAEALITLLQEVSARNIDAFENKSWGRVAPPG EDDDDRNLYEQLIGQSASDDDGKRGEGEGGMFILDVLEEVPASVLESYVPNLRDILAK VEIIPAPPSFLLKLKSLIHDAQSSGTQARGKRPATSEAGGSQKRTR TSTA_089440 MYGSQPPPHYAGGYQNPPQPEWQSHQHTPSATYNPSTYGPIHPT TSPAPPVSPPNVDTSSWGVRYNHQHMAQGPPPPPLPPRHNDIYSAPSPPPPPPPPRPT STSIQAAPPANWDYNNTTYPQSTQTPSGPPPKPPIPPAYQTEMQQTPQPWQANQQSYG PQYSHHPPTHHYDPSAQPVHQPHSSPYTSAPDPAGTSYYQAPIPPLGSPPPANSMSPP SQPPPNVLPQTTNPQWATAQPSNPVAGASILGHGGVSDWEHLTPTAGDVDDLYQGNSS SKQPMIQQSGSTPILQDSAHTFPQYQTPVSHVPSSSSPDQTKWIPPVESQLSNVQATF HPSHPSRNDSVQSQESAVSPQERSDTIDGVIQAWSQNPISPIIKPQDEPYARSPIPMS AKPASPTAAQPNSPQSLSPNPQPVQSLTSSAPTSTPPPATGSSSTPGAQGEQAIAGAT TPGASESTVAPVKYLDPYDDLDPWSKSSLHRYVTMLRKEAVAESEEEKYKIFTSFVAK ETRLREVLYSIETSDKESTPAPHSEGVESMPTASVPVDSGLIPVQLEASAQQPDRGRH ASVDSDDIPYSPGGRPILNKGPVQSIAKEHNSLHRSASNPQGKGSSYFGGGLVVQHQD EPALRSTSVPPSMNTSTVPQQVTPLISEPPRPAYTPFRYAEGPQRGGEDLNIAQPGYQ AYSALRQASAESGRAMAVPTLRTPEKQDVNVQKSPGSIQMDETFLGIIREKSVSYRGK NEPPVKGTGLEELGALIPDPFPVWEESVEISNIRKKMEVYSDDFRYIYVTTENWELSA RDRREAIEKERLHRQEASEAHIDALFNEKEIGYADINPLEEEFRQREARIQLEEERKE LDSYIRRVFNPVDTRLKEEIVELKALYQRALDELTYDKIHSNSNSKYQISHTMKTINT LVSKLEDRYQKRLDLGLDRERHRKRAERRPLVFLGDSRALKKLDADFEKMEKQNIVEA AKDRDARANKLTDVFDEAILHALGNHQSVLDETATKTRKLDVGIVERSNLSEVDKELL LRSISALTKLLAEDAESMLTSFREADSRLNEADYNVSVTEAQYANADPEVFRRLEEEK KKEDDKIQEDHNSKLESIKKAPAEISSKIDSVLIAMRKEPGTGPGPWRRPASLANNLS VQTPPQTRSRSPSTGALPDRHENPINEEEAHPVEILMPGPPPRRSSAETEQQERLRKA LEDAKKRNAAKNFTT TSTA_089450 MTTIVITEARSDPHHIYPPGKIHGAFMAGQMQTSNEPVAISPAA TAILSSPSEQISYLKQSPKPESHLSSLASAGLRTSPSPNFETSAPATTTVNMASSTGA GTADQPSVAGKEQDTQHQDSPRVAQEALNTAREARKEDEVRSQDAAGAPTILHGSADT SAKPAQVPSDDMQVDSYTNSGPFAEPSGAGDHTATQASLMNTSTVASPGPIEDTASQD GDRPRHQTDLADDANKAFSYPMPANNPLDPRRGLSLPHSGFHKGERPHICDKCGRRFA RGDALARHNKGQGGCAGRRSSMGSYAGEEDFGENAVGADDTMDGLMYTEPERMDEEEE RRLGLPSIRKHNNVSSEPMPRAPGVFQNRAPSTYPPIASTQPPPPPPPRGLFPPPTNH GGGSSSSTSPISTSGTMTFPATAHSSSTSTSFPAPNMTESPKPLSPNPLSSQVSHAPE AAAIHAHQQHRPHSPSLSQQYFRHGQGSLGLPPPQLPPPPGLNAPDSRFLHSQGSVQN GPGSLSAKHSGTHSHSSSLGAPLPPQSNIDPTPSGAAETNMQGESREDKLWAYIRSVH EELGTLRSEVASLRSQLAAQNQGASSAQSGPVNAGVR TSTA_089460 MSKPLPFSSLPPYPSLDPTPGRQRLEPFLTPILNETQTLISTTI PQQFRPDRKLRSSASSTAKVQVLTSSPGSGVEDYWVCRKSIHADSAVKGSASWTEFER GLRENHSENEMEYTPSVASVENLVRWPVIEGLEGWKGIDMHVNMITHTFKPAGLISPR TFISLVISAYEPETSGNEDNDNPIQGFYTIQIPLLFQKDAPNNDVPDAIKQQILSVAP KNTIFAHYASVERVRLLPPVAGNGDGNRRIEWIMATTSDAGGLIPQWVQRSWTMGGVP KAIVADVGLFLGWVDKKRS TSTA_089470 MPLRITSAPVSGIKKRKSVATPRNRAFPFASHARSKPAVRGNAQ TTTKSFELHVEEEADGFVSDSEPLPDVGDSRYLTETVKLSGVIQAMQHVKNSMFEELP ERRAGMNSTRIAEVLNIRRSLPPLVSVAHLHTVLQSPTQVEREIVSLVQSGQLKRLIV PGRGNSAAGLGDCLVMTSDLHDLVQASSDLDQALKDRFLGILDQMSNTSAIPSLAFSR EESVALVRAGFLVSASSLSKDTVNLTSVRAYSTDADDTKPNSVQSRAPAFFLSLPNTG PYLRLLSAGRSHLLTLLKQSKYHEAPLTLLRDRWDGAVESNRNFSVAKRIRGEFSGVL PGRTKKWKEFNGMSFRWILEEALGAGLVEIFDTGSVGPGIRCL TSTA_089480 MTIFIASLFLPYTVNFHLDKGSKASSPKSSRSFKGSPPRTGPSA AATLFQKRQDEAIKKGLTPGAITDYEQIFTNTRLESLNEYPFPTTSNGQPNVLSQSEA HSPAWGSTQTFNQPRPLKMALPSPSILKHQEPIPEGAPPTIEISKPPTMGIESQKAQG EVMRSVPSHSFSNADWTIESAEQGNPGLRNAVRSFSDPGLDDTVWVGTLGMPTDALDS HVKSEIAETLEDKYSSLAVYVSDTDFDGHYSHFCKTILWPVFHYQIPDNPKSKAYEDH SWVYYVKLNEAFAQRIAKNWRRGDAIWIHDYHLLLVPAMLRKLLPDAQIGFFLHVAFP SSEVFRCLAPRKELLEGVLGADLIGFQIDEYCRHFLQTCSRILCVEARNDGVQLEDRF VNVGKFPIGIDPTSWDERRRSHDVEQWIKVISEKYEGKRLIVSRDKLDSIRGVRQKLL SYELFLNTYPEFRDKVVLIQVASSTNEQTELDTIVSDIAMRINSTHSTLAHQPLVFLK QDLSFAQYLALITVADALMVTSLREGMNLTSHEFVYCQDGKYGPKAHGPLILSEFTGS ASIFDDHALLVNPWDYRQCAEAIHTALTLNESEKEDMWRKLHSAVLQNSTRNWVKSFR EALSKVWDEHSSRETMAVPRLSVSRLEEEYRRSSRRLFLLDYEGTLASWGSPTSIILT TPQRALVTLTDLLEDPRNIVYVMSARRPEEMERLFRQVSGLGLIAENGCFIREPSKDS WIKLNEDHHTKEWKAGTKGILNYFRERTENSWIEELHCSLVFHYGDAEDKVAAARQAS ECADHINDACASQGVHVTPVDGALIIQSSRTNKASAAELVWRYSIERGKEGGYAGQPD FLFVAGDSREDEVVFRWANKLAESKAVKSCMTVTLGSRSTEAKATLTQGVTGVLSCLQ RLAASTQS TSTA_089490 MTSPHTQTFEDAITVTPVSSHEYTTNLHPDWTIGAVPHGGYTMS ILYRLATTHFKHTHPTRHNSQPLPISMQITFLRRTAVGPAVLTVEDSKLGLRTSTIHV TLSQDDDSKPDGKKRTARVVGYITISDSVSDVGYSHPSMTWGLYPPMPGGPPEVIVRA DDVKLSQKDGSETSKWKQTGPTHWSKFRKVEHQCITFGPTNEYKQPGVLDQWARLRTV SSDASAAAGNGKWTNETLPFLSDWFPAALEEVGAEALSALSSSSASKPKIPPFWYPTV TLNIDFKKSLPPQGVDWLYSRVVMKSVRNGRMDIEVIILDEKGEIVALCSHIGLVVSI ERNTSGRKYGKDAEKANL TSTA_089500 MSTKPAALSILQQSLNLQENGDTENSEYVVLNMPARVFKDYTDE DGYFAGTLGTKETIPFLVTLCRRNLEQEVSASLPTQPPPAANDPKSKTPFNPSRPPDT ITLVSMSTPGLSGHPRTAHGGVIATLFDEAMSHTIEAHLSLSPKPSTVTRERDAIYTA QLNVRYRKPVYTPGLLVIRTWCIARDARKFWALSNAVQEEQEDDGGQLEWVKRRTISA EASSLWVVTRNEKL TSTA_089510 MRSSAVLILAAFVAETTAYYNFTFPTGFNLGEVSSTILGQWCQG ERNTCPQVCGGSASANDCDSTTLNFDCTCSNGTTPDLNPYENTIPFYVCQENYKQCIQ NNPNDLDAQKQCKANATCGTIILDAASSTSAAPSTTSAVSTAAATTIATTTSAASATK ASGTAASATTSHGVASLQISADHATGFFAAAMLAVFGMMM TSTA_089520 MPAPTNTLLIEGSFTELCEELAQYLNVLRSNEGGASVNAEIAPL LEPLRQQEQNGEEPNLGQRDEVLKKIVAAAAVLNNAPEKEFIPAYNLLVYLIQQSSDP DIFLSRICFYLSKPVTSSAQFGPSLALTILTTIFNTLAPSDSSRYHVFLAIVAVIRQS GSTTAYEALKEQLVNQLPNWLASWELDEEDAQKLHLAIADAAEAAGDSEFAHAHIVEA LQAIDANEASSKENRELAVRALTSALAHPAIFDFTSLSASDAVQALRSSDTSLFELLE IFAADTLDAYDDFISSTPLSSISGGVLADSGDALQTKMRLLTLTSLASSTPSRSLPYA AIASALRVADTEVEKWVIDTIRAGLVEGKLSQLRSEFLVHRATYRVFGEKQWAEVQGR LFVWRRSLEGVLGVIRTERERYARELQQQQAAAQAAEEGGAVGKGGDKKSTGDRRRHQ QHQSQPHEVDLLGEGD TSTA_089530 MAPTPRFSSDKVTVVFVLGGPGAGKGTQSENLVRDYGFSHLSAG DLLRAEQVREGSEYGELIRHHIREGTIVPMEVTVALLSNAMAAILEQNSSKATPARFL IDGFPRQIDQAHFFEETVCVSKLVLFLSCPEEVMLSRLLKRGETSGRDDDNIESIRKR FRTFEQTSMPVVREFEEKGKVISVEATGSKEEVYERIKAELKSKGVDAL TSTA_089540 MSNQQNPISIMRSSSRSSSSSSASDFKSPRTARFAEATTVISPI DPSEGARSPFADPPASTMKEAQHHVSDVGFGYVADNDPSRYASYPPMTPASPLKSALK TPGTARTLNPFSPTFREEVKLEKEEVKTARENKRDLKIKVRVRAAKVLLRTVNFSCSL IVLSLLSTSLTIFRATKDLPPRSNLPPWAVGTNPWPQYLLLVMACVSLASALLVFYGY WKGGHRRAEKVAVWYSVFSVGFFTFSTIMWVVGAAIFQSSKANGNGKDLWGWACNDNL RHQLFADQVNYSLVCRLQDWSLVCAIIEIVLEVIVISIYAVVFYRFYTKQRLKKTMDV RDKARSDLYLAQLRVQSAPNTPGFFPMTPKSPYVSMAAAQQYHHDVYSAAENGESYAV QYATPKSPTRAVTKPFQLQPPPIRVQNPTPKVAQQGFGGVPIASQSSTPDNSNEEDLS EKVNEHVGAAPGEKTYDAVPIPGAYAGPLTSPSYPPPPGQGHGFD TSTA_089550 MPRVVNPSKSHRRSNGGASTPQKNSPIKIPLNDDMGEKAARMQS RQALHDRQMNQIKAAVKTPMPPRRAYDRADSQSPGTPRGSLRRGRESDVDGRGRGATP AKRVPILANFEEWMKMATDNKINAANSWNFALIDYFHDMSLLREGDGVNFQKASCTLD GCVKIYTSRVDSVATETGKLLSGLADSRDRKAPEGEGPEGEEEEEEEGEDGVRRKAKK KTRSHEATLAPSFASLQLKKLELEFAVDPLFKKASADFDEGGAKGLLLNHLSIDGQGR IIFDSSDDVDETTNGGDHAQDDEMNGSAEPEESQTKEPEGDPMDVDPVEIDISSLGNR FFPDLDRLSEQDICPSLKNLDLGDPSGSLDMPLLKAPEDWRQDKNNDESDPARRMGDA SGIMLDDDNAVGFDDDDGTFAGFDIGEDAGFGEGGEAWAREAALEPLLKVHRMDIGGE DDPEAAEEGDPYTLSISHQTANKEHENILSYFDNALQKNWAGPEHWRIRKIKETNAAN STTAAPKQRKEKEPFVIDFSAPLDATTADLIYTQASSNSAISLPKTQWKTKGRNLLPD DKHFNSQQLLRLFLKPKARMGSKRFFGGRRIGETTETRVPAAGEMDEAFWANHKPENS AQIEDEKVAGTYDADFFADDDGLAFPNGLPMGDDDDDNLPFADAREMFSPAGDMDARP TTSAGDGSGATGLTALLNIVGATPGSALHGGGFGSQLVTQGGRRVRPDYVAYARVAKK VDVRRLKEEMWKGMGDGLIESMNFNSASDAAAVIEAPEEPTDDDGPPTPTPKNRHPDG DHPMPDIASEVEKNGQLRFTTVMNSLKKVYPEQSLRDISTSFGFICLLHLANEKGLVL QNGGEYGEGEGTLEEIYISKDVGAVIDEGTM TSTA_089560 MLKQHKIFTLPQLRPRMHQRYHPRPFFLSIAVLSTLALGSWIAR YFESQLLTGDNGFQKRMAYSELQINSSRLGPFEQEIECRFVRHADDQCAFVKSNCPDE NGIFPYLQLYYCSLRHVQPIAFAIIIAWLSLLFSTIGIAASDFLCINLSAIASILRMS ESLTGVTFLAFGNGSPDVFSTFAAMGSNSGSLAIGELIGAACFITAVVAGSMALARPF RVARRSFVRDVAFFIFAAILTVIVLADGELHVWECVSMIGLYVFYVILVVSWHWYLTR KRRKYDREIAARAHFHIPQSQELDLEEHSSDEEPISGDTSNLLQGQRSPDLEALERSA WKDDDEEDDETRNRYLAEIRENMRVTRPPSFARRPTTTSIRPSLVGALEFQSVLSSLK KAKSIHDDTIDLGHYVDTDSVSPSPRILPRSSQGRSPFQRREGHSDRRRSASLNDTPT LRIDTTVNDAKEHSGTLIPVIGGSSNNASLQHGTQVQHLQHQPSTPSTLSVPRSPASP LADRLTVPHGPFHSPNYQISPRSHQLPSPGGVSPLGHILPQSINFEQSDESDNETIRF PPFVDSPASLSPKPSVLNLPAASYSPSREYQSAFGQEERMPRWWYYPSLIPGTMFFTL FPTLCDWRSKSFWAKILSVVAAPSVFSLTITIPVIDPSRAEATNDKTDNRRSSSALPN APDGDPRQPTPIIRLPDESPTLQPQDHQLLGTGLRDSTEHTSSVVQSQDSNTLPNRAR IDSELAAGPPELGDGSSSTTARTWHRVLTTIHVFTSPLFTIVAFWTLLDDEHDLRNLI IPIPVSLGVSLVCAVLLNMFLKGHDNLSQAPDQLRPVLSFIGFIVGICWIAIIADEVV SLLKTIGVILDISDSLLGLTIFAVGNSLSDLVADITVARLGYPVMALSACFGGPMLNI LLGIGIGGLYMTLHSNADTTTLSTITQEASRHAPYRITISRNLIISGVTLLLTLVGLL ILVPLNHWKMDRKIGCGLIVLWSLSTLGNVILEIVT TSTA_089570 MQARAAELAGRPVPTSSDDEGAAGRRLEEENVKIKAERERNEAM TRDVEESVKEFSQSLEDSLKEGSESSTREHERRRWEEALGVEDTIRDFIYDLQRGSRT AKIRKEEQTTRSVSNELPSATRSDLPSRPALPPSTSSSASLTGQTHEERVASARERAQ RRIAERMAAAGLKPSTDSGDTLLQRQERERKEREERLKKAEEEDAKREQERQRRLADE RAPAPAADKVAGKKPPPAPPSRKGRPDSAPESKIAEETAKAEETAIKEQAIRKEQEAQ EAETKQLEEEARRQEEELQKEREAHEARLRALEEQVRAGKIKKQEEKKRKQAAEREAK EKEARLAAQRAELEAAKERERQLQRELENLEESSSDEEGPEEITGPRDNHPAAPVAPV YSPPPVSVTPAEAADVQPTPPVNGSPSSSRSVPAAESKNPFFRQLNQPAEAPAAEPAA ITPSESQSTNPFFRLQQQQQEAGTKAATSPAAIPGPLERKPRARPEQDDDWSAAGSDN ESSDDEDDDRVGGGSAKQLASILFGTMAPPRPLSAMDDSKSATPVQESSPTMVPPPSA PPTLPVAEAPSSPESDTPAAVAAPSAPPPPPPPPPPGTAAPPPPPPPPGGAPPPPPPP PPAGGPPASALGGGGGAPDRGALFASIRAGTGLKKVETKDRSVSVVAGRVL TSTA_089580 MYSASNSFLGGANSARQQQQQQASPFQQQQPAGFPQHGLTAQPT GFPGGQQLQPQYTGFPGAANPTGFQQQPYGQPSLQPPQQQQQQQPQLQPQFTGFPGAV QNQFAPSQPSQIPQQQQQQAPAPQQFPNASAPPPTIAVHKTSAQMAQSFIQDSASNRP TPPPKSGPRIPNMRLSFITAEDQAKFEQLFKAAAGDDVTLDGDKARDILLRSRLPGQD LSKIWVLSDTNKTGQLFFPELALALYLCNLRLTGKDIPSTLPEKIANEVSSMVDIISF GVPDTQSSMQQTNAPKFDAPLMQNSAAPPAPKQPTPQQPSNSQLLSQLTAQPTGFYNQ ATGFQPQGLAPQPTGFPGQPQPVQPQPTGFLSNPQATGYNGLRPPMPPIPTGFASQLS PSQTGMAPLTAQPTGVPGQWGFVNTPATGLPNIDALKQQLMPQPGREGGFSTHGLSGN ANIPWAVTKEEKKIYDQLFRAWDGMNKGFIGGATAIEIMGQSGLPASDLERIWTLVDS NDKGKINQDEFCVAMHLIYRRLNGYPIPTRLPPELVPPSTRNLNDSIGTVKSLLSQDA EARKTSGAFLQPQKTGVSYLKDHSFRGGATSPGAAGRRDATRYQNRDEVGYRSSARRR VGNGGRTPSPAASSQVSERSDEELSVDQLKKKLHETKIMLDAVDFRDQNQAEEDDAMD RRDRREAESLMDRIRRVQDDIDTDPKSAFRHVDSGAERRSLRRQLQSYQDKIPEIASN VRKVERAIAEARLELFRLRDAKAHPNSALNIICTGPGAL TSTA_089590 MPWTKNGEAAAASWNGNRYRDYSAPPPAVNVPRKTESFILGEGE KKIEEKADTRTPSTSIFTLNKEDHSVGNLLRGRLLQNRHVTFAAYKIPHPLVHKVELR VQTDGEITPKEALLAACHDTVRDLGTLSREFTKEFELRKMVGASQHQNGTQDGL TSTA_089600 MPIKLPKGFARRKSSGNVLDEAEHSPESSFRVFERPNGLNKGKT FTTGEGRYYRPETAESDNIFAGIEKPLPHNRASGGTNNSASTGLYDSSSSARYSSSST LPSSTDVPVHNDHSRGVHDLPVPPVPESPSVFSLRAAGRTFSFGARSLRTSTPVSHSR QQTTASPSTTRDRATTASSASTAIPPKLLDSDLTIGRAGDDDDGFGSMFENFGKRKSA ILLDSSKSVCLLPTERSDTHTQPKDKPNDRGVPLAPIITDRRQAVEPSPLSWDSQTST NALMHGNDDRDKHEGRTATLPPSQSITSVKSVAPESPDSYDSEDSPSFIANNDDAEIV RQSILLSSRDPHPATSNSPPHANTNEETPLFENDEITSSAHLASQYEERLASAPNTQN KVMTPAQFERYRQQREMTRRLSTASKSDGSDAGNDYEDDEEDEDEGEKTREAARQRRK QEAHLSVYRQQMMKVIGQHAPAQSSSSQTLRPISEQGSISTPNLLSRSSMLGLPTIPQ EGGKSSDGEDDEDVPLAILAAHGFPNKNRPPTRLTASSSNPNLRVSYAGSPGPSANDN GSEARANLPVFARNLPRDPYFGAGIVNAPQRESLAMSGGAPPLGSSPSQASLHPGGLV GVIANEERARAMRRGSPNAQAAGIPRPYSVANMAQMNAPGPWTNPGGLLQQQQPPVMT SGEQAQIQISQQMTEIMQVQVQMMQQMMQMQGLSPGQQPPQLNPNILSGIGQPINTAQ RPMSMASSFHLPANPPQADQRTMSMLDPNMSRWNLNRPASIHPDAMGRPMTPQGGYGY APSIAPSERSNIGLAARYRPVSMAGQEQQMPRSSTFTSSTLKPWNNENPRPTSVSPSH MQPGERKSTSLATVTIRPVANTNRQSISGKQNVKPAVPDEDEDEAWADMMKKREKKKS SWKLKKENSTLGDLLHMVH TSTA_089610 MDETKWKSDKGTSNNISAAQIQNDYQERLRQAERQAERQAEREQ RESGQVEEQYEDENTGEAPEEKKKRKRKEAATLAKIKQSKEFARRKARKGDDTDDDEI ARDMMLQKSKPLPGQLDNCEICEKRFTVTPYSKTGPNGGLLCAKCSKELAKDEKKAKP KKTAPKTGRRQNQSKLLDGIAQQGAPSLVEMCIKKVADNINDVEEFGDLPPQLLHRLS QILSKRRVLTPRTLQLFLRSDFDAINIYDCGKLETDDFEKIFAFMPHLTHVNLRFAGQ MKDKVLEYMMDRQLKIKHLQLDAANLVSDGCWRRLFMKLGAQLEGLRLSNLDSSFDDE TVVTLTKQCPNLRRLKLSHCWKIGDEALAALGNLSSLEHLSLNLLRDVQQTNVVNLVD KLGPKLRTLSLQGSHDCDDKLLETIHTRCSRLEKLRLSDNAVCTDKGYVNLFTGWSNP PLKFVDLSSTRDIDNSNPDGPAEPVGLASKGFVALMEHSGSKLEKLNISSCRHISRDA FEKVFAEDRIYPALADLDISFHTVMNDFVVALLFKCCPAMKKLTAFACFNVVNVRVPA GVALIGGLRAQDSIIVEGDF TSTA_089620 MTTRRSSARLARGASATQARDDDETTTASIPGPTPRSTRRTTRT SSIIVEVAIPAKAKDSLSTASSLSPPSVDSTSREDSILDTPATSVPVSPPESDNNTSR KTRKRVSASRRALQLRASALALPSSASTSSTRGKRTVSQMLATESSDAALARELQLEE YGGSKIKRQRNTLDTADFTPMVQDSEDELLPDWDEQMSPQSSRRRSLRSRPKSQTKNA GDMRMLDDGHGTDDADDYAYSPSDDDASEADEASPEVEEEVPVVTPPATRRARNPNNS LRSRVRARALRETETALPYHMGRRAARERRKLEKQHPLITTMWKDLENMPAIVPQQAE QPAGISRKLKSFQLEGLNWMMQQEKTQYKGGLLGDEMGMGKTIQAVSLLMSDYPVGKP SLVVVPPVALMQWQSEINEYTDGKLKVLVYHNSNHKVKHLKRKDLLAYDVIMISYSGL ESMYRKEMKGWNREDGIVKEDSVIHSIDFHRLVLDEAHSIKQRTTSVARACFALKSTY KWCLSGTPVQNRIGEFFSLLRFLEVRPFACYFCKVCKCQELHWSQDAEKRCTHCHHSG FSHVSVFNQEILNPITESHGQARQDALRKLRLITDRIMLRRLKRDHTSSMELPPKRVI IHNEFFGEIERDFSTSIMTNTTRQFDTYVSRGVMLNNYANIFGLIMQMRQVANHPDLI LKKHGEGGQNVLVCNICDEPAESPIRSRCHHEFCRQCAKDYMRSFDADSVVDCPRCHI PLSIDFEQPEIEQEEDVVKKNSIINRIRMEDWTSSTKIEMLVYDLYKLRSKKQTHKSI VFSQFTSMLQLVEWRLRRAGFNTVMLDGSMTPAQRQNSIDHFMKNVDVEVFLVSLKAG GVALNLTEASRVFIVDPWWNPAAEWQSADRCHRIGQRRPCVITRLCIEDSVESRMVAL QEKKANMINGTINKDQGDALEKLTPEDMQFLFRGS TSTA_089630 MGTEVAPEVQRQHMIERYGPKFVEKTEKAHDKALAFRPADHHDK AEENNPPGGFDDTPFPHAPPGYTLKFTFHRANNLPAADFGTLSSDPFVHAELKTDLPK RHKQDPNLIFRTPTIRRNVNPVWDAEWIVANVPASGFHLKCRVYDEDPADHDDKLGNA HVVVDRITDDWAGIKEGAYHLKKRAGSKRAYMVRTVVAALSASRDLNAELIVSVEWLG RTESDNGAYIYTVGPIYWFKHFSPLIGRLLGTSGTSENQNAEGKTTPYNFQAIEIQLE GPVPDDLYHRYVEFKPFVAGMFTSHSLRGRILNRALHHQHARIYNFDRSTMNGTFPGP CTELTKLFLDFVDHGRGGRIFTYILTLDGQLRFTETGKEFGIDLLSKHTMHSDVSIYV AFSGEFFVRPSKKHRRFRESITSISSSRPRTSESGTELGSDEVDVTEKDQDDCSAYEL IIDNDSGTYRPNAEKLPLLREFLSKNFPGLQITTLDCQKDAERMNRLKEQRRAEKQRA RGNMVYTQQVNGSSSSLSSSDEQDLLERAGQATGTESGKHHIHDLKDVKSKFRDWIEA DGTVDGHAKATSNEKPPHNENTNGEASNDSTAKAAAAEA TSTA_089640 MGTVSSKIDDSGTLFFKDQNRFAIANITVLNSHGRALLHITPNA FPASRYIAARDSGDDSPVEYVQDPDPPQSTSIPSFLLRLNNDEELSFKFTFIIRQTQS GQVYNSNINGVATSLPETTDTNLMGLTFAHASNIKELDNLITREFHANPNLQNNSNVQ LVGDFSTSGSPSVQFEWTWKWKPPKAVEDKGGGWRNSCSFLDYDQRTNRLNTLANLTF WIQNTSRPGSSPQLMSPPHLDIGYPSRNRVPSSQSALSHSSDTEGPFGVAGQTVNHGE LAIPNPPSSSSSIPVPNTPAPVKVDLPSRQGEDMSAVEDGPLFRATMKALEQKTGSMR TKIKKVLKKAEAAHVAQLACNDAVNAFISALNDASTSNANAIQPAMEHYFEKIAREIL KYEQLNAVQLQKLVIEPLTKLYQNDIKQAESKKKDFDEESRDYYAYVGRYLGQRQDSL KEKKRVESDSKYQTKRRNFELKRFDYSSFMQDLHGGRKEQEVLSHLTKYAETQARNYL STAKKVEDMLPQLEALVREVDQADKEFQFKRTEREEKRRALEKSSKTYVEPDSASTSA AIGAVNSAQQPETELNRADSTGSQLRNVHSNASSVSSQTAQSPGQVGLTSSLSNASST GGANRFKGIRDLEERDSSSVSGPDKQGGPQRKEGLLWALSRPGSHIDPKGINKQAWHK FWIVLDQGKLSEYSNWKQRLDLHIEPIDLRMASVREARNAERRFCFEVITPQYKRIYQ ATSEEDMSNWITAINNALQSAVEGQSAPPSMPPPSSHSESNSIRRDIGSILTGKSSSY SGQHSYSTTTSANANSVNRRTTVGARPSYIRSDSHSYEENPGKLLQTIRDADHGNKWC ADCGSTLKVEWVSINLGIVLCIECSGIHRSLGTHISKIRSLTLDVNSFSNDIVEILLQ IGNRVSNMIWEATLDQSLKPQPQSSREQRLKFITAKYAEHAYVQPLVAGLSHYSTPNE TLLASIKKNDIQGVLYGIALRANVNATDRSRNTHTVFLALAAADPATPGSTSPGFSPV STAKQAPPKPIPFPIAELLVQNGAEIPQQPAPILLSPAAQLYISQRTATISGSIPPST STAIINNNIPSSGRSTADTLSSLPLIRGGSNSEAHSAAHALAPLDSKEREKLQKRGSA GARFAGKVSSLGVDK TSTA_089650 MGDVSSDHSQSSGDGIVEETMLPEREPISGKSDQGSLGDPSSDL TQSIEPPGISRQTTDSTNEHQSASLEHSASDVHRANPPSLNMSEIVSALPSYQSPSRS FLQQNIQMQFVPTHQPQGMMYPVAQMPYASPNAPGGAIYNMPYSPPFHNAYIHQNPQL QGAYSPYPTPHHQSGAPMHPHSPGYSHPFYTQHQYSPGYGELQGVTPNTRIEGQTQIF HHYRQAQLGITTRESEKQRASAGYDVSSTIVDGSSRMKQSGAPLAALGHNSARQSSVV IPIIPPRGPPRKPKQSGHALWVGNLPPSTNVVDLKDHFSKDATSDIESVFLISKSNCA FVNYKSEAACAAAVARFHDSRFQGVRLVCRLRRGATAASFGQNNPLSARPSDEGEAQA QEEGKEKRAAEERLVSHSRRPSRVPDRFFIVKSLTLEDLELSRRSGIWATQTHNEAAL NRAYESADNVYLIFSANKSGEYFGYARMVSAITDDEALALEAPPRPEIPPSEADDLEV IPTEATETAPKGRIIDDSARGTIFWEADSSEDSNDDNDDGADSQGNDNSDNDEENDDN RPGEDVKNEKGAAELGGEDLAAGGQTFGKPFRIEWISTERLPFYRTRGLRNPWNSNRE VKIARDGTEIEPSVGRKLIQLFHIHPSAMSSGAQQGPGFQRPY TSTA_089660 MSNSTGNASSFPNPITTAAAANAQSGPRRMSITTLGLSGSPTQP SPFSAYVGRRESLSSSESYEDAIEDNDNSPANNPTSPLARRVSFGAQALRDVRGGSGS GNGTSTQKLKNERSDHSWRPLGEGFNWSAALRTRAERAPSIGGSMPTSSHAQPAMLNP NDPNASKAYHQRAASIAIMEQPTHEIPREPRQNKPDFFQEKILRADFMD TSTA_089670 MDALTPGLRRKAFERLAFLDTLGSQENSSKPSVSQLINECRPQS SQSGGFKDGFSQGHGKAPMALRELEIILSLAKTSANVTRHDQMLNLTSQFAAHLTESY SQRFRASPFLHDIKPSPWEALTHGLTTGLLNLGQKDTSVREHANSAIMTYLNNCARAV KGMVKGGTERSEFEGAIGPVEILITTLSLVGFLEGASHFTELWNATEKLAIIELLESI LSVNLLVAVETAASKIRNSNAIDPTSREWKRYNRRYAAHRRPLGAMLLQQCFLRFIKS CTVTTVLGHAAPTDVSFLEMYADGIPLVKTSNEAEEALVKHLVGVLTDRIQLLEDGAD YLQIGSQWQQELAFSVKSSSLIAFLNCMTLNEKLADTDLLLSWLEDTVADENQMAAFD LGTTALKVVASTAKQFPANASNLSHLLLKFITQGGASQSIVSVAASCLASILEVLSQD FVITTLYSLGNVLSPTAAGVERPVQLPVETSENGPSVDPYHSNADSVISLSYNSEEDS TLTHRNVIHAIVTIAVHSEDENIAALAQSMLLQKIGRISVVADAYIIQETAALALVNK HSEFQLLLKFYTRLHQEALLRGHTIIIDAVHNARNRLSVALRHSPPHKATYLMHLLES IISKGDVSDLGQDRHKEITLSPDDITPYLKPLAFLVSADEGKESGSIETTQYDEDTAA LFRDTWFNIAVHGISTQSDIGRRYRNELCAIAAKSPPLVPENRTEILESDVELNIILR RGMGHQRLAEQKKLFSSELPEHEASLKRISYQEIVFLNASLLIESLRASAGDCTRILL YFLDPTLSSTELGKYMKAVVDKVIDTYLSKTLSGIGERFASPHLSKQLAEIFVTGCHR IHRVQEVALDCASKIISRSPSVLCDRSPLFALLDLLTIMWTSCLENDLDEYGWQSTFT KGDVTVELSDNHESRKRTLDLFYSRAKSWMTVAINLAPLDVKGLLQTYLSEYEDDGAY GHISLGRSFALEMASQIPQSDQRLSHIERYGADNVNCASDLIAQYTTRQEYRYSEFSQ ANGKRKENAQTNGTVDPYLTQYIEAIENLLKQLSLRASAGYDLSSHELQDALRKAAAL LCRSYDDLPSIAHYLVDIPFQIFTKESIKFGVSIWLGVINENPKTEPRILAEVASAWE STTLSKKGIFSPTFTHPDPFFTAIELLPSDKTALLRKQQQAQDVLSPHLRILQFFESH FNAIRLASPHLQRIFSRVISRTLVAIQCTNGHPLSREVHFHIVLLGLRILQYSTTQSR AYKWKLKDLILSAALSWFRHSPRWSFGGNRLQLKAEDRVLKDVEDALKYAANLSSSNL GRRQSLRNKQELLQLLIENERMRLRVWLYPLEQEKKHYITVFGSKSQSETGIIDQLLH TAWAENPSLAIQICTRFPSQKLHDDVRRLLLDFPEKAMGVPESLEMMFGTSLPADVTH QLKYLLYWSPVSPTEALTYFLPAYGNHPFILQYAMRALESHSIDVRFYFVPQLVQALR YDALGYVDRYIYESAKLSQLFAHQVIWNMKANAYKDEYSEIPDAIKPTLDKFMDRLVT SFSDEERDFYEREFSFFKEITDVSGKLRPYIKKSKPEKKQKIEEELRKIKVEVGVYLP SNPDGIVVGIDRKSGKPLQSHAKAPYMATFRIQKTKALEGKPRAEQIQSDKENSHDQE TYEVWQSAMFKVGDDCRQDILALQLIAAFRSVFNSVGLDVWVFPYRVTATAPGCGVID VLPNSISRDMLGREAVNGLYDYFVSKYGGEESTRFQEARTNFVKSMASYSVISYLLQF KDRHNGNIMIDDAGHIIHIDFGFCFDIAPGGVRFERAPFKLTSEMVAVMGGGPHLAGS SSNGSITNGISTGSINTSNGAGSSPAGSSALRLPIPGTHSHDPTTTQPYQWFESLTVK AFLASRPHATKFIQIVTMMLDSGLPCFKPDTIKNFRDRFVLDKTEREAADYMRELTRK SYLNISTKGYDQFQLLTNGIPY TSTA_089680 MAPRNERSSLKRRRESEDQSGVQKSQKPRRSERLVSQTEPTTDA KTPVKNTYLPTPLTHHDSTATDIQKAVTITPEGRRLSSPEESLPVFSSPPGDTQALSQ FVYPPRAFADDVEDEAAEGVWGYLIPLDDKAGHALVLKKRNSCESGSCGQTEREATSS PSRSSKKQSNAPKKPDSAKPPAYPPSGYLIGRHPECDLVLDIPTISNRHCLIFNETRN GDVVAVIEDLSSNGTFVNEALLGRNKNRELEDGDEVTIIDEARFVFRYPRTRDTSGFR QQYRILQQLGKGHFATVYLCVERATGKKFAVKVFERRQTESQKSQAEALQQEIALLMS VNHPNVLCLKDTFDERDGAYLVLELAPEGELFNYIVRKQKLSESETRKVFIQLFNGLK YLHDRGIVHRDIKPENILLADKNLHVKLADFGLAKIIGEDSFTTTLCGTPSYVAPEIL EESRHRRYTKAVDIWSLGVVLYICLCGFPPFSDELYTPESPYNLAQQIKMGKFDYPSP YWDSVGDIALDLIDRMLTVNVEKRITVDECLEHPWITGKFPSVADSTDGLTGAMDQLD FSRRKVERERTLLSSIVDVEVHDVVQDDDEEVKVFRKNPANKRVHQPKEHKHEPSPAA NRPPAEFVNMGGRGDQVLYDDEASTRPPSKGHRKH TSTA_089690 MGLFAAALDRICEQCSTQSIYVLAGLGFATVIVLSVIINVLSQL LFKNPNEPPVVFHWFPFIGNTISYGMDPYKFFFDCRNKYGDIFTFILLGKKTTVFLGT KGNDFILNAKLRNVCAEEVYSPLTTPVFGKHVVYDCPNSKLMEQKKFVKFGLTSEALR SYVELITKEVNEYVANADAFKQPRGTFDVGKIMAEITIYTASRSLQGKEVRDKFDSTF AELYHDLDMGFAPINFMLPWAPLPHNRKRDAAQKKMAETYMEIIRKRRQAGGQKDSED MVWNLMSCAYKDGTPVPDIEVAHMMIALLMAGQHSSSSTISWIVLRLASQPKITEQLY QEQIRVLGADLPPLTHENLQKLELQANVIKETLRLHAPIHSILRAVKSPLPVEGTPYV IPTSHNVLSSPGVTARSPEHFSEPLEWDPHRWDGVKATEDDEQVDYGYGLISKGAGSP YLPFGAGRHRCIGEQFAYVQLGAICATLVRLLKFKNLPGVEGIPATDYSSLFSRPWAN SVVEYERRDATTKA TSTA_089700 MPPVIPQPTPFVPDVPTFLSLIGREMSKHASKFTSWDSLFSLSS AELQDLGIEPARQRRYLLRQREKFKHGIYGPGGDLDVVVDGAAQLRVVEVPVGSSTKQ GDEVNGTLPSASATLSPGMRKVLVNIAPDAEKYEYKPSDVIKKYAHMKIHRGSTVKGP YVQPLKGTNGSAVLIKATEGMWEDRRGHKVDGGERRRAEVRAKKRSAERRAANA TSTA_089710 MAVSPLISQSPSSASVSTSTASKAKSLAVRSESQSATASHLNNA TAALELAEQMNENVRRKYVKDNKLGEGTYAVVYLGHLRDTPSSLVAIKKIKVNAEYRD GLSMDAIREIKYLQELSHPNIIALHDVFSSKDQNLNLVLEYLPLGDLEMLIKDGNIQY GVADVKAWMGMLARGVWFCHENFVLHRDIKPNNLLIASDGEVKLADFGLARSFADPYM NMTHQVITRWYRPPELLYGARQYSAVVDVWSMGMVFAELLLRVPFVAGNTDMDQIAKI SEAFGTPTEENWPGVTRLPNYVPPGDRITPLQGREFFLRQFPTAGPLGADLLMSMTTL DPCKRSSVRQILQHAWWMSEPKPTFKESLPRKSGGSKKMGNDLSRRAGEDSEGRFKNA ARQLDFGVK TSTA_089720 MATPSALPRSSSQASNPPTGSVPPRRLKPLSTVSDSQRSASPSP SKLRPPTRPSLGGNAQSNSSMRSVSSTMTSNGADKSLRRSVSIAAFPQPPKSNGRLSM ASISSSTSNVPSTARSTPDITKSATMKPRKQSRLSSGTTASNRSSKVVSLLDNSSDGK IVLNAAASRDSEGQFSISPPHSRSSSAQGSYSTSATTFDENEETEHDSRDSLAAGKKG ARSKEAKGNVIVSVRVRPDTGANENPRAEGEWMVDGRRSLIAFRGKESYDYLYDNVFT AHDNNSKVYDASAKRLVRRVMEGYHGTVFAYGMTGTGKTFSMQGTASSPGVIPLAITD IFSYIRETPHREFLLRVSYLEIYNEKIHDLLSASSAGATGPNAPPQEEIKLREDSKRG VYATPLKEEIVQSPTQLLRVIARGDHARRTGSTQFNARSSRSHAVVQIVVESRERVPN GNSTQDKRSAVGPGGVRVSTLSLIDLAGSERAAENKERRTEGAHINKSLLTLGTVIAR LSESKDKSASAADKESKHLPYRDTLSGNSLVSILCTIQIGASGSAAPANTHTNETLNT LKFAARAKNNIVSHAKRAEEAYGGNGDGGSRVLLERYRMEIQSLRAQLENQSKTQAEN DMKMEEERMEKEAEARHEEQMLEMQLARTALKERIEHLNRLILSSKSTGVNSHGGTAS FDRLSTMSGMNETRARSLYSSSSQSTLGANSLRRTVSQQSLHSTEPSNGYQFRRTSMA PDEDDDTVGEFGDGNASLQMQVTALQADLADKNRYISTLERRLLQARRSSHSRMSMGL AQLKNGDDADMMALLREKDMEISELRIQLDDKDRMLTALRSAARQRDVAQMTIDVPSQ DKRFGGHQTNGSNASSLASPLSAGSSVNGLSLASISERETHPNRKSVDEVSRMLDEMI QDRVEQGHLNKTSRRSLLFASGTGNHPDPISPKPPAISVPDPRTSIVEVPENV TSTA_089730 MVRPYSSWHGISVISATDRTTMFSMPSDTTDSQSVRQSTGLLST EQAFIQKTSKIYCHSSLTFVMQSEDAGLGTTLMNLWISYGLAQKQGRSFFIDDTNWAY GRFSKYFRPPPKPTCRQPPPKLRLPCPLQARHLIVSSSNAHWIFGDSFKEYFKSPFAV SETRQREIFDMARSGYGALFNLIGDDASYLQRRIQGLNRDVRSKGGVAIGIHVRHGDS HPLDPQYKDSYIPLDRYAKRVSTVFHAHLQQARGDTALSQSMAQHSKVMLASDDPEVY TSFELGGAERAQSYIALANKAALDAATDKSQGSLLNENVGWEGGFYQDMFWSLGVEAS DYSELGGPFPSNQGPTKPKTLSVESVAEQRRLRPSEESLKLREWVGRAYLLDLAVLGQ SDRVICGVSSASCRLLAVMMGWNKAIRHKSWQNIDGNWHWSFIVP TSTA_089740 MAIAERKSKVQHNGLTNSHTDKRNGTSEKHGTRWAPLNIGLERR LQTFVVLYHTLTMAICLTLFFFVCAMPLFWPLLVPYLAYILFSNAATSGTLRRKQWLR SSRAWKLYASYFPARLHRTQVLPPTRKYIFGYHPHGIISHGAFAAFATEALGFSNLFP GITNTLLTLDSNFRIPFYREYAMGMGLASVSRESCENLLTKGGADGEGMGRAITIVVG GARESLDAQPGSLRLILNSRKGFVKLAARTGADLVPVLGFGENDLYDQVDSEQHPFIH KIQMLIKKFMGFTVPLFHARGVFNYDVGLMPYRRPLNVVVGKPIEVIKQRHQDKVDEE YINELHNKYVQELTRLWDEWKDVYASNRTSELEIVA TSTA_089750 MGLAAPRKRVKISHDPNNTTWAQSTSGFGHKIMTSQGWTPGSYL GARNANHADTFTAASASHIRVTLKDDTLGLGARPRTLGNDDVAAIDAFQGLLGRLNGK SDVQLEQEQRKRDDTRLALYASKKWQAVTFVSGGYLVQEKPDDVLKSKSKKKYHSSND TTVVDKASFGKSSDDSVDDTPSQSEDAVSSVKAGKSSRTAEAKDTKEKSKKKDKEGKK EKSDKKVKKEKKDKKERKERKERKREKEQKKKDEKDSSKKRRRAEEDLDSESSESSSL DEADNKASQQKATSTQAPRPNWRHAIRGRHIQQKRMAIMDDRSLGEVSIQLICVTIQF LIYMLTLD TSTA_089760 MSTLRVLCRDQPRRSIALATDDCVLEITQPNVDSSRNGSTKHQD PNRRLLEAFFRSSVDLHGYRLLGEGWGTLGLISLNRDVFICVVTSSSRAATVRPGETV LKIENVEFYCLNRADYEYGEEYQASSRFATEDIGSDDLHDIKDVITDHPFIALKKLFS DGSFYYSRDFNLTERVQDRSTGSSVIGIEGLNQDMLWNSYMIDPLLLFRSRLSTHERE KLDNSRILTSVIRGFAGTLAIPAAGLRISHLASNLPSSLTVISRLSSRRAGTRFNSRG IDDDGNVANFVETETILWSPPGFTFSYAQVRGSVPIFWEQTPGLIPGQQKIEISRSFE ATQHAFDRHFDFLELNYGAVHIVNLLSDAKSSELSLSSEFRKHVAKRSAAHQDESTMS FDHYLLRMTDFDFHAEARGPLGYEVSSQIYEILKSALDGFGYALRETNTNEKSSPSRR NQEVSRVILQQEGVFRTNCLDCLDRTNLVQTIISSMALEMYLHQRGGVMVPEIRMRHS TLWADNGDALSKVYAGTGALKSSFTRHGKMSLAGAFADARKSATRLYVNNFADKARQN TIDILLGRLSNQVPVELYDPINDIVTAELERRADEYTSSKNIRIWVGTFNVNGKGDGT TTDLSPWLLWMREKGGDNPALVVVAFQEIVELSPQQIMSTDPRPRMTWENSVKNCLND YADRMGNNRYVLLRSGQLVGAALLVYVREDALSDIKNVEGSVKKTGLSGMAGNKGGCA IRLEYSNTKICLVTAHLAAGFANYDERNRDYATISGGLRFRYNRSIEDHDAIIWLGDF NYRIGLENQEVRQLIKKNEFSRLYDHDQLNLQMLAGRTFPFYSEGLIRFPPTYKYDLG TDNYDTSDKARIPAWCDRVLWKGGCLKQIDYAAADLKTSDHRPVSSLFDCTISIVNEV QKDKLNRVLYDQHRVEFGAMMTSGDLLENDDEASRSFANSQGLPPPSSDRHKWWLDNG SGARSGIAPSMQGKVPNIVGKPNPFAESDEHDWVNPQMLLDIADLREHSMTEAADSAV TKPQRPRKTAALSQEKPSNSTMTKEAQHDAAGVTGGGTWEPKPRLPPRPSTWETREHI SPQPPARSATAMHASTNLLDGMDPAMDKWKPLLPHR TSTA_089790 MKLSLLATTLPLFGKIVDALSAAEWRSQSIYFLLTDRFARTDGS TSAPCDLSQRAYCGGSWQGIIDHLDYIQGMGFTAVWITPITKQIPQATSEGSGYHGYW QQDIYSVNSNFGTADDIRALSKALHDKGMYLMIDVVANHMGYNGPGASTDFSVFTPFN SASYFHSYCPISNYDDQNQVENCWLGDDTVSLTDLYTQSNQVRNIWYSWVKDLVANYT VDGLRIDTVKHVEKDFWTGYREAAGVYTVGEVLHGDPAYTCPYQGYVDGVFNYPIYYP LLNAFKSSSGSISDLVNMINTVSSDCKDPSLLGSFIENHDNPRFPSYTSDMSQAKSVI AYVFFADGIPTIYSGQEQHYTGGNDPYNREAIWLSGYATDSELYKFITTANKIRNLAI SKDSSYLTTRNNAFYTDSNTIAMRKGSSGSQVITVLSNSGSNGASYTLELANQGYNSG AQLIEVYTCSSVKVDSNGNIPVPMTSGLPRVLVPASWVTGSGLCGTSSGTPSSTTLTT TMSLASSTTSSCVSATSLPITFNELVTTSYGENIFIAGSIPQLGNWNSANAVPLASTQ YTSTNPVWSVSLDLPVGSTFQYKFMKKEKDGSVVWESDPNRSYTVGNGCTGAKYTVND SWR TSTA_089800 MHLFGESEVQNYDILAIQEPYINKHTDPLTTYSLALKGSFHILL QPTPKEEYKKRPRVCFYVNRGLDPATWEVQYHNRDLSTLTLHTAAHGTIHIHNVYNPG VNSNEESVISALQTAMAPRAQHIVLGDFNRHHPLWAGPRYRHVDEEATELINLMDEHG LEQLLPPGTITYERVNAKSTIDLVWASHNLANRVVSCDTKPEWWYGADHVPISTQFDL TAIRVPPLVRKQWNATDWDLFLKLMDIYNWHPRELNDNEAINEAIRYLVEAINQAAEQ ATPTKQISIYSRAGYTPEMAKLKHHVSRCRRHARRINTDQAWEDYAEARKEMKRRTNE LARDLHRQRIEQATESIDGFWRIARWVRNRGKPRATFTPTLHYNNTSYTAPKEKAALF REVLHPEPPEADLSDIGPQYRYPKPYTMPPITLDEVRTAVTNVKPDKAPGPDGIPNLV LQRLLPTIEAYLVNLFNACLRQQYCPDHFRKSTTVILRKPGKPDYSDPKAYRPIALLS TIGKALESVLARRLSYLVEQYNLLPKQHIGGRRGRSCELAIHLLLEETHSAWREGSRV ASGLALDAAGAFDNVNHIRLIHDLRKRQVPDDLIGWIESFLSNRRTSITLLEGNMGEF LVNTGIPQGSPLSPILFLFFNADLIEQILAECPDVIVLGYIDDIFIMTYGTSAAANCH TLTKVHQVAERWERTHASKFAPAKYQLTHFWRKHQMVPKPSGRLDVPLIIKGVEIKPT DSIKYLGVYLDTHLTGEVHVQEMRKKAAKLVAGLSSIAGSTWGTPLVHLRKIYTAVLQ PQIMYACSTWYIRGGRGFTGAQRAAEQAIRSIQDQALHQISGAFKRTSRQALEVCLHV PPAELTLAKLAEEACLRIMTSPLRSTLYQIRGQAHCNDPYTSPLHRLETAIDRKLGSD TSQRIETIYPFVVPPWWEPPEARIDDTREEAIKAIEAISGTDTTIQFFTDGSGFDNGI GAAVYSSIGQAYKPGNWRESMRHWKSYSEASHATTTHTKPQSTQIIKPQYAPRASQGG PLGSISFDGSYDTWASYATTDLDGVCTTTVGARPRRGPRQRESRPAGKVGCSRGDTAY TGERPHSQDQRTQSDYTTRRTNVVYSKSVNYPYGSVSPEATCRLCKEVEGTVGACQPW TASLSDNQSTNEDGVAAARGITTGLEFSVNPTTNR TSTA_089810 MGPKRGKKGTPWPEPRFADDPDIRAYVAAAIKDLEALKKHQEAL EIGMPQSYVQAWGRKVPSNPPTVPSVGLSSGGSLPSTPYPSQEDLEVYLEHTDPNILN PIRRFPDKVVEKANLAIRSTQDTTIAHRRIAAARILPSGDIILLLGTVDDVDQLTRKK DWIRAFGNEARIRKRTWGVVVHGVNTNINPKQPQFITTLTSENAPVFAQLPASMNVTH TGWLLSEYKIKEQKLTNAHLVVIFDDERIANFAIQRGLIIKGRQHNVSIYDKAANLQQ CFKCQMYKHIARHCQRQICCAYCAGSHDTGDCPTPKEKEYAKCANCTAENVHIKDPAK RLNTKHFAYARECPIRATCLAEAHQRRTYGPQYHTPVIRPGNSQPGAISPNDPTPAEA ANTERSPRAPARTATTRRSANSRSKSAAAARKRVAERSEPEPISPTSGDPTNRSSKKP MRAQWDKDLVIDADPNPEPKTGPETQIKYTYNTRARQNTKPPPGTPVLQSDIAPLEIS HVQAVRTVRRSKSVRTIPDDDSSEDELTQPSIHEAPQDPIEPAQEADTLMTTNLEDST WANNQ TSTA_089820 MRKSSGKARKRGHKGSRAITGIFPKTPIGALIRETALEPATALL DARVAQYTARLLTLPDTHPTAQILPVTLRYGDLHAQPGEQPLDDREWASRDNKVPNRL SQRLAKHLAQRLSRDPSGGIERTKQCELKGFPGSIRVLDNEEALTEANQQRPGMTFWS DGSRLDTGRAGAGVALQAVPGGPWEHVEVPMGHGHEVFDAELMGVATALEWALERQPL GPIWVFLDAQNAIDRLRSTRPAPGQALVLRAHRAVEKLAMRDQLVTIQWVPGHSGVVG NEQTDQAAKRAASKPTAPGFEQLQYLNGLSIFRIAYNELKL TSTA_089830 MESAKDEKLDKIEIDLISDTEEQYTIIDDEIGLDEIGEADEVRV SGRKRKSRDDDIFEYH TSTA_089840 MQEAQYEYPWEHVEVPMGHGHEVFDAELVGVATALEWALERQPL GPIWVLLDAQNAIDRLRSTRPGPGQALVLRAHRAAEKLALRGQPVTIQWVPGHSGIEG NEQADQAAKRAASKQTAPGFEHLSLAHVRRACTEARRAAVSEWAQINAVQGRHRDGRV YKMPRGWNLDPVAGKAPKRVASRYYQLKTGHAPIGTYLYRIGQRESPECQACKEPHET VRHVLFECRGRRAGRRTLYHALKKAGVPLPTAAEENPEARLFAEPRATQGLLQFVAEA NLFNDKERTAREAESSDA TSTA_089850 MIASLETWGAGEISDEEHREARKGFYSLIRRAKRECWEGFLQGT SEGSLPDQKRCWTALRYTKPQTQGTTPALTDEASGEVIAATFSEKEEVFRHRAFPQAP NSNMELQLPERGSAHKLVNEEVVKNALFSQGLEKAPGTDLLNFRAIRLLWNLDSERVV SLTRQCLRLGIHPRVWKTAKGVLLRKNGKTNYTLASAYRVISLLKCLGKVIEKLVAEL ITNFAEAQDLFHDGQFGGRRQRSAIDAVACLVEEIHQAWANRKLAAALFMDIEGAFDH VILAKLVEVLREASVDGDLIHWVISFLSDRRVTLVIDGHVGKEVPISSGLPQGSPVSP ILFVLYVHGLSRAIERSVPEVRCLSFVDDQGLVTAASSVKEACRILEKAAEVAIEWGV ANGVQFDRKKTEAAFFYRRHRRQVAQNVSRARIRVGGESATVKSTVRWLGILLDNQLT WKSHYNARIKTARNTIIRLNSLCRANGLPPALVRRIQKATVQAQLLWGAEIWWQGQKT WAQRIQILINKQARGITGMFPKTPIGALIREAALEPATVLLDARVARYTARLLALPDT HPTAQILPVTLRHGDLHAQPGEQPLDDREWASRDNKMLNRLGQRLAKHLAQRLNRDPS GGIERTEQCELQGFPGSIRVLDKEEALTEANQQRAGTTFWSDGSRLDTGRAGAGVTLQ AVPEGPWEHVEVPMGHGHEVFDAELVGVATALEWALERQPLGPIWVLLDAQNAIDRLR STRPGPGQALVLRAHRAAEKLALRGQPVTIQWVPGHSGIEGNEQADQAAKRAASKQTA PGFEHLSLAHVRRACTEARRAAVSEWAQINAVQGRHRDGRVYKMPRGWNLDPVAGKAP KRVASRYYQLKTGHAPIGTYLYRIGQRESPECQACKEPHETVRHVLFECRGRRAGRRT LYQALKKAGVPLPTAAEEDPEARLFAEPRATQGLLQFVAEANLFNDNERTAREAEISD VWGWDTLEEGGPGVTLEDG TSTA_089860 MDSQISTSNESQLAANIAAAALQATESIIITSSPPPPVRSQPTT DTQGTTDSQATSYNSTDDSDSNEASISQNTASQVKNNKRGRSLKDEELSLLFKCALDL KLDYKPKREYWEAVEDRFVRLIGHSYSWKSCKSHIERLSKKRRLYLAQYVTGREAEAT SELDELIDQWNDFIDGYEKDEAEKLAEKNKYKENSQLVLAYRDQLVSTGLQKSKKQAP EELKPSEDDTGSDNRKVPDIPHGRQKSGLSRKRYSP TSTA_089870 MASPEWPPGATGDPEIMGSGSGVDSSTPTQEPLRLPQTTPAPII GLGDSQEQSNEPTLDNCDTIHAIQQAGESQQAPGITIEKRKASRLTRSGLSAAPKKKI TLAAMRAVSAPAEDSLVMSLIEDLRSQMQEVVDQLSVELTTAKNVINTQ TSTA_089880 MSRNELLITQKEVHDLRAANEKEKKKRKRSRAQISHEGSLTAQE AQELIGSRNEASQPIPTAPLNHAYERHRSAVGVELSGIKLIDVQIVLLVKKLARFPEK VIGWVSDYVISRDN TSTA_089890 MESPERPPGATGDPEIIGPGSGVDSSTPSQEPSQLPPTTRALFA GLGDCQKQSNEPTLSNSDALQAPRQTGEAQQAPGTILEKRKASRLTIGSRTPITRSGL SAAPKRKITLTAMRAASATAEDSLVMGLIEDLNGHLQEAVHQLSAELTTARNVINTQQ GLITTLNARLESLETYVNALQSRQILPLDPFAATREVAAHGPPPRPASTGGLASTPIQ LDAAPESRAINSTAPQPPPRYQNPTKATKQAIQPPEGPKKVPGTAAKTTKQPETTAKP LTKPAPTKWAAIAANNTQSGGWKTVQYKKQALAPSKALSTTNLKPVSTRSKEERRLIF RRRYPKDAPTALKADILLALNRALAKAGFPDFVRAVDSGYAASGALTVLLERGTRSST LVPVYNDTLLAAVRQTDPAVISVEISEQWHRVKVQAVPVDRYMYNDQGLALAQEEIEL GTPYRLKREPTWLKRAKTIQASNQRFATIVITVGSLEEARTLINKGIKFGGRHHRVAP YWESNPESICPRCCGIGHSGFMACGGRSPKCAICAGDHEAIEHSCTVVDCRVGPAKPC KHTVIKCANCKGAHEATSPKCPKAREARQRAIRRMREQSLQDLIPLDETFAVVPPKPV LTLEERPGQSLEEETSTPEEDELLPEMQLETDIHEGNSQQPLEPELKSATEAPQSAVV HTALEVALQAGAGIACLQEPPVRGKYQISHPGFLFYWPEGPREHARVVTAIRRDLVRE LVVEARTDLANHPYFMVVDVLEQGRRTRIVNCYDNWLGARHTYSGESLLTRRALTDLD WGPILEGRCLILGDFNAHSPMWNVHIDQRVNARSLEDLIMRHDLFINNDPDEPTRPHK LRDSTASFETSTEPRVSIIDLTISSQALGPLSGWEIESQRLTPSDHVMIWASWEPPAT TSTEPTRKEVTGWQIEALLGDKKALQEAKDTWNELARTQPILTDTTSTEEVEREAEWI ERTLTEVLNKHCKQIRLCARSKRWWNSEIEAERSVYSKACKAYQAGEISDEEHREARK GFYSLIRRAKRECWEGFLQGTSEGSLPDQKRCWTALRYTKPQTQGTTPALTNEASGEV IAATFSEKEEVFRHRAFPQAPNSNMELQLPERGSAHKLVNEEVVKNALFSQGLEKAPG TDLLNFRAIRLLWNLDSERVVSLTRQCLRLGIHPRVWKTAKGVLLRKNGKTNYTLASA YRVISLLKCLGKVIEKLVAELITNFAEAQDLFHDGQFGGRRQRSAIDAVACLVEEIHQ AWANGKLAAALFMDIEGAFDHVILAKLVEVLREASVDGDLIHWVISFLSDRRVTLVID GHVGKEVPISSGLPQGSPVSPILFVLYVHGLSRAIERSVPEVRCLSFVDDQGLVTAAS SVKEACRILEKAAEVAIEWGVANGVQFDRKKTEAAFFYRRHRRQVAQNVSRARIRVGG ELATVKSTVRWLGILLDNQLTWKSHYNARIKTARNTIISISEAHTEGYSASPIAMGCR DMVARPENMGTKIQILINKQARGITGMFPKTPIGALIREAALEPATVLLDARRRYFQS PSDMVTFTHNLRAPLDDREWASRDNKACKALAQRLNRDPSGGIERTEQCELQGFPGSI RVLDKEEALTEANQQRARTTFWSDGSRLDTGRAGAGVTLQAVPEGPWEHVEVPMGHGH EVFDAELVGVATALEWALERQPLGPIWVLLDAQNAIDRLRSTRPGPGQALVLRAHRAA EKLALRGQPVTIQWVPGHSGIEGNEQADQAAKRAASKQTAPGFEHLSLAHVRRACTEA RRAAVSEWAQINAVQGRHRDGRVYKMPRGWNLDPVAGKAPKRLASRYYQLKTGHAPIG TYLYRIGQRESPECQACKEPHETVRHVLFECRGRRAGRRTLYQALKKAGVPLPTAAEE NPEARLFAEPRATQGLLQFVAEANLFNDKERTAREAESSDAWGWDTLEEGGLGVTLED E TSTA_089900 MSRDIMWAEQRMKRYYDRSKTFNIKSKRPSNKLDIVKFRLFTIK EKLANNNYKLQLPARMHIHPIFHISLLEPTKNPENSDEEADEEEYEVEKLLERKLEKR QIYYLIRVWNYKGSPEGLA TSTA_089910 MENPERPPRAAGDPEIMGPGSGVDFSTPTQEPQQLPLNAPALFA GLQDSQNQSKEPTLDNRDTLQEPRQTGETQQTPRTTLEKRKASRLTIGSRTPITRSGL NAAPKRKITLATIRATSAPIENSLVMSLIEDLNSQTQEAVQQLSVELTTARNVISMQQ GLITTLNARLESLETYVNALQSRQILPLDPCDTTREVAAHGPPPRAASTGGLASTPIQ LDAAPESRAINSTAPQPQPRYQNPTKATKQAVQPPEGPKKVFGTAAQTTKQPETTAKP LTKPAPTKWAAIAANNTQSGGWKTVQYKKQASAPSKALSITDWKPVSTRSKEERRLIF RRRYPKDAPTALKADVLLALNRALAKAGFPDFVRAVDSGYAASGALTVLLERGTRSST LVPVYNDTLLAAVRQTDPAVISVEISEQWHRVKVQAVPVGRYMYNDQGLALAQEEIEL GTPYRLKREPTWLKRAKTIQASNQRFATIVITVGSLEEARTLINKGIKFGGRHHRVAP YWESNPESICPRCCGIGHSGFMACGGRSPKCAICAGDHEAIEHSCTVVDCRVGPAKPC KHTVIKCANCKGAHEATSPKCPKAREARQRAIRRMREQSLQDLIPLDETFAVVPPKPV LTLEERPGQSLEEETSTPEEDELLPEMQLEADIHEGNSQQPLEPELKSATEAPQSAVV HTALEAALQAGAGIACLQEPPVRGKYQISHPGFLFYWPEGPREHARVVTAIRRDLVRE LVVEARTDLANHPYFMVVDVLEQGRRTRIVNCYDNWLGARHTYSGERQAQLLWGAEIW WQGQKTWAQRIQILINKQARGITGMFPKTPIGALIREAALEPATVLLDARVARYTARL LALPDTHPTAQILPVTLRHGDLHAQPGEQPLDDREWASRDNKVLNRLGQRLAKHLAQR LNRDPSGGIERTEQCELQGFPGSIRVLDKEEALTEANQQRARTTFWSDGSRLDTGRAG AGVTLQAVPEGPWEHVEVPMGHGHEVFDAELVGVATALEWALERQPLGPIWVLLDAQN AIDRLRSTRPGPGQALVLRAHRAAEKLALRGQPVTIQWVPGHSGIEGNEQADQAAKRA ASKQTAPGFEHLSLAHVRRACTEARRAAVSEWAQINAVQGRHRDGRVYKMPRG TSTA_089920 MSISEDGYRMEAPRTTVTTSAIRPHDVSDQVVNESIDPVNIDPN DTSKEIELPERSAVPDLLAQGDELTAIRDQLQPESGHAHLEVSLFRSTPLIEFNRTQP LLSWAFPTLFPRGEAEFTTPRQRTVSFEDYIKHLMKFRDGRFARHPRFRYVVFNTLMR QCDGANLLGLTDDLRNAFADEGLEADALLKAMVKNIGPAHLFLTLSAADLHWDDLMRH LPRYQEWKNGTATERIHISWENLCDNPHIVANWFHIRFSSFCKEVLDKKFNVVDFWF TSTA_089930 MKGLFKKSLKSLRKPGKGEAAAGIHVTLTPLSPSPETPIDDDYT RQLRLASQKLQKTVQNYRERHSKGAQEGLGDPTQYEFNTASGPEQLANLIQTIVSQDT RKNGISRQVSETAGKIYPLASLVLRLGTAVGEAFQPVKALMSSLTVVLDLAEQERSRA KDFYCTLQRVMYQCSRIAEIQKTAVDGEWNHLVIQKSTQLLTAIIRYFDESITFFSQG FTQMLGSSILLGQGRYSGVETALNQAILEYDQALLLQIAVSTVPKRTQLDDTIAMYHN AEKNLDKSELFAWLKSSYWETEAQFMSACKRLHEGSFNWISKLEAFTRWRREESGSLW LTAAPGFGKSMLAAYIIRRLRTEHPRAPVLYFFCRGTNPQLNTLERLVRTLAAQLVTT VPSTQEYFQDLQDQEYACDDTLLLFEDLITKPLSKADSKVFIVIDGIDECLSMEEEFL HMNEKSITLLELLTRLQSQCLLTSRPISISKNKLKLWLHHRLTTENLDDIRSFVSKRV CESTVLQKGFERLGHHGESMVVEKGQNNFLWASTVLSLLDKPGLSPQDFKILLNDNPR ELNLVYNEVLDRFDRAGSLGLAQLAVGCVLFSQSNLTIDALEVIVSILHGEVFAFREF IEVDCGSIFTVIATKDDRDIVQIAHETFRVFITNRGSSLPLLNWFTHFTKLQGQQDDS FHGPIDIERLLVSVHKLFTVEELFCTWLTRFVLLTEDETRSLLLCYHLFDIRQSILHW LTSTEFEEGTSSFSQNLSARETSASALNWRNGIASGEIQDLSHFICSCLARTWLGTNW KSSGLSSKVFIQTKKAAQILLWDEITGDTDRSLSHTDYTSTTVSQVKLLGDLGGYLPF VGLHSGNYAFAYMAANDQSCPQFFLSALDEHPDWWHLHEGLGQWYYRVHDRAKAVAAL EKAMKYNPQAPTSVTHLYWTAKCDLCLETSDVTGAIETLRRAEELCSEKEAYQYRARM AQILEDRSCWEELKLVYIDALEKRSLCRYEYWTGLSKAYSKLGDWRGTLDVLYTAMQD RSQHSERYMHFKKICRLAEDLRDSFLFDHSIEVLRSAVTNDPDNEARYHTLLANTYMA ARRWPDAIELYESILNGEKIDSSARNAIHVDVGSAYLAMGRLRESAAAYGKVVINDDA TQCLPDVLALGYMIMGQFPEAIRILRRCITVTHTAASASFDDLFQAGLLMWMQLNLGK CLEAMDRCEEGKSAYEAGIRVIGNMKDDMISIPKQDDSSTPIWRYQARFFLIYGELLE RIGSRTEAIKQYEIAETIMSKTRFVEDDDILEWEYENCLQALVQIRGCITRHSTAETL GLDKLLQLRVVGPYRTEWYSYMNVSMPQHRGGDDGWAARIRRLKTEASC TSTA_089940 MDLTEGSRTAINVHLYLENERQTLYIQYGQEQGSDGVKTTKSPL EEYMHPPAELTDINFLTFLERVNFRNAKKWMIMNLIFMKKLHGLRLILFDPLQSRSDG TQEFWLELVLQLLGNNIEQIEDPDRLHHRDLDRAYD TSTA_089950 MTTYEYFVQGRKSLDIAPTFLEAPKLHAGGFSRCRQSLNYSISR RDSCREWLKIQPSFSDQRCTMTNGLPWETCHTILSGIVVAYIGDNSASNIDTLTNSNA HQCAIDAPIIKELGANAISVHYIDPAQSHDKCMQAFQDNEIYIIATWQGSEIILMGTS NQADLTPFLKGAVRDMKAYVVARQYRNIPIGYYTSDDDNWAQQMADYLVCGGSKSEAI DFLGVND TSTA_089960 MAVPSPTRGPSRTRLQSLDQICLTFGVVQSFLNGGNSIWIHSPW LFVWFDIRILTCSPRSASESDYGRQRTASPNPSTSQPGLSLGTKAGVGVGVACGVIMV LSVLFWGFQRRRRQDNCTEKINQETETAAKPMPELPATMFWRRPPNKLPAAEAQELDS VAIYEAGYGVNQPVGEKRPSSRDKTVRTCLIVKSTSIDISHGLQLRMTAKD TSTA_089970 MIYFLANIFHLLHERISPTCRFEKSLYDLIRGLRSHKGSEAEYI QNSLKECKSEIKSPDMDKKTIALLKLIYLEMFGYDMSWASFHVLEVMSSPKHLQKRIG YLAAAQSFRAETEVLMLATNLLKKDIVSSSIVNLSLPLATLPHIVTPSLAMSLLNDLL PRLSHSNAVIRKKSIVVLYRFALVYPETLRLAWPKLKERLMDDNEDSSVIAAVMNVIL DSGNNWMAIKIIKLFATLTPLEPRLVRKLLRPLISIIQTTTAMSLLYECINGIIQGGI LTEAEGTQEGDEIASLCVTKLRGMVVMDADPNLRYVALLAFNRIVISHPHLVAMQQNV IMDCLDDPDISIRLQSLELAVQMATPDTLQDIVNRLLRQLLNSRKLETRQNADGDTVE GASGWRDAEFLDSTSSTSATHAVHDLPTDYKSDVVTHILDICSRDNYADIVDFEWYVE VLEQLLKLLPHLNMNKRGQSDDPLNYLPNTDIAVRIGAELRSIAVRVKAVREKATKAG ESFLFLVDMQQAYQSALFSYVGALGPIAWIAGEYSQLLSFPDRILNILINPNNKSLPG KVLILYLQAMPKVFLRLISSESAWPASSNTEYSIVLSRLITFLEGLGAHPDLDVQERA TEFLELLRLAKEALQPDVKDLHELPFLLADVIPSLFKGLDLNSVSIEAQKRVPLPEDL DLDQTINVTLPKVIDDNYDTWAEGETYNDIKSFYYVPSGPLFLQKQMGLETQIDISLE NTDTSHGNPNRIQERFSRPERNRDDPFYIGYSEGVAADTVNSSYQVHDISNKEELDID SIPIIDLTTMESDTHSQRPDKSSRGRSKPRKYQIAAHETIDYDGSLSTGLKNQKSDSR TSTGRSLLQVDTSGIERLALSEAHGSPSNHDLQPEQRSEEQDLEMAEAMRKIERARLE MQRASERINVPGLPSDGQLIKKKAVKKKKKKKVVSGET TSTA_089980 MATIKTDLDRGLQLSNPAAALHLSQQASTLLTKPYSSSILPFAA PEDPDQWIEYEQLFLVFLRTDDDKSAHLCLDRLTDRFGPANERIMGLRGLYQEATARD TAALEVILKDYNKILSENAVNVPILKRRIALLRSMNKYEESVSALVDYLEAFPTDAEA WCELADLYQSNAMSAQAIFSLEEALLITPNAWNLHARLGEILYISTTSGDRSISSTQT LARSVKHFLRSLELCDDYVRGLYGLIKTASELIRHIQTEQSTGDDVPSISTLEELRSL AIQKAEKLIQSQQSSQLDGLVSLKKLVQQR TSTA_089990 MFKSHSRYPTKPSSVPKQRLNIHISDQGDTSESEQSYTDDEGSS DVVDVSPPSVTGSIGEWAHLSYPDEIGSSEASHPRTSRHSRDRETLPASRSRSSHRYS RRDVRPQREAFQQRAARRHRSPESPDSESAEDLVEDYTRHRPERRGWPPTGAAAVGGY AQSASSGPSYNVFPNGAAGHPHFPHAGPVLPPSDQLVRFGPLAHMGRSGQYGNTSPPY GYGGSHFPPPHAGGMPPFFGHDQLPGHPGPHPAHLPPHQPHDRSRGQSPPEVPPLPHM VPPHGNPHFGGPPFGSPDLIPYGANGYMPFNPNFPPMPPGMLAHPLFNQIPRQPESPS ATSQSDTAKDEAIARLEKLILDERAEREARDAAREAAIAKAAADKLAAEERAAAEKKI ADEAAANARAAALAEAEQKAAEAAIAAQKAAKELAAAAAADAKKAAEEAAAAAAEEAK KAADEAAAAAAAAAAAEATKVAEEAAKAAAKKPPPEKKKPIKFKDAVGRKFNFPFHLC ATWQGMEELIRQAFLHVDVIGPHVAEGHYDLVGPSGDIILPQVWETVIEPDWTITMHM WPIPEKPKEPDPPPPAEEPPAPPPEEPKKPAAPAPKKPKVRPEAGSFAMWMMGNNRPK PKQPLKA TSTA_090000 MDHVLHQLRSFPSPADTSHEAYETHALEYYVSTRKHLQHILDSP EKAQNVLELLDPSTHSLAYLLVLNFYINQTKLRARNMETGDLLPGNILWSRALSLLKS FDPNQIRRAAREWRNVLEFISGAAEAGNKALLAIRPVRDAIIRMDPTCATFTVAHLYL TRLCLLAKAYKVARPVIDRTIFAIPSSADRLFIQHSQGESGFHGSTRPYLFEPPMKLT YAEHLQYFIYGGMIYMALKEWSKARHFLSIVITCPVVNAVSLIMVEAYKKWVLVNLIE NGTTASVPKITSPLAMKTYKALARPYDALATAFISGKWERLKDEAEAGQTIWSDDNNN GLVQQVLLSFRKKAVRGLGATFASVTTTDVSQRALSNSMDVRETERYIVALAVKEGFP ASLIHPSRDPNTSMLRFLTDHSESSIGLEVSLEEQLRSQHQKLELLIQNIQTSDRKLE LSKEYISHLRKAQKRNDAASKGTVGFSGRKRDQNVDLDEDMMDELQ TSTA_090010 MSLTESSASEIAQSASLASRRLATISNDARNFALTTLHAALSEK RDFILQANAKDVALATQAAANGELNQSVLKRLDLTRPGKYDDMLSGILSVRDLEDPVG RVTMRTLLDDGLVLERVTCPLGTLLIIFEARPEVIANIAALAIKSGNAAILKGGKEST ESFIAIAEVISNALSKTEIPIPAIQLVKTRDIVSSLLSQDTLIDLVIPRGSNELVRYV KDNTKIPVLGHADGLCSAYIHSDADVTTAVKVIVDSKTDYPAACNALETLLVHEHVLE SILPAVAEALLAKGVSLRCDQASKAALTKTLIPTQAALIQDSVDQDYNTEFLDLILAI KTVPSQSSESSAVQAAIAHINAHSSKHTDIVLTTSRQVADSFMAGVDSAGVYWNASTR FADGMRYGFGTEVGISTNKIHTRGPVGLEGLTIYKYLIKGEGHIAADYGGSGSKKWKH QKLGI TSTA_090020 MGIDLDRHHVRSTHRKAPKSENVYLQLLVKLYRFLARRTDANFN KVVLRRLFMSRTNRPPVSLSRIVSNVTEEQKGKTVVVVGTVTDDNRMLTVPKLSIAAL RFTATARARIEKAGGEVLTLDQLALRAPTGSNTLLLRGPKNAREAVKHFGMGPHSHKK PYVRSKGRKFERARGRRRSRGFKV TSTA_090030 MSLNRQDSAQGEDLGSDSDKLHESSRLPTLASEYATKPVATSFL QRTKYNLSEPVVAAFIAGGVAGAVSRTIVSPLERLKILLQIQSVGREEYRLSIWKALV KMRKEEGWRGFMRGNGTNCIRIIPYSAVQFGSYNFYKKFIEPTPGADLTPVRRLFCGA LAGITSVTFTYPLDIVRTRLSIQSASFAELGQREAGEKLPGMFETMVMMYKTEGGMLA LYRGIIPTVAGVAPYVGLNFMVYESVRVYLTPEGEKNPSPARKLLAGAISGAVAQTCT YPFDVLRRRFQINTMTGMGYQYASIWDAVKVIVAQEGIQGLYKGIVPNLLKVAPSMAS SWLSFEITRDLLVGMREE TSTA_090040 MSRSGGTTLYVTGFGHGTRARDLAYEFERYGRLVRCDIPAPRTA SSRLFAFVEYESRRDADDAYHEMHNKRIGRDDLLKIEWARTPPSASWRFDSGRDRRRD RTPPRRGRSPSPRRGRGDYSPRRDDRRDKDYDRRDRDRDRERERERDRDRSRSPDDRD RDLKEDRDRHEDRDRRDDERDTATNGDDRKALDPLPSGHDELDTAE TSTA_090050 MNFLKLQRKYPEFPQSELFGLQDAFRKLDIDDKGYLDESTAIKA TQQSEHQPYDVVRQALKEVELDSSRRVEFEDYVDLIHKVRSAGAAPSKVPNPVQAASP AAGPVGGSSRHVSKGSIGGKIHVQGSSANVTHTINEDERTEFTRHINAVLAGDPDIGH LLPFPTDTFEMFDKCKDGLVLAKLINDSVPDTIDERVLNKAGTKIKQLNAFHMTENNN IVINSAKGIGCSVVNIGSGDIIEVREHLILGLIWQIIRRGLLGKIDIKLHPELYRLLE EDETLEQFLRLPPEQILLRWFNYHLKNAKWERRVTNFSTDVKDGENYTVLLNQLAPDV CSRSPLQTRDLLQRAEQVLTNAEKLDCRKFLTPTSLVAGNPKLNLAFVANLFNTHPGL DPITEEEKLEVEDFDAEGEREARVFTLWLNSLDVQPAVNSLFDDLRDGTILLQAYDKV IPGSVNWRHVNKPPASGGEILRFKAVENTNYAIELGKQNRFSLVGIQGADITDGQRTL TLGLVWQLMRKDITNTLSSLAQRLGKREITDAEMIRWANDMSQKGGGKSTIRSFKDAS IGTGIFLLDVLSGMKSSYVDYDLVTPGRTPEDAYANAKLSISIARKMGATIWLVPEDI CQVRSRLVTTFIGSLMATFEKM TSTA_090060 MPATDPKPSFLESISPWSTTRSSTPQNGPDNASDTVDSLKQGTG QDHVTSYKKRLSSLRYPPDCPPLQTRWFYAVDTPKSKPSFTGMEKPEPLKPLPPAKKF IPFYTKDSQSIERAFQQLLQQEAVDSTEKTKDNESKQSTVKVPVNEDYLYDVDVDKRE LGPAYWLGPIYEVRRGTWFFQEGSVLRPCEENLATQLEEGYLKMKPWQPQYSQNKPTT GTATISDEKSQANQPSKDSSPVRGVTSKKTSDEGRPERDRSDSPSRSGVPSQSLPVYR LFGSYMNSTVTYQDSTVAWLNYDDFMSRVSSTVYQRFGGVGGTKVVRGYVEQGTQKDS VDTKAGPSKSSSSVAPAMHSGVTGKENPPPNQDDSTGSTEPEGLNSKALSTGHRITLQ RQMSSLNGEAGDSAELEEEARKQEEQEMEDSRETEGEERDREIDHLILVTHGIGQRLG LRLDSINFISDVTTLRKTMKSVYAASPDLQALNSQYPDAKKNCRVQVLPVCWRYLLDF PRQGLRQNRKELDLADPDSLSSEEEQYPNLADITLEGVPAVRNLISDLAMDVLLYQSG YREHIMGIVQRECNRILQLFKSRNPSFKGSVSLCGHSLGSAIMFDILCRQSQRSHRDF EEKGKRQSSKQNRARESMTGYPLDFDCKEFFCLGSPLALFQMLQAKTIAGRSLANGSA ERNSALSHSLGAATPPIGDPTEQAVFELNPSVSSPQCEELYNIFHPSDPVSYRLEPLI TPAMTALKPQPLPFVKKNIWTASGQSLSNISSRVGSLWTNFTTGVASSLLNRSLGIQS DGTSGSTHSRTASQQSSSSDTKNDKFQSGSKVLDHELEFPTLIDSGMETLYEGFQKAR RSDKKGGSGDSSDDVEDRSRRIKIEESKVRALNSNGRVDYSIQE TSTA_090070 MATPLDNVLNVWNKIQQNSPIYNFLLEDVQVYEASKGVVRAKLQ VNERHLNSKRGLHGVFSACVVDWAGGLAIASHGFESTGVSTDIHVSYLSKASLGDWLV IESRTDKVGKTLGFTSVTISKRGEDGQLSTVAQGAHTKPCSQTAFFFASGMIAFFFDC WIHSDGGEQFSSKML TSTA_090080 MTEAAASPKSPPANGQPVQTSAIDYASTETKVDSTSPQAADTIS SLSGQWILSFLETVDNGTLVVILGASALLIYLIFGRLGILLIGLLSGFLFHDYRAGLI ADKAAGDGGDGVGLYSFRRRRELGIEVASRLLDWKPQSDVVRTDQEKAAAAKANEDAA TLDLSKFPPATAAALTELIDAMMDGYVLHWYKPILPSDDSFPLSCRKIIVGFVNSLST HMTRKRSADTFLQFVTNSSSMIIVFLNELSLALQETASDSTSTEDHVRQYLTKYPNSN LANVLSKDQQQKKMTMVADDLLSNFLEPSFYNCETVRNFLRGIFAGVVLESVVKSCSQ PDSINGWIVYLLREGEPQIMNAIDAGLESASKGEIAALSGIAGNTSQDLTESVKGKQG PFPIQDAPGLPKLNSDGSILLKDISKDKTTGAISSRSSPGATPSSSIEKLPKEDRGEA PQRLLDIVNQEHSLGGTTNISNQEEVEDAVQILYGASVTVDDGSVPGDKTTMKAKPTT EYMLQIEPASTRYPGWMIFRKYQDFEYLHAGLATLARYHHAPFIDIHPVLPPYRDQTK SSLAKALERYLRDALEHRDLAKSERLRKFLDKDNDFGQMSPTSGKGGFNLRASSTFEN MGKNMLGVLSNAPKGVAGGGKAMLDGVTGVLSGVNRNRRSVDTTPRDSKNSPASGSNV ALNAIRERSPDTTSLGSSQDLGSPIRIDQHGDELSNGAGAVETPMTDAKYQDSMANKA TAQSAQDGQNDSTSPKSSPTSSVRTPSPEKDNAKPETLYEPTQAQDISANPVIMRKES THYPRTKPSENPINEEETRVAVELIFAVINELYTLSSAWTIRKTLLNAAKTYILRPGN LHLESIRVLVQDSMIDSNTTDEAIAAKLTKIRENALPTEEEMKAWPPPLSKEESENLK NEARKLLIERGMPQVLMSVMGAAASREALGKVFDCLQVEEVGRGFMFALILQALRAVI L TSTA_090090 MSSKSKKRSIEYQSDDGFVVDDDNNNKSRGAKKAKTAESLSSST NKTDANGDIYWDISSHRRVTISEFRGKSMVNIREYYEKDGQELPGKKGISLPIEQFSA LVSHLPEIEQVLSAKGISVPRPSYNGESNEKDEDEGDHSGKKNIDSTSEEDEDGE TSTA_090100 MALSNRGIRIAIDRGGTFTDCVGNPGTGKLEDDIVIKLLSVDPD NYDDAPLEGIRRLLSKFTGREIPRGEPLDTSQIESIRMGTTVATNALLERKGERIALI VTKGFKDCLQIGNQSRPKIFDLAIRRPEVLYEKVIEIDERVTLEDYAEDPTRNRTETP TREQTSSGAEIIRGLSSEAVRILQRPAKDTVREQLQQLYNDGFRSIAVCLMHGYTFPD HEAFVGQLAREIGFEHISLSHELMPMIKLVPRTTSACADAYLTPTIKKYIAGFQAGFE GGLGAESVQNSSGSKSARCEFMQSDGGLVDVSQFSGLKAILSGPAGGVVGYALTSYDP KTRTPVIGFDMGGTSTDVSRYGEGRYEHVFETTTAGVTIQSPQLDINTVAAGGGSQLF FRNGLFVVGPESAGAHPGPACYRKGGPLTVTDANLFLGRLLPDFFPKIFGKNENEGLD EEASRKKFKELTQQINDELSKSGEDKKMTPDEVAYGFIKISNETMTRPIRSLTEARGH DTSKHRLATFGGAGGQHAVAIAEGLGIRQILVHRYSSVLSAYGMALADVVDERQEPES AIWSTADDVQKKLKSKMAELKNKSGDKLRAQGFDDDSIVFEEYLNMRYRGTESSLMII KPTPEEARDLYGGDEWVFGEAFVKQHEREFGFVLPDRDIIVDDVRVRGIGRSFQELGK TVDQQLREAKPRDVDSKKIYQTKKVFFEGGRLDTPIYKLDDLDVNDRVKGPAIVADNT QTLVVTPGATALVTETHVVINIGENDVLGVKADTSGVDPIMLSIFGHRFMAIAEQMGR ALQKTSVSTNVKERLDYSCALFDSDGGLVANAPHLPVHLGSMSTCVRTQANIWRGKLK PGDVVVSNHPEFGGTHLPDITVITPAFAGDEIIFYVASRAHHADIGGILPGSMPPHSK ELYQEGAAIKSEKLVSEGHFNEERITELLYHEPAQYPDCSGTRCLADNLNDLKAQIAA NQKGINLITNLIEEYGQDVVQHYMIKIQENAELSVRNLLKGVSQRFKGQDLTAIDYMD DGSPIKLRISIDADEGAAVFDFSGTGPEVYGNINAPEAVTYSAIIYCLRCLISEDIPL NQGCLKPIRVHIPKNSFLSPSESAAVVGGNVLTSQRVTDVILRCFNACAASQGDTNNL TFGFGGNVSGSKATQGFGYYETIAGGSGAGPDWEGTSGVHTHMTNTRITDAEVFERRY PVILREFSLRPGSGGLGQHCGGDGVVRDIEFRIPVQVSILSERRVYKPYGLEGGEDAQ TGHNLWIRKIPRKDKDGNEFIEDRLINLGGKNSIKMQPGERIVIMTPGGGGWGVAGEE SKAKKKLDARHGWKGGSIANILATQEASI TSTA_090110 MEDPVNISSSYSGEHSTRNDLERTASRSRSPQRRRQRGSSQKAM LSKALQKANTAVLLDNAANFEGAIEAYQDACELLQNVMLRSNGGDVEKYKLLEIRKTY LNRIQELLRIQFPSNPKKDKALPERPPSGQSLTPQDEEPVKEYFYDEEGEENDRSRQH IEDDVPPLPSLSNVRLPSMSGGDLNLSFEDSNIGTGGFNTRESEVVAEQHSAPLSAHP ISRRGSVDHQGDNEVRSSTAHTSYSSDGQAPIFNEGQSTSWLDTIDESGASSPVSTHS KLSLYLGHSHHASNGTEAEFDAALDAAVEAAYDEGLEPAVNQQGGFYDDDDYEDHKDD DYDPDDVVSNVRRNIEIAKQRVREAEREAQAVMARGLQQRLMMDETTVISGYNANADY IDDEAEEEERLLEEMTKGYVMDDFEFNLHAKTALPRQSDSSTISGRTWGSSITSNSAT AGTSLSTLAEEGILTAPDAAAPSKRLPPVPKIPTGSTHQPIAPNMSPSAGVRARRFSG SNTKQLKIDTKRVAAGYEPTKKEPYTAHPAGYPSPVLQAEPKTSLPVLTSSMSKPLPS GPLEKKGSFDVSAVGQRSSSLTRVPTLDGEATSRSVQSSPPRTINKIISAPGLLRKNT SSSSLAGMRARNMSVSTPDVSNGSPNTPSSSVFPAFDFQRQLANGLVPAMPTPIGTSF PLMTSKSLHLFDNDIHSPTTPGSPSSTVTNAPIPLEPCPESFLLRPFWLMRCLYQTIA HPRGGYLSTKLFIPREVWHVKNVRIRAIEDKISLCDLLTAALQKVGQVDTYDADAVLE EMQAFENILDQAQTAFSKKLGNEVGVQGALPLFKNANNSDESPVNADSLASRTPSQSS RSVLTSWRKLRSKSSAAVNNSASSSTHRDSSKGGLSMSTLPMTASPPSGRFTKRDATQ LQLSGPNANYMGALARLFDAVQVLDQIARQVEDPGLKHSSPTHVGLELSTRHAAEFFG FYICRFALNDVGLLLDKYIKRGTEWVLV TSTA_090120 MPRPPARRARTVRQAPEKAEDESQGGNIATPRRPGHDENNNDAH RADRPDLTPTRDSNENVIASSPAGNTTTASIRPPTRARGYSSTLSLVGRKGDFNSRIG STPGFESSVLSNFKKRSRQPSLLQMMQAHDDENSSDFDDEDFLGGFSPQDESTPLNIA RVSNAAERQLPASPSPSRPPQVVPSPSSRESRKRKRQSEAVNDNHAEPGSPSARSSSS LSTLTPTPKSPVAGTRAESPSFTMATPMSSSTASPSRLMIVGKQITAATEKTAAAESL PKLTTLSIQTRFLPQRRIRRHQRTDDNEDEDGIDSANEDSDEDELTREVMRRPQRSRK KGLSNATFGKTNAKPSKPNRGGRKNKRGNTKATTNAVDPQGSKSQRTYSRQKPQAADK ENDLSDNSSILSSPPPSEDLRESDSEIPSRASKRVTSRELQEAARKFAEVDKWQMDFE DVPDSEISSPMR TSTA_090130 MTSTEGILAGKYPAKAHARRVVEYLRQNGFEGDGVLYLEAQKTK MIEDNDSEQPFRQRRFFFYLSGCLLPDAHLTYHISSDKLALFIPPLDPESVIWSGLPL SPTQAKELYDVDEVLYTTDINPTLAHLASEVGTSGFVFAIDGQISDDISFKNFPETDL VALKTAIEECRVVKDAYEVAMIRKANDVTAQAHVAVLKATKSATNERELEAAFIGTCI AHGCREMAYHPIVASGTSSATLHYVNNDEPLIDLTTNKKKLNLLLDAAGEYKTYCADV TRTFPLSGKFSPESRQIYDIVLEMQTKSLAMLKEGVLWEDVHVTAHRVAIKGLLKLGI LRGSEEELLEKRISVAFFPHGLGHYLGMDTHDTGGHANYADKDKMFRYLRVRGKLPAG SVITVEPGVYFCRFIIEPYLKDSELSKYIDADVLEKYWEVGGVRIEDNIHITKEGYDN LTTAPKTADQLELMINGS TSTA_090140 MAATTSSSIESIQRPRDPNTLSNYTAWRSRHVTANFEIDFDNKR LAGNVIHQLLSKTQAETKEILLDTSFLDISQVKVDGKTAQWELLPRFEPYGSALKVVL EKGVEEGATVELDISLKTTEKCTALQWLAEAQTSNRKHPYMFSQCQAIHARSIFPCQD TPDVKTTFDFNIKSPFPVVASGLPVREDSAKGNNLYKFKQNVPIPSYLFAVASGDLTE APVGPRSVVVSSPDKIDDCKWELEAHTEKFIEAIERIVYPYAWGEYNILILPPSFPYG GMENPIYTFATPSLISKDRENVDVIAHELAHSWSGNLVTNASWEHFWLNEGWTTYLER RVRMTHGEPHRHFSAIIGWDGLKESVEEFGKDNEFTKLVIDLKGKDPDDAFSKVPYEK GFTFLFYLENLLTKEVFDRFIPHYFSIFKEKSLDSYDFKATILDFFSNDPVASKRLSE VDWESWFYSPGLPPKPDFDTSLVDIVYELADKWKFLGSSSSTFTPSKEDVKSLSANQF IVFLERVTLFEDPPLSSDSFRLMGQVYGFSESSNIEVTNLYFRLGLKIGDRTAIGPTV KLLGEIGRMKFVRPLFRALKKIDRQVAVETFEKHKDFYHPICRGLVEKDLAK TSTA_090150 MSTKLLCPNCSLSSRFGVFAREQSKAVHTISHPLSTRQGYIVTL KNDSHPPSRRSEYRSGLSPSRRNFSSTPTASSGILKSLASRRGGAAETYVSYGFTQKL YEACSSQADYEIPQLAQKGVEIPKTAEGEELGVGEGLWYKELELLPTFSTWSQVTFLH MYLLTVRIRALPSPESVRTHSRHLFDHFSHNAEQRMATLHNIHSRAIRNKYLKDLFIQ WRGILAAYDEGLVKGDAVLGAAVWRNIWKASSSTHDGRDLDWAKVATVVAYMRRVLSE LAKTNEADLVFDLVGTEAAAVAAGGEKKTIFGLKNIDFKLGGLK TSTA_090160 MAADSSSAQWSSPNVEVKMHPRPQTFSRKGFTITTQKLPILKAG PIEEMTNKLGITPPEMIFGDNFVAIEHNTSGWGIGFNAFDALDRVDKTGAAMLKVAYS KEWQKSREHTHDGIKEVVKPFDWSYSTDYKGTIKPDAAALSPSEVEIPIELLKRPDPI LFFDDVMLYEDELADNGISMLSCKIRVMPDRLLLLARFFMRLDNVVFRLRDTRVYVDF DKAEVIRDYQAREMGYEDVRKALASGREDIAAVMRDPNRLSQILPIVEHSLERTVLSS Q TSTA_090170 MATKTLEARFEHLSVNDENEHHGTTKGHIKAKGSLSTAVSLIGL GAANPSAASSTRNQLLKIALQNTTDSKANAGSPSNKEQQGRSEESSTVLYDQPAPKKL HLGMFEIGKALGKGKFGRVYLAKERSTGFICALKVLHKSELQQGGVQKQVRREIEIQS NLRHPNVLRLYGHFHDSKRIFLILEFAGKGELYKHLRKEHRFPEWKSAQYIAQMAAAL KYLHKKHVIHRDIKPENILVGIHGEIKISDFGWSVHAPNNRRQTMCGTLDYLPPEMLV RGSQENYYSDKVDLWSLGVLTYEFLVGEAPFEDTPVMTQRRITRADMTIPSFVSPEAR DFIKRLLVLDPEKRMTIDEAQQHPWILKHCVKTEKTTQRSSGQK TSTA_090180 MSDSNSSSPPKDTGYIEGDDFSTQWRNIFSILTGRMTPEGQEQF RLAKDIRNEAADCKRCEDQRDYLLQFSPIIRYLSDNIRQLGGDLGSHNLRCRRCTQRK AGGFDPEYGIQICANEMRDQGHLEDTMAHEMVHAYDHLRFKLDWDSDLRHAACTEIRA SSLSGECRWAREFFRRGQWKLTQQHQECVRRRAILSVRARPFCKDEAHAEKVVNEVWE SCFRDTRPFDEIFR TSTA_090190 METVDGSKNLTIVIKLGTSSIVDERTHEPILSILSSIVETVAKL RKNGHRVILVSSGAIGVGLRRMDIDERPTHLPRIQALAAVGQCRLMSLWDGLFGHLRI PVAQILLTRSDIADRTQYINAQNTFSELLDMGVLPVVNENDTLAVAEIKFGDNDTLSA ITAAMVKADYLFLMTDVDCLYTANPRHDPDARPIEVVSDISTLEADVSTSGSSLGTGG MGTKIVAARLATSAGVTTIITKSSKPGNVHDIVNYLQESQTGLHVFSQTTDQTNSEQK AMTGSTSGLSLPPLHTRFLPSATPIQSRSFWVLHGLAPHGSLFIDQGAFSALQKKASL LPAGVLAVEGHFGQQEAVRLFVVERRSQTAIDGDFMSHAEEPREVGRAIVNYGSLEIN RIKGVRSTHIQAVLGYADSEYVALRENISFFPKEIPSGAATPNFG TSTA_090200 MKLTKATSLLALITLPLLAFADVEFIEPRAGSTVKSGDILTAYW KDSGDLPSLLELHEYDLFLCAGGPEIEYSEELALLVPNGLFDKGNSVSFQIRSDLGSE YPDAYFLKMTSRGTNNMTLVNYSERFSVTGMTGTFSELVQYGLLLAANDTAKYHQELK LRKRQVATAIIPAKDVYNVPYPQQTRGLTKYAPMGKRPATTITAKSGPPQFPTSAFEV AHTFLPIPTWQTTLTASRTWTTSGMENPATPAPHPKDDMQIYLRRWADDE TSTA_090210 MDYLLEDWKSSLASIATTLLDGNPTAILLALVVTLTLPILLHWI IYRKAASPPLSTFVLLGPSGAGKTAFLSLVESSSLYKAKTPSHSTHTSQTSTSTTVTL PPSVPIFSNRYRSVNDPSLPDAKRNAVKYVLRDTPGHGKLRSAQLTQLQTELSSKSKK EASSICGIIFFVDAASLVEGAENLRDYAGYLYDILLVLQKIVLSKGKLSKKAGTNFPI LVAANKQDLFTALPPGSVKQKLESEIDRIRQTRQKGLLDASANPEHDEDGEEVLGGDG DKFTFHGLEDDIGVKVDVVGGFAKAENEKDGVSATGIRKWEEWIGSCL TSTA_090220 MTSSQSVVPPGVLNSITEHIGNTPLVRLNRLPQSFGIEATVYAK LEYFNAGGSVKDRIALRMIEEAEKSGRIKPGDTLIEPTSGNTGIGLALVAAVKGYKTI ITLPEKMSAEKVSVLRALNANIIRTPTQAAYDSPESHIGVAKRLEKELPNAHILDQYS NENNPLAHELGTAQEIWTQTEGKITAVVAGAGTGGTITGISKGLKKHNSAVKVIAADP QGSILALPASLNAEHENEPYKVEGIGYDFIPQVLDQSAVDKWYKTEDKESFQYARRLI AEEGLLVGGSSGSAIAALVKAHQDNVFSKDDVVVVVFPDSIRSYLTKFADDDWLAAND LLPSVPSELSTITSETPITREQKDVFANGRVRSLRLKPVTTVRANDSCQAAIELMREK GFDQLPVLTADGKKLAGLVTLGNVLSWISRGRAAGRSPVSDVMFDFSKLPEVVTDPRD IGASLQAASNKTDGQGAQAAPTKNRNKFFEITWDTPLSVLNRFFEWNSAAIVTERDEQ GTIKPVAVATKVDLLTWLLHQSNNKS TSTA_090230 MQDHTRASALLSSALQDHGIRFKKEDVAAELDNGSQQGRDNAQW VLEHISPSTLLSKDEAAFVKNLERSGLLETFLRHPDLTSTRALTEDEIRESTATLKSS TAIYAKQVTVLRSQLELTERLQSDRREVDRNHDRYITGLNRRHLLDKQRIKAMAEDIK NDLESRMHEYQQQKHAERKYLLQNVSSKLVEDDEVLSKAEKLAAELESTEADGKLENH VLNLVSTLSKLSSAEIRCRLDRLYLKELLSDDVSPPDVSGNHRDTQIALEEDLESLYS EIEVLAEMSARQEFGQSILGELSKKKQHFVNSLEDTLDMILEVLSQMTHETEQEIDKV YYRQSHREALDQLSVLFKEEISSREAEQVQIKKAHRRHSSLSSPMKAPQPRTSIEEES LQSLESFLRRLGISPSSSLTAHELASEKKSELADIIHHNISIAAEMPLYETLGSNDAA KELLASILHADSHAQPSLVDHIEKEHLSDLEKELAVIQKDIEQLDLNVLVEPDRSRDK FVEKWSSP TSTA_090240 MCLVKRTRRIIGDTERSQQTTYYCPRSRNGRTCSLTRYEDVEKR YQELSSKVTTPSISRRRQSTSKTSRDSIWKVLSGPFFRERSGKPHDDQTRGMRDQQAP GRSSQVQPPSSTQPDIISDPLRQTERADHRRIASSPLVIERTPRDVMEQVRPATAPAH RPARVPRPRTPVEERPRVRQHPVSVHQAELVPEEIEMTGARQPESDIDDHFSTFATFP SSSSSSSEPMTEFEDDETYYEPIQSHTPEEESTVGHRRDREYLYARLEALHAKQRVND AIRERRELEDLTNGMRHILINNRTGIGAGGVTRRSTNPARQGRQVVASPPERAGDITG DMGGFRRHSSVIARRNFPPRSYVIQEENRRVREESERVIENARARRNTQGSLDGADAF FRERHEKMNRVVEHCVIGQRDQVESSKHNLFITAFPRYFTGARTDRKSSISLATSFIQ RSVTGAHITNPEDNERFIQNITVEHEGDGKEHTVSPSQHANAQSGVPPIDERDAVVFQ MSATDALKAVTAHLTELARVTGDVPATPYAQQQEQQQQPQNLDDASLDIIAEKQMPAV SITELHWGDVKDISAESTPAGVIQHNVLVKRFYSKKAPPISLEDYLLRLHKYCPMSTA VYLAASLYITRMVFTEKALFVTPRNVHRLVLAALRVAMKALEDLSYPHARFARVGGVA ERELTRLEITFCFLTDFDLRVDAHALLCQIHLLQSTPVPTENHNNTHSVASNTVSPEV AEVPS TSTA_090250 MSSSISGGQADGSPPPNPSMQAKSMNGKASAAAPQTSTDNVELK NMTANNGNGAGETARLPLPVESDIMQLARLGEIGAMQKLFDTKKFNAKYKDEEGITPL HWAAINNQYAMCRFLLDSGADVNAKGGESVATPAMWAAQRCHYYIVDLLLQRGADPLL TDVQGYNILHLATIDGNAYLVFLLLHQGIPVDIPDPQGHTGLMWAAYKGLPVCVDLFL RWGANVNAVDEGGLAPLHWALVKGSYMCVQKVLEYGADRFAKTRDGKSPATVASEMRS LRVWHRALNDYGYDKDGNLKTLPMGMTMFVHNKSVLTKYFFLWPFLTLFIAIWILSNF AIYIGIPVAAVFIFGMHYVTQQVANNGPGEFRVLQKTPYLAGVFAASLFWVNVTYIFR VLPVTWSTHPVLNVLFSVFAITTTYFYIFSMAEDPGYVPKLSSRNQQRETMRELVDNW KFNEEHFCIHCMVRKPLRSKHCRRCSRCVAKHDHHCPWIYNCVGANNLRHFVIYILSL EIAIVLFVQLVIRYIELLPAPKKLSCNIINDNLCTIVSKDTFTLFLTFWAALQLVWVT MLCCVQLVQISRNQTTYENMRGSSMTAGGASQAITSALVAGTPNPAAAGLTRAGHGPT PGGAPAGGHGHQHHQRKGGFIAQWMGLLGLDTFFATAQGRSTRQKNPFSRGIVTNCRD FWFDSAPIFRAREPGSGMLDGAVVDYYKMYEPPLRVASGGGRSGNYVSVAGDEPESAV TSTA_090260 MEVWGDTIDSKFVTERYFASLSTLKDYGKEIRDRMLSSELDLGY FERHTVESRVASVVKVLYANTPLRQTFHLHEDVTFETHANDLTDEPRIVEDLSSLSLT QNQPRRSRRLAAKTSRVEHSAPPPERSQATQPRSSRPKADQFCVYNKGLERKEPVFII EYKAPHKVSLAHINAGLQDMDVDEVLRVQEEESLENICRCVIAAVITQTYSYMIKGGL EFGYVCTGEAFIFLRVPHDDPSTDEMINLHDDNRLHLTSVGQILAFTLRALRALIQDA AWKHHAKESLETWSMIYGDLLGEISEKDIPSSAFKSPRSQNGYLRISPVKPGQSPLWL LLAILRSIRDRLATMIPGTTLIQTIRPVDSHSSLAFFARHLSHPHHLRKCQGAHAPSA NLGSIAHSNA TSTA_090270 MPISSSKAGHSGELYDLVCVGFGPASLAIAIALHDALDKADNRK HYTPPKICFLEKQQQFAWHAGMMVPGSKMQISFIKDLATIRDPRSEFTFLNYLKNQGR LVDFTNLGTFLPSRLEFGDYMHWCARRFVNVVAYGQEVLEVGPSETGSSGKVESFVVK SKDVNSGQILSRHAKNVIIAVGGKPKIPQGIPRDPRILHSSSYCTSIPELLRDTSKPY HIGILGSGQSAAEIFHDLYRRYSNAKTTLIMRDYALRPSDDSPFVNEIFNPERIDGFY ATSDEERQKSIASDRATNYGVVREELIGQIYHDMYMQKVKSRNPDDWRRIILPQREII QVDQEAADGRLAVHIRPVQKQDHNTAEKKVLHLDALIIATGYTRNTHEKLCAPLEDLK PSHAESNGWKVNRDYSLVLDRDKVSLDTNIWLQGCNESTHGLSDSLLSVLATRSGEMV ESIFGEYLNTLRGNIREKNGVRSTL TSTA_090280 MEYTPTQFPNIYPTTGHSSTETEVAWSYAVAERNISFETLVLSW AALLQAYTGDEQPVFVVNRSTVKANIGQKSFAPVPVEQKWQTDETSYTAICINGKDSG VILETDNTSVAIGKCSLSVGIDFATGAGDIRSQLGILPEYLQQIALQLGICIQKYTGL TVDGEMNLNQNLRLSIANPEPRELPGPAFLHQLAFHHQQRDAPALEFLSVGYSVQSLS FYELDCLSTQLAQQIAQAFDSPRSNLTGRIVPVLLSQSVELYITWLAVLKSGAAFCPL STDVPEDRICFILNDVSADVIVTNSAFKDKVANGADISLILVDKVDLQAASSIWQEPT LAPEDVAYVMYTSGSTGRPKGVAISHRAATQSVLAHNNLIPPFKRFLQFASPTFDVSV FEIFFPWFRGATLIGSERSNMLFDLPRIISKMQVDAAELTPTVAGELLRNRQSVPSLT VLLTIGEMLTKRVVEEFGASTMQDGILYGMYGPTEATIHCTAAPNFQSESRVNLIGRP FETVSAFVISLEDSPDIPSEPVTLPIGQIGELAVGGPQLAIGYINRPTENLKAFIRSK KYGRLYRTGDKARLLPNGEIECFGRVSTGQIKLRGQRVELGEIESIISMAPRIRSTVV GVVGGILIAWIIADHGYDIRLEDIKGFCRGKLPNYMVPGDFLAVDAFPRLESGKVDRK ALEAEYLTRQKEKFTKKRTFRDSLEEKITEIVESVVGTSVDSLSAAGLDSLKGIKLAA KLREIGINLDVAKLLSADSVSDIWNIAQENRRRRELTSQTTDNTAQTFVEAAFSVLGS EGLLSRTERVELCSDIQVAMLSESLRDSKAYCNWIELKFIDGLELAKIKAAFKELIHH NEMLRSAFLSLDTPDYPFCQVTWNRDVSADPFQETTCFDYEWNMANPNLMVPFKLQFI QDKTSVRALLHLHHAIYDGWSWELMLNDLQAALDEKPLTTRTPYSAVVKHSITTLSSD AARSTSYWATYMQGVTLTNFPNFHGRNGFKRSSKRLTRTFDISPIDLETKARSMSISR QTFFQSSLAYILSSYLDTQDVIFGTVFSGRTVPVAGIESIIGPCIRVLPNRVNLSWVR TVADLLNVVQRDNRKALDYGNLSLRDIKKAAGIEGSSRLFDCIIVWQETLGESSGSWT SFEQTASADYLEFPLTIELEPINGRIKAAAIFDQSIFPEAQVHLLLEQVEAIADIFVR SPKETLHSVNAQLPSTVLSIENSDFVHLDNLPHLAYHVEQIAVDEPGRNAIEFVHTFD PDTGSILCDTLTYGELNSRSNRLAHYLLSSGISSGDLVAIILDKSIELYVAILAVIKI GVGYVPLTPVTPFERIRAILNETVPKTCVVDSGLLSQLKSLDWLSTLEPQNVNMEQYS DANIILSHHSSNISYVVYTSGSTGKPKGVAITHHNLQSNIATLAEIYPVKPDSKILQA CSQAFDVSVFEIFFAWNTGMTLCSATNDIMFRDIEAVIRNMHITHLSLTPTVAALIRP ENVPEVGFLVTAGEGLTAKVHREWAGNGLYQGYGPCETTNICTVKPNVSQLDDLRNIG KPFKNTSAFVISDSSTFTILPRGSVGEFCFGGDQVGKEYIEQADLTKEKFIEHPKFGR LYRSGDFGRLLADGSLMFTGRRDDQVKLRGQRIELGEINSTILCHAQAQDCMSIIIGG KDRNERQQLVSFFVLRSSRSTENEVGGQRADVINAIFEELSAKLPSYMVPSALIPVDE IPMTTVKKIDAKQLTARFRALTPDDLQKYSRERGLPVTDTLNPDERQIAQIISQATHT SLGQIRLNTSLFSIGLDSISAIYMAKKLRDSGFGQVDVSLILRHSSVGELSKQIGKAK ADKGRPLTSESAARNNGTVSLEGSVIQEIKDEFEAAGHRVQLVIPCTALQEAMLSRTV SHGKTAYWNHILFELYGDIETFREVMQQMVTRHDILRTSFVSTKDARFSFAQVILEEI SLPFSMVETTEVDLEISKQKSNLAQQNDEKHKVPYALTMITDVRTGHKKLLLSIHHAI HDGEAMSLLFKEIEKAYEGKELLPAPQFHQFVDHIMGGKVHEEESFWSNYLNRMCHSH LCPQVAKTRNSEGPEIGIVRQELGISFMDFERLCHDLSATPLSVFQASWARLLSAYIK SSDICFGTVLSGRTTLLEGVEHIIGPCFNVLPIRVKLLPTALNTDVIKAALEANADIL AHQHASLRQIQKKFSPNGRLLFDSIVLFQRPATELDSRLWKLVSEEGEMDFPVILEIV PSTTSNSVSILLHTDSSLVSHADSQIILKDFIDTVMHTIRYPWARSFEGKGEGHLPSI AQVAREYMVKESKYTEASSTLTNGHENLSDDEHLVRNVMSELSKYDPQAIRHDTTIFQ LGLDSINAVQISRMLRDKGYTVSAADILERPSLGQIAELLRNAKSETSLNSFQFDAFQ SDHKDYVLRSLDLQETDVESIRPCSPAQLGMLADFVKSNGDLYCNRLTLKIKEDIDIS RLRDAWSTAMARHEMLRTGFIRLKDPKFPFAMVTYTAQYATLQWIESSERLSEKEYHD RRRMFHEKLHMPQWQVSLRHLPSTIEVELMAMHAIYDAQSLELILSDVVNLYRGLQMS PPVPITPILGHILTAASSVSPDTDSFWSRVSSEFQLTKFPNLTPFNVRDRGMTVSSQP ATKSLAAINEQCRALGVSLQAAGQAAYARLLANYTGNTNVSFGVVLSGRDVHTNAEDA VFPCLVTLPFQCHVQGSNKELILSVMKTDALLVKHQFTPLSRIQRMFQQDSPLIDTVF VYQKLARGRAEMQFWDVVDDDARVDYPLSIELIPEESELVLRLTCRNDAIPQPQTKMI LRQLDGLLLDSLFNEESNCALIPDVQPELLSVTPAKQPYIPSPVSLLHEYVEKTTLQT PHRIALEFASSVTSREITKQTWTYLELNQMGNRVARLLQSLNLRQSSLIAICFDKCPQ AYFAILGILKSGHAYVALDPTAPLARKQFIIEDSGARVVLYASDKNDDLQQLTGTEVI AMDMAGLLDGISSDPPVLSKPIDPQDTCYCLYTSGTTGTPKGCEITHENAVQAMQAFT RLFSPNWNEDSKWLQFASFHFDVSVLEQYWSWSMGICVTSCPRDVLFQDLPGTIDRLQ ITHIDLTPSLAKLVTPEEVPSLCKGVFITGGEALKQEILDAWGKHRVIYNGYGPTEVT IGCTMLPRMDENSKSSNIGPQFDNVGSYVLQPGTNVPVLRGCMGELCVSGVLVGRGYI NRPELTQEKFQYLDGSKRERFYRTGDLVRILYDDSFQFNGRIDDQVKLRGQRLELGEI NSVIQEASDNVSEVTTLVTKHPTQQKEQLVAFVSRKGSRDRSTILETTKDDDVNEFLR CIKRAAQDKLPGYMVPTHIIPVVSLPLTPNNKVDAKALKTFFSGLSSEELQNLTLLSN ENLSIAEKEVQNAIRILAEYAIVEPAGLSSNTSIFDVGLDSISVIGLAQHFRDAGYQN AKVSVIMRNPTVAGIAASLEKEGPKASSVDGEVGDSKQKLKGFAHENTVSAAEKIERS PEEIENVAPCTALQEGMIARFLESSRNLYCSSFRFELKPETDTGKLRQAWSETQANVQ LLRLRMIALADGYAQVVLKDDQFPWSEMTVANDQEVDAVAGNEWQAWCSQLKDLTSTL WCLVLIKSCSRRLLCLNIFHALYDGNSLPLLLEQVSLRYHERKVQENAPSFMDILPYG PLRVSSQAKVFWEKHLVHAPDDRVFPIVNDAPCDTITLTNVLKEVSGLEQIKASLQVT ENAIFHACWLLTLHEHFSVIPCLGIVVSGRALDIPGVESVVGPLFNTIPSYIPLHHLR TKADLIKACHQYHVSILPFQHTPLRDINKWTRRGTRNPLFEILFVFQKEHGHSSPAFS EHIWTEINSGFATADFPLSLEVMQMNGSVVVTLASQSHAVSEDTANALLSTFASLCKD IRNNHTSALPNKLVSSGQDNRGQEICVQRNIEELGNNFQGFDWTPSAMEIRNVVAALA EVDVALINPTTSIFEFGLDSIDAIKLSSRLKSRGFNLSVSSIMKLHTISKMSEALSRP VASSKVNSDVSLIQIQEEITNCLQKAGKLSTNAIKVLPLAALQESMVAEMIASGYQHY YGVEVFEVADGTNFSKLLTSWKTVIDTHDILRTSFVEIEDPQVTSSFAQVVDVPNDQQ AIQVVDLGERSIGDFIQSSIYSSTRPEFAIYGVRKGDKRYIVLAMAHALYDGWSLDLL HTDVEKSYYGEDVTRPAYEPILESILNDAGDKDRQFWLAALKGFKPRKLPCGKHPGGD KSTLHRAEVSFDVPSETIKKFCRDHGITVQALTVTTWTIALASIIEALDVGFGLVLSG RSHADADEVMFPTMNTVVFRAILHGTRLEMLKYIQGSLNALIEYQHYPLRKVSGDIAA GSLFDTLFIYQKRPSSSTSRNPLYQSISGTAHTGSPLSVEMELMSGSMICRLAARDDL FGMKDATDILDRVAQVFLLITSEPQDATVDFTDTGMSICGRPSFRESHDEPEVISGYG EASKVVGRAQSWSDTELKIRQVLSAISGVPADDISKDSTLFHLGLDSISAIKVCSLLR KQSIDLPVSAMLKAGSIAGMAAVAMLENRGPQPDISSEAMNSTLEQMLNDFDIEKLLS LNKFSADDVKCILLVTAGQMYCLARNAQDPQQFYANFYYKADISRDQLSHAWELLVAQ LPILRTGFIDTGVREKPWLQVILKQLENPVQWHETIQDPRFQSPPSRSITVGPVSLHA VETAQGVIINLRIHHALYDAVGLSGLIDRLSRMCTGVELQADTGAEFAKFIIYQNISS PLEVRKKFWKTYLAQTRPQLLKNTNLRQNKRISVYRSGLVENLEAIESTARRHGLSFQ SLFMAVYAKIHCALLSEAHNEADNNNSEENEHTEYYVLGVYLANRGYPLEGLQKMISP TLNMLPLRIALSSRLIESAYQIQRDLHEISRVEHSGASLLEINGWTGARIDTFVNFLR LPDSVSDENDATTITAPNGNIRFTPLQLEDITHGHPAPYETRGVDGPEASSQKIVVEE KEEAEKERVYMDSMDIEAAVRDGKLDIGVFGPESLLSQEKAEEILSRLRRELGDVSLH L TSTA_090290 MVATFSPHRDGGTLHLPSPTGIHHVDASSAIRQLRRSLSRSPSK SSDFRFLSRPHSQANSNTPFISSPLSPSRRGNLFFVPATTSNISAANLSTPAPSKSTF AASTTPAAAPPATPVAVPFPPSAKITRPLMRRTGSLPNTRPRTSPKSPSKRVLNISSD QGNATVTPPVSLNENEGFLQEPSITSSENFALFSTDISTDNNNNSNNIAFKPNPHRIE KRRSGNFGSLTTTVSPLKRSDGAMNLDRAEFASPSAKRRSLHSVGGTPDFSIFDATDN FPSSNETSDNMSRETEVQLFTFSSLPGGNFATIPKRSSSLRRSTLQQRQGERSLFNRS RLANVDFPDSPPKSPIAAMQQRYPLGSSLSTTPSHDSVFTPQQRPASSNPLFANVQRD IPSTTPHAAHPLSRTITQSSSSSSLADDSPTHEPIHKTDRPRPIFNFSKSLPVGATRP EAPRQFTREDSNTSTDSFATPENYRQAKPYAAAFMSTGLISKKNRNADDLQSSFGSSK NMPDTPCKRSSTFLPSAQKALPVRPISRPKLARQTFVAPSTPSHHAVPASGPFSRGNG VLGNVFSRPYSSRRDSFASVDGDDRSISNSPSARRDNQRSTDFDLPPTPTKQTFPSSQ LQGTPHGDPSVQLQIDSLESTTPCTPKDNNILPDPSGLSISAPNEPALSLDDLNASTI TFPATPTAPRDYFAPTGQRKSMSLGGFTAMDVDTSLTTRFDKVELIGTGEFSQVYRVS NSPENTQYKSIFSLPSAGSNSPSTLPERVWAVKKSKQAFGGPKDRSRRMREVEILRAL ANSDHVISFVDHWEDRGHLYIQTEYCEEGSLDVFLAQVGLKARLDDFRIWKILLELSQ GLKHIHDSGFIHLDIKPANVLITFEGVLKIGDFGMATTWPAEKHIEGEGDREYMGPEV LLGMYDKPADIFALGLIMFEIAGNVELPDNGLSWQKLRNGDISDVPSLTWSSETSILR DSSGNPLSESSSFDELCRSDPAFEDSGVDFSRSRVPKLQQLSRSGELVEPPAFMVDAN HEDSLDKLVRWMITPDPASRPTADQLLQAYGTQWAMSRRRAGATVFEGNWGPADDILQ EDAEMIDV TSTA_090300 MDTRTFVSDSLLQFTGASDPTIVDFVIATATDAKSADELRDKLV PFLEGGDDHGIDKFCADLYGRVGSGGGGVKSKSHTINESSSKKKYRLVEMEDEDLSSY GAVGYTSVDSSEKRRQNKGKDESRSKQSIRNRWESDKDDNAHERSRRDKDSSDGKSRK RDRSRGAEGESRDRNRRSRKLRRRDNEDFEDRWGDEEILPEEEEYMHEQAEGDLPSKR TRLEDRSTSPRSAASSELDDEAKAERERLRDLKERDEFAKRLAEKEDSKSKKKIVEDR TRRGGDSRRALADDADARSAAMPDLRLRSRQEYLKKREVERIALLRRQVAEEEIELRE NPNLTRREKEEFARNREVLRIAEERLRIDDHRDGYMMPEDYITEKGKIDRKKKEEALY KRYIDRDEQGHERFVTEQEEWEREQTAKAKAQISRAEFVDEGDYEYVFDDAQKINFIM DSKLEGDRKPLTKEQRMLQQQLDAAEKKAASIEETRKSLPIYQFRDEIIQAVHDHQVL IIVGETGSGKTTQIPQYLHEAGYTKNGMKVGCTQPRRVAAMSVAARVAEEMGVKLGNE VGYAIRFEDNTSDKTVLKYMTDGMLLRELLTEPDLGAYSALMIDEAHERTVPTDIACG LLKDIAKARPDLKLLISSATMDAQKFQKYFDDAPIFNIPGRRYPVDIHYTSQPEANYL AAAITTVFQIHITQGPGDILVFLTGQEEIEAAEQSIQETARKLGGKVPEMVIAPIYAN LPSELQTKIFEPTPPGARKVVLATNIAETSLTIDGIVYVIDPGFVKENVFNPRTGMES LVVTPCSRASAGQRAGRAGRVGPGKCFRLYTKWAYHNELEENTTPEIQRTNLSSVILM LKSLGIDQLLDFDFMDPPPAETIIRALEQLYALGALNDRGELTKIGRQMAEFPTDPML AKSILAAAKYGCVEEVLSIIAMLGEASALFYRPKDKKIHADSARARFTIKEGGDHLSL LNIWNQWVDSDFSYVWARENFLQQRSLTRARDVRDQLAKLCDRVEVTVTSAGANNIVP IQKAITAGFFPNAARLQRGGDSYRTVKNGQTVYLHPSSTLFEVNPKWVIYYELVLTSK EYMRSNMPLQPEWLVEVAPHYHKKKDLETLGLDKKVPKGEGAAGEKSKM TSTA_090310 MSDKTFTPADVATHNTPDKGLYIIIDSGVYDVTNFADEHPGGAK ILKRVAGKDATKQFWKYHNEGVLKKYSPKLKIGEVKDVAKL TSTA_090320 MATDFDPSNVDLNTADPAQVVCYLKAGGNEYNGHMGARISAIFV ILICSTLATLFPVIARRSPRLKIPVYVYLFARYFGTGVILATAFVHLLDPAYDEIGPD SCVGMTGGWAEYSWPPAIVLASITVIFLMDFGAELYVESKYGEHDHSLPENVNDTATA SNNKLENNNNTTTIKAWDTLSDMDSVTAEKSFKQQIAAFLILEFGVIFHSVIIGLNLG VAGDEFSTLYPVLVFHQSFEGLGIGARMSAIPFKRSSWLPWIFSAVYGLTTPIAIAIG LGLRKTYNPGSNTANIVSGVFDSISAGILIYTALVELLARDFLFDPCRTNDRRRLAFM VITTILGTGVMALLGKWA TSTA_090330 MTQTKPEITVVRATENDTLVLAEIESLAFDGPSPYALNRTNTTS ENEPDKGSEPISQNRIMFGLPSAEGRAIRARGINERLKTSSDYHIYKAVLEEEDGKEK IVGFAAWRFCADEPFPVEDTWKDLPWEGCANPRACNDFFGAIARFRTKYLGGKKVALL ETLVIHPSAQGLGIGSKMLERGLEDAKNLGLTESWLEASDAGHGLYYKFGFRVVEEYI TDFFKYGAEGSSRLRVMKREDA TSTA_090340 MDGTMPSPPPVPFRAPSRATSHHRRNSSMGDISSSPFEPFSPVV DDLDVGSDNVEEEPFSAFDPRRFTPTLHANLVSEILSLRRELEGKNKEIAKLETSLDE SQNENEMMNENITKVTKENRSLKKQMQLLEGGTLSAITEIAKERDDAVENITDVRKRL EQAQKKLKSKEEEVDRSQSLWERDRENWDTERRNWERKVHVVENRLKTILNEVAAAQA AGNFQKLNDPDEDTTKDNSTTLDSASVRSSSAFDRRRTSASSASTYDGEPLNSGRFSS MSFANANGVKGEGFNLADELALDEDEEDYMDLIEEIPGMRPLSVASQSSLNAALKARK ILGLSMDESVTMGMKELINLDGSAPTTNEPEVKREYRDIGTQYTPPASPQLPPSDLAA VEDPNSKSEIIETVVPEMKDIAVETFSAEMLSTSCQTDDVIISIPASEPDVDAEVSAE PAKIPTVSVCTQTDMIFEEDESKRSLKVENQQPSPQTTPTVPTIAIIPPGSEPATPRT SLVLPPHTKSIACQTEYEQSYDMRSVGMQTEAIQVDQRPVKLPASLLPSAIFDKTDKV DSESISLPFMSPPPRSPRRPLNKTFDSKDKDKKAKNLPDLVQAYPGNNDNGPLAEDDT SDIRRPLRSSSLFAGFDDTNDDESLEVPAELPDVFSDDEIFNRPMASYTLRSGRMVNR SRLSSDTLREEDEFDIDLPSNLHTGDSLGRKTLSSKSSTGSKQSDIRRSALITSGAVA HQRNRPRSPSDPSIDSASTGAARPPFPVPVRLSSRNVQTTRSESNQSPTPYSDGNSST GRRSRRITRQPTLRKVRSATAMQKNQQERAGSRSPPPMSASGMSNSSYAPDSPQLPPL PPMPTDEPVIYRPKRTARRIPSSRPGSIRSQAQSYSVEEPSSDHVQSTNVVDAIAQTM VGEWMFKYVRRRKSFGMSDNKDTIDPTKSTEEVSASITSTGVRHKRWVWLAPYERAVM WSSKQPTSGPALLGKNGRKLIIQSVLDVKDDTPLPKGSNVQAPFNRSILILTPQRALK FTAMSLDRHYVWLTALSFLSHTSIGMGELPSIPPPIPQEEYAARPPTASLRRNPIRDS IRVAKGKPRPQPSKRSFTDNSHNSEYTGTGFDLEPIMDAADPPTVPRYAGHTRKRSNT APKAPLSAPLTSAFRSFSSHATLPSNYSAITAASSDIYASSSIGAPSGLMSGRSSVSR RTSEASGPTSSIVNGGFFDPMGTVRMEAFIERVDNPRSRVTNHMSHSQRNRAYRKRDN VQWNTGNDFDLPRSEDGSEIYYRNDELFRGF TSTA_090350 MISTNLHGPDNWVCLEEQSNIFHQSCPQMDPKLATLPSELIHLV AGYLRTKDLCSYAATCCRNWDILQDTCHKEAVRVAGPTYDDYRKHMATWDPLNLVQVQ KLYRIWEKKSLLIDAVSTGHLNAVRSFLDHGISPNSHNIYGKRLFHLAITIFRLPKRF PYELYWMTVRWRPCHEEGMSEAYEMIKLLLSYGADPSLSDVDDPDTTPLSLAAELGMN ELVCLLINSGANVRQKGVLGKVCAYCDLEVLQFALDVGGDINDVDSGGSTLLYHAAKN KDVEVIKYLLQAGLANQINTPNRKGKTPLLKAIMADKSDNVVVLAEHGADVNIVHPST FLPALHIACGHWMSFVAVSALIDAGADLNREAAFGSRPLHAAMRGKVETVRAILQSGK PFDIAARNSILGNTALHCAIDPPWRPKSWRRDVVRMLLAAGAPKEAENYFGCTPIDMA FEHKEFEFVYWMLTRDLEFCEDDSMFDDDEKARWLHDHQTEYEELKVLGLINLEPGIY LGT TSTA_090360 MAKPGLGSTASEEPSHGCEGNGARETTEGRDRGSRRLTFDTEGA ANVTVEENVQLPDDLTIDNWLENIRTAPKLMYQRVNDLLKAQRTELDRIYQDEIFRKD EELSQKNEAFQRLIDERDELQFAMNKLTLRFLNQEPGTGTVAASVTKKSPKIPDGKKL SDGKDPRYESWKIDVRAKLKANKDQYDTPEARRAYVKSMCEGEAAEHLLARLRDDAPD PFIDADDMIEHLDTIYLDANRVSNAKMDFRNLTQGKTRFQTFLSKFALLALDSGLSRS EWKEELYYKMNTEMKRAVMRESNDSTMSYEEFVKFCTMQANRLEQIAREEKTQRTADN RNNFNPTASGIPSTDKKSTAAKASNSNDDKKKKSLPWQDPELKPLMEQGLCFNCKQPG HRRNSCPFKKGTEIKEIETSTEQPKAQGDENTMTVSTRALADTGANGLAFMDRRFAML IANHLAVSIQPLGEELRVRGFDGKEAAPINEVLTVHLLIDGRRQLDLPFLLANMGKHD VILGRMWFAENKVLPDCHGRRLIWPDEPSLKDTLVTKHYLNAPKRILKRINADPKHQR DVERRDKLMEVEDRVLRVAVPSRGSKDSNWSPMSPLARGEITANQRMLSSVETVQRDP PVVYGRHHDVSLAASYDRMNRALREALKEPEELPPPKRVRQHEKIPPTMDIALINAVG FVRHVRDKDTETCMTSLHEIEKAIDGQLQLQNEEEKDTETEGIKKTLPEKYWEFIDVF LKSKSDELPPHRLYDHKIELTEEKQLGYSPIYRMSLEELEAAREYILENLHKGFIVPS NAPFASPILMAKKPGGGLRFCVDFRKLNSITRKDRYPLPLIDEVFERLSRAKVFTKLD IRQGFHRIRMHPDSEDLTTFRCRYGTYKYKVMPFGVTNGPATFQRLINDIFMDCLDKF LVAFVDDLLIYSDNELEHELHVRQVLQRLRNAGLQAAIHKCEFHVTKTRYLGFIVTEH GIEVDPSKIEAILRWGVPTTVFGIQSFLGFCNFYRRFIKDYSRIAKPLYRLTHNNVPF EWTKNCQEAFDKLKLCLSTAPVLSHYQPNLPTRVETDASDGVIAGILSQLHEEGLWHP VAYFSRTMTPSERNYDIHDKEMLAIVRALEEWRPELVGLQREDRFEILSDHRALEYFM TTKKLNARQARWCEFLTDYYFVLRFRPGKANVAADTLTRRDGAPKDEGYRERTILTED FLDSAVKADLGLVGEIDSSIDIMSRVVTANLTAEEAESYRQRAREGDDDWNLLGGRLF FRDRLFVPAVGDLQARLLDEIHQQPSTAHAGKGKMTRLVKERYYWPSWSQDVNRYVDN CMTCKRMNTRRDLPPGLLKPLPIPERPWQHISMDFMSYPKDKHGYDAVFVVVDRLGKR PVSIPCHKTVTAKEMARLFIRFVLPWAGLPDSIVSDRGPQFVSEFWQEVCRILGVTIK LSTADHAPTDGQTEIANQYLTQRLRPYVNHHQDDWSEWLPIMDYAAATLPQESTNLSP FMIERGYQPRTSFDWSGPAQPGRLTINQRDAQRWMTRFAEIWKYAKQQLQLAQERQQA QANRRRREVDFDVGDEVMVTTRNWNLNVPGRKLAMQWSGPYRVKEKVGHSYRLDLPPG INVHPVFSPDKLRLASRTKPLEGQLRDPSPPVEVNGEHEWEVDKVLDSKIRYRKLNYR VAWIGYDPDPQWYPARNFKNAPAKLREFHAAYPDKPGPPRRLQEWLDAADRDEFLPDE DDDDLV TSTA_090370 MDSKGYTHDPPFDRGYLSVGAIHKLHYAQYGNRNGKPVIFLHGG PGGNTSFANTTYFNPDIYRVILYDQRGAGKSLPTACTEENTTHHLIEDIEALRKHLGI SKWFMLFGGSWGATLALLYAQRYPERVGSMVLRGIFTARQPEINWTRMPDSGAARMWP AEYEKFLNFLPEEERRNPPESYCKYILNISTDEETKRKRYEAALE TSTA_090380 MRFTAASIVAFAAGALASASSSAPVITEVITSYETYCPEATTFV AGSSTVHVTAPGTITLTGSYTVTRPLLSSTVTICNSCSAGPTAPVPGPVGTGSPKPWS SSPVVPGAPGTTSTTGVTPAFTGGASHVAAGAGAGLAAVFGAAAFLL TSTA_090390 MLIRVSLTACAHVRCHASRSSFLLKQIISYNQTQHRRLSSLSNS NQLDLKNGSNLFRALTTHKPSSLAVKHTQSGRRFTYADLNRDITQSRERLIQKSNTAR LSSLKGERVAFVAENDYDSVVMLFSILASDAIALPVSPSFPYPEIEYILNNADARMLL STERSGSIADLFMRRDDLIVDVREAIMGSNSQREESLGQIDFPDVQDSNGGLMLYTSG TTNRPKGVFIPLSALQAQAQSLIEAWEYSPKDHLLHLLPLHHIHGIVNALLVPALAGS AIEFMHPSSPDAIWRRLAEPFLPNQKPGPEKINFLTGVPTLYTRLMSYLSELPTEVQT AAKQAISPDNLRLNISGSAALPTPTKSAWTELSSGNVLLERYGMTEVGMAISCGLDFK DRVDGSVGWPLPSVEARLWDTDKEEVIHPGEEIDKETGRERVGEIQLRGPTIFREYWD NPKATSESFVEGDDGKGAWFRTGDVAVRRVVKDSGKGSSGGWAQGPMYFIQGRLSVDI IKTGGEKVSSLEVERELLSLPEIAEAAVVGLPSETWGQKVAAVIVLKVQSTTQGNSKD SNNKYKRGGIMHLRRALSDRLAAYKLPQEVKVLSGPIPRNAMGKVNKKMLVKEVFGS TSTA_090400 MSSTTASSTTPVEDAMRDKLVAAFTPTTLQIRNDSHLHAHHAPM QGSTSKETHFHVTITSEAFQSKSQPARHRMVYLLFKDEMAREGGIHALQLRTRTPEEE KKQAEREQN TSTA_090410 MSNYGNQQGGGYGQYDPYSQQSNPYSAPQAQRYQDVEAGNGGYE MGQANNTTSILNKCTEINDGLRDLKAKREGRLAQAQHELLDSSTGKEDQAARQNLDYV EDDINNTFRYLRDLLKKIKQTPGSGDARVQTQVDVTSRNLRKEIEQYQKAQSDFQKRL QEQVRRRYEIANPDATPEQVEQGVQNVLMGQEQSFQSTGLRTRQANDAKQAALERSTA IRKIEKDMIELSRLYQEVAELVQQQEPAVEQINQGAQDVVENVDNANKQIDSAIVSAR NARRWKWYILIVVILIIAIVIGVAVGVTEANKK TSTA_090420 MSPKRKFSDVDSSAMYSTGVISADDAPSHKSRSFGRNVDRRNSS WRVMGDNAVPSSLPLSNLTDAVRELIDPDFHPELEQFLFDDESPQFLKPVSPRIATED VEYLRSKGALTIPEPSLRNELLKAYVQWVYSSLPVLDLHSFLASVAQNKPDANISLLL FQAIMFAGTAFVDIRHLQAAGFKTRREARKAFFNNTRLLYVFDYEDDRIAIIQSILLM TYYYEKEETFQKDIWHWIGVCYTQAQSIGLHRDPSKSSINEHTKRLRIRLWWCLYSRD RQIALALRRPTQINEGICNVPLITLTDFDIRPYGDAVDQILPECRHMSDTYLQRRLAI MFIETVKLCQCLGRALFAQYTPSNFVSDVTQETTITLVPRQASDAELQRCGQKLDAWL GNLPEEATCVTPRERKDLKPGDDVLFLHASMLQMIYHATCTVLYRPRASSSNITTTLT TSKTSISAAQKIIRDAALHIADTVQHLRTLGLTPYLPTYGLTVMIPAAVAHLTDLKSA NPVVRDGSKWNFRLCIEIISGLRDIYPAADYETECLEKAFQLQHGQRMLKSVYVMQDA TVHSPRGAPTFVNTTELDLSILRPPREPSQIIESPAWTFDWQQDQDMFHRDGSDKDND CWTINLDNDLDIGHFGLLSDGLFNNTGDGVPPHILMIQGDNDDIELSQNPDLPLTISR EGEETTITGDLERDLGFI TSTA_090430 MGDAPIPEGISSLLDTDLYKLTMQCAVLKYFPDVEVTYGFTNRT PDMKLSRGAYKWLLEQMKRLENITITPDEIDFLQKSCSYFNDAYLSFLRTFRLKPSQQ IQIKFTPDDKDNDNDNDDVLGILEYTIAGLWVETILYEIPLLALTSEAYFKFCDTDWN YEGQEEKAYAKGMTLLEHGCIFSEFGSRRRRDYHTHDLVMKGLVQAAADAKRQGFPGT LSGTSNVHFAMKYGVAPVGTVAHEWYMGIAAYTNDYENANEMGLRYWLGCFGEGVLGI ALTDTFGTPAFLEAFSKPIPDYTTPSRGVDTTFPSSAAITVNTTPESLADIEPPIKAP RHHQQPEHKPKTYAQVFTGVRQDSGDPRNFVRLVRDFYDKQGITDKKVIVFSDSLKVN NCLEYKAISEQAGFQPTFGVGTFFTNDFTKLSTNTKSIPLNIVIKISSAGGRPAVKLS DNLGKNTGDKQLVQEVKRRLGYVENVWEEGNEANRWGKRGD TSTA_090440 MTSEDCATVLEQFIHDVANLPAEITHLMEEIQAKDKIIQECRTT INSRDNSIQKFIKLNGSLAPNPKEEQYSKTILQNFDRCQSLQDEKIHLSEKACVLLDR QIKKLDIKIGELVKDGILSNDPPLPSLLDNKSQYAELPAAFMQDLAAAAASTSGTSTA YTPLNPTSGNVATPVSAAQRIGQAGSRATSVTAGHHQTPSNRGSAPSTPTAAALHLQQ RQRESSAGAVDSKRRRLNASLGTLPAAPSNLRQSSLGPGTPKPGTPVASRAGSAGPRA TGVTKKATTKKVAPHQQIKKIKAGSNIAKIIKRSSSVGMKMKSGHKKTPSTGDIDDDD DDSMLSSAEVSESEGASVTTTTRRTAGHGPNTMADSDEELDEDEDEGGEDTKVYCTCR SVSHGDMVACDNDNCPYEWFHWKCVGLTREPLGTWYCVECRQTLGK TSTA_090450 MAASGTTDDIASKIYARCREQFSGSQLLYQRDILSLGIIPAKNL EILLECTQKLVDQNLFRVYQDSDNRLAWKVIAEEDAEKIQSLNDTEKLVYNVIHSTGR TGIWIRQLGKRTDLHKTSLDKALKSLEGKNFIKSIVNVKHPGKKVYMLAELTPAEDVT GGAWFTDGVLDAEFIGVLSEFIEITVSKRTWYEIVVKSGTSGSNKRQKLNTGEVVKQD EKEKRYIPYPADYKYYPTVGEITTEVNKTGITPVKLDEGSISQLLRMMCFDGRLVSLN DHANYKAVRRPDEIIKARADADRTPEVQQKIFYNGKNGMTEAPCGQCPVFKICQPGGT VSPENCEYFDEWFQKALGF TSTA_090460 MADALKAEGNKAFSAKDYTTAIDKFTQAIEIEPQNHVLYSNRSA VYAATHDYQKALDDANKATEIKPDWAKGWSRKGAAARGLGDLLAAHDAYEEALKLEPT NDQFKASFNAVKRAIDAEAKADGFQGDTGGLGSMFNDPNLIQKLANNPKTAPLLADHE FMTKLQKLKDNPNSIGAELGDPRFLQVMSVLLGIDMQFGAPPGAGGPSGATEAEEDVP MPDARPKPTETKKEPEPEPEPEDEETIAKKKAQEAGDAEKKIGNDFYKKKQFAEAIEH YQKAWELNKDVTYLNNIGAAKFESGDYKGAIEICEEAVQEGREHRTDFKTIAKSFARI GSAYEKLGDLTQAIEYYNRSLTEHRTADVLTKLRAVEKAKIKAEKDAYISPEEAEKAR ELGQKKFQEADWPGAVDAFTEMTKRAPDDPRGFSNRAAALIKLMAFPQAVQDCDEAIK RDASFIRAYIRKAQALQAMKEYSKALDALTEASTHDTTGKNAREIEQQQNKILEAQYS ARAGETEEQTAARIQNDPEIMSILQDPIMQTILQQAKSDPAALQEHMKNAQVRSQIQK LIAAGVIRLGR TSTA_090470 MKHPQDAVQEQSLQDPESFWSHHAQSIHWHKRPSSALGHTTKQI TLRGGKGTAIHDHWSWFPDGMISTTYNCVDRHVENGNGDNVAIVWESAVTGESAKYTY RQLQDEVEVLAGVLREEGVRKGDVVIIYMPMIPAALIAALAIVRLGAIHAAVFGGFAS ASLAQRIEAAKPRVIMTASCGIEGSKGPIPYRPLVEGAIEQSKFKPEKVIIWQRDQLR WNHPDKVGGQRNWQRIVRSGQLRNIRAAPVPIRSDEALYIIYTSGTTGLPKGVVREAG GHAVGLSLSIKYLFDIKGPGDVMFCASDIGWVVGHSYILYAPLLVGATTVLFEGKPVG TPDAGTFWRLIERNKVNALFTAPTALRAIRKDDPDNKYFKGVGDRGGLKTLRALFLAG ERSEPSIVQNFQDLLTKHAAPGAVVIDNWWSSESGSPITGLALRSDLGYTLALGKVED KPHLSIKPGSAGKPMPGFDVRIVDDNGQEVKRGTMGNIVLAIPLAPTAFRTLFNDDER FYKSYLLRFNGRWIDTGDAGMIDQDGYVHVMSRSDDIINVAAHRFSTGAIEQAILSHP LIGEASVVGIPDPIKGHLPFAFVQPRQASNAKGNDNGNIPATAPRELFNEVNTLVRDQ IGAIASLGGMIQGVGMIPKTRSGKTLRRVLRELVENAVINNDFEKRLHVPPTIEDPDV IEVARGRVREYFEERSRVKSLEGKAKL TSTA_090480 MNPPEPPKRSDTEFLTESVDTDSDSDYDDRTTSEIKRLHQRIQL LETELEQKRSKAVRPQPSRYQKLYRLHDDVRSNVQYYSSDDDTDSGSDSNTNYRNGRR KNRNFWRSPPGWNSNIFMDLPVAMYDEYGGASLQCTHRVENLEVFLASNPDISFVIFY DYNYDLEQSSSEPDLNTRKDCDPTPRARSIYPVSRELKKALRILSKKPGYQDILQEYW EGGSELSVLSLFIYHTRHEMSKIKEDLPSTTRTQFDILLEFMIKEFGDEYDTVDSLLQ RKEILPQYVQYLIKPGDIVVENKNGEYTGYRAQSWPLEIRRRPSKKLEEHIYGISENE DESHHLPNVTRKLTLACFTWKFDGYFYGVYEQLKFEIPPNASSSSEDTHLQEARGGDT QVAQLKGQSIATLRVFPLCYAPDHIRKMLYHRTDILWKCRVQRLVSYKTDEPDPTGNG MEEHYMVDMKTYYRTEPGNKSLGHLYPYQPRIKYRHNKVDMARDDPPEDELKALMPPR VKGYNFLRKKWDDLKVDHISISDVRWRGEASQSLELNNEARSLLGMLVVNHSKTAKSN EPRVGKGKSLVVLLHGGPGTGKTHTAESISELAEKPLYRVTCSELGTKAEAVEKNLES TLRLGHLWDCVMLLEEADIFLEQRGLHDLERNALVSVFIRMVESYDGIVILECSHLST LNDASRSRIQFALYYPPLDPYLRSSIWWRFLERLNRSNADSMISNTWEIAESLKHERL NGKQIRNIITITHRIMTWKNEPLTSEHIKDVIRVCGHSDTCLGKLHGGYTENEPEYDE WLS TSTA_090490 MKLFRDKKLIQHNFSEKSICTLAEIIKPFGIPAIITTQCVPRYG PCVPSIKKHLGDNTPEFDKSKFSMMTKEVFDFLKREKRDHVVVVGVEAHICVSQTVFE LQKHGYKVVVLADAVSSSSFQERNLALRRMLASGISVNSLEGWTFELLGSSDHPLYDK SESSQCGLLVQSDFCAVETDEEN TSTA_090500 MDRFEPVDPPSFRYRPGSKSRVSYFYDDDAGQYAYYPGHPMKPF RIRLAHELITTYGVHRKMQVYRAKRATAMEMTQFHTDDYVDFLRRITPDNIRQFPSAH AEFGIWEDNPVFEGLYEFCSISAGGSMEGAAHLNHGKCDIAINWAGGLHHAKKSMANG FCYINDIVLGILELLRFHQRVLYIDIDVHHGDGVEEAFLTTDRVMTVSFHRFGDFFPG TGDIRDIGVNRGRYHAVNVPLRDGIDDASYKSIFQPIICGIMKHFRPEAIVMQCGSDS LSGDRLGSFNLSMEGHANCVSFVKTFGIPMLVLGGGGYTVRNVARAWANETAVLAEEE LSPVIPYNTFYEHFAPDYMLEVKPSNMDNLNTYEYLEGLKVEILENLREIDFAPSVQM QDVPLTPLGVFDPLEWQLENEETRTWINKSQPTIANYITPSMHEFLKGLIPKRQAHNE PISRQTKLRRMPSTQDKMRQGIPMFSLQTCRNKLYGSPAGNARKQTVLESRVSQLEDL VKLLESQIERNDNTRMELSTSEFDLPNPLPIPVKANAMLAKDFFAKLSHEVAGIREVL DDSNEGNSSFMSPEPLGKTGPSSTSILFGYQPSISDDELLAPPAENVREILLDIYHDR VDCLFKATYWPAAETAIHRKYGPDKQYSPSPVIHAVERAVYFMAICTMTESECDAMLS ESKSSLIYRHQRSVEVALARSEFFTNPDKLALQSFVLYLMGLRVCSQYAMSWTLLALA IRSGNALGLPITGTETGQEKEVCEHRSVGDDLKMRLWYCIGMLDSQTSIDRGTRPMMT LRDFEMKPLIINHEAGKYAQPSKRQELSWDMAFSHVIHEATICSRRLMEFPPDVAGSW ESWPKKLQVISEFETYIRQYCSQLEGCPHQLQRFIQFTAEDIVLNMHLLLRRPPYPSK NSPFPPWDKFDVLKVTTEIMERTLWKASDSAFAPWAWLSKTWLKWQVLAVLLAELCTP RYGELGDRAYRVAKRGFDYCSSLMAESDLATVLKPLEKLMARVNKVRAGMLSEQRSSS LSTVTGIDTPGHIPVESNSHLILPSGVSGSTGFPYNDNNTNSTEAFSTTDKSAQAASY MNGNISIDASWLNWNDFLSEMGDVWTADLNTEYYNSVDSSTL TSTA_090510 MAYLYHTDGVPFVIKGLTWNARWWLTESREKVKLVFVTSIQSRK REILFQNWSLINRKYLTRANPPDQVPAVTWELVLSRSEPKQPIRVPSSKEEWSSDVSA REPRVVILSSLEDK TSTA_090520 MTTQWLANDTPSYKLFGVPANTPEYRFRNEQQGTRLLAHEHDLF EQDQSGQQSQYVIFSNVDDKTYNRYFIYFHDHDRGSERTPQKRLALVKMTINELGVAT EELNVNLMRN TSTA_090530 MAGKMTLYKLVVLGDGGVGKTALTIQLCLNHFVETYDPTIEDSY RKQVVIDQQSCMLEVLDTAGQEEYTALRDQWIRDGEGFVLVYSITSRASFSRITKFYN QIQRVKESANSGSPTGASYLGSPLTGPSLGVNSGPVPVMLVGNKSDKTVERAVSAQEG AALAKELGCEFVEASAKNCINVEKAFYDVVRLLRQQRQQQQGGGRLQERRTTGFPGQH RDRDANPEYPRTFRTDRARQHRGGIKCVVL TSTA_090540 MSTFPPSSLRPLLEEISSLLKERKETISVAETAAGGIISASLLS IPGASAYFKGGLTLYTLESRIAFADWTPELITNYKGPTTGIVAGLAESTRSKLQSTYT ISESGTAGPGGGKGSRKPGVVFVAVAAPSGSYTREVDTGYGEDREKNMVGFAEEGLKL LRDVIKGDAKL TSTA_090550 MSFNRCSRQVSKLLVTNGSSFRATTPTLCRSHRAASIHPSQAVS QKRNVSDANQDSQQKLLSTHLEEADPTIFAILQREKRRQKHFINLIPSENFTSQAVLD ALGSVMQNKYSEGYPGARYYGGNEFIDEAESLCQKRALETFRLDPEEWGVNVQALSGS PANLYAYSALLNTHDRLMGLDLPHGGHLSHGYQIPNKKISFISKYFETLPYRLDESTG LINYDQLEELANIYRPKLIVAGTSAYSRLIDYARMRKITESIGAYLLSDMAHISGLVA ADVIPSPFQYSDVVTTTTHKSLRGPRGAMIFYRKGVRRTDKKGNKELYDLEGPINASV FPGHQGGPHNHTITALAVALGQAQTKEFRDYQLTVLENAKALSDRLGNSVNEGGLGYN IVSGGTDNHLVLVDLKNRGVDGARVERVLELCGVAANKNTVPGDKSALKPGGLRLGTP AMTSRGFQPEDFRRVGDIVDRAVTITQKLDKAAKESAEVKGRKNPGSLKAFTEYIGSG EDISEIVQLRQEVEDWVGTFSVPWKNE TSTA_090560 MYISELYVYPIKSLQPTKLKEATITRHGILYDRCFMLLKVMISN ENDKNDTCIETEGNKSKPTLKNMHVPHFPQMSLFLTDLILPDDNDDKTQQEKKIIVTY QEPPVSENKPRKTLEVPLEPDVDGLEKVHVTMHQSPMTGYIMDKKYNDWFSECFGYPV VLAYTGLNRRRVLGSMNPNIVRQSAQGGGWLSTLTNYVPRLGTGTTQVKDEEILTFAD CASYMVVNEESVKDVASRPGQGKVEVTRFRPNIVIGGAESAWEEDFWSELTLASATDE VQLILTSNCIRCRSLDVDFETGDFHKADDGIIYKKLNKDRRVDQGAKYKPVFGRYGFL REDVVAKVRVGDAVTVSKRADERTVFGPGHVSIAHLTALLGKEKAVVVRAAIAEKAVS SSWI TSTA_090570 MVERVKIPACKGPAGHTGLDGSRWYGMIGAWEIQGFVICDACYH DLVAWSQLRRYFTTTPTIKSDEGSWTCDAAVPLIKEGLRRATTSPNLWDDLHLLFRRR MEYSSCMEMKNLQARSTHWYGSKAVPDLIVCTTCYLDHFVLDHARSWELLSLTAEKQQ QQLDCAMHTVQIYSAWVACKRVSIAENTDEYDGFEDLARIILKSPPCSTEDMRNATWY TPQDCTGDAFAICKRCVLAFMVGPGFATEFKEVNFRRNGNWVCDLNPATPRFLKYLAK YEAAVKRENFSIFSEFVSEYAPLPDLLETRRMADYKEIIHSSHFTFLVGEDQTPLTIY TAVVQNNSDPLYALISNGHMKESNTGNAALEDVEVETFMGFCEYAYTGAYVTPDRTLS QDDEGRHLGHEPASSSPDPNGLFHAKLYVFATKYLIEPLRKQCLIFIHRDLCSFSLKR ESTPVILDLLDFTYAHSGRGEPGGKSLLRDLVIHYAAREARTLADDLDVSALVD TSTA_090580 MENRPAVFVHTAQVSEVVYRNGVRRLLHLQSELGDDVVVSGLRD PDGNIIYETYYDALLGPIVSLNDVATLKKYIEIKPRGPLFPKEAYWWEPRSTLLRFTE ARKHLAYFLIITTPNPILPNPPGREPKPTEEPNKRRFYLLNTACFGANPETACFLMDR KPPLGSVNDTDPFGDTAPLHAAASLAKNKFSGWMNRDSGYDGYRGRELVLASDIPETE PQEHIIDTFKLLLEVNPDSINAQDKEGATPLHYATASHVKCGAGHSYAVIKFLFDNKA DANLAKSQGQTVLHILASPSLSGVPIDLTMIDLLLMHGTDIGRTNLDGNTALHIIVRA HPQTETVRYLLSKGADVTAINSKGNTPLHEVMGGWFLLLEDHKGGACKRLALADRFKA QDDMIAVLKESKDLQQQLDGTSECKRQNATTAA TSTA_090590 MENNTPLRRKDTTKGPPLRVLSLDGGGVRGYSMLILLQELMYRT YVECEGHAPRRDQIPKPCDYFDLIVGTGTGGLIAIMLGRLRLDLETCKEVYVRMTKKV FETDKTIAGIPYRSTLFKASRLEEAIRQCVRQHTVYESEGNDSTLELASPMQGVPQRS LSRSSFSSTNTSRAPPSPTSQRNSISFAGYGFRFGNPDAALYDNREFRTKTAVTAVYK GSRPGTPPVLLRSYDSRREPPPEFECTIWQAGRATSATGLAFKPIQIGQYVFIDEGAG TFNPAPQALDEAVVNEWPGRELGVFVSVGTGKRPSGTNHQQHEWWEGFFGDALGTFAE ARRRLISKIEGCEDIHQKMLSEHLAKRNVKKENYYRLNVEVGVGEFGMNEWNRLVDIS TNTRRYLSKPDVQQMTLDAASKLARIERMHRRLASRSGAGSTLADPQQSAPPASVVVA PPPPSNPMAIELPADEIPAGHRMNIPANTPPRVLDEKIVIMGPDDLEHQNHLVSPTDV NAPRRSGEHLPSASSGSPRRSGELEQSHVPPPLPPKTPIPYPDDGGSTGITMPLPGAP PRPLQAPVGGYRPPYPVDGPPVVDKLRKPTYNVR TSTA_090600 MDMTAAAIDQAQVLYARTESSSSQPPVYKAIGITLAIASGFFIG VSFVIKKVGLLKANVKYNEEAGEGYGYLKNLWWWLGMTLMIIGETCNFVAYCFVDAIL VTPMGALSVVVTTVLSAIFLKERLSFVGKIGCFNCIVGATIIALNAPEQASVTDIQGM QHFVIAPGFLTYAGVIIVGCLFVALWAGPRYGKKSMFVYITVCSLIGGLSVVATQGLG ASILAQIRGESQFKHWFLYVLLVFVIVSLLTEIVYLNKALNIFNAALVTPTYYVMFTS STIATSAVLFQGFSGSAMAIVTMVMGFLTICSGVVLLQLSKSAKDVPDAAVFKGDLDQ IREVGEQEASEIEPKADAIRGAAAIIRRISVSRQKMEEEEAKRYFREKHEDQLSEPAE NEIVEWDGLRRRKTVIGDHPVMTPRSNRSVRTPHPPLGMSRFPTEEERTPPRTPSGNH SFLSDVRSRASTILHTPLHWRQLHDDEPQTSVHPVALTEIALNKKNKHVDTAYHGNDG HLEPPFQPHTGRERSNTPRSIVWADERPDSSPTRSPVRNSHLAPEPTQYARRQFSFTT LFGRKKSNENVPHSPVSPGRGILRNATPKGPGLSDYRRAVKAATEEERLGLVKGDPQS SNMEHDNDDEDDLESIDEKIIRSSSPEMFDSAIDQALEDVKRQYNAARPHQVSTSSSI STTIFPAYEDTHHYYEQHGHGPQSGQFGGYHTIRRISSPPPPSLPTTHENTGPSSVSS GKMRMNDPLPPIPDITSPIQDPSSEYVHVPVPMRDNPPPAYSPESGRRADNDDNDFNP AEFGVVSLPSSSTTSPPSPSASRGYRDSYRRPGHNHTAGSNSDSRNITGERRSPRSNS DSSTSSGASNDAGTQRLVRDGAFI TSTA_090610 MNPPAKRSETNPKPKNKHIFDPWNSSSTGHQRAENPYSRTTDWR DTRRDKLARQFRFASNNGDDGSLMRDGGGSYMTETKADGGGGGGEGEWKWMSAQEAKR NELRVRDIRRYMGGISKPPLQPLMTTTTTTTTKEKLILEPDESDPKNRKVREEKGIFT HLTFYINGSTYPTISDHKLKHLLAEEGGNISLYLARKSVTHVILGSSATSNMSSAGTA GRKTGRLLASSKLQKEIVAKTSGFGRGVKYVNVEWVIESIKAGKKLPEARFSPSTFSS MSRLGNFTRVGVPAGQKSVYDTFNRSTTTTKSMDEMS TSTA_090620 MNQTQSYMDVHTSHFSSPQPYGSHGATAGGMVPYSHYQQPPPLL PPGSAGYPSTPGSYSYPYSNGVASTTQPASNSISSQVPAQILPLPAMTTHTVTPHGYV GGATPAQQNAVHDPTGQTCPPGAKPRVTATLWEDEGSLCYQVEAKGVCVARREDNHMI NGTKLLNVAGMTRGRRDGILKSEKVRHVVKIGPMHLKGVWIPYERALDFANKEKITDL LYPLFVHNIGGLLYHPTNSNRTNMVVHDSQQRRLEGSQSARTSQGPQAPALHHHHSMN GSVPTHMSQPSTSSVAPQANGGRPEMNRAHTFPTPPASASSLIGIPNQGSTYDWNNQN MNSTVQTSQNVPIDNGLNNTRSMPTTPATTPPGNNLQGMPPYQNQPAYDSTKSYYSAA PSSQSQYASQPLAAHSLTSYGQPIMKDLGSSGGRPPLGPGEQDHDDKVDRYNQPSGQV TNGTGEEENGQQQEPEYVQDNVAGSYANRNSYTYTANPSVSSLAGDHSQLGGSPSHQN GSDRMTPRTATTNPPPQWTQGYNTPPRALPAGSISNIVSDTRGAPNGDSYAPSTAYAS NYSGYSSVNGSSMGSSKRMRDDDDDHLSRSDGRDNDYETKRRKTLTEPAVGSAFMQMQ QQPVPAGGVMRRR TSTA_090630 MPPNKRKKKPASNPARGFATVSVPSKPKVAESSTVSSTVDSSVV VSEDEKSSKQPETQRPQTDSSKDQTLQTLSPEELERHFEEAELQILVDKYGWKSKNEA SRQVFKFETERRVLRSQANVLSLNEWIPQKIQDQVLALAQLEQDHLDAPPEGDLDAGK EEELITRLWSLKDAIRGLGFSDSRVDSLLRHIITYYSGVSNSSKNVNWNLEESLEWLA LQCDPTELDPYDKKKDPSWTPEEAASSFIGSENKKSPSNPSLAAQAGNPLNKPPSSRT IIEVEPNLGNESDSSLDPEDFADEYVSLKTQIYQLSPQLFDQNSGGGRKGRAQAPKDV SDPRIKKLQLKVAKIESDILFDHDAAEMKWREKLNDLRREFTFNREKGRAVPVAKNVP SKESQPTISEDTEEFVMVEASESGDELLLGGIFIEPDEPLLVRDTPDSIKVIRNFAES VGGTEPDKLLQEVCKARDPGFKVVLRDLAVASFYNRKGIEIKWSKPQEEPVPLSIEGI TIKSNAFTVFVSMDSIAAAKDEQAKGYISTLALFLVSAPASNNKENKAYMRLPNVWRE VYQEFSDLRKQQEDESDKQTIRYLKKLIEENHGKLERDVVLSDNFKRRNGNNRQQLPG KTESTTPKIDADSLRKLWEEKMNTTSFQHMTESRKNLPVWAYKQQILDTLANHQAVII CSETGSGKSTQIPSFIMENELASGRECKVFVTEPRRISAISLARRVSEELGERHQDLG TNRSLVGYAIRLESKISQSTRLIFATTGVVVRMLERPNEMQDITHIVLDEVHERSIDS DFLLIVLRRLLAQRPELKVVLMSATVDAKKFANYLGGVPVLNIPGRTFPVQVKYLEDA IHLTNYRLDDSYPASTIIDEDEDDKSSDEGLTDEMGRGLRATLEGYPYQTRDTVLKFD EYRLDYRLITRLLTAIATRQDLSQYSKAILVFLPGLAEIRRLHDEIGSDSTFNQGWII HTLHSSIASEDQEKAFLVPPEGTRKIVIATNIAETGITIPDITAVIDAGKEKVMRFDE KRQLSRLVESFISRANAKQRRGRAGRVQKGICFHLFTEYRHDNKLSEQQTPEMLRLSL QDLVLRVKICNLGEVENTLLEAMDPPSSKNIRRAIESLKEVKALTSAEGLTALGKQLA KLPLDVWLGKLIIYGAIFKCLDACVSIAAILSSKSPFVNTIGSNSQRDAARLSFKRGD SDLLTIYNAYLAWKKIRETPGVNEYTFCRKNFLSPQSLLNIEDIKTQLLVSIVDAGLL KLEAEEQTALRRARVTGRNRQFFVIPERVNVNSANDLIVNSVIAWSFYPKLVTREGKG WRNVVNNQNISLHPISVNKQVDSSVQWLSYYHIMQTRNRYYNAHETSAVESFAVALLC GDAEFKMYSGIISIDNNRIRFSVRDWKQMLAFKRFSIRVREIMTEIVRNPQKMLSRRQ REWMEIWQQIFFGKAAKAAEKK TSTA_090640 MDELKWDAIHNGDLETLESVVLSSSTSRRVRVLQSLREKNGEIL SEENSKPILDLLIKTYPLYVDRNSRLAVQQCLRTILKSLTEQDTKYLASRIQKECAKP SLSATSAFVLIEWCCILLQHLSSIEAPIGAVLDVISANANVLELCLGQNSRPTVRQSA LRITRRALRATFGSQTLGEQAVRESVLKLAAGTSAQRNAPFIGVISGVCARLSARREV LAGLKKPILAFYTKEILGSRSQVPPHIANGLSDFFASFVTYDDLVADIIPTLEKSILR SPEIILNGIIPSLCLSLPAAIDLSEPVNSRLSKPLLSSLKSTNAIIRQGAAQSFQALI SRCNIEDPLLKVSSEILNPLKSQKISNAEQRASHVDVLAAIPCYKALSLEVATTLVTV AAKESSEVALVSEVKAVCKHLGYLIDSEVPLKDESVTAIAKASADKRVPFRKLWQLHT ADLFWEAKPERLTSSPNQGLINSILSKGKDSFAEALTNPLPSAQSGLVSAAYGYIALC GLLKDLRTIAESEWKNIIQQSVSLTPKPSFLLNPKVYSKLTTEEDFRWKVRALATVAN GSTLRTYDAACRDAWGQAFIFTIASPSVPRKIRDEACRALTKAFLRDAAVVGDVIVKA LWSWVLALSIGDKESAAAAAGQGSQSLLHFVVKAICPSASGSEQFPDNVQSALKDQMI KLLVLCRQELIPRVSWIDLCLRTGLDPGKLVSENPEVSIQQLVQVSDDPVKSKIPLAS DAVGSAAADLAFVAPEAMIQRIVKIINEDLASDRISKFTPTDAAIARIPEGTTFVDVL NTKSAQPLSDKNIKDYDTLKWEEELRAQIAQKKGQPQKKLTTEEQAKVKAQLAKEAKI RESVLNEIKRIERGCVIVRGLATGPPTDTDGWINTAITTLLSLARLNAGLFVGDAVSR AYIACAEKVSSRLGLLRPFIGVATLRALGNTNLSPDLEAEPLGDLVTRILYRLRFTSE QLPFEPTSLAYLLPLAALVLSRDGIEEQKGEDGGEQVLLTLEFLSFHSSSFSDTRLPR TEVLQHLITSLHKYSQHYKLVKDTLLDLCRSISQNILPEELGVLFEGTIARDVSVRTA VLQAIEAEIDLTDLEFSEHIWLCCHDQVEENAEIAEAIWEDNALDVDEKSYLKIIKYL DAKDSQLRGAAARALAHSVELNPLTFEVVLSGLQSRYSDEVKPKAPGKDKYGMPLKAD LTDVWEIRSGIALTFKAMTKLFEKDQIVSFMRFLIEDGPLIDKNALVREQMADSGRLI IEERGQQKVEELMTLFEKTLETSDKATESSDWLNEAVIILYGSLARHLKSGDSRLDTV IKKLLAALHTPSEMVQSAVAGCLPPLIRLSGGLETEGYVNELLDQLLHSKKYASRRGA AYGLAGIVQGRGVAALRKYRIMSTLTDALDNKKDPNQRQGALLAYELFSAVLGRVFEP YVIQIVPHLLTSFGDPSIDVRDACLDAAKTCVASLSSYGVKQILPTLLEGLDDTQWRS KKGACDLLGAMAYLDPQQLAISLPDIIPPLTVVLNDSHKDVRNAANRSLQRFGEVISN PEVKSLVGILLKALSDPTKYTDEALDSLIKVSFIHYLDAPSLALVVRILERGLSDRSN TKRKAAQIIGSLAHLTERKDLISHLPILVAGLRLAVVDPVPTTRATASKALGSLIEKL GEDALPDLIPSLMATLKSDTGAGDRLGSAQALSEVLAGLGTTRLEETLPTILQNVSSS KPSVREGFMSLFIFLPACFGNSFAAYLNKIIPPILAGLADDIEAIRETSLRAGRLLVK NFSSKAIDLLLPELERGLADDSHRIRLSSVELVGDLLFNLTGITNRVDAEEQEEGAAQ AGQSLLAILGEEKRNKVLSALYICRCDTSGLVRSAAITVWKALVATPRTLKELVPTLT QLIIRRLGSSNMEQKVIAGNALGDLIKKAGESVLATLLPSLEDGLRTSTDVDARQGIC IALRELITSASPEALEDYDKVLISIVRVALVDNDADVREAAAEAFDALQRVLGKRAVD QVLPYLLSLLRNEEEAEQALSALLTLLTETTRANIILPNLIPTLLTSPITAFNARALA SLAEVASSAMNRRIPAILNALMDEMISTKDEELREELSSSFDTILVSVDEFDGLNVAM NTMMTLMKHDDHRRRANAAERLAKFFADAEIDYSRYHQDLIRVLLISFDDRDKNVVKA SWSALSQLTSHMRKEEMELLVVSTRQTLRQVGVPGAALPGFSLPKGIMAIFPIFLQGL LNGNTEQRTQSALAIADIIDRTAADSLKPFVTQITGPLIRVVSERSVDIKAAVFYALN KLLDKIPLAVKPFLPQLQRTFARGLADTTSETLRNRAAKGLGILITLTPRVDPLVAEL VTGSKTDDDGVKNAMMKALLEVVDKAGGSMSEASRNAVLGLIDDDSSDRTDAMAMTNA KLLGALVKNLPPATAVPLIKSRVLTTNFSHASILGLNALLVEAPKILLENFTVETPSV ICQGIANSDVRKYILAENENKNFESTKPVFESLASVIPSGHPADTRRLALVVLRTISR LHPQYTRPHLALLVPPIFGSVRDPTIPVKLAAEAAFLALFDVVESESAVFDKYMAGPG ANLPAGPKRSISDYFKRVALRLATQARERREAEGGQGGLGLSNDEVEDERELWSIGRI DLGEDTPAPAPKAILKLLTEIARRQSIRPTNIFTPNDRRHADIKMVNLRTQKRLAASV IGCGKRKVWLDPNEMSEISNANSRQTVRKLVSDGLIIRKPVTMHSRSRARELNAARRI GRHRGLGKRKGTKDARMPSQVLWMRRQRVLRRLLVKYRASGKIDKHLYHELYHLSKGN TFKHKRALVEHIHKAKAEKQRERILKEEMDAKRAKTKAARERRQERILAKRNAATGEE EAQE TSTA_090650 MATSITTFSGLRCTRIPRTQFFTATATSTSTSAATETPAAALPD VLSSFSTTTVQDTSSTSLLSSIISQQTDSSPSEPTSSLASFVTTAVAASSTLTAAATI LPAVTSPADPSSSDSSSSDPSSSDNSSADSSGDSSKGKVIAAAVGASLGAVAIVALFI LCAYITRRKRRTPSQIGDREKDPGTTGRFSGITQLIDKIRSGPGTAFIPAVVDKVRPA LARVRNFRSTSREAPNDTPAEQTQTEPFRRGSSPGWPFPHQDRMQEQDITSAPRIMPE PTNPFADPPASVNIATNRNDYRPENPFTDPAKLPPVNIAPNRNNNEQPENPFADSEDP FSHSDYEDEPAFTMPLTIRNGDADRNDIVDDNTNDNDNNRGQHSNRSTLSGSTYIPNS RASSPLVYDFNFLTWKSDGKLEDRSSTYSDPFDLERPPTIHSSAFPTPMVERQKSQKG GYFPEMNQNIPQYIS TSTA_090660 MFAWAGAVGAQSMGIDPDEERNAPPPPTPLEFPQYVGINGEQTQ TVTDFNVIYRHLLTLRKPQDITIDHVKAFNLKVETDIEGLQIVPDELLQGLPPLVWDK SSDDNEASEAHKMGNGVPYPPREKYDVVKKELLLDSDDAFREASRMPPKPGRDRVRLT QSRKFWVGLERMSQYWDTSRDNYYDRLVREPRPEEGTQTVNSPQQQQTITNTGVGDPM DTGDSSAGETNYERVYKGRRLGTGSEMPADIRDETMRGLLEMVAWPFQCQVTVPSTMP RLHVQGLLFPVRHTLVSGRVPQDRQTARSGILEGPVIGVQCRDETNFNEPNGKPGFCS KEFCDLFREIGAMLLLAQERAREGTVEVRPGEGKWWTTVPRFGGADHEGVTGEAANSN NAKEKEDDKDDTNNQSMHKRSRYANPLIPSRRSGRSRRLTPSEKWKIIEPGMHLWDRK MKYMQIGKKSDSPYDDIYMLSHINHHVSILHLRVHRRYIECLTTGSSDLDERGTPEQP WNVLKLRRTKWYDLLDSEHRLEVFHSLWRLYHYLMR TSTA_090670 MEAPIPMDNKPKTLPPYGGMMPPLNHHPPQLHGPPPGFQRTPPP PPPHDGQPHGLPAPIPHTSAYEQPWRQPYPPSHYEAAAQRRHSNPVAQAPPPQSQPPP PGPPPSHPHAPYPPPGQHPSAQPQGRELPQLPPGDPYHRPNSLPAPAPPHPVSEPHSP RTYRPMNGTQPEPIPHSAPPPGDYRTARIPYTGPGEPPPPGVTNGDHAGPPPGYMQHV GPHGQPPPGAMYDGAVPPYPRQRKAARAQQACDQCRARKAKCDEGRPACSHCRENNIE CKYKDIPPQKQEKSTQLVLDGVRQSDDNQRERFDTLMERFIGLEQLVKYFITQSKIPL PPEEKMKPAKEKATSPNGLLESKPSTPVAPQGPQGGLNSSLPATSSSQSDVRGITGYL KTVQHAQSQPLVKDEDREVDGELAVPQNHKTAAHKLLDWPSIKRLLDRNIDTDYVLRN ERRRGLIRLYGCGEGCDHDTENHWRQNRPYPGGSPATDSATPQSDEEYSRANSPSWGY GLPMPPQSRLGQHYGGIDPSGYLNAHPDVVRRLLHSYMSNMHILHPIMNKNTLPQKVE RFIQQYGQYHGNIGNIQNEARGMKRKRSAESMHPEAIDMSRSPSFGSEKWSSRRIEHS IENAVILLVLALGAICEHKGPLPGFPPDPNERHRDKPSFASSPEVQSVLNEILTPPSG PVSGMNSRYYQSPGVQSPDPVWEWKTPNQRPNSRLGPEGMVEASTLKNIDVIPGLAYY AYAAGILGEMQGGCELPFVQAAILASFYAGQLAHPFQSHGWICQAARACSFLTRKDEY AAMQPSTLKELYEFAYWTCLQHESDLLAELDFTASGVSQSETRVSLPTGTFTMDQPLP MSDDQTRVMFFYSAQIHLRKVLNRVHRDLYDTDVKKLPDKILTVLGLNLDVWKSSLPE QMQWNEEDEPSEDINTARLRAKYYGARYIIYRPVLELALHSKSPKAAEGKGKLENDSK PSVSSFKAHDFQAHNMARWSSEPGMRQDLRDKSDIADTLKFDELDDDTRRACQQCITA AIQSTKAFHNIKGRPIVTNIFGTAHAQFGNMLVLSATYMSNLSELVNAKDLEYLLQRT IKFLAMYKDISPTLMADAQILSGIYYKIFKKPLQTSFTTESFGST TSTA_090680 MPNRILPREGFTADVIGSILRQTVFDPVKTFLTILLFQYAPPNA RYLPRHRETVLKWLRIAFALGVIRRVNTFLGRRAINRGISDEYDWPREIIVVTGGSNG FGKEQVLMLAKRARSKIAILDVMPPDYVLPGGVRFFKCDITSSDAIAAAATDIRREFG GDPTILINNAGIIYARPILENTDREIQKMFEVNTLSQYKLLHQFLPAIVRRNHGMIVT VASQGGNCTTPGMTAYCASKAASINLHEGLASELVTRYNAPRVRTVLVTPAFAKTFVT RDLIPEDSFLSPLLEPETVAEAVVDQVLKAESGYVGVSATANWFTFNLRSMPLWYQTG LRDRLDRTVQAPGKRHSWVKRDE TSTA_090690 MIQSRQNIKGALNSLPVEIHLGIIDYLDYTACTALAYTNRYFNQ IVIRQSPTAREERLKFLCEVETWPIYADINYLACNQCLKLRPIHAFADRQLRGKRSRG HSESNRRFCLRCGIQKHIYLPGHVIPIVRPSPANAHGISISICSCCKFHGSESYCLAF ARCESCEEVPPILHYVSNPGYQRCMHHFYCILCGRKGSIDQWEQPIWGQIDYIVREYR LYWRIDHPAMDEPS TSTA_090710 MPSNDSPTPANRPAQRSSSRASPSSGTGRSSPALDIDTKYQPPR LTGTAMSLVPRLPTPGSVSASASANNSTNSSRETSPIRLTSRVVSNSNYQTGPSRSLS RTKNSNSPSPSRGASGTQPNPTSTVTSTLSPAAVQKAFAQLGKPDLQRSAITDKNLDA SDPDRASMPPPRTSRRRSSITNGSRSPSLGSTTTKRSDPTTESLANISTKRTSVSPEE DRVSPVKAVYDDAEISSRRPSGRGATQPGTVLETVQESSVPSTPVSAPASSVLENAPS KATDTAQHAVESGSESGGNKSSGSKEDKRRSSVTTRPTAAILPQKSFTSLNSGRGKPS DGSVRNMIVETETVSSIPQVSLGVGAGERGSSARNDQGGTIRMKPSVETIRPKKEKKR TTRKPANPPGAASSKADIFEAKVASAIDDADVSDSDETFVYESNPPEPLPNRHRYHSR TPSATSMASQAEQFASRSRLAGRDGTGVTVKRSMKFTNNHNNNLDSDLGDMDQRGVSG RGESNGHSGRHHIGRHGRGGGYPSLFDSDSPFPQSQQPPRSPRHYITNGFRQSRRGNG PRSHPNYRTLGGPKSMSDEYTDDFDADGADDERTPLVSSVRMSRNRNGRRPGSASMRQ MEYLENRQRSCFSRYGGCVIATFLIVILIGGAATFLAALMRPLLDVQVTKLSNVLASE QEIMVDVHVSAINPNIFPISIANMDVNIFARSRYVGSDKLWRDHGSHASDFPRVQRSK IRASLARLVRLPLLGDSKVSTSEIHTMDGIDHGTDPLPFPDDPSGDAQTMLLGRVFSF DSPVIIDPSPWRRIPSESVGEIRLPKPGNKTEEGGTERWERVLQHPFELIVRGVFKYS LPLTSGERSASISARVQVLPNQDDDSDGNGSEDGNSGIPGLPRNETVRIN TSTA_090720 MPTNTAQTPTASRNQPTREQQEPGNSSAAKPKKKNRNRKRRNRR QSFIASEDSGPIVSELSGGLVRTNPDQIQERAPFYRGRNISNTSLESEALLDHRDQPM MRPRRDSRLSQSFRPESFNRSGSYFPGVEGPSPGQQRSNFRYQQNLYDQGEIEEDEQE GRHITDRTPLIPPLRTASPTKLGYGTDARSPYSSSGRHRRQSHDTASSPPATGSQEYD VNNPPSVPGTPKLGVTDMGYDDAMVTGLEFPQGGRSPDIRRSSVDLLRDAVINMEGGG ISTARKGDSRASSPPTASPDGLRRRRTITVPAEEDVCFPSEIAEDFQPQTHDSETGER RRRRRREWPDLSVLEEWSREEKEERSLEYRVKKISEPVLVGGRLRPQYIGWRREEEDA PYRFTYFNEEFQSTIHAQTISELIQPGGSFRDLFIPDPPELEDSSDDEEDLISLHEPP SNSNSNANRNSQSNLSGNYSGSGVDNGVINSRGTNTPARDAQRESGNQSNNNRSSSDN NNNNNRSDTQTQTSTQQDTNRESKPKRYGPRPTWWLDVLCPTDAEMRVISKAFGIHAL TAEDIMMQEAREKVELFRNYYFLNYRTFEQDPNSDNYLEPVNMYVVVFREGCLSFHFS QTPHPANVRRRIRQLRDYLILSSDWISYALIDDITDVFGPLIQTIENEVDEVDDTIMQ MHNTVDDYTGNGNGSNDTSNNKNDTQPASSIAPGEMLRRVGEARKKVMGMYRLLSNKA DVIKGFAKRCNEQWEVAPKSEIGLYLGDIQDHIMTMTSNLTHYETLLSRAHSNYLAQI NILMNERQERTADVLGKLTVLGTIVLPMNIICGMWGMNVKVPGQEVDNLYWFWSITAG LVFFGVASFLIAKRVYRIV TSTA_090730 MFKALFAGGSREDVSSRGSVRRKSSTKGGDDDHSRTSSSRRQSK LSSSASSVSSSRRSTTTRGDDRGDRGLGDISTSRSVTGDSTTTYVTAEPASYVDDPLI IERRPRSERGDDRQRSSRDSDRESRRYTDERLSRDDTNDRDRTRTRTRSGDTAAHFAA EIADPRFSQFPMQYDSSNIPGTSSPYQPPLDSHISQQFPGQLPGATAQPYIPPNPAGL AADYYNDQGQSVAHQPGVRPQAPDIIVGAEPHLQPASPMANPPPEPSSIGQVGAAAEY YAGGDSFPTSSTPASTKPPKPSKPSKPSSRPPKPTSGSATAGESATFGIGSELMNQAS SSYPVTSGGQSRPPIQATGGPSSHNGVGLALGGAAAGAAAAYMLNHHGEHSNSAVQYE IRPDHHNDSYGIGIPATGNNQSSYQGNVAPYAPLGYASRPPAGPSYPSGGIQPGSLAY HQRHHSPLSAFVDFWRDPEGVGKFEDYTEAIGVCKYCFEPGTSSRDAPRKHHYNPRRR SPVVERYGSSNRVDKLTRYTSSEDESRRKKKGSSWLAGGLAGGLAGYVAKSLFSNKDF EDTYSVRSGTRAEPSHHHTYDEQSMVSSSKASSTSRGVISSKRRSGDDKSSVSRDSKS YRYSRRSSRSRSRSTSRDRRTSSGLKEAAIGAAVGSALTAAAARSSDRKRSPDRSTQR SRRRQSDSSGSVNFERSRRRGRSSPRGFRSFFTAPSANRRKKSSKKERGFFSFGNSSS SSGDYDLAFGGSEIFGSTVSGRSSRSSKSSRKHNVDAEILGLGLAARQLAHSSSRKEI SPRDLARPPNYGRPTKVENDEWEDAESSDSSVSANLAYGGSTIFGSQESVNSRSSFWP WSSISRRQRKAQKEYSQTPPADDYSYYPGDNASHDGSSGRGSLQQLYPMPTSDPSRFD AVRMSTSSSPNAQPPLVRPGPIPLQQPQPVTLVSQSVYYPTVTAPTDPLVQRIGLEDH TSRDIQRQRRSNSSPVFPNAPLDGGPSPGILKRRSTAKDPATVSFSLTEEQTDRQQEV DRRDKERLERRREEEFAVLREEADELAELERRRERKERKREERRRLEEAEAEEAAREA RCERRRKESRGDERSSVTSNGQRRENVEDMTRRDERKDAASSSSWVTPAIVGAGAAVA AGALAEKAFEDDRSSATTSRYEERREKRRSQRRSASENQSEVTEIPRQEQPQQVVEDD EQKKQEQRIARIAASRIVQNPSTHESYEDFFTPEDLRHHETHDDSSPNIIDVVPRSES RVEPYLGSDLDKRDPSWPPLTINIIKPTPPGSHDGSVRDVYSPVPTPEPTEKEERKED VNPTPSHPTTGSRVSWGEHQTHEYEVETPISDREEHFEEIDQHGVAMSPEFHEDAKSH EASTEEKPVPRHIDETKSNSKHSMPGSFDDNVEFAATLAAGAEIAGFDPTVVTDDASY YGKDHLSRAGFKDAYRPPAVESVTDLGDAISVHSGSARKEFRGPESFDFEDTFIDESG TSKTSKDAIPGTRSIAVTEAEDGDRSEAFDYKSTKELRDDNGDSVPSSPVGDGKKSKR PKRRSRTLDDFDIGSEKPSRRSASSDYSDNKERDKKSEDADFEATLERVQSAPVTDDW IEKDKEPRRRKSKRSDSDRFESVDDTRSVAASAPGADELEEYRSRRSSRRSKTDDEVD LEDDSRSLPDADDDGERRRRRKHKRHSGNFDDVASVASSPAKIDETREKRRSRDSSTA SKDRVRESEKKSGGLFSSLFGSKSTPERSSSTPGKRETQSEVGVDESTSERRRKKRSS SGRSVSGDGGDVASEAAQSTLDLSRLDKLFDGEDGDNDNQSSRRKRREQRRRDKYEEI VDSARDASSEKDRYSTADDNDDDDKKSFLEMRPEMPPVNSHEGEEDSTREIVGGASGL AITAAGLGLMKTYGNSLDDQLQDVQQRLRSRSVSPSTAEKTFELAPRSQSRPSSPGGR NQDEEKNLRARRLSLLRTSDSPTAVPLHFRKPPTSPNSSRRVSMTSPVPSPSSPRHRR PNSVEFKNVREIRPLWLVERHSASKLDVEPEGPLPSLPSSKTSSRSPSVENLREGFED DEDAVTGFYMGGGGENSAFNWGRKPVDLRISTDQLPAGDEEDFLNSQQATPTAETYNN KPFGPPPIKKEKPKYEFHSPSELLQDPSTYQAIVPELPKSLDRLPSVAGSDLGAKEAE TKVEGAPTVESATEVEEQSPSHDVKVEDQPEKQNSDMASFLAGAGFASVVDAAVSAAV SDREPASKELNEVVPQQSATEEAGNQEQTPVSSKSHSIGGFGEIVDVAVAAAVSKHAS HDVIDTNRDQSMKAEEAHVPEDAAKGNTSSDVGHPGLFAGVVNAAVAAAAAGETHADV TQPDLAKDVELQEGVAQEPKTEETPTAEPSIEEESKVASEEVTEAKSGSTSKKQKRKD KKKKKKNEDLDVTAKDNDEGETVALPAVELDSSAHSKPEAEAEATRAEPTSEPSTVPK QPTEFKDDTVGIPTDQLLAAVSEEIVGDNTLKFQPSTSNVETDPSPEAVTASAESEEA QSGPSAEPTRTTTTADTNDQAPHVVAAESEDVASPTTSKSSNKKKKNKKKSQGLSESI SVETPSTPGDEVQVESSAIATEEPHSIDEVIEEKPVSEISSTSMPSENLEVTQDVSTS AEKQAVDKDDPGLSESAEKSVVDKDDVVATETTEQDVQGTLGVEQEKSVSSPVDEWFD SRETTEAPPTGSVQVEAAQDTTNKDLRTAEASGEPAATTEPVATTEPVEPKREEKPAV TTPSSKKKNKKKKKGQSQSVDESASKEIAQEPSETVQSQPDSSEFPSAEVEGEVSHAT DADGQAKEIEESIAEEPRQEPSDTLTAEVEQASQKTDEASTSAVSEVEPTVESEPAAE SVLETVPISEHLPSAPVPETEETVEPQTSDVPVEPIPSKPLQSEGDAIPSEQSEKVAE AVEATPKEEEVSVPIQEPSDEVPVAPAKSKKKKGKKGKRVSWAEGTELATESEPGTPA EPETQVSEETALAVTSEDTVPTVEEEMTIPASTEAEPSIVTEEASREVEEDVPTPAEA VDSTRPSTTDIEPVLTSEAPAAAETPEKEPSIESQLEQQVEGVQTLDDQTVSLPSESS QPNDAKALPEEEAGDSQAADSKSKRKKDKKKDKKKKKATESSLQTDEKPSQPSEPAVN EDVNEDVKDAVENTAPGVSETVETTPIEQPVTEKATDTVQADALESQAPEMLEPADLT LKQQTAEEKPTDVVPAETPEPQVPEASEPTELTPVDQSTAEGKTSNIIPEDVSEPQTP QATADESKSTDMAQDDEGAPETPKPKKKKDKKKKKAAAALALVQEASNEPATEESKGT VENAVSDNAEQTKDASVEPVGRPQILETPVEEAVQERVQDRIEEAKPVDPSGDAPSQE TSKSKKKKDNKKKKAAEALLQNEESASKEETVDPTATTPSEATEQTESIPQEQQLPEE QKTEDVIATENEVSPPGEPPAKEVKSTEVAADNECTQEASKSKKKKDKKKKRASAALS LDEEPIPSETVVESAETKPSEVEVPEPQEQQATLDEETKEIIKTRDDEPQSLESPAED VKSSEVAEDGGWAQEKSKSKKIKAAEALSLREEPAPPSETIVEEVEARPTEVEAEAEV PQTEEQPILTEEKKSVTEDLSAELRATEGPTEDAKAVDNAEDYDLVQETSSKSNKKKD KKKKKAAEALSWDSEPQTPVKKEVTEAASPALPEAQDLPAVVEQTVEDVSKDQDVEPE TTETPAEDVKADAVAKEDGLTEDTSSKSKKKKAAESLPWKQEPLSTDKPIDEQALLDN EPSASQPQEDSLIDKKRPDDSTDAPNTETQIPETPAEEVNQVGVPEDDSLSQETSKSR KKKEKKKNDKSLQLESTQEESTPDVTAAEAKEIQEITPVVEYTSISEDATTAVNEQDA DTTGVQSQAVLQDEYVPVQPAGVEDEGSSSKSSKSKKKKGKKNKSKDEPMPWDEKPAE KMPENQQETGTDQPAVTEVAANKESARDLEISENVEPEPPVEQPSKPAEDIPKGTELP ASTEAEASGRTEPAELDQLEQPQVPTDITASVPPEESTKQDSLDTTQVTDSQSTAEPT ESTGVIEQGPTECSTPTVPEKATTNDSEMPQAMSGKKSKKKKKRDSMLWNDEPTVQQD SSTDPIASEGKDQEMQPDPTSTAEAQPQQETDIIESKEPESTDANVDPEVKDSGAAVT EPTKQLSAKEKRKEKKKKRRTLDLADPEPIPTVADEAPEAAPAPSMPDEQQKDDEGTP EEFATVGKKEGKKNKSQSVSWEEDIIKTVAEEESNLNETKQSHGQATEEQQLDADSKN PPLNEHTDLGPGPSQTEKELQETPVEVEGKETFQSSEETHTDQKEKDFDWTDNMVSPQ VQSQTEESPFPVPSPISDKREDPDQSRPTAIDEFQSHETVTPETLVEEQLPAVEQERE GPTELSADVQPVDQETEDGGGTASKSSKKNKKKKKQKSITSVPDESMIVEEETSFKTL VEQDEKPESTDPQVFDTEQLEEIHEQPAVIQDEPPVSEPVSQMETNAEEEFKPAGASK KKGKKNQKPDIWSSEQLGEFARDQNTDQVPAGPSTIEEEIIADDKDKNQIPEDTPLVT QTAQQEVVVDTTTKDAPGIPQGVPEDTPLVTEAGQEEFVENTTTDDGPAIPRKIPEDI SVTEAVQEQDVEDMTVKDAPMSPEQTPKERGLPQEEVNETLATPFSEPPARKLSKKEK RKMKKGKKAETEEEKEEPAAVVVEKDQTQALRSEHVPEAAENKVDSMPAANDTLTVNE KSIDVQPIPEELATTIEEPQVSDANVEPVPIQEEPITRKLSKKEKSKNKKRMTEGWPE EEPVEASIADIAHPPDAVEPVSADGQERNPDSDFVEVSPSGEQSEHAHVSLDPFDVPE AENQGPNEPPVDFIPDADIAERDPEPKPDAEAALRTRQLEKEADLAVAASLFGESPEP EPEQVLSRQSSKKQKGKRNKKDKKNVLIEQENADSNVAASQIETPSKEKTSQEEDQEM KPSESVEEISRSWPSVDFDNDLCKTYSADKIETKGRELGSERQIQNPETLPQYPVSMT DDFQTWTPGSRSNIQSVNVKEASEQGHRSHSPSQKEDVDMILSTTMAAAGFLPSTATA HAGTDAFTDLQSIIGTSRKSYDTYEHHDVFSGDRTNSKSSRMPVETSKEGSKSSKIDD IFPGLERVKHRKPSPKSHEKQTDNTMAVEEQRLPVETPVRTLKESRSTHNVSSRELPV AQVESTSKDRSSSLLFDSSPSTRLEPTPDTTRRTSSSPIRLQRSNDSLHRTKSIHGHH SGPTHGWQLDDELTPTKRATSQSSRSLLHPDNTDLSPPRMPLDPIKEHEGPHLPSPSP RLVMGEGPYKLERPDSRSSVRSSRSLRRTNRSISGDLRAAASLSSQAKEQTNPDWSSA DADKDRHKNGKAGRQEVGHPQPLNPDNFNHDDNLHHSDNHDDYDLENIASSSKYDPVT DKGKRPVRGMSDVYEGWGETPNSPRSPTRPPSIRRRQSMQHLQELETRLDQLISENRL LAAAKEEAEQKLSRVGVARRKSDQALNTSNADLRDKEAEIARLTNSLEWMQGEVQRLT HENEAVNAKHAELTASHAREVDSLRTRQLELASGMEGIVREQISAALAEKDAEVRRLR DELAAARQAVQELQQQIVAATADDVLSIHDEDYFDNACQRLCQHVQQWVLRFSKHSDL RRCRPLSEVRNTNVADRFDNAILDGSDIDAILADRVRRRDVFVAVVMAMVWEYVFTRY LFGMDREQRQKLKALEKQLSEIGPRRAVAHWRALTLTLLAKRPSFAEQRVRDTEAVAL EIMETLSQLLPPPQSAQSQLLESLRGVLRRAANLSIEMRTQRAEYVMLPPLQPEYDSQ GDLARQVSFNASLMNERSGVYSSNEALEAQGAAVRLVLFPLVVKKGNDLGDGDEEIVV CPAQVLVAWPENEKHRERDRMSTLGNRSVSSVVPSVDMGNMI TSTA_090740 MSSYTYRERDREWDEYSRPSEHRNSYTVKRYVIPSEDSRDRERD FFRREDAVGDRELVIRRRTEPYETDYEVRREYREHERIARSIYEPDYQVVHRSEVDRD PRDVEYYYQRRVREYDDDRDRIRREISPHDSVSQASTRRHRERDRDRDYSSDDSMVYI RKETREYDDEPHHHKRHLAEGALLAVGAAELLRHRSKSQGHEVSGGVARVGRDVGAAA LGAIAANAVDHYRSKSRRRSSSVDDDDRRHYHHRRRHSRSRSRSSSHSRAKTLAGIGL GAAAIAGAVALARNKSQDDRRSRSRHRRRSQSRSGDARSKSRNRHIAAAGLAGAAAAG IIEKVRSRSRPRSKSRIRQGLPIIAAGLGTAAAAGLYENYKEKREQSAKGERRHSRSR SLSRGRGAILPDPAKESAGLIEYGHDPVYGNVPSADYYGRPASQHGYYNDAVVPAVGD RDGHSRGYSTSSSGSDRSHRRRHRRREKKERSSSRIRDFAEAGLAAAGLGYAASKISG NKKEKSHRSRERESRRHDHDTDSYEEPYDPAPYMQPPPPGAPVPPTESYYPYTNSFPP PPGSTPNPPPAPYNPTEYPPPPPPGAVPPPVHGYPPPPGAPPGNEPYAPQPRRADENV SAPFLDSHHTSDGVRGMSTQFSREDLSRDGREGHSRQRSVSQPEPSSHSKSVSFDLDG KAKAEKLKSSSDDTSYGEGYETDDSDSTIDGLDRGRHHHHHDHHHHRHHPPPSQNQHS SKSYEPTSNNDNYRHQSHHQQTAKSSSVPPPARSTTKDSSDSEATIDLPDRFDAQGRK LPEEGDDPLADTFDHLLQNIFSSGRSRERSAHGVW TSTA_090750 MVNIPKTRRTYCKGKECKKHTQHKVTQYKAGKASLFAQGKRRYD RKQSGYGGQTKPVFHKKAKTTKKVVLRLECTQCKTKAQLALKRCKHFELGGDKKTKGA ALVF TSTA_090760 MRLCLIIPVYSIVSFLCICFPNAYVYLDTWLDVVQGDLLTTFFL LLCDYISTDPYQREAYLAKVDLPLNKKTQQPVDAVAWYQKTWLFIIQYPIASFICAVA TDITQASKIYCLGSDKPYFAHLWIEIVANISVTLAIMNTLKFFMGLKVQLAGIDPMVK FLAFKVIVGFNFLISLIFLILRSTKVLSPSSTLTWADINIGLPTLIICLLMVPFSLFF HYAYSIKPYRLSKIALEQAENGRHEPFTPLQYQGGLFNFRMWLSVFSPLDLVRGFQGR RVQSDVAREPLRGQGEELYDMHNPLLYARG TSTA_090770 MPNTGRPSRDCDSCRKRRIKCDLKYPECSQCLRKKWKCPGYRKE SDVLFRTETVLSFPDNQSRDRRRTQTRQAEREDFFKKQDEVRSLSKQPSHYPRRTMIS KSLTETWNNHFIPLALTGMRSSTDMPLTIINTISHLISQEKRESALYGAFNALACVFL GQTDRSKNALALRIKSYRNALTAINSTLRDPQKCKSNSTLLAIWVLGVYEFIADPTNM GWHIHSEGLINLFRLRGSENYATEDGRNLFLLVFNNLQIQAIRTGQESYITESITLVH DLADHCHSSEYLPLRTCIFTYHCALLCSRIRRLLNEANDAELLSNAASILQDLDTVET KTDPLSDKRFITSNIVEPPLPAAYSSKGSNTVPSHPTMIDSIYRGSIMYRINWRIRVS LHVLEFLQQATVAANCTSSQRITYTLYRLRCIDELRALVERAAFYLGTEHDKQGSVHE LACHIRTNLLPRTGPSDGIQDFVVEEP TSTA_090780 MSESLFSLPDGSLSGENAHSSSDRILVPDRIDEYGTTETILEEC AQTLNGLRDGVIAYQSQVIYKQNCLKLLLNDPIFESMALWQDERHRQTLQTLQKVGKS ADSIVELMLKYQVDIVLSNINLHLSIHKGIARDASQKHKRQVARLQTQIVGLKANIAD RELRNEGLLETRAILIYA TSTA_090790 MAAVMFEDLSGTATPVSKSDNPYQPLLDSANDDPLQIQARYTTH RTTRTALQKSKILDPSFSEWTVDPILAKLDGPNKDPAFKDERNCIVFWARPPQHIRDM IRDIQEELRNVAPDMWFMPLQNLHITVLEVIFSVTEPEVNQIVSALLKDGAAENIANI TLRYRPRLVKPMISYDAAAMALSFVPAAGEGSKSVDEDKYTYHHLRRDVYDKVVAAGV KPASRYAVPSAHLTIGRFINQNGFTLDGPDGTAFDREKAKKVIDKVEEINDRLQKRFW PTEAGVPKGGEWTIGEEKGLDFRKGTLWYGGGETVVLGKGH TSTA_090800 MLIKSVPPPLPSIYACLVRNFRYERSPEKKSSSTSSQTTKYDII HPSKVTSVNKLQVSRIKERVEEKEGIPPVQQRLIFGGKQMADDKTAAEYNLEGGATLH LVLALRGGFSSQ TSTA_090810 MPARSLLAMSTRVAVQNVRSLTDIGNIPYSLARPFLIKVESPKQ LRELEKNSPHIMQDDRELWMDFIKRDIPQWEQYDIPQESDCWYDIYCDLLEQVQREVE EGALQLKQAVDKFYSDKANNAAVLVKDTSRLPKPRRRFHTSGTRSEPKKNSIFSSKRN KVLAVPTHQLHSGASRINHVPQWLVDEHKQAPSSPTTLASKPTSKPIQPSTGRQSQAS SPPRVSAPRPRVLGRPSSVSATDKAKSEMPTGSRPIVPGKRGPDRSPPRTLSSRSEPV GTPPVRASPASPAKPLIRKRPAASQPNIFIQKKRRI TSTA_090820 MEIVHAESVQLLRDILVDHDDGMSMDHPKRSGGSVMTSILYGVR ASPIDAVVGEERVPTLADYKDLPYVAVIVKEHYWIDGKLLPRGTTLILNIWGLHHDET TSTA_090830 MAEVVSGLVVGQRFDSLEDFKSAIRNISVRQHWDLRVMRSNKKS VVLGCRSSANCYFRVVCRANKNATCRRNLASPASTPARSEASHVRFLLNEIPKLFDMK TRIKGQDVVEAVKRYHGYDISMRQAQRALTKLQPRQSRGGHAQVVADHENHNIPHDQS SSSVRVNNETSFDPLTDDRGWPENGLSTTLMEDDSIDQDDSPTETASAAAMVRVQQSN PSLATMSHHHAQPGPSETRSLSVSTMSHHASQIAPLAPSGQGSYIQPIQLPVPPPSPP KTQSSPSIRHPHQSSHPHPQSRPQPPPPPPQHQQPTHTGHPVAAQLVLTNFKIEFTCT SCGALNQSFFPNQGNVTGGNYLPPAATHGIPPSAPPGPPPPSAATNGRLTTMDGVPTD ATTNAAAAAGFGETDYQTSATSHGGRELPPWGGGLDVSLPPPNT TSTA_090840 MASYNLLNQEEEDSLHKSRLLNVEEKPFKRITKRLLASDSLITT PLSFPPTPPPEGGDAENESTVAEQHQQKKIEEWRQFKEAVTLDFAAFESSIARIQFLL TNNEKERERYAAEKLRIQSTAQDVRDNTAELRVQLEAAQNTLNLRKTYDNLTEKITSN RLLRPREDQRSNLEKLQVEILELEKESKEYAKTWSERREQFGRIVEEGMQLRRLIRDE KEEVERREGMQEGDGDGGDEEDSTKGGRISGVNSPRPESEESAPPPTASSSLKLQTDR LQSETAGAASPLRQSISISEQKPEDVDMVDEGEVDELEEGEADEREEKMDTT TSTA_090850 MPPASPLAIATSSLQRLVKEEASYHRELEQQTQRLQKLESVDLS NDDEGNHAFLLKQERQAVEETKAVLPTLKQKISDAVAKLEQLIVEEGNKGDNSNVEQL TAAKEAISSAKTAMREIS TSTA_090860 MSKSSFNPQVEDPVPSYEESIGSSTATSWAAATLHQQLDDTRLT RVRDILTTYVEPLLAVQGASGIYKTIFLLVPSNVDSLQQNHVSSSDYSSSYSQPKEPE VVGFPATDVVKLIRLKGEEHTVEFWRQPAIMEELASSLRMRLKTSGHRVEEQSMIEPN ATQVSQSESNRVRVNEKKSGWFKSKARKPEKPKSLWTTTAPSEATIIDQKLGWRATHE GLSVTNSIDKPLSRGTVRVLVEWKEICLRSENAVGLYETQKGPGICLSVEGVA TSTA_090870 MRYYIASANEYLVITGAGIPDLRICKKALVYPWQKISRISVTPF DFSLNLQAMTVEKLQFALPAVFTIGPDNELDALKKYALLLSGNADGTSEHKYEVNQPA RRNHVQDIVKGIIEGETRVIVSSMTMEEIFKERQIFKAKVIENVQNELQQFGLRIYNA NVKELQDTPGSEYFAFLSRKAHEGALNQAKIDVAEARMRGEIGEAEKKGRTKQEISKI DAETAVLETKRKAEKAKADSELTSRQIELERDIRMAKISAERQTEMRDAELRKNVESK RAETELERLRATDVTKSKIAREAAQEKADAAFYTEQKAADASFYKKKMESDAYYYRQR REAEAIQETAKAYKSMVDTLGGPQGFLQLKMIETGMYERLAQANATAVSGMQPKITTW NTGSSAGEADSTASIRNIMQSLPPLLSTIHEQTGIAPPTWFAQMPQAEANGALVAPKK VNGN TSTA_090880 MAISNGYSTRERTGVKVVIVGAGFGGLTAAIECHRQGHEVEIYE SFPQLKVLGDIISFGPNAGRIYKRWSNGEIADQMRALSIDLSKYGFRIHKYDTGEIIT TQKSSPRDPDAPVFNGHRGELHSIVFKYARDELGIPIHLGQRVRQYWEEEEQASIVLD DGRRVSADVVIGADGVRSKARELVLGYVDKPKSSGYAVYRAWFPNTDMIKDPRTKQFC ENGDTFNGWIGPDVHFLFSTIKNGSDCCWVLTHRDEADIDESWSFPGKLEDVYNFLEG WDPMCKAIVEKTPSVVDWKLVYRDPLPRWVSDKGRITLLGDSAHPFLPTSTQGATQAM EDGVTIAVCLKRAGSRDRIPAAVRAYQDIRYDRVRKIQKTGESTRDMWHKADWDKVKK DSSSIHLPREDWIFAHDAEKHAEEVFDDVIKKFV TSTA_090890 MTSHPEYNEKTTATEVADAFSDRIRNKNVVITGVGPNSLGEALA LAIAAHSPAKLILASRTKAKLEEVLKKISTGRPEMSSKIELVILDLASQKSVREAVAQ ILGCIDHIDILINNAGLMMLKQEFTGEGIELQFGTNHIGHFLFTNLLLEKLCREVGSS QGEAGSTKIVNVTSAGHRLSPFRFQDYNVDGKPVPDEEQPPAAMIAAYGKVDTYNGWI AYGQSKTANILFSVALNERFRSRGIVSYAVHPGSIWTGLGRNLDEQGTEILAKTGTYW KSIDEGAAPMLVAALDPALDGPPEPNRVYISDCQIAEAAPWAIDTDAAKRLFELSVEL VGIDTA TSTA_090900 MGRHAIAEKSLQDQRNILPIGQLLVVFSGLAVSLLICFIDQNGL SVALPTISKELHAQDTISWAGTSSLVANAVCTVLYGRLSDIFGRKSVYISVLILLSIA DLLCGLAQNPPMLYVFRALAGIATGGITNMTMIIVSDVVTLEDRGKYQGILGSCVGIG NVIGPFIAAAFVQNASWRDIFYLLTPLAAVSAIVAWFLLPSKMRPESMKSQVKKIDFA GVITSTIAIVFMLIPISGGGLYFQWDSALVISLLVIGGCSLVAFLFVQWKIALLPMMP LSLFKSPVITTLFFQSFLLGAAYQSLLYYLPLYIQNGRGWSPIQSAICSLPMVCFQST SSILSGLYMSRMNRYLEVIFTGFSLWCLGIGLTLLWDANTHKGQIYGILVLIGTGIGC TFQPTLVALQAHSPVAKRAVVISIRNFFRCAGGAVGLAISAAILQAVLKKNLPTKYLY LTHSTYALPDRSSIPAADWDSIVNAYVKASHSVFIFQVPLVGLCVLACVFIRDKGLRK QEESKKEGVTVNEGQTASQATTQVDPEMTEQEEDNQHDLENRLEKEEHENDKAEQAST V TSTA_090910 MNSSRASSTGTEYNPRKRGRTACTRCKNRKQKCGNEYPDCANCR KAGVECDKSLVSHESDNTSGYTRALEERVAFLEGRLTELLERRADTSISSDNPTRIVH PLSAASQRQTPDNVQTGVNSSMLGEIVGFLSLNSSESPAYIGSSSGLSLAVNLGEMVR ATVMTKAFPDSSDSSNQRSSRDATNTTSTSHPYKAINLDELLKHRANPPNDEMGSRIL NTYIDRVHSRYPFLDRDELWKLHNDRWRLARTKPEDLAQSERFGIFRLYMVYAIASTL ISLIEKYDYIAPEKFYMTAIQHVAAACETRSIQNIEAMLLLVIYHLRSATSHGLWYMI GLAMRTCIDLGLHRKSSTPQLDAYAIQLRRRLFWTVYYLERAIAVSLGRPVSINDRHI DVDLPLDVDDDVRDTAALVSEQAQQDADKTTSLSLFRALLRIRQIDSKIQHTIYRADR PLQELRPKIDKLYRLLEAWKDSALSRFQSELDFAMLHYNRSVRLLIQPFLPLLPITDP YYHICLRASGDICQAHKKLHQTLEYGHSFLAVQTVFVSGITMLYALWANTDKVWSVRM SNDIRACSAVLFAMTERAAWVKRYRDTFELLVNATMEKLQGNEAAKTSGMAGMMAAQG YANTMNSTNPNIYQTGDKRQYFGTAPTDMINTVVPPNISQNAPGFPDFPNFPSQEQQP QVQFNFDISEEAMRMAMELAPWIDQELGGEGATTTPLWMPDFDTLQNLTGPYQY TSTA_090920 MFRHIHTRTRPHFVHAKAFISTSSSLPAPIASAVNQASEVSLTQ SATDEMTASHSHPPLPALSGTQLRATHHIPQPTYGAQSEGTFDTANPPHIRKYLRTYG LTPPRAETYETQKARCLAQLALKQTPIEKYLYLSTIRKNNVHLFYRLVTDHIREFTPL IYTPVVGEACQRWSEIYQQPEGMYLSYEDKGNLAAVIANWPQPNVEITVITDGSRILG LGDLGINGMGIPIGKLSLYTACAGIRPEATLPLTLDLGTSNKAFREDPLYMGSRRDKV SPEEEQEFLDELMAALTERWPGIVIQFEDFKNPFPALQRYRHTYTSFNDDIQGTGAVI LGGVMNAVKRSGVHPRDQRAVFLGAGSAGVGVAKQIVDYFVREGLTEDEAKACFYLVD SRGLVTADRGDRLADHKIYFARKDNNSQQWKTLEEVIDHVKPTMLIGLSTIGGVFTPE ILKKMATFNEQPIIFPLSNPSSQSECDLETAIIHTDGRALFASGSPFPPVTYTNTAGE TKIYYGGQGNNMYVFPGIGLGTILSKAVEVTDSMIYASGEALTKCMLPDEIEKGFLYP DITRIREVSVVVAREVIRAAQEAQVDRETAIRDLSDNDLDAWIRVRMYNAHAEVASLE REVGALLSSIGRPFPAGNAYFGSSEAGDDKNAKL TSTA_090930 MSSCCGSRKSKRGRGDDTEPLLPRYEEDTSRQRQLRQKLHSYQM FKALSEGYMPSNEQVITNLRTLLASDVLNPRSDDLSEPGRQLARDVKTLMKTLIELLK EKNNEDQIQEFFWHLSKSRVSINASALSSQATHATSQANTRAAYDSLRTVGGLLLTNS DFRLFLDDVATIGRQVFADTTYTISEAAHEVAEAAEPSRDELKKVDGAGADDGKQPSK DDLKKDVNEIATTAEQGLVHAGQAAKESAEQNLAGPQRDALLNRLKQAVLKLRKRNDY SDSVSTLSKLVQRYGMIYANAAEETANAVNEDVEVNKDLKKAVDSFWTLVRAFGNGQE WEKLEECFYDVMRHANKDPEFETLLGNIGKSLQDMLTDPAFFDSAPERLDKLKEQSKN VDNESTLRHDIETFLHQVRRTLKSIPDDPSIAKMNAAAKKIIRDVSNVYANETSTIVA DAVHTFLPLLIRSIQYIPIPRLEISVPEMDLLVENLVLEPGRTVNHSSFFPYKVLVTS KTNMELAKVHSKKAKTDIKTILTVNLQGLNISASEFGYWIRAHSGLLFRFSDEGIGSF FLDERGIDVAVDLEIGRDRLAHLVTLRGVRVHIHKLDYKIQRSRWRFLLWLVKPFLKQ LIRRTLERKIAEYVVSLVVTINRELVFARERLRAVNIANPQDFATFIRALLARPKAYA NPDVYSRVGAYPPRSGVFKDVYTPGSIVKVWRDEAERAHDVIDENEEGENNDGLHLTW RNNIFDVSVGSAN TSTA_090940 MIPFHFLLVISVLLILPLTLCAEDYYKILGVDKSASERDIKRAY RTLSKKFHPDKNPGDESAKKKFVDIAEAYEVLSTTSTRKIYDQYGHEGLEQHKQGGSR GGGGGNDPFDLFSRFFGGGGHFGHGGGHRKGPDMEVKLSLPLRDFYTGRDLEFSIEKQ QICESCEGSGSADGTVETCNKCGGRGIVIQKHMIAPGMYKQVQTHCDKCGGKGKSIKS PCPVCHGQRVVRKASTLSATIEPGMSKGSRLTFENEADESPDWVAGDLVVILAEDEPA LGVNDGERTDGTFFRRKGKDLFWKEVLSLREAWMGEWTRNLTHLDGHVVQLSRKRGEV VQPLAVETVRGQGMPIYREGHLHDHDHDHDTEEYGNLYVEYTVILPDQMESGMEKDFH ALWQKWRKKNGVDLGLDLGRPVVSVGEVKDEL TSTA_090950 MLQNMYLRGWRVNSTIKAHDTRQFLVAEVHYVKEVKKGKERKIV RKQREKRVGLKDVRQKSHAKLVNHHCIQTTDQVTRCSIVLLEAKATSVACDGYNTATG TSPNASLDNDYTNLTQISSTNTTHSDQTILPTTPVTLPADMEDTRNMHTPASPKTKSR SRASSTSQRARHTRSTSSSTAGHSSSGRRPTHSRRTTSQSLQQQPPPSLQSRGSMNGS MSPQRREQLIALHRESCRLFQDPSTPVDTLIRSDTRSTAIISPGASPTLQSQRSHSFP LGYDHDISDEDLLPSPRHLNRTNTDKITPTPDLPPQQVMIPPTVTEWTSPSTRRREYE KIDRNNRGFRRIWRRWTPSCMHSTDGRVPFYEEAPNGKNYEGSVRRFRMDIPDDVDDG EVVDQKNEKGAEINSTKGMTAGPKLEKGRWSCF TSTA_090960 MVRQLKHHEKKLLRKVNFNTYKSENNHREHSIRARYYLQGTQDY AKYNVLCGNLRSLAHKLSALDPSDPFRKQLESEILEKLWAIGVLKQSREQGAGLSRVE HDVTVSAFCRRRLGVLMTRNGMVQDVKTAVSLIEQGHVRVGTEVVTDPAFLVTRNMED FVTWVDSSKIKRTIMKYRDNMDDFDLMV TSTA_090970 MDEKQATVSDRHVDERILKHSHDADEALQAIQQIDGQVIEIDAE TNKRLLRIIDWHLMPLMCVVYGMNYLDKTTISYASIMGMQKDLRLKGNDYQWLGSMFY FGYLGWEYPASQLLQRMPLGKYSAICVVLWGMVLACFAAVSNFGGAVTIRLFLGICEA SVTPGFALLTSQWYTRKEQGQRTGLWFSFNGFGQIVGGLVAYGIAKGTDKYGSSIAPW KIVFLATGLFTIVIGLAFLWVVPDNQLNARWLSEEDRVLAVERVRINQQGIGNKHFKM YQFKEAMMDPMTWAFAFYALVADIPNGGLTNFFSQLIENFGYTAEQSLLYGCPGGAVE VIALISSGFLGDWLGRRLLCSMGGLVSAMVGMILIIALPLSNNDGRLIGYYMTQASPT PFVALLSMISSNVAGYTKKTTVAAIYLISYCVGNIIGPQTFRPKDKPRYVPAEITIVV CYGVCLIDIAFIWWWYSKENKKKAMIRASEGYAKMENQEWLDLTDGENAEFVYTL TSTA_090980 MSSSSKLPYGVRAKNHPNILVRKLFAIAEAKKTNVTVSADVTTT KELLDLADQLGPYIAVLKTHIDILSDFSPETTTGLKHLAQKHNFLIFEDRKFIDIGNT VQKQYHGGALRISEWSHIINCAILPGEGIVQALTQTATADDFPYGNERGLLILAEMTS KGSLAKGEYTTRSVDYARRYKKFVMGFVCNRALTEEQSTETSKSEDEDFVVFTTGVNL ASAGDALGQQYQTPQSAVARGADFIISGRGIYAAPDPVEAVKRYQEQGWNAYLERVGL TSTA_090990 MSPNIEPVSVETWDTKPHNGSSDNNIRPVGSTATGSVMPDSHSI KKTIGPITMISVCFNICNSWAGITSSTQIALIQGGPVTLVFGIIFAAVLYMAIALSMG ELASVYPTAGGQYHFASVLAPKRGNREISYICGVLTMFSWVAIGSSVSMASSQQILPL AEYYNPGYVAKDWHYFLVYQAFLLVVLVYNMVALKKLPVTHNIGFFVTLSLFLISLIF YTVRASPKATSEFVWNTFLNLTGWPDGVCFFAAQLTTCFCFAGLDGALHLAEDAPNPR TAVPRATVTTVLIGFTTAFSIAICILYSISDFESLLTIDGYVPFEIMRQAFRSNNMAV GILIASCFLSFFILNAVVETSSRIAWALAKDNALLMSSKLELVHPGLEIPTWSLIFSW FFIALTGVVFVISSTAFNAVLASLIVLQLLSFSIPCALLLYQRRSEEYLPADRLFRLP HWLGFTVNAYVVGISLMLTVFFVLPTFLPVTASTMNYTVVILAIVALLSTINWFLHAG RAYQGPRIEYNY TSTA_091000 MPIPRFDVAVVGAGLSGLRAATLIQQAGYSCAVLEAMDRVGGKT YSHKSVKGGKIDLGAAWINDTNQSEMFALAKEFGFDLIKQRTVGLNVHQSADGSFVSF PYRSDLEDSPDTTPELKGAIEVFNKLVESSNLEHPEQGPNAKMLDSVTFHEFIQEYAG EEGVAMANHLSQALLGVEAEELSALYMIDYIKSGTGLANMASDEKHGGQYLRNRQGNQ SFSLKLAEMLAPNSVFLSTPVSKITQLQNGKCEVSTKTSLSFECKRVVVSVPTCLYPT ITFEPALPAAKRTLAENTALGYYSKMIFVFDSPWWREAGFSGVVTSDEGPICFSRDTC SEEDGQYSITCFLVGDVGRRWSKFSAAMRKKQVKDQFYAAFRSAKPALPTLEPIEVIE KEWAKDPWTKGAPSPVMMPGTMTSESGQAIRSAFENVHFIGTETAYVWKGYLEGAVRS GKRGAAEVVHALGQRRAKV TSTA_091010 MTNMTMTTNPSFVDSTLQPEVPFYSHAVSCNGAGRLIFTSGHIA QRKDGSWPETFEEQAKQAMDNLAGALVAAGALPKDIIKIIWYAVDWDSSTMGHGLIEP YLQYFTTEYGVTYRPITTLVPVPKLATPEAKFEIEAVAYVSGLSQPWSSGAGMKVWPT PPVEVDAVVVGGGFSGMMAGYEASQAGLKVAVLEARHRIGGRSWTQALESGHGHVELG ATWINKTTQPLIYSLTQKFKLKTIEQYVTGDEVFQTSNGKVYRKAFLEALTEDPKLST QFERFIVILKEAIAERNIRKWDDIKAEEDVAFDEWARLKGVEPSSELDDFCSFLTRTV VGREAHEVGAHYFLDYLQSGFGFESIISEGEIGAQSLKVKPGTSAIAEALAKSMPHGS VFVNTPVSKISQYQGGSHPCLVTTKDGQQFRAKKVILAVPTNTYDLIDFSPPLPREKR VLVSNTRAGVYAKVILTYLKPWWRTAGLVGKFQSHTGPISMSWDISDEDQSSLALFVC GDGATKWHALSKLGRQEAIIEHLIELVGPELADKARSVLEYKCVEWTKEEFIGGAPTS VMGPGMLSKYGQAFRQPFQNIHIAGGEAAFEWKGYLEGALSSGQRAATEVVDILGTTA QPSATESRL TSTA_091020 MDAVMPLVKPYEMASTFAFPPWAIAAATAAIEVLDQKNWNIFSR KGLFSYPRPDGLRISLALNMPIDDLIEGAAILKEALDEYDQHEYVSGEDFTERFH TSTA_091030 MSFANPDASGIQESTWQHYAVVINQLLREFRDITSASQEKSLHL SVTLLVLCHVEIISGNLKGAIFSHLRACRQLILSLLQRSPPRMQSTDQELLGFALEFY AYFALMTNITPYGKNQAHTIPLDNFVTSLSPLHEYSTFGALMSCGHDLFEAIASISQL FSQRLYEQHRQQAEPSAPTMAMYEALLVYLSNWQFTGPTNKWSDPREQTWAGEIYKNA LLIYLKASICGSVIDNPKVICEIQEHVDEILQLLTRLNCSPYGTIMMWPCMIAGSCLI KTTQRDFMQKALRTSRWKMCHVKQAAEILESLWRDNVKCAFGPYGLHYIMQKHGISFC MG TSTA_091040 MPNENPLRIVVVGGAAAGMAAAVRCRRLDERAMITVIEKGPYAS HANSGVPNVLDSVIEGDTALIPQTPAGLKARFNLELRINTELISISKKERTILLKSVD SEENVYHLSYDKLVLAIGADPALPEIEGIKGTRNFFTLRKQKDLQAIKAYISKHESRR ATVIGAGFIGLRAAESLLRLGLQVTLIEASDQIHPAFDKDIAHYLQTELSSNKVQLYL GTTVQKLVMIDADDDCSHLQLDDGSVVATDLVVAAIGLNPRVSIARAAGLAAKRGVTV NTFMQTSDPDIYAIGDMVETENRLAHSPGILALGGPGSRQGRLAANHIYGQASAYAGN VGTFISKVFNLTVAMTGMSVKALRHIGYNPQWVTVHTPDHEGYYPASSLLTLRIIFEP YTGRLLGAQAVGKYGVDKRIDVLSTALQAGMSVFDLEQLELSYAPQYGTARDPVNVAG CAAGNILRQEVRTLHPNELVGHTSEWQIIDVRTAENYTQSNIPSSRNIPLDTLRENLD NIDKEHPVLVYSRVGYHGYIAHRILKQLGYEVANLDGGWKLWVPKFVACKATLCQNP TSTA_091050 MAAPTACGSDQNRPCKGCWVWFNALCSCLKSPGSCSTSKANPPY WVLVNPTLITSSVLVPDFRDVQKNHATLRDAVWDFGQTVSVPRLEALATNTAHSKTQD PVHMHACPVNPAVNAKEGKMATPDQLYHVLFVTSHLQKDPNGKFQKLRITGTYVSLES AKKAAHQCLFDAGYELEWFKKYEIGDSENVNGQVVHAVAPAGSTYRVRVLTTPNTINK PLPNHEDGRIAADLYYVVQIKSGLEDEESRDINIEGVFTSYSEARESALRVLLDAGDG VSKDSFAAYDEAGPGQADCGYGDNIIVHAVGNNGENFLVSVVKGQVLESVRLAEASF TSTA_091060 MTLDAVFDIIWDILLSFYVIRGALHYSTLTFICSLPLAGSSLVL TYPTLDFTQRQTQATILFFFTVIVFVCAQYTMRLYKVPYQTSYRLSIGLVGAVFLLGG ELAAWTVLHKRWSIWREWYEQSNAIVLGGLGVMAAIFALMPCLLMLAGGAAESLLMDE PPDVAEEQTKKD TSTA_091070 MSDQAPIDYTVPAFPSLYVPIIGVPQSQNYLYHLTDIWRFTLYW TLVLYAGAHAIVASFAVAVQWRNIKWMWVVPVAYMLVSVFEALLAGSLVGLIVGWVYE AGNFSMTTWIPLVWACINVLVLIISSFPMQAAM TSTA_091080 MAAYEDGGDGFYGGRRTYEGGIGLPRRPRPVTDYGSSVVQWMRT RRPRYKGAHQMEVERPSLSYTVDMLPPLARIHSPADTIPVRHLHQSIGKSKKPITVVR WTPEGRRLLTGGHTGEFMLWNGTAFNFETVMDAHYDQFQAGVTSAAWSHSHDWLISGG QKGDVKYWRPNFNNVETVDDAHHDAVRDLAWGPSDTKFLSASDDTTLKIFDFTARACD TVLTGHNWDVKSCDWHPTKGLLVSGSKDHQVKFWDPRTGRCLTTLHSHKNTVTTTKFS RVNSNLLATSSRDCTARVFDLRMMRDICILRGHEKPISSLTWHPVHSSLISTGSEDGS LYHYLLDEPNLPSGHVPTVAPYDSNDPLNTPPQVIHPAHRIQYAHASTIWSLNWHPLG HILASGSKDNFTRFWSRARPGETAYMKDRFHIGEEAAEAQGTWNRGFGKKQMREEEEQ EAQDEAEGLVDQKTAASSLPGIQGMQLPGISSDAGPSGLLPGIGNYQPPPLQPNMSMP GMDANSLASMMGAASQASYPVHNTGIPGFMMNAPTTATPPPQPPKLDPNLNLAALQKQ LMAQGIQLPPNFANPSAWHNS TSTA_091090 MLMVTQATITTGVDVPGTARHNTYGANGKRTSATVSPPLQDVSS TSSEKKRGSKYRHIAAYHSSLRTSCLSTDSTVNPSFIGFRNLMVIVLIVMNLRLVVEN FMKYGVLICIKCHDYRKNDLFLASILTALVPCHLFVAYIIELAAAQQAKGAIGRKKKS DNVAAQTEKEQQTFQLTWRFIAFAHTVNATLCLAVTNFVVYYYVHHPGIGTICELHAI IVWLKNCSYAFTNRDLRHAMLHPSEQSKLPEIYSSCPYPQNITVGNLTYFWLAPTLVY QPVYPRTAHIRWGFVGKRLVEFVVLSVFIWLTSAQYAAPVLRNSLDKIAVLDITSILE RIMKLSTISLVIWLAGFYALFQSLLNALAEVMRFGDREFYSDWWNSWSVGMYWRSWNK PVYLFMKRHIFSPLVGRGWSPFAASTMVFLFSALLHELLVGIPTHNLIGVAFAGMMFQ LPLISATLPLERMDDPTTRIIGNSVFWVTFCLVGQPLGALLYFFAWQPSMEVLARWPV HRARQGL TSTA_091100 MVSITVPENYGAVIAVALGGIPLLSWVQGNIVTSLRKPAKIRYP QYYATPAECKENPAAYKFNCAQRAHGNLLENMPQTILYMLIAGLKWPNATAALGTAWI VFRALYAHGYVTAAKPNGVGRFHGAPFWLVQGALWGMAVFGVGWELIKF TSTA_091120 MSVSPQRLDAWGPALDLLSPEEKKSSLQRYTPSQALTGKDITIV EVIVQAVQDKRKLCDQGRWAFTTISGCRIIVRDVLDRMATWVNKFKKVGDTLKQYDPH HDKYMATGN TSTA_091130 MATERSLATLLRSLQIASEPQDALALLPTSTSFLAILTNHLNVT LLSSQLLSSPAIWDHTVDLHTCRRILGVFNTAAIGILQNEASDEPRAPYTRRGLDREA WVKAVINGADEKSPRWRHVLLLGGVLLGFEGQNRQGLPRNLRNKVESALVRATQLALD ENIGLDGIGGYAITMVLNYTFELLSDWHRSQINYDRVLPLMIQATLFSNEGLGSAYFL GAIDHEIVEGGVSKKFGWSATSTSYQNVKDISSRPLIASLGPLSRLIAHVMENVTNPN IVSDGMSSINDFVRILLVQWRQNKLSEVDPSEETEFLDAESLKTTIPDLWRLLRSIMF SAVIILRAVLGRVLNDRVLAAGSVAPFIAVQSLHILRNLYFITSRTGQSSSSQYSFVN LVAIDILAQYPELTENFLRTIKPIEMGQIPPHPLERCLDLFFLNTSEHFALTLPPLAN EELIIAAATPYLAAGGNNHLLEIFEAAHSVVLAVFSAPQNVDITAKHLPFYVDNLFAV YPQNLSVRQFRLAFKSVVRIAAPPSPLSNTQPLLAATLLELAHERALTSSSTLLPPSS TSVETTLEHPPPPLSEKSGIILAMIDSFPSLMIEDLEEFLPLIARLIHTLRPDSEESI KRICIERFWEVLSNGEMDVERANFCVIWWSTRGGRELLLFGENHQIESGDSDGGQPQE EEVVMSGGIVDVVPRESKL TSTA_091140 MSIGVSSFTVHRPSEPSDIRPRSDGEHLAWRPPTSSIPSNNPST NYTAYNPSMHIAPPNGNANPPNHSTATSSNQLDPSVILPNGEMSLSGISTRAFFIGVV LGISSTITILLLTIYQTRLWRIPFFISSLCLFHFLEYWATAQYNTQYADVSSFLLTSN GPAYNIAHGSAMLECLISHYFFAPSTSPILSTFSTISVIFGIICMVTGQIVRTLAMAT AGTNFNHVVQVRRQEGHVLVTGGIYRFLRHPSYFGFFWWGLGSQLVLQNVVCFVGYAV VLWQFFNSRIYREERFLIAFFGDEYISYKSRTIIGIPFIA TSTA_091150 MAEPTEKEKMLRGELYRAFTPELMAARARCEQAYRRFNSASLNG EISRRRQIELWRDLVQDTRPLPPPAEDQEADDALLRNEPWVQAPVIMDYGFNVRVGEG VFINVNCVCIDTCLITIGARTMFGPNVHLYSGTHPVDPAVRNGTLGPETGKEIHIGED CWLGGNVTVLPGVTIGRGCTIGAGSVVTKDVPAFHVAAGNPARIIRKIETSMEEES TSTA_091160 MAGTPLGSSSTSTPPGAASPEDPTRPYDEQMEDIPPQITRKRPR LDSGADSRESMSTGESPVQQSASPRTPNDQELSSSQTLSRVTINMKSPSQPGVSIQDI SSAEEFPREEDGIELDTTDITIQQPEDTLMAGAQSSTAISISSSPSRSPEIEVAEVED MDQDPNTSRWRPLGEAVQEQSSAEIVQVHEQFSLADQFPKLRGNPDLRESLEETASII EKGHPHEMMILNAVKQWLAGCIDNLSQVTLETMRDDREFWEDLPSVVEGLLRRQIPFQ QNQGPGTLMYLEEFFLDFGQLALHMLRLDTSYLTVVSQTPSMQPSECISRPYVQCLAW LLQVQSIPFYRALIRIYQSKVMDFVAQICDHVADAPLNALQNISEYITLLLPLLPRFP QLAQFLLPAVAVVSNLLESRTERRKLGADEQFVNSSIMNRTIKDSYPLFRKLDEAYQT AVTKKAPWLTADISENLSRYINTALRVYCDFDTSFASKLAGDLSIDIPEYSSDESASI VIYAWKFNSLKRHIMDGRMELRVWGTETMQLDLVGLWRQKIQNNPDGVDSPLVQYLLK FLRDIRIIEYIVGVGSHPQIISRAGNIVGFFIVTNTYTDADTDILWRAVTDSQDQRTV GEIITMLGRTIVMHPSTSRALLYLCSKLLELPMSRFDQRMIEFCDLLFRNMRDKFEEN QHIEPLETSHLDSTPLRICVRLIRESNSCPDISDEQKVNLQRFAGGQLQQLLNLGMSE SDKRDVYERCIQDIGERNEFASGSIQALNSLIPTTFDSQEIRALATDYELTALVIMEF EQFWGNIFEVSDRFSQNAVVSRVHLLGRLIDKVPDTITPELSDTLWTKIFMAGNIQSA RSSLWDMLCRVTKICVTPNSFIERCLHDYLPSVSPENYSPEIISFAEHAVHYEIRFNP PPVASENEIITLPGMDRIWHFILTAPPDTIEERATTFAIEVYLDHNLIRRAPASAAEA THISLVDRCVDQIKSAALTLKGQNGNGGTNSEDDAMVMTASEREIASAGLKLSRSLLF LQQLLQGLRSRPQYSPPQSQAPELPERVGQGETVEISYQSFNESKQSRVCTLRIGSLC TAADLVNRLTRVTGFKKFSVIYGGQRVNLLENPEMTVQDLNFKGLLIIRKTPDGTEVA TAGRRQSLTLVDSEILKHFDDLYDLLDLDDRYAHEIYDFLIVFPPQERVKELVRTDSN TEDTLFPMEKPYKLLYSVKALSRCLREELLETETPSTFIVNSTQVLVGALTRPEMSVI RDDCMNLVFALNLLECLLLCLSDLPALPNAEALVGQLLFFIKAAQKAESSRLNEFDIQ RLICHSISVLIDASVLRQDFWDMTKQHAQFEKLIFSLLLEEKRQSIRRQVAEKIKSTC NISTAPNKAANEESENVDGSTQSDDLRVLDIVSTIWDSFVKNMQKTVPFSKQSQEYFS AAIWTFRSVAERSSQDVDFSEYVSQWSESMLGHETEEFVGREPVDHVILGFARLLRLC LEVAGKRDTTINATVLIESLVNNYLFPDLSEPSDTEAIQPRIPVMNEDTREELYKILI LLCKQEENFSKVIDLMSDLIPRDFTYNTTWAIDRLKAIRSPEGYAGLKNLSNTCYLNS LFTQLFMNVGFRDFMLQVALDDPQSSQKLLAETKKIFGNMQETWCKYVDPDGAVCSIR TYDNEPIDVNVQMDVDEFYNLLFDRWEAQITDPEEKKKFRSFYGGQLVQQIKSKECEH ISERLEPFSAIQCDIKGKANLEESLQAYVEGEIMQGDNKYSCTSCGRHVDAVKRACLK EIPDNLIFHLKRFDFDMLTMMRSKINDEFQFPERIDMTPYKVEYLSDSDMQLEQDLFE LVGVLVHSGTAESGHYYSYVRERPAAGTKTSWVEFNDADVTSFDPSKIAEQCFGGSND YHGQSMGPTRFGKVWNAYMLFYQRVSSMDSEKELYKPVVKDTPVHVPLPLDLANYIAM ENEIFIRAYCLLDPYHAYFVRCLLQFSKEFVLLGTTASAGLQKATINVAMDTFDQLIS RPRELPELDRMSHELKKILQETPKGALRIVEWIRERDTGLRNLILKGTQSVRNVAVKI FIMSLVRVQELSGDETLDESERTKWQSKCTDCWQCIVGGLSNLWPILYTASRSWDDYF EFLVILTTLQSPQINVLLDNGFLLKCLEMVWLDRDDSKNLKSKYPNYYRLLERGRKFS HRKLMELLAHLLKFIDLTLPPTPDDESRTLRDGRYSLTITESTFVFELGRENNGELVF VKKILQHQINPAAIRSIISILLDAEPQANLTQPIIKVLEDGLRASPAQLCAPYLEAAL VFCSRSPSEQQVIEMIDYIAKGVESINNSGGREHITFFSNVTTCRNERIGKDESWFTE KVVERIPEWVPTLLIYLDRSVKTVTFDMLRETLFNQDRDDVDDEYRAFYIKVARELAQ ACVEVLRKTYLANQGHTVENKVVDNIRLVITHCLREYYDEDDADDAEVMQQAEAVLGA IEQLTVDVPEEIASASDFASPEEWEDQSIMGSDSELGMPTP TSTA_091170 MDLASALVRSVVRAFYETRQILVVDALFTHSVLHAEDLAHLLGM QQKDLRKLCARLREDRLIAVHTRSEIREGSTRPVNRDYYYIPLHPVIDAIKYRVLRLT SSIKAQYTPSEDRKEYICLRCGAEWTELDVLSLYSDEGFECQSCGAILERTEDVKGTE GLDRTGHEKNSKLMAQLEAFTSLLKQIDATEIPVNDFETAWDHKVEVIRNQQTHPSKP AIAAAAKQPAAVRGNQRVDAAAIEVSLQSSAEKTAAEQAADAARKAAFEKQNALPVWH THSTVSTTAGNINKIKAETDAEIRAEIKDEAGAADETKPSTDALDDIAAYYAEIAKAK AEEATSPDEDDSDDDEDEDEFEDISVVGTPATTTVASGGGGGPTSAPVPGRSSAGIKR ELESESGGSSAPQTATGTPGTPADDGPVSKKVKTEEDVIKKEEESDEDEEEFEDVS TSTA_091180 MAGRGGRNQKRHMGRNEYFRQTSKKNDENYNKGRDDEAQALKKR KIENGEVPMPIYATQFSAEDIAAEERRPKKKVAVMIGYSGTGYHGMQLSPTEKTIEGD LFAAFVAAGAISKANAADPKKSSLVRCARTDRGVHAAGNVVSLKLIVEDPDIVKKIND NLSPQIRVWGYEIVTKGFSCYQLCDSRMYEYLIPTHCFLPPHPSTHLGKKIVQFAEEN EDMEGFLQRQEGVLDFWQNIDESQIKPILEKVPEEIRRLVQRALYIEDDSDKATVVDK EPHQPVDVIPKLEEAQQSAPPKASVQQSSTERQENSTTESQTQQPPKPKLTVEQKEII NSSIKQIKAAYQNAKRAYRIPQSRLQRVQDALDKYVGTRNFHNYTIQKNFRDPSSKRV IKSFVANKEPIIINGTEWVSLKVHGQSFMMHQIRKMVAMVALIVRCGCDPKIIEESYG PTRLSIPKAPGLGLLLEHPIFDSYNNRAAKDFNKETIDFAKYEKEMNEFKQREIYDRI FREEEESNAFGIFFNHVDHYPANTFLYLTSGGIAASREGDVSATAEKVKTGEEALAGI ESEPEENASGAEGG TSTA_091190 MASNTPRPLSELSPMAQRRNSPSWNKTNKFTLGSSPFDSSPFNN STSKRLFWQDRESSFASLNSENAKPYDPEVPYSPTKRASIENLKRASRVKNSSLIARE QNTEYDPSHISVPERPLATGRSFHSRSQSDVQSPRRPAEGQESPNHRPLSPSKDQPSP PKSSLSKATRFGHKLFDPESDIWSDIDGGPSRFSDRNPKSVTFDAAPPQVNEYEMTTP DISSLAESREGSYEFDEDEIDTSFDRGSSLDRDDSFDASLEDIEKTPVVLPEDWRFMS PDSANDDLVSGDEDPFTDDHGSPTPDVHPATNYDASGHSRIDSLDSNGEKRPLPPLPS ARSHDQSARTNSPDKLSAVFERVTSGQRGLPSPPGPASYSKDDIVGSGRPSMNLEDRL RLMMLQERDDNDQQQELEQQKERRMRRAGAREKSVESEYEDSQPSMASQVDTPPRISR EDILRNLKSREELTYDDEDDNDDEGYEYSSQINSSPPQHMEYDPDVPIPSLEDHLDDG DVQIKDEPLSDDDGDVYNIPEYFGPNAHGLPLPSDEDRLRDDADDESNYSRNSGATIK QEREFSAETSETDATTVNIQETEEVKQTVEYGEARNTGAGSSNGFEHLDFGLEKIPEP LQRPSTPEMQMAEMADEPSTPDSVIRHPVDEESGDEQSDYESTPEPVPDLVATIKAPG TGLKTRPSLTPADIQSMAAVRRKVSVQSTTIPVPEAATEDTQEDANEQEPSTDEQSIE NPPSLAVPEVSQRQSSLVKLDIPFTGTEESLEFGLDKEFDRVIEAQKVAFELALSKLA STSSTTPNPQGNTDTYPSGHIGELDSFPIGISFANKSSFRQRGYLMRQNTKVIIATSS TNDEPQTAPNDTASKTADGKPTSTPRKASQPTWTAEPWNGKTRRQSAKGLGVIKRKNV PGAVPPLPGTQSNVQDVQQSIDEAETEHLDDDQERGRLFVKVVGVRDLDMPLPKGEKL QFSLTLDNGLHCVTTSWLELGRSAPIGQEFELIVHKDLEFQLTLQMKTEGTLLKQTRQ SVVSSISSPSKQKTSAFSRVFASPKKRKEMEMKQQLEQQEKQRQEAKANAGPWEKLRS VVDRDGSFARAYVALSDHEKYAFGRPYVVRVPCFNEWATEEPSSVKSKKSSTLNIVQK RPPYKIGNLELQLLFVPLPKGAKEEDMPKSMNACIREMREAENTASRTYEGYLSQQGG DCPFWRRRFFRLRGSKLTSYHETTRQARATINLAKAVKLIDDRSTLTQKETSTRGGGR RKSGFSEEEEGYMFVEEGFRIRFGNGEVIDFYADSRPEKEGWLNVLSETVGKGHGAGS GQIKAWTELVLKYEQALNAKREALDRLMGPNGQQSQPSQPSQSSQPKQQAPPPARPRH QHNLSQPEVRSPDNRREKTRSLIF TSTA_091200 MSAGSMSWNGRTILRPALPVLRASSRAWLVSSRWASSARLRSKP TRMALSPNVAKDSLKKKDRARSEKGPWSNLNQTTPRLRGEAKARSQAAIKRSSRGREG EEEGIRKKEESPLYKALKMQTTLSPLSYGLRNVIKEKIASIMNFDQFKLLPVVQDSIL TQALPDLVDVSPTPIQSVAIPALLLNEDSKKTTKKKKTSEIEYNYEQFLLAAETGSGK TLAYLVPLIDNIKRTEMAEKIEEEKQLAEKAKESEKRAKERALELEPPELSENLTTSA GRPRAIILLPTSELVSQVGAKVKAFSHTVKFRSGHISSSDTPRKIRNVVFNPAGIDIL VSTPHLLASIAKTDPYVLSRVQHIVVDEADSLLDRSFGSITTGIIDKAAGSLKQLILC SATIPRSLDTFLRKRYPDVRRLATPNLHAIPRRVQLGVVDIEKEPFHGNRSLACADII WSIAKSGETESMGEYNPFMEKEVRKIIVFVNEREEAEEVAQFLQNKGIDAIPFSRDSS KRSQELVEEFTSPRRPPTTEEIMEMQKMRRIQKSSLPFILPEEQQEFGVTKRLPNTKV MVTTDLGSRGIDTLPVRTVILYHVPHTTIDFIHRLGRVGRMGKRGRGIVLVGKKDRKD VVREVREAMFRGQALI TSTA_091210 MASGVFGPLSLKIIKAFSLFSMITGSMDVLSGVSLIASMSGSSL TGTPTSLALADSQLRFLGAMWAGYGAMLWWASNDPQTRRFPLAMLGGILFVSGIGRVI SGLSRGFNATWVKVATVVELVGPIVSRPGHSWIKTSKVLDHEGPVYYYL TSTA_091220 MSVFLAQMSQAWYRDTSGERSAKAAALHENTLRVFIDPNSSESS PAIDEGTSSPGSEYGGPPSPEGERPEDAGKCVRQHLHLLKLAVERLGNWPKDYGEYER LNLALPHAFSNDLKGVEGMDSGI TSTA_091230 MPSKARSSPAADQKDKLTLAKLANYDDVATDALIDRAFYWTTIR KNRTKFAPGRGILEDVVTKVLLHKVIVEKNARAAEEELLAMKGLKNYLSHLPNDRERE WFKRHFRKYIQIYLPDCPFEISTTNRYTIIEHEAAVVARRNIKKGEEIKYLCGTLVSM TRQEELDLGFNRKDFSIVMSSRRKSPSLFLGPARFANHDCNANGRLSTRGSDGMQIIA ARDIELGEEITVSYGDDYFGIDNCECLCETCERAIRNGWSPSVSAPASQAPTPTRDDS NRSPQPKKRRLDTKIPNDASSAAEIILSETEQVGKRKLDDESDQEVSGLTTPHKRAKF EHRQSKLREELVLPEIAESIEKSPLPVNVQECSQPTTAEVPSKDQNAPSDVEQNTTSA SDNDSPSSSSDESNPSVSTPATSVSGNTPVIKIESIETNTDAAVPSFMPQLPEKETTT IADASIIASSQLTQERGSRSRTGTPRSKRALPSVEQEDTIVTVRIPGDYTRTNLLLAQ RYDRWVDCQTCSSWFVQGNAYQTRKECPRCERHSKLYGYRWPKTDPEGSNDEDPRIMD HRTVHRFLSAEEEAKVDRKNRSGPMNMLTPTPEINFSDTRSVTEFSDYEGRRLTRSSR HRTRSLRLTQ TSTA_091240 MLNCIDNSGAAVVECVNVLKKKSPATIGDRIVVVVQKQRNFGPE NSTNSGIANKVRRGDIRHAVVVRARKEIQRPDGSLIKFDDNACVLVNKSGDPIGTRLN GIVGAELRGKQWSKILSLAPMHL TSTA_091250 MSASPVSSSGGDNKPNSDQIHFKFCRECSNLLYPKEDRVQNRLM FTCRTCHVSEPATSPCVYQNNLNTQVGETAGVTQDVGSDPTVGDPEFCLHCGEELACS QCGILSSGALSDDHYSDYDESGEDPDDNYFSMP TSTA_091260 MVCFVYIITTKDTIEYFYLTDLLLQQSETGLPEGWEVRHSNSKN LPYYFNASTKESRWEPPSGTDPEKLKVYMATHHSGPQGVAGLPAEGKIRCAHLLVKHR DSRRPSSWRESNITRTKEEAIEILRGHEQRIKSGEIRLADLAVSESDCSSARKKGDLG FFGRGEMQKEFEDAAFALQPGEISSIVETQSGVHLIERYVDRPEDWYHLYPPQQQN TSTA_091270 MPKAASHTPPWLCRPSPAASFFTRDPSKKHDSRIQNENGEYIGP QRTLAHRDTEVFAVVDNQIRWADLATLKAEWSPKPKSSPKKGKASTKKGIEEDEKTAS YRILTVPVYGQIKQLVISPNGAFMAIVTAHTVHIAILPDRSHLSSTERTPLRLKTYQL GPTTHVIPESPVVSALWHPLGVHSNVEACIVTITADAAVRVWELDRNNHWSFDRPSLA IDLKKLVDGTSSDEDFTPLGFGKNKGFSADSFDMEVATACFGGTAQPAEDAWAPMTLW VAMRPGDLYALCPLLPSKWRALPATIPALSATIVPKLAVIEEQYSGIEGADDDLIACR QQYEWLKEIDDQNSANISDVDGAEILKRPSNPSVIPRLQGPFQFDADEQFNDLEITDF IIIPPRLDTAALMTGEDDEGILEENAEEGLPYTTVCLSTSSGRVHICLELDGVEGQWL PKAKANTFTTPLSEPADLLLVESLDTVRENLREANCWPTFTLDTDSRYSFYLTTSNNV SFISLSSWAQRLEAEIQSEDTAGSQFRLNVLCDGNIAAREVLIQLNENDPSLSEEPKH LTNALLLSDYDLGQLLLTYRGSTPQAVELDEQQNPVLASFSESLCGDFDRLATQNLQP LQPLHKPRRSPYQVPAIFYANEPLKSFVDEHVPHRHRSTLHEPIRLSPSTLDMIAAAH RVLAAHTGSLEKAAADLFRRCERLRGEMRDQLESIAIVADRIKNVSSHIGEDGQRQEG SRSEDVLNDRLDAAKQRQKQLAQRYSDIRSKMSKLGGRPLSEKEKSWIGEVDLLSTAI EKKVTDEEQTMRTRLETAKSLAEELLAEVKEIAKAQPPSTSEDHAITISPSSQPKIPQ KLQRAKVVDAMKMVERESAVIDAITERLERLNTSFS TSTA_091280 MSRHYNTVERLDRPSAYYQSKNKRRRYNDRDDEKHEDPTDNLRN ATTLYVGNLSFYTTEEQIHELFAKCGEIKRLVMGLDRFQKTPCGFCFVEYYTHQDALD CLKYIGGTKLDERIIRTDLDPGFQEGRQYGRGKSGGQVRDEYREEYDPGRGGYGRSVA DQRRREEEEYGKGR TSTA_091290 MTPSRNQLRQDIEPKMSKITAGVLALQGAFYEHLQLLRKAADIL NSSSQQQTQWKFIEVRTKAQLDACDALILPGGESTTISLVAASSGLLEPLRDFVKLHR KPTWGTCAGLILLAESANRTKKGGQELIGGIDVRVNRNHFGRQTESFQAPIDLPFLQD STIPETLPFNGVFIRAPVVERILPHQQGIQIEENQREETIVAPSRSAVDTAAQKILED QVEVLAKLPGRAARLVANSGVNIDAEKEAEDIIAVKQGNVFGTSFHPELTDDPRIHLW WLRQVRDAVQSRNIDR TSTA_091300 MATTLTRWYIDTRPLTQSTSNLPLLSTLQEPDQTSIQKYLRLSD RHMSLASNLLKYLFIHRTCRIPWSEVRPCFVPSVADVPAAEFNVTHQASFVALAGCLV PSTKSDGKLQGQDEIAVATQAVYSEPEPSSAPIPAIPQVGIDVTCVDEPGRRRDKAPM SLKDVTDFIDIFKDVFSQRELETMKQAASTSSTSSLAEVIKSSLRLFYAYWALKEAYI KMTGEALLAPWLRELEFTNVVAPAPVADADAWGEPYRGVQTWLYGKKVEDVRVELVAF ESDYLLATAARGANLGSSGLRGGDDAWKEVVSIDIERDVRPCAMGECQCLDG TSTA_091310 MSQNDTAATLNIALQNQTSSSTVYAYITGQAIDNKNALFLLQSD GKTAYYPTSPSGTMSALGANCAIPLGAPGSTVTVTIPHLAGARLWFVRDDTLTFYLNP GPALVEPSSSNTADANYNKFWDFCEFTYNSLQLYVNISYVDFVSLPISLTLTNTSNQT QHVTGMPSSGLDTICSGLNDQQSKDNAGWNQLIVQKNGANLRANSPNTGISINNSLFS GYYQPYVDQVWSQYSNSTLTVNTQIDSGNVAGTVSNGTFNFGNGAATYTKPSAADIFS CSSGPFAVTGDQVKDDITARLTAALNRSTLLVNSNTPEGEDASKYYQNPITNHYARIV HAANLDRRGYTFPYDDVAPSNGADQSGSIHDPNPQLLTVAVGGGNAAAGSNTPSRVAP RTGNQDSARRMIPTFFSQISFTEQ TSTA_091320 MSILSYITTGLTGYFAVTVSFFALSAAKVPKAGFIARCLSSYAS LIICATYGVIASIVLRLVGYGRVSQWATARSFKWVMWFATGVHFDIIEGEKYLSTRPA VFIGNHQTELDVLMLGAIFPPYCSVTAKKSLKSWPFLGWFMALSGTVFIDRANRETAV KAFDGAAREMQEFKQSVFIFPEGTRSYATKPELLPFKKGAFHLAIKAGVPIVPVVVEN YPHVLNLKKLQFEAGEIKVKVLPPIETKNLTPADVDKLTTDTRELMLKTYTALSNKSA QQLDGAVTRGSSTAVEI TSTA_091330 MAPSTSYISTQLRQLIYYHLDNNLVKNALFLAGRLHAYEPRSSE AAYLLSLCHLLSGQPKAAWEYSKLAGSRGTHLGCSYVHAQACLDLGKYMDGINALDRS RNLWATKSNWNKHSETRRQHTPDAAAVLCLQGKLWQAHKDLAQAVECYAEALKLNPFM WDAFLGLCEAGVSVQVPNIYRLSPELVAMLQAAPQPDVIALSDITTSANGPLQTQSNA HSNSDPFANTPKGEPGLSTGSSALWEKLNGSSVSVASAGTTIIHEIPENENEPEDARN AHSDIWDPPLAPNRRSRTVQHVEYGDPPPKMRATALKSRIRSRAESEDQNVIQMDEES TSVAPAGDRKRTVSGQVAHPAPHPVEPGAPQRRSTRLLNHIRPTSSRLATATLGREGR EVRKVRATGTRGRTTAATNVGRVVSGNRKVISGSDPDSKEPRTSATSSNSAGSSHQTK SAVADRSKEMEALSWLMDLFSKLATGHYNLTRYKCQDAVQSFNLLPQAQRETPWVLSQ LGRAYYEQALYPDAEKYFLRVRALAPARLEDMEIYSTVLWHLKNDVELAYLAHELMEV DRLSPEAWCAVGNSFSHQRDHDQALKCFKRATQLDPRFAYAYALQGHEHVANEEFDKA LDAFRKGISVDSRHYNSWYGLGQVYEKMGKLEYAEQHYRNAVQINPNNAVLICCMGLV VEKLNNPQSALFHYSRATTIAPRSVLARFRKARVLLKLNEYKLSLTELKVLKDMAPDE ANVHYLLGKVYKQLHDKGNAIKHFTTALNLDPKAAQYIKDAMESLDDDEDDDADMA TSTA_091340 MAQKAAKSLAARNASTLNRTHLITLSLHALFLTLNFLFNRPSAL LRYCLLNLPALAIEFYLERLGRPHYSLQDGSLKSAGEDLGASGLTEYMWDILYWTWIC MGAVCVLGDWAWWFYVAVPVYSVYLGWTTFFGMKKGLAGFAGGSSEVQSSGGSGGASK RQQKLEKRGGQRVQYR TSTA_091350 MGSVQNGTVLNGKTPESPAKSKSLSGPGKKEKPRRSYFRWSLAL AVRLFIWYTVLTPFLQCPSDLSGLDGSSPRVCKPYLITRSYIDPHVDRYYQTYAAPYV DQARPYASTFNKRVYAPASKFAKLGYEAYGAPTIAHVSEYGHEKWESVVVPQLESIQA RAQTVYQERFDPYVRLAKKAVTPYTDAISSHVTNIYEGHILPLYTRSKPFVIQTYSSG QDLLFGTVIPIIQEGWSTLAVFVKSNLVPTITGLYSQNVEPQLVKIGERLASYREGKK LRTVVDEFESSTAVSTTSTAAPSPTSINSSQTTELAKSTTQSDEVQASVSPEQQYEKT REQVASDLLLWQQKFATAADNGVDDLGERVVQIVNSQLESGARKNAESLVEELETVQE QEISKIKQHINSIIEGLPTYEAHEEEQKANDDLLQFIRSAGSAIKDRAHALREWYNGF DQELTGKVVTAAYSTLEVLDGIRDLGLQEIGMRWAWMDGVTYKDWEKYHSMRKQFDEW REEVFLAGVQHEKVEEARATANDILLRGMAIAEDAAKELSRLKEVGKWKIQAREISDD FETRNEPPPPLSKPEEDIVEESNSSSSEEPASETGESGEPPIVIKSITETSTVKIELA DATPEPSKLSEFDESTDAGDLENANSKSSNGEKVDDDDINDKTVWGGVAAQVVTHQVP ILDDDEENGFTEQIKSLASEAGERYAEATRAVGEALFAQPSAPSYGDQAASVARDQYS RALATASSVLYGTTPSVGEQIATAASEKYEQAVAAASSVFYNFPGTHTKSSALESVSS VAASRLQEGLSAATAQFASLKASITPTPTSTRDPIFLDAQRRYYEAIGMAHDRYSAFV SSASSVIFEEPTPTPTPPPLDPEALLENAISEYQYISGLASSSLAAVISSASSAAERS GGSARGVIDDALSRYSDAMSAASASLSLASESASSAIYGTPTGTFESITSQASERWES VISQASEQIYGTPAPYIQQLYDAQASRYQALESFVSELVVGKEPSFTESVMSRLRSAY ETPYPADALSSASSYANEAYSSASSFVATHATPAPVLDDIVKAATEQLNSAVDAASGY VYGTSKGPYEQATSAAADAYSSASSHVSEAIYGTQPGYIEAARSQIDAAFSSAQIAIS TAIYSTPTGRAEGAMSAASDTYDSVTSAVKDNVAAAESMMSSAYSNIHSQASAALLPE QQGALESATSKLALALESAQARLADLASNVGEGASKIQSEAGSVVETAQTVIISATSK NKDEL TSTA_091360 MDADQDFRSLRGMSPLPDLMNDPAYATNTDSKGKGIDEPDTCRI CRGEGSEEEQLFYPCKCSGSIKFVHQSCLMEWLSHSQKKYCELCKTPFRFTKLYDPGM PSKLPAPIFIRELAIHGLRSLVTWLRLVLVAFVWLGWLPWSMRAIWRVLFWLADGRWP NNNFVQTPLPTANQSDVHASIATGRTLSSDAATSSATHIAVLSPVSSILNLSNEEPLG FSVAKRILLKILLPTLSTSSGDNASQASSLPSGRVRQPSWLSNVTFLNSLTPSPTVNN IVIDTLEGQLITLLVVVSFILVFLIREWVVQQQPAVNIAEDEREAAMRLMAENRNQPE AAPPAPLEARDMPVQVADDNPDLAQVDYERGVQDYAINDDESRASSTLSSPRLLPVDS RPTIQMRTSSSRPTLRSRNALDDASNIRRTIEETVNEPSSHTWPGIETFKDLWLRGDG NPDEILRIIQEEGREDELSWVVNAMMTLKQNNASRGTSSGNFDFSDHHEEAPLEGPSE SASNRHANPELLHNASSESSYEILESTAPPENLPSGTSQADSFELPQETINWSEGDVE TAFERMTAPETAPLSEVQEVQVPLVEFHSEVATAAVPDSGDRQPQQNITDTPQTFINR VFDWFWGELEAPQAPDANVQDVEHVVEDPALEAPFIPAPNRQNEAADAPAPNPWREPV APQGDNRDANDIDAVEEGDDFEGILELIGIQGPIFGLLQNGVFCALLISFTIAVGIWL PYLWGKIALVFFTSPVRLVIRVPLAMLSITADVVVDSLIGSVGYVLYVVSFITRNLLR PVAGIIPFLDRVSQGTSFTQTSLTLIDGSSQRLKRVLGGFFDFQDSDLPMFSVLAHQA LRIHEARIVIIVRMVFDGVKLILYDIPIKLLSSDDRNGLLAAVSSIDMAAILAGVKAQ LISLSGFLLSLFGPSEMGNDSAVLIRPDYELARWDTKDRTIAIVIGYVFASLLGIFYL RVTGFFAGANRGQRPEGVVAEILNQAGGVMKVILIIGIEMLVFPLYSGLLLDLALMPL FENATLASRVTFTMSSPLTSLFVHWFIGTCYMFHFALFVSMCRKIMRTGVLYFIRDPD DPNFHPVRDVLERDIITQLRKIAFSALVYGGLVVICLGAVVWGLAYSSDGVLPIHWSS NTPMLEFPADLLFYNFVMPVILRAIKPSDGLHRMYDWWFHKCARFLRLSQFLLGERRK DEEGRSVGRTWSDILFGNKGDVENPITTDEDQEEVEEKGKGIRFLRDGKFVRAPASDQ VRIPKGIKVFLEVTEDNERADGNTDNDEGLHGKKSDMFTQVYIPPNFRLRIFVFIFMI WVFAAVTGVGVTIVPLVVGRRILSYFFPQVTRMNDIYAFSVGMSILLSAGYTVVYMRV GYQKLQNGLQPMLSNPEQVVIEAAGFVLHAVRLLYLAATFVLLIPSLCALLTELYVLV PIHTYINGQQAHVIHFVQDWALGVLYVQMAYMFLQWRSNSRPAVALRMIVNDGWLRPN TALATRALILPAVIFTFLAVSLPLGFGLIAKATAFPDNATVTQSTVYRYSYPATLVAC LSIYALYLLRRQIDVWRANIRDEVYLIGERLHNFGEKRARDVTGVGRRVSTS TSTA_091370 MSVLRQGGSYLQRRLTKMYTDTKTSCESVTTASSKGTDDPELVA LHRNYRTQKDRLLAWGLDWSDSNAAQPNDIDESLTEAGFSDVVASVMSSIQEILNEAE RLQHGEEVRDQAPALPPKKKNDGSGGELPPPLPPKEDLMVKSNWSEDDIKRSYTLLDD LTSCIDTLYELSQSRRNMTMSMASSTDQSGKVKARSQTTIPRSLKPGGFDAQRSMSFD SKPVGHDFVESPVDVKGKTSYPSSKQEKFEVSPYLNFHALPMSRSQVPALAERRVNNS FSSTKHLFIDRSNLQLSGASHAKSPPPYEQVAASANSRIIGRVQTSATPFMTRLTKES TIPILVEFTPMMLESQSSVTIPAAQRLEKIHRSLDQLVENSRVSHLGLLRFLGYYIDM SNARYAFVYQMPVDYFPFLSNPSNLLKELRPKTLVSLFHSGEDHQDIPVPTLESRFRL AYNLLLAVLHLRSQNVVHGNINSSNILVFPGLNNSGQDEIGNLIEDLRHPYLTSLAQF SGNEPTPEPLSASMYRHPDDKRILEDEAAWAYDLYSLGLVLLEIGLWAPISRLWKMKY NNAMFKQRIENVYIKKLASKCGNAYLHVVQLCLDAPNFLMSTEPMADLNLRVPMVYTY PTLEFAEPDSQFAFSANFIFTMSKILSQLCAIDIYSPPPSADLDDCLPLALGRDLESE PELFDNTASTSFVNLPMEHHTVHQPFQSDFTDSKAVHEVTDSPVEKDKKVKKRTVKKL TNAEIPQEHLSQWNFYTMPKLSKLLHRILKDSSESCTASLMMTGETVETAKTTICVTC SNVKKVRAILKKYFEIEQDWDLIVLRGDVSRSKVPKRRRLKAHTSSLSETDQDAFVQP DLNPHYQQKPLCGASIGAFKNEEHLPPVSYGGAILIDGMPFGMTVHHMLEAPSEDEDD EGEEEAEGTLPRSSANRPGNMPTDDLTSTWGDRDPETDYEIEFTDDDDDDSASQEGGD YWLSDDESSDDDDEFHDPDDIDDAASIGDTAGVEPDDEPQLLVTQPAIDDVHEDFFPS LEDRDDEHLASHSLGYIHASSGLRRWTRKGIKHEIDWALIHLNDSRMDARNIIARPIA DRDTSNNPTNRPTATHRQNLQPTRTSPIHLTKVARVEELGGLRVQCCGRTSGYQNGRI SPAMTLVKLHGRQSFSTSFCVDGNFGVPGDSGAWVFEASSGRVCGHVLAYSERSRTAY IAPMQVLLEDISRTLSACTVELPGSQGDDSLSSAAMSRIYDQPQQTRARIPLIPDAHH RHQMNLPIELGRLSLNNFDEPTTPAGVRSSINRARNHHLGEGPSSSYHRAGPPPVMTR AGGMERQPA TSTA_091380 MSPLQRLTLTSWRTMFSVKKSLWQLPVSPGPPIPQQELVDEEAT PNYGSTTFYPAKPEGVLTEKFRLLVKIGWGSQSTVWLARDILSERLTCTIKNEMAIRE KVAIKRINSNNTDDARHEQEIESHIVQQNPEHRGRAILRTCLDTFEVTSPEEKHMCLV CTNR TSTA_091390 MLKNSSTALSSTQKSRSLEDTIPFLEENERENFLSFVGQIVTWL PEKRQTARELMDHPFLKLEGR TSTA_091400 MPKNRRQWDNRIMGDQQMTLSKYARHIKGTVTVILFAMVSRLVD HESSEYGAEPLPEPSPPEESCEPHTQIPAPSTD TSTA_091410 FTKTYGYVLVTKRSKTLKEGGSIRRVYLQCSRREVYCERTNEET YVCWRLDRTDPRHDYYSAARSTLASLRHEGIESKETQIKSYLDSYMSINQILSTLYKD NLKLIAKPRDIYNKKKKSRDDFLNSKTPVQALISVVLDDGFGFISDEKEGSYKFILEC LVKVYAQADLPLPNCILTNKDMALMNAIPTVFPMANNTICLWHIEKNILTRARPILTN E TSTA_091420 MSTTNGQSSSFAAKHNLPSHFIGGNRLDLAPPSKVKDFVAAHDG HSVITSVLIANNGIAAVKEIRSVRKWAYEVFGNERAIQFTVMATPEDLQANADYIRMA DQYVEVPGGTNNNNYANVELIVDIAERMNVHAVWAGWGHASENPKLPESLAASPKKII FIGPPGSAMRSLGDKISSTIVAQHAGVPCIPWSGSGVDEVKVDKDGIVTVDDFVYDMG CTHSWEEGLEAAKKIGFPVMVKASEGGGGKGIRKVEREEDFATLYNAAASEIPGSPIF IMKLASNARHLEVQLLADQYGNNISLFGRDCSVQRRHQKIIEEAPVTIANNNTFQAME RAAVSLGKLVGYVSAGTVEYLYSHQDDKFYFLELNPRLQVEHPTTEMVTGVNLPAAQL QIAMGIPLHRIRDIRLLYGVDPHTSSPIDFDFSKEDSRSAQRRPQPKGHCTACRITSE DPGEGFKPSSGTMHELNFRSSSNVWGYFSVGSSGGIHSFSDSQFGHIFAYGENRTASR KHMVVALKELSIRGDFRTTVEYLIKLLETPAFEDNTITTGWLDQLISNKLTAERPDST LAVICGAVTRAHLASEACIAEYQSNLERGQVPSKEVLKTVFPVEFIYEGCRYKFTATK ASPDSYHLFINGSKCSVGVRALADGGLLVLMNGRSHNVYWKEEAAATRMSVDGKTCLL EQENDPTQLRTPSPGKLVKFTVENGEHVKAGQPFAEVEVMKMYMPLIAQEDGTVQFIK QPGATLEAGDILGILALDDPSRVKHAQPFTGQLPDIGPPQIVGNKPPQKFTLLHSILE NILKGFDNQFLMKQTLKELIEVLRNPELPYGEWNAQFAALHTRMPGKLATQVSQVVER ARSRQSEFPAKQLQKTIVKFIEENVNPGDADILKTTLSPLVHIIELYKDGLKVHEYNV FIGLLEQYYSVESRFTGRNTRDEEVVLKLRDEHKEDIRSAIQIVLSHTKVGTKNNLVL AILDIYRPNQPNVTNVGKYFKPILRKLAELESRAASKVALKARELLIQAALPSLEERT AQMEHILRSSVVESRYGEAGWDHREPSFEVLKEVVDSKFTVDDVLPQFFSHSDLWVTL AALEVYIRRAYRAYSIKGIQYHTDSEQPFISWDFALGKMGQADFGLPVASSHPSTPGT PTTDGPNPFKRIHSISDMSYLVNEDSSEIPRKGVCIPVQYLEEVEEQLVRALDVFPRT TAKATKSLTAELSGKRRPPQRQDSEGELSGVCTVAIRDVENLEDAELFSQFNKIISAH KEDLLSRRVRRVTFICGHKDGSYPGYFTFRGPLYDEDEDIRHSEPALAFQLELGRLSK FKIKPVFTQNRNIHVYEAIGKGPEVDRTTIDKRYFTRAVVRPGRLRDDIPTVEYLISE ADRLMNDILDTLEVIGNNNSDLNHIFLNFTPVFPLQPKDVEDALAGFVDRFGLRLWRL RVTGAEIRILCTDPTTGQPYPLRVIINNTFGFVIQVELYIEKKSEKGEWIFHSIGGTT KVGSMHMRPVSTPYPTKEWLQPKRYKAHVMGTQYVYDFPELFRQAFQNSWTKAIEKVP GLLEKRPPVGECIEYSELVLDDTDNLVEVSREAGTNSHGMVGWIVTAYTPEYPKGRRF IIIANDITYQIGSFGPQEDKFFHKCTEWARKLGIPRVYLSANSGARIGMADELIPYLN VAWNDPSKPEAGFKYLYLTPEIKKKLDERKNKEVITELVNEDGEERHKITAVIGAKDG LGVECLRGSGLIAGETSRAYEDIFTITLVTCRSVGIGAYLVRLGQRAIQVEGQPIILT GAPAINKLLGREVYTSNLQLGGTQIMYKNGVSHMTANDDFEGIQKIVDWLSFVPDKKG APVPIRPLSDNWDRDITFVPPGRQPYDVRWLIGGKQEEDGFLTGLFDKDSFEESLGGW ARTVVVGRARLGGIPMGVIAVESRSVDNVTPADPANPDSMEMVTTEAGGVWFPNSAFK TAQALRDFNNGEQLPVMILANWRGFSGGQRDMYNEVLKYGSYIVDALVKYEQPIFVYI PPFGELRGGSWVVIDPTINPEQMEMYADEEARGGVLEPEGIVNIKYRREKQLDTMARL DPIYGELRRQLDDKSLSNEQLSDIKLKMAAREEQLLPVYMQIALQFADLHDRAGRMEA KGTIRKPLQWKNARRFFYWRLRRRLSEELILKRMIDAQPKPTPKTAKSGAVPASGIVA PSSTARESHLSTLLSWSGLLASEWEHNDRKVALWYEENKKNIYSKIEALKTETIASDV AQLLIGNKEGGLKGVQQVLSMLPAEERESVLKYLASV TSTA_091430 MKFSTLVSVLAATTAANAAYINYTTVTGYFFQDEPSTDPSTFVY YKENFGLINRTYPGEKNGGWIGHGQTQWQKFYHEVLRLNRESPENVQYKVFFFGRHGE GYHNAAESFYGTPAWNCYWAELNGNSTNTWHDAALTANGVAQAQIAHNFWQDLINNQK IHTPDAYYVSPLTRCLQTANVTFTGLDLPHSGAEFKPTVKELLREGISIHTCDNRRNK TYIHDLFPEWQIEDGFAETDELWNGISEETSDAEAVRSKKVLDEIFTELTSFETSACE PSPENLFISVTSHSGQIGSLLSVLGHRKFSLSTGAVIPVLVRAEYSEKQVATTCLPWA VSPHCTAPPQASVSACVCAGGATPVTTPLVSVTARPPTVTGPVCY TSTA_091440 MPVADPNTTPAATKASHDAEELAYYKAQYEQLEVELAEFQASSR ELEAELEKDIEASEKRERHLKGKVETLSYEVEEWKTKYKQAKAEASSVQNTLQKEITS LREGNRALQLKLRDIEVANDDYERQARNTTSSLEDLESKYNMAIERAVLLDDEVKAGE QEREALRIESQRLRDELSDLKIEADIITDKLRKAENELNRRRKQPALLDPSVAHGSQN AEAGQHSPVTTSSSPTMNTPPAKSVSSATSDAITPPSPSASESSVSVRKQGAEGGFSG TRVSLGKTAPHSAYARHTRTPSIPQANGYSTPSMFRQSTSRMSSSGIPRSGSLYQIRG LIGKMQKLEERVHSARSRLPAPVETPTGMSPRTPSAAGQSSIPSSVTLRKSSRKRTST GSANSSVRDGDTSSYPSSFLPQSRQSFGRSNDSRPSSRTSFSSRSSIGPGNYASSIAP SRPDSRQSRARTPLGHYSTNPTTESRRPRSSMSSYSQNHAPAKSMTFIEEDVDIPTPT PRRGTLDQGQFRSSIPAPGSLKKRPSSIGQRTTTIPTPRRVTSKIDVQKREPKASGEE PLKLEDLGETY TSTA_091450 MATDTPDLNLDAPSDLQDIPDTEMQLLPPPEATYPDKASLLAAV HAHGKVHGYRVVVKSSSTPNDKKPGRTSKVWLRCDRGGQYRPRNGLTEETRKRRRTSR LTDCPFSLLAAGTPGFWTLTVTDPAHNHGPVIERARPVIQKFKKGQIAAQPYDWPHDA TFTPFTTALVIIDMQKDFCAPGGYLEYQGYDISPTRAIIPRLQNLLQNFRAAGFPIYH TREGHRPDLSTLSSRENHRSRNNPSALGIGSQGPLGRLLIRGEVGHDTIDELYPLPDE PVIDKPGRGAFAHTDFELLLRNKGVKNLLLTGVTTDVCISTTMREANDRGFDCVVVED ACAATEPSLHTSTLDSIKMEGGIFGAVTSSADVIQALENFKSVTVKKLAPQMAAQMGT TSTA_091460 MSYYFTILSATDVPLFSLTFGTSKAGGDGVARFRFPDSAQYMNQ FIVHASLDIVEETQWTNGALYLKHIDTYPPTASYISAFLTPSGTRFILLHQPPQLPSS SSAGAGAHGISSSTSSLASTLGAAATSRATSSSIAANPTSPQTEEAIRQFMTEVYENW VKTVMSPFYRQGMQITSPVFRARVTAAGRKWL TSTA_091470 MWFKLLCVEFNTMPPKLSLGLNLAKPSKPSPFGNQNKTLAAGQK RKKTIFDDSDSESERVESQNNDNQDGAIEITTLGGVDEEEENNNNNNDKEFKPQKLVR TSTQPAGAKPLSDKKNGPKTLRKSIFNDENDEGQAQQPQDKKTYGLQKPTEPECKNLA ALHTSRKHAKQAEEIDPSIYSYDAVYDTIKAKREKKKPSDNGEDEEPGSSKYMEALMR TAEIRKRDQMRARDRLLAKEREVEGDEFADKEKFVTAAYRAQQEEVKRMEEEEAKREK EEEERRRRNGDSGMMGFYRQMLARDEESHGEVMKATEEVARKVAAGEVVDDTTAETES EQKEKSEAQIAAELNARGANIVVNDEGQIVDKRQLLSAGLNVAPKPKTKPSGPSAGAA TSAVRPGVSAAGRKDAFAARYAQRARQTEMIATQLEEKARQEEEAEKARLKELAEKNR SRKTDSDVSSARERYLARKREREAQAQKGG TSTA_091480 MVLDANDNRGWIMSGVAGIACVIGASIICVDIILRSCFRIRNFD ITTNTTFLSSSMGLSAGVMVTESLRCFRLYIYLARSGLSDSVAAFALIGLFLAGVVVI SIFSHIAHRYIPSHVVDCTHTHESHDRDLEHGVEEEHHQHHERGHGCRREYHDIRKDP GENDQITESNERTPLLPSQCNGNNRRPIQTLSTKSTGGLQFRTLYRDSVGRILGRRVT QFLRLSKDVCDENGPCYGFSQTCGIDCRKVLGPRSYFLWMNGRESENHTQASPAVSEP QPRIRADEPDTPLTVRRVPLISQEQTETYRPDSEPQGTDYFSQDIRRTDSSSSPSSQQ ADEERTATGSQESAGFEPNSKPFEDHPSHASPPHHHHVPQNAFLSIGLQTSLAIALHK LPEGFITYATNHASPTLGMTVFIALFIHNITDGFALALPLFLAIRSRVKAIFWASLLG GISQPAGAGLAALWIWYSNRGKDITEGTSWGVYGGMFAATAGIMTSVGFHLFSEGLSL THNPNICIFSAIAGMGLLGISFALTA TSTA_091490 MSKTTFAIIAAAGAATGAGVTALLYSTKPTRQPTPSPTAALPVQ ALNNPTTPVPNPIPAPPALAAKAIAAVAPVDPSGLLQYGFPGPVIDPLNTLPLTGAYD RRMRNPSWVAEHITPYSLSLKNADRKHSVFVEDTTIPVMFRGKLSDYFRSGYDRGHQV PAADAKWSQDAMDATFALSNMCPQVGEGFNRDYWAHFEDFCRNLTKTYPSVRIVTGPL YLPRKEADGKYRVTYEVIGEPPNIAVPTHFYKVIFAEHGTNPTDKVALGAFVLPNARI PNTQPLTDFEVPVEAVERASGLEFATKLDVSRRRRLCQEVRCDIVVREFNNSQKSLPV PKR TSTA_091500 MAHSKRNTSLPHFTSYERSLLRSNWGTQRTRLSRESFLPFGSCQ LCLQPAREPVVACAHNGDLFCRECAISDLLAQRKEIKRMEREREEDMRRGAEEEAKMM QEARERELKEFELVSMGLEGASQNSGNNKKRKAPTMMDGKEDTGCSTTARIDKVTEEF RQREVEVNGKRRKVFELSEAEISRYAKTELERLKKKIEQEKAEAKSALPSFWIPSETP GTENQASKNLKLSPICPGSTKENRHEYSLKTLVDVNFTEEKTELAGGTTTRICPSCKK SLTNGLKAMLTKPCGHVICQPCVTKFMTPETTIDPHRTDQEDAAIGRMLCYVCETDIT PINKHSKSSKEKHKEGKSGKIKPGLVEIKSEGTGFAGGGDNMAKKQGVAFQC TSTA_091510 MQRIRARLASSDGNDNKRYSLIFAPHARRSEHVPRPSPSSPQID RRVSDSGPRPNVEEGTAVDSEKMERPNIYDHDNSNHGNGTTGFSMASSSENSAAMKRN RFSMLRFRHASDPQLSVTYSQSEKDVPPVPQVPPPPTIITTAPTNTNLEKSARKSRLR NPLSRKASKEAINVNASGSGLAKLPHSQTLGGHSRLSHEEPGRLSTSTYQSGTNPSEQ DMRFSESSRSDQGSIERGPVKGDAPHPTKRLHMPRLLKSHGNIFPLPVKLQPPDASKA SSGRVSPISNGGNSARTSMDPTTPDGDQISPLPSPTQSSVKLASPKASNQNPLLRKNS TISARSGHSASSAKVRLGRRGRSSTLNSFIEASRNGDDPDNSSSNLPSGRNSISTNGR KSFGDLFGLSHRLRQNSELSSHRDSHNSSRAPGTPTSVTRNNSALASQTVVAYPDRDE DDTPATYLTKLQETVPRGSVGTALCKYDDEFYKIALRKFMRTFAFFGEPIDMAIRKLL MEAELPKETQQIDRFLQGFADRYYECNPGVFATTDQTYFIAFSILILHTDVFNKNNKR KMQKQDYVKNSRIEGVSEEILECFYENISYTPFIHVEDELNLNSRQHPKRKGLLKAAS SDHLTRASSQPVDPYAVILDGKLDTLRPSLKEVMNIDDPYSFPDSEGFRDIESLHQAF SRSAVLQIVSARSRPDAFMTSTNMEDTADSHPGLVDIKVVKVGLLWRKDPRKKRARSP WQEWGALLTSSQLYFFRDLYWTKSLMSQYENQRKKGSRLPVVFTPPVTDFKPDTIMST SDAVGLIDSSYKKHKHAFLLVRHNGLEEVFLANSDADMNDWLAKLNYAATFRTTGVPL KATVGARYEGQKHQSMTRIDSTHSESSQVQGEGTTPETKEYVDARLAEEMSAARQQLM SRRIAEGDEKLIGYQKQLDDLLRNARHLQLLTPVNTRARENVILAAGRMAAKLRWVRL DMWRTRCYNHFLSLDLGIKPVPDAQKRSSLSTIKPVSPTANNSSLAGLNSTHSLLTAP SINEAVSSEPTSPMLPETSVGSTDFLSPIVTDGYRFNTSSFTSSQAHDGKQPPLSPMT ENPPPANGDRPSGTLNREPSVLSTGNRSEGPGSSILSSTAHMSTTNIDNCEERVLREA GLLGVDGPTESPKPSPKLGNDTEIPEKHIDEKSESITDHRLNGHRRSLQRTLRDSHHK PSHQRSKKGRDSASSIGLVDNPAGSEGEGLSRKDANFTLHGKKASVITFGSEWANISA EERLKLRKPAASEEARASDSNLIRDTRGSISSASIHSARPQSMRSASTATVRSSRFVD SISVNNGIPEVPSLDIVLKENQEEESAAPSAGPKNASTTSATTNDLSNENFPTLENGE LIDPISGQENPRLNSHEQAVGA TSTA_091520 MYRQDHVFVVLCAVLLAGQVTAVPAGTGINPHSPPLGPFESVRF ASQTQSQIASSRGPFTWLRDTVIERIWGIDKKHSNKVGSQPRPEKSWSRYGSDIVLRM EVHSTEEVEALADAVNILFLDVWDSNENYVDIRMAKEVVPSLLGLLPQSLQKSHTLLI EDLSKAIYESRYPTRDYQRHTIDQTDCHTVPRPLDVADLFFDHYQPFNVILQWMRLIV SMFPSHAQLVNVGVTHEGRDIPAFRLGVRSRDDEQEGPRKTIMIVGGSHAREWISTST VAYIAFQLVTEFGNSVAITKLLEDFDWVLVPTINPDGYVYSWDMDRLWRKNRQPTGLP FCPGIDLDRSWGYEWDGQGTRANPCSESYAGNNPFDSIETRTIAEWAYNQTQDKRTDF IGFLDLHSYSQQILYPYSYSCSTVPPTLENLEELAFGIAKAIRMTNQEAYAVKSACEG VVTTDKGNGQRVSANVESTGGSALDWFYHQLHAKYSYQIKLRDKGMYGFLLPPEHIVP TGREIFNSVLVLGHFLLGEDANALEWEFIPGSKSTSEQENGSSRTFDRLFFNMNEDEL EKPGNDRDYSSVVEEDVYQDEGWGLW TSTA_091530 MSTETNISPAEPSQDNYESHGQHPTTYLRSRHHRISNDSPYFFR SLIWGIYKNRQYTDFVIRVKGSVTEFHVHRAVICPQSGIFEAACRGSFIEASTNSITL TDDDPEIVERMIRYLYTHRYDDAEDWGYDCCDNGIKRIRQHYSEMDTGIDEDDDTNDN DEDRHISRSRRHGHVNSNVLDRSSKGKSSPTSPPKSLHVYAIADKYLIHPLKDLAQTR FTNWVYSHWWTMGFVAAAREIFDNETGNYNDLKEVILSTITLHADTLLCGGTYSRMRD GQEEMDKFMQDYNEVSMEVLRRTLDRTRVRQRGLEVGIADLESRVKALRVENKKVAVL QSRNNSLNKELLDIKMVVLAWKRDLKDLSSSSTGRRGGQDISPS TSTA_091540 MSTYGILSLESSDICLKLDLAIMQVENLPFIRHFTWRLAVCSIL MSLSVFNFGMENVAYSTIQAMDRSFQRRFGSYNPKTHKHFFTATQLSLLNSLPRITFA AGVVLGGFTGESFGRRLVIFLMLFICLIGVIISYCAKSYAQVLAGCLLVQGYAGMEGY VVPMFQAEIAPASVRGAVVISYIFNHVFGSVIMSCITYRTSRLEIDYNWNIPIAVISN PDYAPEVDLALMKESLAMEVEKGSWRDIVRGTNLRRTTIVVIVQCLNQLTGQAFATQY GVVFIRTLGTIDPYKFTLISHAIHVLGPLLTFFLVGRIGRRRMYLIFSSLCSATLTTI SGLGLGIVNFQQEAGIVAMTILYSFFFSFSFGGMGPGTGSKIPVLRLLDKSAVVGWLF QNIFAFVVSFTVPYLIDIDYGNLHLKLGFVYGTIGFLGLMWAYFYFPELMGRPLEES TSTA_091550 MRRNFVTAVGASLAGPMPVNPSLGSRSIVCVELYGIFDTSDLRK AAETRIGCLKSKSDEKDLAGVNWSWPVESFERFDAYAVWNDLHPFLVEHIWTVGAVLE GLGLRPSSSPYRRSDQQEQQESSIRAAWHSNPETPMPLFLSFDLLTTLAMITTTRPGS NFPPPAAEKIPVTVFLTSTFKVLQRALIADDVFHSLGMALSRARSAVTGMVEFQPYRR LGVLLWDKWRMYSVGLMPNTAQMRVPTPDGGFIEVNDNRETIQELQARWLASVGKKL TSTA_091560 MPHPFTKFTRETPPSGLLVQEPNLVIFMPDQLRWDALGCNGNPI AKTPNIDRFSQRGTRFTNCYVQNSMCTQSRCSMFLGLYPHVSGHRSLNNMIKSWEPNM FRSLKEAGYHVACLAPRGDTYAPTVTELSMNEYGFIETPDILPGFMMGPKATSEHAKK IETVDKLAPRLFYKGLRDQSAILDYDEAAVRSAEKFLEHPPEGPWVLYLPLIFPHVPF QVEEPYFSLYDRKSMPTPARLSEKTGYEPKYMEAMRHHYGLDKIDNLGLWDSTVTMFF TDHGEYLGDYGLVEKWPTGMSDSLTREPLIIAGAGLPQGNTFDDMAEMVDLVPSVFQL CSIPESFPTNGKSWIAGILHGTLHKEYAFVEAGYLTSEEPLIETSPFPYDIKADLQHK DSVLAGKAVAVRNKSWTYIYRLYEPAELYSRERDPQELHNLAGLPEYQPTVRLLESVV FRWMVETSDFLPYAVDPRVSNVDLEDPNSQWERRVKAASSKIIS TSTA_091570 MSSEKKPNFLVIVADDLGFSDTSPFGGEIDTPNLYKLAMEGLRM TDFHTAASCSPTRAMLLSGTDAHIAGLGAMAERMKRFPDIFKDKPGYEGYLNFRVAAL PEILQDNGYLTMMSGKWHLGLTKEVAPCSRGFTKVFTTLPGAGNHYNHEPQLYGVTEK PRAFLKGNKGLWMRDDTFIDGDTDLPKNFYSTNSFTDEMLSFLQNRTEDEKNQPFFSY LAFTAPHWPLQAPPEVVKKYRGWYDDGPFALRDRRLKSLIINGLVPADVEPAPIHTLG TGPWAKLSDEEKKKSSRAMEIYAAMVDLIDVNVGRAYSYLESTGELDNTFIIFISDNG AEGNLLEAVPILAGATMGDVIKKFYDNSLQNLGNHNSFVWYGPQWASAATAPSRCVKS YTTEGGIRCPCIVRYPPAVQSAPGTISHKFTTVMDILPTVLDLTGISSPGNTFRGREV APIRGRSWIPLMAAKDADVDVYDQDKDIVGWEQLGIAAVRVGNWKAVFLPPPRGEGKW ELYDLSKDKGEIHDLAESHPEKMTEMIAHYETYFQESGMFDAYEQYQSALRKAGITRA W TSTA_091580 MITIQSNAAAGSDWPVKRVEMVRSDATEEPQYAIHAGAKRIDLS LCVYPAVYPAAEGNLNFSTVTFATSAEKVPFTPTGPAVQEQQKGNQARQEASRALNGT SSWAAEPYEDISPGIVGSASSIDFVENPLQGASNLGVDVIGLLPTVYDARVPIGTARN TQSYLAI TSTA_091590 MRWVYRFVDRHPEVKLRFSRRYNYERAKCEDIKIIQEHFNRVQE VIQEYGILSEDIYNFDETGFAMGLCASAKVITGRWALPSYIIFKATTFYQQGWFEILP QDWRLNISKNGWTTDEIGLQWLQKHFIPHTTSRTKGRYRMLILDGHGSHLTPQFDQIC TENNIIPVCMPPHSSHLLQPLDVGCFAVLXXITTIKKRIDTHKTSPLDRIAKAYEVCM NQL TSTA_091600 MATEKDDKSLSIRDARVHATLGFAALRDANLVHEFADIIRQEYL AIGFRLALHPQVDLAIEPRWARISSGFGEDANMTSQLAASYIKGLQDKPLGPQSTSAM TKHFPGGGPQKDGEDSHFTYGREQIYDGDNMYCCGLEHLSRAGRIKRLLEGGCDMICG KSCPELIVQLVQEGLVPESRIDISVKLVLREKLILGLFDNPFVDVDPAAEVNENDILP LRDIKGKAVYLEGFEHAIVEARGYIVVGKLEDAEIAFLRLKAPYEPRPGGFEEHFHAG SLEFSSEEKPRQAAIFKAIPIVITDLYLDRPAAIPEITEAASVLLVSYGSTSEAFLNV VTGNSEPTGLLFFELPSSRDAVRISRSDVPYDTKDPFFSSETDCAIQGHDIEII TSTA_091610 MANPPNIMFIMADDHAAKAISCYGAGINHTPNLDRLANEGMRFN HCYVTNSICTPSRATILTGTHNHVNGVRTLVSDIDNRLPNVAKQLRAGGAYQTAMIGK WHLGEGARHEPTGFDYWTVLPGQGDYHDPAMIEMGKRVVEKGYATDIITDKSISWMQN RDKTRPFFLMCHHKAPHRSWECHPKHKRLYQEDIKLSDTFTDDYKNRARAAAVAKMRV ADDLTYGDLGLVQPEGGDEVGERLVQGWHERKIPNPEDVTSLRLIDREPGPIYTFSSQ TELAEFKFQRYMKRYLRTIASIDENVGRLLDYLDSEPGLAQITVVIYTSDQGFFLGEH GWFDKRFMYEESFQMPFLIRYPREIRPKSICDDIISNVDFAPTFLDFASVRIPTYMQG VSFRALLQGHTPSDWQQVAYHRYWMHRDVIHNAYAHYGIRDQCYKLIYWYNEDFGIEG TRPGGEEKEWELFDCQEDPLELFNCYHDPKYQKVVQTMTRKLEEKMLEIGDEPVHQQY NAASHSRDALEMPATQEEPSVVPPPLSADVSADGKGAAKAGTKHERSPDPDDPE TSTA_091620 MRFLVKAPALPSLSTSPTAYERPAKKVKKEDGPVLSQPSAVIKE ELADNPTAVAASTERMPTPCWEVTQYLLSETDPDYDERHALSQALKAWVKDAVSDLST PRRTTPPSSEDIESLRSIANVLSTGALHHVLLSRLMRGDGSGNYIKAFCNTSTYLILL VSGL TSTA_091630 MVVSLRLPLSSPRTITSNMISPPLSPDFNFDSDALTPSTLPALE YISEKLSQKSLHVTLLVGRGQPIPTGESSDLNIIPVTQLDPQSWKVFYKIVEKGAKKF SLGQGWTDALDQHARQQLKNEYLIEQSLRQNEILFSQEGLTLLNVDRIYTLKRRLCVL SQNQNSINNEEKYLSSCVQLLNKTITSCQGRPFSFGFFHRAYEHLHVTDELLKKVAAA YKTRYGQEGIVIITPKSSPALPTIAPTATKKETTRKSPVMRTAAANTRVRRPAPSSSP VARHYRVPSRVPSRAGTPRRGPKTPVSASDVTPITRNEWNILIGPEFWQNKPTVTMWV PTPAVSVKDRFNKLLGPTLKSNLSDSGIDAEKVIYRIRWIEDSDVSHEDDIIETHSGF GRPITSVPDCIHALPQLPSCR TSTA_091640 MTNEERYSLLLQRNYPKDKPELLPIVPILEYFDAPPVLATGMCA EINGYFGASSGYDDDERLKRYSTWMRCLVKMVLKKPVNGKNYNWFEMTLLSRWAALAD THHIMCTDAPDHFPRSLLNALKKASYQTSPDYFRDPFSMHAQLLDQIVELNDKSVWAI RHPIRDIEKQRPTASPDFESMHEISRHTIHISEVLLVTILNFESLREQQRYMHSESIK SHEGKLLLGKEYREGALEYLNFQIQMLKSLRERSISNHSRLSSEITVAYNRIAQQDNR VMNSIAFLTMIFLPAAFISVSLSSLILPIYSNILQSFFSTTFFSFGEDGLQVSKQMWL YWAVTIPSTLIIMIVWRLCLHTKAPLTYHSIKQFGKTRYNRMLKKDNNEKMTV TSTA_091650 MSGSTSSHGQVTYTNTNNTGKRATFAVRVSSLRRKFVLKAERIH TRIPAIKKIPLSALGIISLIAFINMLVWAACGIVLWHRSLISTAAISYSLGLRHALDA DHISAIDLMTRRLLASGQKPVTVGTFFSLGHSTIVIITSIVVAATAAAVSNRFGKFST VGGIIGTSVSAAFLILLGIMNAYILYKLVQQMRKVLRFYRRRRQLRENMDDSEIGEDE MDIWKIEGGGFLFSVLKRMFVLIDRPWKMYPLGILFGLGFDTSSEIALLGISSIEAAR QDSFWVILIFPILFTAGMCLLDTTDGALMLSLYIQPATNFLPATKQREAEASETEQTE VTRLSAEDDIENQPTATTTIEGTESNNRDPIAFLYYSIVLTTLTVMVALVIGIIQVLS LILNVTDATGKFWDGVQTASDYYDAIGGGICGCFIIVGLSSVVCYQPWRRWVGVDVID DGNHEQTEVFQGEIERQEHETGKGFTAKSGGGISAREVQVNPGPSRDLFDN TSTA_091660 MTSSIPRAPTSSPLADDEALGTPLFANYPPMFVLPTRLTLDELH TLEDQLGRLEATLTYDVSEARIFIGTIVQRKRAALELRARGVWTEEVMSLDTAGSGGG GSAKRQRQDSSSPSPKRRRLGSRKGEGWSQQDVVDLGSTETENACVDSRASSPVQQQR RLLQSSVITAETNTQQEQNSIVQENHVKVVKLEWITQSVKAGKALNIDHFIVYHGKVI ARPQEPTSTQTHIPISSVKESGGILARAIGDAASRPAPSSQSSYTSRFASSSRHAGKA AITAGGGNSSQHYSPPTLYRQTTSEHDATILIPPAPDWVKNRIMYACMRSAPLHPPNE AFINQLVKIRKVRELTLDEIGVRAYSTSIAALAAYPYELRTPVEVLSLPGCDTKIANL FVEFRNSSDGRLEAADVLDTDPVISTIHQFYNIWGVGARTAREFYYQRQWRDLDDVIV HGWNNLSRVQQIGLKYYDEFLAGIPRTEVAEIAKTIHRHAILARPGCEYDGQGIECII VGGYRRGKETQGDIDLVLSHRDERVTKDLVLDVVGSLENGGWIKHTLALHMTTSNREQ QTLSFHGDPGSHHFDSLDKALVVWQDPHFEADNNIENEGEGEVQRKNSNPHRRVDIII SPWRTVGCAVLGWSGDTTFERDLRRYAKKAHGWKFDSSGVRERTSGGHVIDLEKGGRT WEERERLVMEGLGVGWRPASERCTR TSTA_091670 MASGRPPGSHPSAGGNGGDDLLQLDESNAPVYNTGQRPPVDDSH LLEQYNIDDSDQPRPSVSYDDFVGGRHPAGTGAHPTAGLQVEHQAGSDPYLGAPAHRT YSQTSGLSNFHRYSDIDDYEDEHMHGYYNDMDENISSGRLRQQHERNSILGLGGGLMG RAKNMLGMGNEYSEMDLPLTEAGARGSRLEDDSSVQKAKKKSSGFRFGRRKVDPSTLG PRIIMLNNAPANSSQKYVDNHISTAKYNVITFIPKFLYEQFSKYANLFFLFTACLQQI PNVTPTNRYTTIVPLCLVLLVSAIKELVEDYKRRSSDTSLNTSKALVLKGSQFQETKW LDVAVGDIVRVESEQPFPADLVLLASSEPEGLCYIETANLDGETNLKVKQAIPETAHL VNPSDLSRLSGRLRSEQPNSSLYTYEATMTMHAGGGEKELPLTPEQLLLRGATLRNTP WIHGVVVFTGHETKLMRNATATPIKRTAVEHTVNLQILILVAILITLSVITSVGDLIT RKTSGDKLTYLNYGNYNVVKQFFMDIATNWVLFSNLVPISLFVTIEIVKYFQALLINS DLDIYYDKTDTPATCRTSSLVEELGQIEYIFSDKTGTLTCNMMEFKQCSIGGIQYGGD IPEDRKAGPGNELGIHDFKQLQENLKSHPTAEIIHQFLALLAICHTVIPERRDDRPGE IKYQAASPDEGALVEGAVMLGYQFTNRKPRTVQIMVNGQEYEYELLAVCEFNSTRKRM STVYRCPDGKVRVFCKGADTVILERLHPDNPIVEATLQHLEEYATEGLRTLCLAMREV PEDEFQQWLQIHEKAATTVSGNRQEELDKASELIEKDFYLLGATAIEDRLQDGVPDTI HTLQQAGIKVWVLTGDRQETAINIGMSCKLISEDMSLLIVNEENASATRENLTKKLSA AQSQLSAGSEMEPLALIIDGKSLTFALEKDMEKLFLDLAVLCKAVICCRVSPLQKALV VKLVKRHKKALLLAIGDGANDVSMIQAAHVGVGISGLEGLQAARAADVSIGQFRFLRK LLLVHGSWSYHRISRVILFSFYKNIALNMTQFWYSFQNAFSGEVIYESWTLTFFNVIF TVLPPFALGIVDQFVSARLLDRYPQLYQLGQKGVFFKITNFWSWIANGFYHSLLAYII GEGIFYNDLKEQNGMATGHWVWGTAMYTSVLVTVLGKASLITNTWTKYHLIAIPGSLL LWIVFLPAYGFAAPAIGFSTEYHGIIPVVFSIPQFYLMAALLPVICLMRDFVWKYAKR MYRPQPYHHVQEIQKYNVQDYRPRMEQFQKAIRKVRQVQRMRKQRGYAFSQADEGGQQ MRIVNAYDTTKSRGRYGEMGSSRPLQ TSTA_091680 MFDGNILIPSISSLVVRISAEVSRPRDDRQSDGTFLIAMELTAM GSPAWENLRQNELETYVSRVLDRVIRHSNALDTESLCILKGKSCWNIRADVHVTDYDG NLIDSACIGIMAGLQHFRRPDIEVKDGQVRVFGINERVPVPLNITHKPLSITFQSFHE GKVLILDATRKEEQASEGDLIIALNSTGETCAVYKAAGSPVSALEVINKTNLAQQKVL EINGIISKALEADLARRAKVNRASEANAENDREG TSTA_091690 MTTAHRPTFDPAQGKEALRGPAYHQRLLPAYMHLKTRQPGQGGA ADQPRDLRAELLAAEAAHFAKTKGVTNESPAEPVPKLKRDLEEDREANLDAEDIEAKR RRILEETRDIDADSVGSDSDSSEEESDDEEDEAAELMRELEKIKQERQAQKEKEERER EAQEQEQREYDIARGNPLLNPQDFNIKRRWDDDVVFKNQARGSEVKKGPEFVNDLLRS DFHKRFMSSTMDSQATSKTLHNRINTDITQLLQRFENILAPSRVENSENANTAVGVYN LNVETTALIRAAEDLLSLTRYMKEAWLFEKLNTVGEDEHDKRRNQKLEEDVAGVREAV QRGLLGDNDTNGEGK TSTA_091700 MSLPPSSDPDSLQMKTPLTLVVATTPITRPPGSSKKPILGIGLN GTLPWPRIKSDMNFFARVTSRPPSSGDGSGSGNGKEKINAIIMGRKTYYSLPKGLRPL KDRLNVIISRDEHGTVSTEIHQDLTRQKEKSRTDGKEDKRDAFVAHSFDSALTQLFDK HRRQDLGYVYVIGGGEIYKSSLELEVSLSSKIVQRILMTRIKRRDGEKYECDTFFPLT DEDLSTSTGGEKGWRRVGVEEVEGWVGESVKEDWTEEGEVAFKIEGYADIYILFDASK QNAMARMRT TSTA_091710 MPRSAYDPPTSTHDDDDSDDYEHYRAPVTAPVSPTYRRRFPAHE NDSLLGNDSRRTTYGTASRPDTFFRYNTTGGTLRRSRHHSRRASARFPVGSRSQTQAQ ADDTPKDWNEDVMQGSSFLDERLWYDQFTSIDWVHDSIKDGIRLRELRSRKDFRGRLL ALFDGAQGWILVAVIGCITAAIAYFVDIVDKHVFELKDGICTTSWFTTRRDCCGGERS CDAWNSWSQIVGFSRFDNDSVDFIFYVFWAILMAMGACGLTMFTKTVIPSTIALSTLD ENLGAESSDGPRSPSGYYTRLRSKPATIYYSAAGSGVAEVKVILSGFVLHGYLGLKTL MFKTFALILAISSGMSLGKEGPYVHIATCVGNICSRGFKKYHTNDAKRREVLGAAAAS GVAVAFGAPIGGVLFGLEEVSYYFPPKTLFRTFFCCIASALSLKFLNPYGTGKIVPFQ VRYVSDWEMFEMGLFILLGILGGVLGALFIKATNIWARSFRRIPVIKRAPILEVVLVA FLTGVVSFWNRYTKLAVAELLEELTAPCDPTGVTKSGLCPNEKEDILEVVKYLLVAFV VKSLLTTITFGIKVPAGIYVPSMVVGGLMGRIVGHLTQYFVVSYPDLFLFASCPAVPG VESCVTPGVYAMVAAGATMCGVTRLSVTLAVICFELTGSLNHVLPFCISILCAKWTAD AIEPRSIYDLLTDMNDYPFLDNKGSHPATDQTLGDLSRSTGRHRTIDITDSPLVRAKD LRGKLDYLLMAGELDSGLPIVRDTVLVGMIAATDLEFALDGLEDEYAEFCIMSPDHQN WPEPYNEDGETNSIVHDFTIYIDPSPVALDVHSPLDLVYQCFVKLGLRYVCVLQDGKF QGLVHKKQFVKHMRELGKS TSTA_091720 MSTAPSANMPSPPPSQNGRPKYDQFMIFGDSITQFSYDQRLGFG FGAALESDYARRLDVVNRGFSGYTTANARVLFPQIWPTLQEGRVRLMTIFFGANDAVL PPHAQQVPLDQYKENLKFLIQHPSVKEHGTKIIILTPPPINEYQLQYFDAEKGFDTPS RTAANTKLYADACRDVAQSLGVPVADIWMAIMKSTGWETGQPLTGSKEVPANQQLASM LTDGLHFTGNGYKVMYEEVMKTIRATWPEEAPEKLPEVFPPWNIAPK TSTA_091730 MEPELTTDPDDRIDQVAQYITILGNKIGQLEQKVKNVEGKLTTI DQNLAHIDKRIEDMSKDVVERDDQLDTQDSLWLNVRAGELDNVWDQVTNGHEEDDIFI THGADVELDMRVLNHLHNTDEARLETAEIGFEHLYGYKFDGDNEEMIMGSPQEVRSII NMRANLKFLRAWKANSTMTDYLIDRWGNRENVYYPKSRLKQEYLELLNSYKQKGED TSTA_091740 MDAQYPFASREDIWRVFEEVKDLYSTQLEHGERISRLERRREDD ARLKSVWGPISQFPSTMNGPLTEPSYTPTVDAFKGFDQGHPHSIVTNMTLDNDEEPRR GASRANSVRFDESAINGYYGQVNRSTTELPIRTGSGMSSHALTERSLSHRSDGRQSSS GHSHHSARTNSMGIDTARIAGSSGGSPASSIMPPPGLFLLGPVPCIIRCWLTTTFSND SLLYAAVCSGSYTSSLGYGLIEKLGYVDQIIIEEGLRYIKLPVYLPEASIHQTSSRAG SPSPQLPTVTVRFVIRDVDPEDKSIQIILGSDVLRSHNADILFSQDKIIMVDDDRNKV CIPLVRPENDAVFKFLNTASNALRDFYSKQQQSHDTDLQATVNGSDHGTIERPVSRPQ AGSVTSTKVEELVDRDRSSRPTTRDGGQSETGTQSAREGSASVDQEAYPGISAKTEPA GPWGSWRRADSSAIGMAKSNRGRAMKVLRPSKSLSSRVPSTTSNQANSTSQPESAPSS AALYQTGENISAAQTSNPDLVHPTPSRSASFKSSGDGSWPTTGKNRASNPIGGASAFG WLNSS TSTA_091750 MANLSARASRISGFLSKFEFAEENDRRLTILSSRKSGAIFREHI YEFPKVVSTTQKLLKASATLEVPVYITTQNKARLGDTVSELLSQITPDNGQPGNTVIA NIDKTAFSMYTPDIVSQYHSATKNKSDKDPEQKLDAIIVGIETHICVTQTTLDLLEAG HRVYIVADAVSSVNSEERGIALNRLRDAGAIVTTSESLIFEMLRDAKSPGFKSINGLI KEYKEDTKNAVKILCKY TSTA_091760 MTLDLSLYLVTDSTSAILGSRDIYKVVEEACKGGVTIVQYRDKT SDTGLLVETATRLHEITKRYNVPLIINDRVDVAMASGAEGVHLGQDDMSITAAKKILS KDAIVGISASSVEEAVKAVEEGADYLGIGTLFATPTKTNTKNIIGTAGVKTILDSISR LNRTVGTVAIGGINLSNVQRVLYQSAGQNKALDGVAIVSAIIAADDPKSVAEQFKQAI AIPPPFITGSPEISSSVDAGALAAAVPEVVQKVVNCHPIVHSMINFVVANFVANVAIS AGLSPIMSQYGDEAKDLAVHRGGLVINMGTLTSASIGEYLKAIKAYNTNGNPVVLDPV GAGATAIRRDAVKTLMAGGYFDLIKGNEREIRQVYGNTSNLTQRGVDSGPSSLNDVEK ATLARDLARRERNIVLLTGAVDYLSDGYRVIAVKNGHELLSKATGTGCAIGTVCGAYM AAYRDDKFLAVLAGLLMYEIAAENAAAKNTVHGPGSFLPAFLDEVHSIWGKASQGNVT WAHGRAKIEEIQL TSTA_091770 MATVNVRRDVTDPFYRYKMEKLQAKIEGKGNGIKTVVVNLNTVA QSLARPPEYVIKYFGFEIGAQANAKPTDDRWIINGAHDAPKLQDLLDGFIDKFVLCKK CKNPETEVILKDNRITLDCKACGQRSEVDPRLKLSTFILRKTPTKGGKKDKKSRRDKK KEKDETNGEKNGSPGESNASDEGENGDVEIPAGSDDEIVAGAEKINIQDENEEEVQWS VDVSEEAVKARAKDLPDDLKRTLILEGGEDEDEEGGATIYDQLGSWIIKEAEEKGGVA NVSDIDIYMKAKDLGIENKHKTLTVLAQTIFDEKIVKQIPSRAGMLKKLITSERHEKA FLGGTERFVGKDHPELISQVPAILLGYYQNDLVSEDVLTAWGSKASKNRKVRKAAEKF LEWLSTADSDESEEESE TSTA_091780 MEAQRQETFRKLRPVCVELSSAALSFRTLQNHPSDVQKALDGLY AVLEPLGSGDALDAKLAEYVFFPLSQVFNQAQTLPQRCLEAAVKCITVLVAKGWRAQL APEMGKQLLILMTILIGGTPAQNRGQAVLRPDSEELSVASFECMDAIFQVLQGPVAEK TIFNEIGSATIIDQTVYLLLEGLSEGASTAVQISALSALRSLLSRVTDRVILASIMPR VVSALTKIVKPTTRARRSFKVLDGSLQLLESILRAVLNDNTVYGEQHKNESSDKLVLD ESWLKATTGQIKLALANVVQVRQHERQAVRDALLNLCVMVIEECSKSLKDSLSLIVET MVVLSDADDGDAPNNAYTSLIHLATVRSQTVDVLKELLHIWTTSFQRIMQSNDEAAKQ RAIKQITIAFQILSQVQSSSALLESNVAIGLCDSVAAVVRSSKSAPMAVRPSSGGLEL AVIGKQNALQTFPPVLLEHRSQQQTLTDMTSMVTRLSHTQSGEAITRYIINQVHQASG DAVVAPLWLALQFLRSEAPNGIDEFLTFDTSATLSSRTTMIEELYSIALPVLNEPPTI DPRDWRVSALALEAVALQAQQLKEDFRPELIDALYPVLQFLASNNPNLQTHAMACLNI ITRSCNYPDTRAMLIGNVDYLVNSVALKLNTFDVSPYPPQVLLMMVKLSGAGLIPYLD DLVRSIFGILDMYHGYPKLVDLLYSSLAAIVEEGSKTPELLAITEDGQENLLEHTKQA YEPLSVARLVEDIAQRKTKRARIEEMDTMLDEERVSHPQRPWTRELDGPPLPKGDDDI EGLLNNANANPLDEADESLPTPKDPEDAPKPLSKSHNLLLQIVKSVPPHLGSPSPFLR RSLLSLLNKALPILSKNQTSFLPLINELWPPVSGRIAPPSAATTSIYLETTTSSSSTD IISRATTNPKPEDHSIIKEETYVTTAACDAVTSMCELAGDFMSSRVATEFPRWRRLYL ENWKKVLIDAEKTLERQNRRPPAPQISKDLLKPNNPDLSLSNTTTRSFTQHHILWRSL LILFVNILAHVRVPLAIGDELAEFIGEWITKFVGARYYFDYYHRHPPQQQQEQAVQKQ HAASGNGDMHLVSNALQAMEAWNKDLTWFIFWQGLLTTTTTSSASTTRSRNGDRWRLR KELERFNDDAAKRGRWKFASVEAV TSTA_091790 MPEKTGTRQATLGKFFGSDRQTSSDATKRQTTLSFSNGNKRKEA TTSEKAVAESKDTEGQDDVEINGDAPVAKDEPTSPTKGVKREQFVEAEESDSDIQSAN KRRKKNSKSPRPKKTAKEVLAERPSSPKIAKKASGEVTPVEEDIDEPAEEPSASEEED DEKPEIKKKQIEKIQATLKSTGNDPYPDWRAGEPVPYAALCTTFSLIEMTTKRLIILA HASLFLRQVLRLTPEDLLPTVQLMINKLAADYAGIELGIGESLIMKSIGETTGRSLSV IKADQHEIGDLGLVAAKSRLNQPTMFKPKPLTVRGVHEGLLAIAKVQGHGSQDKKISG IKKLLSAADSAIAGKGAKGVDIKQNKGGPSEAKFLIRFLEGKLRLGLAEKTVLVALAQ AVVTHEAALKGEKSPSAERLAQGESVLKQVYSELPAYEVIIPAMLKEGIFNLPKVCKL QPGVPLKPMLAKPTKSITEVLDRFEGKEFTCEYKYDGERAQIHYVSPDSIHQYPNATA TLQKDAKGLSSIFSRNSEDLSKKYPDILAKLDTWIKPGVTSFVLDCETVAWDMANKKV LPFQQLMTRKRKDVKAEDVKVKVCVFAFDLLFLNGEPTVKKSLRERRQLLHESFHPTE GEFAFAEHSNTNELDEIQSLLEESVKSSCEGLMVKMLDTEESGYEPSKRSRNWLKVKK DYLSGLGDSLDLVVLGAYFGRGKRTSVYGAFLLAAYNSSKQTFETICNIGTGFSEALL EELHKELSPLAIDRPKPFYEHSTVPKEQPDVWFEPRLVWEIKAADLTLSPRYKAASDE VVGTSGAGKGISLRFPRYIKARDDKKPEQATTTRAVADMYRRQEAVVKESAGKGGVDD DFEY TSTA_091800 MERGLKASILLATIVCASVSTAKSECTDKTAPMQMRLAYAGDRG MTVSWNTYSKLDHPSVRYGLHPDSLDRKAVSDVSVTYPTSTTYNNHVKINGLKPDTLY YYQPQCGNSSQIYSMKTARPVGDSTPFTIAVAGDMGLIGPDGLTTTTGPNGGTAPLGP GDNNTIQSMESLKSEWDFFWHPGDIAYADYWLKEEAQGFLPNYTVADGQALYEKFLNE YFDEMTALTADRPYMVGPGNHDSNCDNGGTTSNGVAYNISICPVGQTNFTGFRNHYRM PSQESSGVENFWYSFNHGMVHFIQLNTETDIGGGFVAPDEPGGSEGMNSGPFGSYPNE QLDWLKNDLESVDRSKTPWVIAAVHRPWYVSAKNTSGSICTICKDVFEPLLVEYGVDL VMQAHTHYYERNQPLNNYVIDPAGLNNPQSPWYITSAAPGHYDGLDSLVRPLKPYVVY AQDTAYGWSKITFHNCSHMTHEFVASRNNTILDTATLFKDRKCEPGTGGDDGGDDTCE I TSTA_091810 MGHPVPDLDEIGIKAEPELAEQFRREVAELLDRKSTSFPGAQPV SFSAKHLIELQKEDYYVCEKTDGISGDPDSDTPEVHYLIDRKNDYRYVPQLHFPLPND DTFQSFHVGTLVDGELVLDTYEDGSTQLKYLVFDCMVLDGKSLMHRTLDKRLAYFKEN VLKPYNAMYKKYPEEKQHRIFAVEDKSTQFSYGIEMMFREIIPKVKKIHGNDGLIFTC RSTPYKIGTDEHILKWKPPSENTIDFRMRLEFPLLEPDTDDEADGIVEPYYDYDAMPI FHLFVLHNNNEHRIFSEMHVTQSEWEALKALQIPLDDIIVECYQDDRGRWRYMRFRED KKDANHISTVEKVLESIQDHVTEEDLIRAAPAIKAAWKKRQAAAMQAERKPGPPPSVQ AAAGSGSSGGVSNGNGVKRKFVEE TSTA_091820 MRRVVVTGLGAVTPLGVGIRRTWSRLLDGHCGIVNVADRHPRFS EIPAQIAAVVPLGNRKEGGWTVSEWLNRDEERRMATFAQFAVAATEEALEDAAWRPTS DEQREATGVCLGSGIGNFDQIYDTVVAFENNGYKKVSPLFVPKLLINLGAGQISMKYG LMGPNHAATTACTTGAHSIGDAARFIAFGDADVMVTGGAESCIHPLALGGFARARSLA TDFNENPTKASRPFDADRQGFVVGEGAAVMILEELEHAKARGARIYAEFKGYGTSGDA FHITAPKENGAGALSAMRRALKHAKIPPSAVDYVNAHATSTVVGDAAENAAVKALLLD TTGGQGKQKAADINVSSTKGAIGHLLGGAGAIEAIFSVLAIHESIMPPTINLGTRTAE FDCNYAANEAQERKPRILQQSQETHALVLDLFFTLPLSLLSTLKTMAAGQASINFVDA SGYKFSEKDTKPGKIKLKKQAGKGAKTGNKSEPQGSPGGSPVLPQMDAKTIAAFPSGK PREEELETVICKHCKRPQLKTTAVEHIRECLKAKQEKARKKKEARDAKNQAKIADSKD GEDDDKDDDGIKGQKTAKKGAVKGMADDGPKKGKKRKAADGEDEKDGKEPKKKKKKEE PKPKVPKPKGPVDVEKQCGVLLPNGSMCARSLTCKSHSMGAKRAVPGRSLPYDMLLQA YQKKNQARQQKAAIDANAPLQDDFENNGPVDSDEERDAVMAAISRSHPTPLAVHTLIP TRKKYHYVRMKEMLSNVLGGARGGGLFSTGPATNGETNGLFQTESPTMGTATATASST LQDSSAAGDVSKKQANPQATPVNRPANVATTPKTAVAATS TSTA_091830 MAISIKNVIVLGLLALCAGVHAHSDHHHNEDEEIILTQSKKEEL LLKWEQEWGFSGVSTFAHMKTVKCLIEPDERYDIAVIGAPFDTAVSYRPGARFGPRAI RAASGRQLPGTSYNARAAINPYKSWATILDCGDIPITPFDNALAERQMYEAFLELGTR PAKTTSAPNDKGIGAGKPKLVTLGGDHSIALPALRALYQIYQKPITVLHFDAHLDTWN PVRYASYWLSEQSRFNHGSFFHIASTEGLISNTTSAHAGLRTRLTGIDDGDYTNPGPE QGFMRIHADDIDDIGTSGIIDSIINRIGLDPDQPVYLSVDIDVLDPGTAPGTGTPEPG GWTTREMIRILRGIEKLNLVGADIVEVSPSYDNVGETTALAAAQVVFEIITSMVKAGS GRDLGGWYGKKDGEVFEGGKGKDEL TSTA_091840 MVVATIKCVVVGDGAVGKTCLLISYTTNKFPSEYVPTVFDNYAV TVMIGDEPYTLGLFDTAGQEDYDRLRPLSYPQTDVFLVCFSVTSPASFENVREKWFPE VHHHCPGVPCLIVGTQTDLRDDPAVREKLAKQKMQPVRKEDGDRMAKELGAVKYVECS ALTQYKLKDVFDEAIVAALEPAPKKRSKCVVL TSTA_091850 MTLLETTSNVISYGTISTDTSMGDQEEDRLLYPHSVYEPDNDIG TEDEGSTASDVQDGVRKIEAISLTWTQKSLMIAYLGIFLMAFCTSLEGQTVASLAVYA TSSFSKHSLISTVLVVQNVVNAVIKPPMAKVADVFGRFEAFCVSIIIYILGYIQMAAS NNVQTYASAQIFYSAGSTGLQILQQVFIADSSDLLNRALFALLPEFPFLVTVWIGPTI ADAVLRHSSWRWGYGMWAIILPATFLPLALSLLFNQRKAKRLRLIKKKHRKRTLYQSL RSVWYELDMFGLILLTAAVSLLLIPLTLAANSKNGWHDNSIIIVLVLGSRSKTVTFTT STDRTDCAGWLRIGVFYFMAFYFSVQPYFYSYLQVVQNYDIAVAGRVTQTFSFTSTIA AFVVSILIKYTRRYRIFVTAGTIVYILGLVAMLLYRQEGSSFLQILGTQILVGTGGGL VNVPVQLGVQASAQHQEVAGATAIFLTALEMGGAVGAAISGAVWTHQIPKRLRQYLPA ESQDQVEEIFGKLTIALSYPMGSETRIAINRAYQETMNNLLCLAILAALPIVPLSLTM RDYQLDKMGPTRKRRRSSHAHLNETPARASLEDGDRL TSTA_091860 MSDYKFINSSQSVPDAKDLPINYSIKASPSTDVWAKPPATHRFN APILYKTIPLKSFKRVRVSIVAEWKVLYDQGGLIIVINNGKTDEQRQWIKCGIEFTNS KPHISVVAKDRWADWSLVPVPSGGQAVTIELVREEDNTLWVYLVEGISRVPLREVTWA FEQEETAECWVGSYAARPSSATTDDLVVDFRHLVVETH TSTA_091870 MPRFRDHLGKAKPSMQNTPNIIRTLAIWQQPEFADVSVPDEDDD FVHGFDAFVDQVSQPAREHAIRYNQARSAKKEHTPTKPSTYISSLQEAAVDQKAEYTG SKIKSSIRQTIRDMPTFTGIEKRAQMLLQAAGFLDIEKLIESQPTNKKERRPVVPQLE FSPDRPLDFYPMHCANAQCNTIITGSMFTSDNPKEARVICEDCYLHFFYGRESYMCPC QRVPHFDKDNKPLPLFPVDRNAPHDRYRLATNGVTCKLWTLDDRVAIAKYNALRTAAG LKETKKGIKPTSAVHTMIMLDNTQPQGNSVFEIFRKSSKAGHERSEQVVPPLTSLTNV VTNPKADPDVPMFFKEYVDENPFANVHMKLRVGPLIIENGISEGALVTLREAPVFHQR LSLSDKGKQGLIVIGSEKQKVWKHIQPVQRLTNYKAVMKQVVGAPFSGLLLEDDERAM IGDVIYASQSLSGTGTDLKDPLERLLKNLMRIIGTRVKIYLSSIAERLLSPTTRLSWN SMHNNCQQFCNSLIDHNIFRPLISDLVEPLYLMSFLCPDEGYMRPIVHKFSVPSGLTQ DYISQFYFGRSDKEADIIDTYQEYWYDWGAFGGPIYKYQNIFPGTAPRLMVDIRHVAV IVICPNTSGHFRLIPGLWYRITLLAINTFSTEPTPQSWMRNRLNVLSASTSLYRGAVA MAITAIFRSATAWLSPSSKLGTELSSRDPYLARVKIGGIHRAQPFGHLLFDAKKQTTF FQAEWASRPFYQQVEAYESAREECIKLSDVPGLNVPPPPSKAENKSGSPSQRNEQVAN TVDINEIYADAALTSRNATSWSAGCAVECGSSCASGCGNSSCGGAAGSSTYPGTSDTT HGGSSSGAGSYGDRSAGHGSINTSSCGSSCGSSCGSSSGGGSSSGGGSSCGGGDGCGS N TSTA_091880 MSLLVPSTTRKLHQAAEQEIKQLKSWQTSQPLDDCWNLLEAGDT KDSAGQQPLIPAPSLFKSLTIPTTTSISKDEYPLPTPTQCAVHLELLEVFLALRYKIV NSTALDTTFGVKGSSTRTVWRKIFDIDLRKSVYKKAIIRDDRFQDWRREKWDFYLRIA VERFDIWIKAVDRVVQEQKEEGEKVSLPCLPPLDVLMFWHAFLLNPDDFDTFCSDHKL RIIRHVEFPWTWTYELPRSSLEWTKHDCQIEPDLFQYLIEIGVTPSSPIAQTLSRFGD PKDQQVGSLWTTLKQMILGERDNRFMKNLLQIQMGMQIPARLALTENVKRQASFVDKM HSHLWISSPAISGTLRRAIDRYSKFLKLFQLYPGKMLVPTLDIDLIWHTHQCSAVLYE ESVRAMTGRYINHNDKIGKKELGHGADETQDLFRTRFGEEYEMITTALEKLDEDGADL LTGDGLDMSDLAEKVGRYLAYYRAKELDRRVDLRINI TSTA_091890 MTAVKTPMSISALLNPQQQNGDINMRHHIDLPLRQMQIGDMNSM QYSQADYRHYQNTSRRIQHESDEQRSLDDKRLQTAGGYRYERFDSVSSTSSTTISERR RAPRPKYTDEEMYFIWYHRVDLDEEWRDCTEAFNKQFPSQGRSERNTQGIQCKFYRFI NAKKCPTVREQRRLKDGEFMAHGRSSRGRLLPKFGVVEWCHVWYPWMRAEHAIAGLHP GPQLHPVEPQYQQHQHVQGSQKMPSEKQMMRTYSHSSISSSAASILSSEASTPEPYTP SAYSDDIASDEEMVQ TSTA_091900 MNFEDKSLPPEQFHVKRRREEEPVDTLYIQSELQQKKRRFTDFV FQRVKGPDDSVTGEAAGDGVSSPSSPAAAALQSRLRSSRSVSTSGFPDIRQGRPAGFP AGTSGIPTVRATSPGAEFRSERALAASQRQEAEAKRKRLQEPISKYLGVSDGLSDREN EKSASSSRAATPASSRHTPPLSASPAPFPTSLRRFHISRPSTPLGPLKGSAGSGVMKG NTPTRARAVLVEKLIRAPSLRGASVIETLEKTAGSNTEVDTVVQSQIAKTGTDEETAP TRRKRPIVNQAEKKWREEQKSSISAAKEHLTTKFEPQEDDLDKLAKELEDVMLDIEAE ENQMDVHRKEAPSAPARVVIPRPNRPLKFKPRSPGTRRAAQPETSPANEQHDKMEQNA DAGAESDGDYVYDTYVRVPIHTVTSSVSGAAPQSDITGYEDPLAEANTPGGITIDPTR TDVGVVVITSDDEELWDQYLEDDQDSEVDWDGDDVDSNAEDNPANDYPDDEVSSADEY DDNPRIYHRYRMARSDDEEYDVNEFDDEVDYDDDYRKHMYDYVGDDDDDD TSTA_091910 MEDVDATETCLQNLKELTGTWPPIRVGGTTRQISWRSDTRSQPR LDNLSNTVAAAKLAKSQMGTLYAIELVFTNSDPIANGDSWTAAADYVSEIQWQNAVCG NLSVTDLIPAGVSFGTFPMRIADLTAVEGTASIYDKDYCFHNYPQFTSTANLSSLMSH SGIESQTQPFAAEVSAAAQEGKPHVLGETNSGRFYSWYLRCDSSDLIAATGGGGGISP TFSEALWILDYTNPAYKILGQYCWWGRYDIEAPYYGAYFVNMALAGTDSITALDDQTT AYAAYAIFESDAVARVLLYNWEYYTSGTRPNQTFSLTGLSPGIVTAKRLTAPYAASRV DYGGNPTVAGRTFVNGTCTIQGTAVEETTTVSGGEATFTIGASEALLVYV TSTA_091920 MNLLQGPGSALKIHISKLVNTMQILLLDGGLGTTLEASPFNVQF TPEKPLWSSHLLIDSPSTLQAAHHAFCDAGADIILTATYQTSTEGFTRTNSSYTARDA AQYMRSAIPLVRSAVSSTADDKKRSVALSLGPYGATMSPVSAEYTGIYPPEMDGENAL REWHTQRLKIFTESEDESWDQVDYIAFETLRRADEVCAVRGAVCDVVGRDSTSKKPWW ICGVFPGEQVDEEEIRQWVRAAVGNHPGLPRPWGIGLNCTRIDRVEAIVSIMRDEVRR LLDQAQIDEWASSKPWLVLYPDGTKGEKYDPVTKTWVQSVTDTVKRPWDEIFWDIIQH QSKAEWGGIVVGGCCRAGPADIAALRRRIDSDRVD TSTA_091940 MLAMQTADSKNSRLPSMSKFSGFNADQTGFSHGAFNSNNPSVQS FGNRDSRRANIPSINTGLHSGNDLGSGFDMNVTPLLPSQLLLGSPFQPGTPSAFASPQ FTNFGGFSQANSAHNQNAQNTLTSPTQAQQNALSPQLYPGLVSADGFAGSQLLGGPQS PIGGFPGLTNATFGASNASVHLGPQMLSGTSRTVYLGNIPAETSAEEILNHVRSGQIE SVRLLPDKNCAFISFLDSSSATHFHSDAILKKLSIKGNDIKIGWGKPSQVPTSVAVAV QQSGASRNVYLGSLPEDITEDELREELGKFGPIDTVKLVKEKAIGFVHFLSISNAIKA VAQLPQEAKWQAPRRVFYGKDRCAYVSKTQQQNAAQYLGIAPGYAHVLNSADRDLISN ALAQQSVAAAAVATTAGGVNNLGNRTIYLGNIHPETTIEEICNVVRGGLLHHIRYIPD KHICFVTFIDPTSAASFYALSNLQGLMIHNRRLKIGWGKHSGALPPAIALAVSGGASR NVYIGNLDETWTEERLRQDFSEYGEIELVNTLREKSCAFVNFTNIANAIKAIEGMRSR EEYKRFKINFGKDRCGNAPRQVNNNNQQQNRNGLEEQSPSPLNGFKQSSSQNGSQPSP TRPALSPAPGSTGSQGGQQNRPLQNLASPSSILNTGNNNPLTMYLNHVSQQGQDQESR LTDPITLATLQQQQQQALANQQAALYNGAASNEIPNGSIESSINQRKPSNGFLNVANG HAGSTHSSANSLSVPRIQHSRAVSLPSFSQEPFGPNVGQPSHGRSGLSHQPQGSFSSF SSALSGLNHSGFGLAIQNESSLPGWAEEEIGAK TSTA_091950 MAAPEQHIYIDEDVGKDDSSANGTEAAPYKSLLHAMLQHAPTTE GIKYLTRKSVTGPVEEGNEAERLEWKAPSASAMKKSTRLYEGALKKAAKAQEIAIREK ADAEKRQVVLEEAKKVIIKEDASLPKPVRIRLDVTDPAIVKLRAPESDEPGTRVRVLG RVHRLRSQKDVIFITLYDGYGYLQCIMTGDMVKTYDMMTLTLETSIAIHGEMRAVPPK QHAPNDRELHADYFKIIGKAAGDKEAITSRVAPDADPQTLYDNRHLVLRGETSSSVMK VRAATLRAFRRTFEATRMLEVTPPSMVQTQVEGGSTLFSFDYYGEPAYLTQSSQLYLE TCLASLGDVFCICPSFRAEKSLTRRHLSEYTHIEAELDFITFDDLLEHLEEVISTVIG ELLADEQTAKLIKDLNPDFKVPSRPFKRMRYSDAIDWLREHNIPNEEGQPHQFGDDIA EAAERKMTDIINQPIFLTHFPAEIKAFYMKKDPQDPRVTESVDVLMPGVGEIVGGSCR IDDWDELIAAYKREEMDPSPYYWYTDQRKYGTSPHGGYGLGLERFLAWICGRYTVREC CLYPRFTGRCTP TSTA_091960 MHRQQQKPHTRGTTNTSPPSTKRPPLHRHTSSPVAKTTPTPTPT PAPSPTSPTPKKGSAIKPQRPALYHRKTASAHFHHPQRNKSHQSLGRLLGAGSGPASY SVKPEVEHFEMATSFLQYCAMCEKQITVPSNSVLYCSESCRRKDSCKPLSASSYTYTM TSSPSSPINIDTSSSIMSTTPTSKPIPVSRPLVARIPSDLHDAKSDLDPTEWKPIISG GNHRHGRDGSSTSLASSDAWNYLSQFHHPRGQDERISSSTPSSSMPLFRRPPTARNST SSLSTMAPSLVNTPSTTTASSSVTGSPPSSSSMSSASEYISAAIPSYGGYGNYTSTSE MAVAGMMDPSRPLPPRHNPSFSNGGAGATKGVELVVPHIMASAEEEDGHVQEGIWMRV TSTA_091970 MATPDETSSLTGADTGADTSDDEVVESLVAGRQKRSTAGRNMSS LLDAAADDDLTLLFAEDENDDEFDEEEGADGEFDDMRLDSSSEDEDDQGPNAADDDLE GEKELEKQARVERKKRKAQESLRLTALRKKVKIDSPSLSSTSATTPRPKKKSERVSWL PTPEEGPVRSSSRRQTMQNKELTHARLKDSEQRRIKLIANMEEAAKRKQRLKPKVMTQ EERLAEAAKIERLNSKSLNRWEEMEKRKAEERKAKLEALQNRRLEGPVMSWWSGIAKW VNGKLVRVGNVEVQPKSEEKEPERKKKAKDTSQEAVKAESLHPTSLIQENTATVVNGE TASALPNKISTQAQQNVTVTSPQGFLDGTHQHASVPTTPAPEKSEPEVEALPESARVE GTTSGKTAEGSKLENKPETKDKEKSVADEPAVDGKEQDTGDTLSKTAPEGSSTIADEA PKSETKSIPNASEAADSTAAETDKPVETKTTTEPAEQDTSTIAQTDSVDNPDIEPATI PATPLQENTEQADVSMQDVPGPTEAHQPTAVAEPTISEIEAAMRPEQVEQTGRNLLVL ENFDNATIQSREYSIYFNAKKPPRLAKISQHLCPITSQLSRYRDPDTGLPFANVVGYR EIRNLTEQKYAWSGMLGCYVGSATVAANGVPEQFITGVKPDRKAESTIDVDKCRSNGE AMEVDGS TSTA_091980 MPPIRKKDPLKSAQIEGKIQLAISDLKNGRISNIREATRIYDIP RTTLRDRLKGIEYKGEKRANHHKLTQSEEDSLVKWVLDLDRRGLPPRHSLVREMVNYL LQQHGKSQVGKNWVTNLIKRRPEIDSKFARKYNYERAKCEDPKIIQEHFDRVRAAISE YGILPEDIYNFDETGFAMGPCASAKVITGSDRYAQPKLLQPGNREWVTAIEATNSTGW ALPSYIIFKAKKNVRLGWFEDLPDDWRINISDNGWTTDQIGLEWLKTHFIPNINDRTM GKYRMLILDGHGSHLTPEFDRTCTENNIIPICMPPHSSHLLQPLDVGCFAVLKRYYGQ VVEQRMRLGFNHIDKMDFLTAFPQARTVAYKAQSIQNSFAATGLVPFNPDRVLQYLNI QLKTPTPPPSRSSNTASSCLQTPQNIRQFVRQSTTINKHINERTGNQNQEINQAVIRL SKAYEILANDTLLVRKENHDLRAANEKEKQKRQKSKKKISIEHGITSEEAQALVQGQV EASQAVTAAPVEPELPASQAVVPKPGKSIFRH TSTA_091990 MVPVSRPDGHLNLNYIPVSQPMSGTSTGSNSPNELPGNLGKSPF GTTNGLGSAPGSLGSTRIGAGSPSHELGTRLYSKRAREIQAQEGVSTSIWGPPTSGHS TPLRENIPESPSQDGFPDLIPSEPIMNSPARRARAGTVPSRFPPVGTLSATSQAPSLM SKTSRPTPSTSPFRPPGLSGIDTSGRTATAGAGPNTAVLSRLRAGSMPQRPNNFLGGS NPFGPSVFSTSWASGRDRASTLASIRSSEGPTSPSQSSFSGGGLADSDVKTLDYLGLA ETPQQHNSSLAHSSVEALLQQQQQQQQQSSLPPLLAELAMIKSNNRFRSYSVNAKEKY AEDDEEYGSPYPQVPSGTLTPSAAATAAQLAATQAQIHQHNLAVQAFANHASASRPRA RTAGILDTPPQRSSIRNYLATPSRLENSISAADLGIAEGSEYDELSEAVQMMQLGGAN GANSNVRALAEVADEGSIEGPTRALWIGSIPVSTTVTSLDAIFSMYGKIESTRVLTHK NCGFVNFERLESAVQAKNLLNGKEIFPGAGPVRIGYAKVPGTSASGTPGANGTHLSPT PDPHTALGEHGAIDASKPDRSGTDTPRVPPLSDLRMEMADIVKEFGASDADVQAITAS VQRSIAFQAFNDEIPAVPEPSTTRMHDAPKLRDIRKRIDNGLCSVQEIEETANGMLPE IAELSSDYLGNTVVQKLFEFCSEQTKEQMLLQIAPHLAEIGVHKNGTWAAQKIIDVAK APSQMQMIVDSLRPYTVPLFLDQYGNYVLQCCLRFGSPYNDFIFETMLSRMWEISQGR FGARAMRACLESHHATKDQQRMLAAAIALHSVQLATNANGALLLTWFLDTCTFPRRRT VLAPRLVPHLVHLCTHKVAYLTVLKVINQRNEPEAREIVLKALFFSPNDEALERILSD PSSGATLIFKVLTTPFFDESMRSEVVKNVSKVLTKLKATPSQGYKRLMDEVGLSSRAS SRDHHSGRDASIGQGTNGEKQHRPTSRQAGSSYQNQQQADRQFGAQYPGSGIENGVSI GRPGEQHYDFAANGMGAANGMNGLGSMNAGPGFGQESVLSLPQQQMQYQAYLQSRGVP QSGMYPGTIGGNVYPGYAAGMDNMRSLPGANAMPAPPQVNSNAIMGQPTFAPPQFSPV LNPAQMYQYSPQYYPQTQAIPNQAGAGRRGRVSFYSY TSTA_092000 MPLLLPPSPSITSNKLKLSTLTFVTSNADKIREVTSICKRYGIS VSVMGIDLPELQGSIEEVAREKCRQAALAVNGPVLIEDSALIFHALNGLPGPYIKWFY HSLGLQGLNRILADHEDKSAAAVCTFAFSWGPRPAPTNEDSDSDGGDGSSSEPEVFLF QARNEGKVVPERGDFGFAYDPIFEYEGKTYSELQPEVKNQVSDRSKALTKFIDWLAS TSTA_092010 MSTGFPTLTHLTGIYISSTSGPTTMTTTTMTGDGICRLHKSESS VGRYRIQASFRPESKIVESVRLQRRTRIKAAFQHAWIGYKKKAWLHDEVLPVSGGYKD PFVGWAATLVDSLDTLWIMGLKDEFDSALKALEQIDFSKPNAQRVPVFEVTIRYLGGL LGAWDISGHKYPILLRKAQQLGDFLYGAFNTENGIPTPYYWWENVGTGKIESENGVVV AQIGSLSLEFIRLSQVTGDAKYADAIQKITDQLAQTQNSNALPGIWPSYADCKGESLS FSNRDFTLGAFADSLYEYLPKTHLLLPSSSSVAQQYLEMYRIALHSFSRHLFFRPSLP GEPDILYSGNANANTGVANLDTQIQHLSCFVGGMVGLGAKINNSPTELETATKLTNGC VWAYENTPSGIMPEIFHVDQCADLNPCTWNGQGDGFTRVDDPSYQLRPEAIESVFIMY RLTGDPSWQEKGWRMFESIERYSRTDIAHARLENVMDPNPGKADSMESFWLAETLKYF YLLFSEPELVSLDHYVLNTEAHPFRREG TSTA_092020 MAKYDNSCNILYITMLLDPRFKKFVLEHKLQDEAKGIITTMQEQ LEIQYPIIYKTELTTTSEEPEPSAAFQNPHKTIVSEMMSKIKAKSQKSAEKSSDIARY LNSDVVKFDEKKRNLIHTWWRGHIDECPRMAAAAWTGYHLTHVDACLCAILELARSRR GVDINPRPHHLARAHMTSSRASS TSTA_092030 MEDKSAAESLISRFQIERLLNQDQSDRRIALLGHIDGKQGILIA ERAAFATDSLEVLRAFHSSDNDIYKWYMANASSPVNGDYGSSPPDLKLNLIWPCTAQH IRKYSAQPVRMVTETPQIYREYIRPYMQQKREEGRLNWIFNILEGRTEQDDVLLRDKG DSPDEDGFLMLPDLNWDRKTISSLHLLVLVERRDIWSLRDLKRRHIPWLKYLRQRLID ATVKTYEGRIEEDQLKMYVHYQPTYYHFHVHVVNVMLEAGQTQAVGKAFGLENIIGQL EAIAGDDEAGMADVSLSYFLGEASELWSTVYEPLKKGEKL TSTA_092040 MSTAQPPSSSSKFSGYRSFHLGCKTAKWRFLSWPQPPTAATLPT IRQPARLGAVIAAPKESAELPELVMTIQSFGTMVTPFITIAHDEDPAKAAAPAPKT TSTA_092050 MAENPDVPNEKKAFVPLENNPEVMSHLVHQLGLPTTFGFTDVYS IDEPDLLAFVPRPAHALLLVFPVSKTYEDSRIAEDTPLPEYDGTGPGEPVLWFKQTIR NACGLIGLLHAVSNGEVRNNVLPGSDLDNLLREAEPLKPLERADLLYYSKALEAAHAD AAKLGDTTAPDAEDQVDLHFVAFVKGRDGDLWEMDGRRKGPLKRGHLGPDEDVLSETA LELGPKRFLKKEAEGGKGDLRFSLVSLGPVFD TSTA_092060 MHRGPLEAAAFDKFMENLEKSKSTIIAMDPTTTQWPQYQPIRMR FNDAGMGSSSVTLVVCLDASRTAYRCGMSCIHDLFHFFDIDSSWELLQFRILRLITTG PFLCTGSDRAFVTVQFTEEFAATSTRSAILYENPQKSVFLVDIPTSIEIVQSASFSSS AVDDDDENNRSNLPAQQHHYRHIYSSKPLEHPYPSAPEPKSEAARARLLSRIPESEIL FHDSIASFIRSGVDEVQQGLGSRKWFLPRKVIGAAVQGDKIKDGATVNNRTGTEQNAI SEPSRKRLRPEILVKYAYSNRKQPPLILSPSSVNYFTDLSDLHGIQVKNPSPLKAATI QVNEPGTNITTKYTIPPASSFVLHNLAVSQTYSTSNISPIPGLPSAEKFDIILFDPPW PNRSVRRSSQYPTHVYLEMDSLVSLMQQTILSHLRFGDDRSTERSIVGIWTTNNAKSR QAAYDAFAGTGLGIFEIWIWIKTTENGELVSPLDGLWRKPYEVMFLGKPRTNTKKVDG EEEPIKRIIAAVPDEHSRKPNLKELLELLFFKDDGNEARETNTKQDAALEVFARNLTA GWCACGNDVLKFNADQWWYQP TSTA_092070 MLALRSAPKSSNSKEALTGNCTPNILPCRIHYDGPIDTSKRHWH AINDEKEDKQTAYFRGRKLQGRTVQLPEAYQGVVAFPTDRMVPAANREGAVPEEPVKI LESQATFDQFVVWGHENLPAADDTFVKGVNEWIKLAEAMHGDSREPETITQ TSTA_092080 MPHRSSGVNFIHTSLTNARKRDRSAEKKTSVLADSEDDDGWELI WGPTDQEFYFKNHSTGKRRTASPKVALQGRRYLPEVEHPSERQKDEALVAALQAGYSK QVVSQILRYSMNLNVQILEYDQTPDDIEIIPHGRDMVPVTPLEWAMEHERLDLVNLFL DNGADANFTVSSADGPAVFKAAKRKSRKLVEILVQKTSRVSCTRALALVVEQQDITTT TILLAHDNVRCDFEESDRPLPPHHYEWSCGLSDSAHIKSLEAKDMTPPLARAARLGNV ALLKLLLEHGADP TSTA_092090 MLEPPDNRESKDHTGDQKLEAGNIHLQQLTSPRSGILTVKLGWA YRLSLPDDLDDSNPELSKRWRLYAIGEYEGFQTSTEVTATMAAKGAVLWGAWQRPFKF EVSASPSSELKISLFARNSGFDTSMLISWGDYENQVIFLEMVRLNPFLMGSGLQKVDI QNGTGRLEAEISYIRKEALPLETSEIWSVRRENNPGNLDLIYVEKKETGQSYGMKAID IHTIVHNDLAASGLSDMDTNIDINMAPRLTLRCAIQHPFHRTAQIFFQDPVVDIFLDH LQMERQFDINKARYYAAELVCTLEYLHNQNITLGSLELQNILLDSSGHASLCEPGIFG LKLGARDDCISPSTTAYPAPEALFDNQEVSGAVDWWALRTIHYEMLTGIPHFYHKDSG ERHNNILNQEDPTASRESAINWKGYFNQAFQ TSTA_092100 MDVKSLSSNWKKLQASLKGPTVSKEKKDTQPQNGLKRKRVEMVT EKTASRPRKFSKVKSMIDSNGAKSMGEEGHRSLGTTEQPAPEITEKKQAREGKVNEGL NETAEIGKYVAMDCEMVGVGPDPDKESALARVSIVNWNGDQVYDSFVRPKEKVTDWRT HVSGIAPKHMLEARSFEEVQKDVAHILEDTVLVGHSIRNDLEALMLSHPKRDIRDTSK YPPYRKIAGGSSPRLKLLASELLGLKIQEGAHSSVEDARATMLLFRRDKEGFEKEHAK KWPVRVTTVVTEEEQMVKTKKKRKPKKKSRKR TSTA_092110 MVATDSKAVEAPQQFKQPSRKGKKAWRKNVDVTEVQEGLRLVRE EEIKGGVIAEKPSEELFTLDTTGDQELAKAHRKGRKVLKSDEILAQRSAIPAVDSRKR PNSKVTDGIIEPKTKKQKSDWVSNKEWLRLKRVAQENKPAAKPEDGVNYDPWVDSVEA AEPQDEKFEYIPKKKQKVAPVTLKEAPISLAANGKPIPAVKAPTGGTSYNPSFEEWDS LLVKEGTKEIEAEKKRIEEAKKEAERQRLIADAQNDDGEVRSDDESAWEGFESEYEKP EWLNKKRPERKTQAQRNKIRRRKEAERNAKWEAKMKQREEQAKNIQSITQSLEEKEAL RKQLQQDSSSEEGDDTVLRKRPLGKNPVPEKPLELVLPDELQESLRLLKPEGNLLDER FRTLIVQGKLESRKPVTQSKKPKRKATEKWAYKDFEIPGL TSTA_092120 MPVVKGGVWTNIEDEVLRAAVSKYGLNQWARVSSLLARKTPKQC KARWVEWLDPGIRKIEWSREEDEKLLHLAKLMPTQWRTIAPIVGRTATQCLERYQKLL DEAEARENDELGLGGPGGEAQAPSADDVRRLRPGELDPDPESKPARPDTIDLDEDEKE MLSEARARLANTQGKKAKRKARERQLEESRRLAVLQKRRELKNAGINIKVVTTKKGQM DYNADIPFEKAPAPGFYDTMEEQARNERQRELFDPRKQQLANKRKGDQEDDVERKKRK NDKQSTSAAAAQAGRMQKIREAEQLSKRRALNLPAPQVSEGELEDIIKMGMAGDKAAK LAGEEEGTRSLIGNYSAMTGATPIRTPRAPPQEDHIANEIRNIRALTETQSSLLGGEN TPLVEGGASTGFDGIAPRRQEIVTPNPMATPFRQGVNGVGVTPMRGGIGPGATPLRTP RDQFALNNENGSQIIGSTPREVRMRDNFMRQQLRGKLASLPKPKETEWELEELPSESQ EPTADGYDGEEDSTVRDQREAEIRKKAAETEFRRQTQVYQRSLPRPSVVDVTALLERV SHTTDPIENLIAKEAAKLIANDAKKHPLPGSKINGKPPSLDKIDDKWLESAQAEISKY ISSKDIKSQWQADFDASWSSTHESALPGLAIYDDDEDALRQEQNMISAFDNVQNALMA TAEEDNKIEKKLSLHFGGYQARAKTLRNKIVEAASALEQARFDLDTFRTLQIGEDAAL RSRLEQLRDGVTLVVRREREAQDLYKARKEELDALLDGNVNGWY TSTA_092130 MAGRDDAPTPDPAEKGFATLATLRIGVKAMVEKDGSHRKAEILS MRQRKDTLYVYVHYVDFNKRLDEWIPATRIDLSQEVEWPAPEKPEKKKAPSKSASQKR ARAGSRDVSSTPDLLTGKNLNIGKAARPSKAGGKENRSEETPLNLSLTGSEAVSIDGT PKGDSEDVDMADAVDTKLEPQEKMLGDMTREEEIEKLRTSGSMTQNPTEIHRVRNLTR LQMGKHEMEPWYFSPYPESFQDADIVYIDEFCLSYFDNKRQFERHRSKCTLVHPPGNE IYRDDHISFFEVDGRRQRTWCRNLCLLSKLFLDHKTLYYDVDPFLFYCMCTRDETGCH LTGYFSKEKDCAEGYNLACILTLPQYQRRGFGRLLIQFSYELSKREGKLGSPEKPLSD LGLLSYRQYWREVLVELLLESGREAISEFELATLTSMTEKDVHETLLVLNMLRYHKGN WIIVIPDAIVEQHNKRLEKEKIKGVKKIDPAKLQWKPPVFTASSRTWNW TSTA_092140 MAEQTSHDVVNQTRSGGEPSSSDVPASKKDKYTAEGGEGRITKI EFNFEGKSSNMEQSQRNTRTTDTSEAENSSGEGSKDTGRNGSAAVATRALELNGVASM SDGGEDGGSLGGSDTDTSRNDGRQHLRTSSMKKPTAFKPVSFAKFSVPKAPGTSAAPK LDADATLATLSVTPLSASPQPSTRPRLVAKTTSGLGSSSKHTAAGLKGPSSGPDASQV WNKNRPAQPPPTKHLTDEELKQQYGIHMTSRIQEDGNGTESKWADIDDDEDDWAPETI EWNDGTKIKLTHTENSQSSPQEIRDRTDAKEAAIPAQLPAQDSTKVLYPKTSTTVGPN ATVLRLGANAERQQQQAKAVIASKSGSDKPTLTTKSPAPVPMKSPWAPLPPVEKVSPV NPPVQTVQSHHAPRFQAREQAIPDKNIPAREIAADDFNRSWREGQSNVPRELFNSQSG RYEPVPDTRKGSVRDAHMRPATVLQRPGNHEVGSHPEPSAAFQTYRSSHQEPGHWGRR RASSNVSGGSGSYGRRMSIGRPDSQVKFNEGRRGSQVNGVVENSVSPSETIHSKDFMP PEHSAHQPSSNQTWQPKMSTYTPPGTQPGGKPMTSDEVNRDSNQASAEDVILMQQRIM KEKRLEARQRRLEQEQKEEAARRERIRLKLEALGPAPGKEKETPKVEERPEATTALSK GFPKDQLATTGAQNTVQSPPKPPVPEPSGEPKQYGMMRVHHPESVKKLVAANERATEK PSTTSMMSQRSISPSRDVKHETVKTNGVLQDESLLSKDQNIDMPTLEEKDSQWRGNQT SYTPWGAGTKLSAHSSPSSNMWKPLSSDKTLGNGTFDRNLAAFSHDLSLRGPVGLTEP PPIGSLPNMDKNAGSQPFVGARLAPEHKQSLAQSDSTHAPYEPFQPIGRPRPIGPPNS QHSPWQADARRTVQAATSAWGNFHSVASKQEAEANAKFHREFEAMRDEPPASLNVTFS ETWRQVRSGDQVGQREVIDVVKATKATGPLQALHGFDAPIDSLPFPEAHPRPFSGVPN RGSRFFPTITEPYRVSVAVDDGRPRSPSPPPPEAYSTHPAYSGDAHRPLVNLPIPKPI VRLPPKISQLPPKPPTFASMAATQLRPPQPVMSATSWQDRINGLFGKATPEKKHILAV ASATKEPLDVQSHLHPAAVSFPQTRGVGAKNAELVTSKEVEEEEAIFEDREAGSLPVV RVPVMAPPNAWHAALPPPARLRPKVLRPMQVHSVEPFALEFPKKDVSGNWQIVVHIPG CDNVASVALLKKLFLTSSRPKGNTTFRTRKSAKPREPGAKYNGSHNNKRQGSSSDTTR SGEGNSHASGN TSTA_092150 MSYITRRALSTLIPPKAIGAAQDAARMERVVGFYAGLPRGPAPA PKPSGFLERYQARYFNGKNASGMPLVHVIGTMLVVGYSMEYYFHLRKFASIPYFRPLG I TSTA_092160 MSFIRARSVAQLRAVRIAQPVNARFFSSPTDGSVKTSPADAPTT PPKDSSLIRKEGPGEAMAHHQPDYDATIDHATSTFSPVPRRVMDGSEPGDAVAAAVLS GAPTDLQARTVRIYKPSKPATQSGTWHSHHWRMDWDVLGKGHRWENPLMGWQSSGDFM QGTHINFKSKEDAILFAQKQGYEYFVQEPNERKFVPKAYANNFMHEPKKLKHIRTK TSTA_092170 MAEVSHATVAALRKTLTSETEPLARRFRALFSLKYVACLNPPTE QTVPAIEAIAAAFTSPSALLKHELAYCLGQSKKADAVPHLLHVLKDKGEDTMCRHEAA EALGALGFEDSLALLKELRDDKNEPEVVRETCDIAVDRILWEISEEKKNEKIRPSDFA SIDPAPPLPQAAKEPSIPELESTLLDTKLPLFKRYRAMFALRDLASPPDLPTAVPAIN VLSKGLKDPSALFRHEIAFVFGQLCHPASIPSLTSTLSDLNEMGMVRHEAAEALGSLG DEEGVEETLKQFLNDPEQVVRDSIIVALDMAEYEKNGDKEYALLPETTVA TSTA_092180 MSAIGSLIFCTDCGNLLQESTGSPDAILVCEACGAKNKDILPQT IVSESKPNAFPSALRAKRSALQTLTAADRTMEAVTQYTCAECGRKEMFFTTAQLRSAD EGTTVFYRCVCGYKETVNN TSTA_092190 MDQGRFPAVQVVIGGLGQPQNHFHDGTSNGVPNGMRQPVTRPLL VDEALQFSPMSTAPVFGLDSITRPDVTGQSSTTLPAYSRSVARKLIQDLDTEVQAGTE KSPRFTAVYGKLLNEHLSSDRRSEFKFRFASGLDQVKKQSQRDGRSVLTSGLSPFAKM VLDGSDIAFDYPETKLPPSGLQTPGKILQPAVVIPPFGSPGTSRSVVDQGAAKKRKLN AEVENYATSLRLKDQKEEADAALLKLQDFMQEIFEAEDQLEPDTSSAALGQNSGSMFR VANVLEVRGTILGPESHGKLQKSLQKVASFGRLHDIPSEYLNRLQKICENPIVAAQVL DLRLDDSLNDADTHLWIQKLEDLHNTLLAIGTLLQTMSCRRSERDLCPEDILQAIPNV LTILFDQCIIPAVEVRPVDEGKRLFELVSREKKIFSALLHQTSKVMNLLANFLSLIDV SESVITSTEFLATKLIFVENAHNDKDSAVGFQKFESVRRAAMDVLAKIFSRYPAQRPF ILDEILVSLEKLPSTRQNARQFKLIDGKAIQLLTALVIQLVQTTALDIPKSKKSRARR HHLASNGADEDKDDIDVKDEDEESDSEDEDSFKLSLERLTSRVNELYDNAVRSAQYII KFIVQRAMTSTKNGDQPYRNILDLFTEDLISILGSTDWPAAELLLRILASHMISIAEL DKSSSTAKNMALELLGWMGSGISDLTTAAQHLIRSMEDADNKITQYLRQLYEDHISHA LHIQDLISADGPYRITLEYLQDRDLDNWQLTSARGYYIVQWAKTVSSTYYDSEDRSVV VQQDRSVDELLSIFPKLLSDPKWLETNTHYGKIPAAHGKFAYILTVLNMGFSKAFDTI FKVLLNSITSDQAKVRSRSLKSVITMLEKDPSLLDRDSSVMRVVLRCAADASPMVRDS ALSLIAKCIGLKPALEEEGCRAILACAADATAGVRKRCITLLKDIYFKTMRKDLKLAI LDSLLQRTSDFEEAVVVQAQQTIEEIWFTPFVTSIDNLQDAPKSKVALAEQTSLIISL VQRSETAAEGLGSFVKKVISENSKATSQTFKVCKAMVANMFERLIDDPGSLEKQDHQA LLQTITVFAKSSAKLFNPEQLQALHPYIGHLITADDLFIFRSVVVIYRCVLPYISSAH NTFLKEVQNDLFKSVAKLARSELNEVMACLWTINGVLQNTDRLVKLTISVLKGISQAK GVDLSDSSKADLLNRARSYIRIAGCVGRHCDLEKFEPHFKKAFPTWKGGSVAGLLVDF ILPFVHQDQPLELRTMALESLGSICQSWPAQFSREAPRTVISSIFKEDNAGLHNIILR AFSEFFAIHEGKQEKLVQPQAENTDPEATTRLGGSLKASDNDGAAALIAQHFLHDMLR IAHSSQDAYALTAIELISSINRQGLVHPKECAGVLVSLETSTIPAIAKIAYDTHKMLH QQYESMFEREYMRAIQDAFFYQRDIVGDSNGALPRPYTAKLAPLFDIIKISNSKYQKK FLANLCSRVKFELKTLDVTGSPPEHLLLARFICQNLAFFDYAQLAELVPTITCMERIV SATGTIVAHAIETEVFPPQLDVPTAQPMEQPIMQGLPDQVMTGTVVRPPQHTVKPYLL RQLATAAAILCILWETRTHLRRQYGITYGMKHSENKANIKELNKAPTKVHGITGDRYW EAISNTMACLDSEENMLQQCRSFATLLSIDDELKVPNGEDEDAELDDLDAPADDDPRE VNGSKPMKRKSSVNGGTPAKRPRGRPVGSKKRQSSETVDSFIF TSTA_092200 MQTALMRHLAQGAGASLFSRPSTRLQRHQILRSIPRRPISFRHL QPSSILQKSTKHISTPLIRYAHTISVSGLKAFIWRHPVLRFIYHGFTYLGFLIIGGGA VVAGIFLYDYTTYTEDPSMEDVPVSELALNPKRGGPKNLPIAEVLVDDEDSEAMQAQR DKPKLVVLGTGWGSIALLKTLNPGDYHVTVVSPVNYFLFTPMLPSATVGTLSLKSLVE PVRRIVHRLRGHFLKAEAEDVDFSSKLVEVSQVDANGVKKHFYLPYDKLVIAVGSTTN PHGVKGLENCHMLKSIDDARKIKNKVTDNLELACLPTTSDEERKRLLSFVVCGGGPTG VEFAAELYDLLNEDLRKNFPKILRNEISVHVIQSRSHILNTYDEALSKYAESRFAHDS VEVLTNSRVKEVHPDKILFTQQEDGKTVTKEIPMGFCLWSTGVSQTGFAQKLAKKLEQ QNNKHALETDTHLRLIGAPLGDVYAIGDCATVQNNIADHMVTFLRTIAWEKGKDPEKV HLTFSEWRSVADRVKKRFPQAANHLRRLDRLFQEYDRDHSGTLDFDELHELLMQIDSK LTSLPATAQRANQQGEYLGRKFNHIAKALPGMRANEIDYGDLDEAVYKAFSYKHLGSL AYIGNAAIFDFNGLNFGGGLLAVYLWRSIYFSQSVSLRTRALLAMDWTKRAFFGRGMF PFFHLTLDDLYDEYI TSTA_092210 MSSTPPSSTLSWHRFERKVDEVRPSKAEINYLVMDYLVTNGYPA AAKRFAVEANIQLRVDIESIQERVEIRSAIHSGDIQTAIEKINELSPQILDEDPSLHF SLLRLQLVELIRRCTSTPDADITPALEFATSQLAPRAPTNPQFLEDLERTLALLIFPS ENLNPSLATLLQPSLRKDIATQVNEAILKNQGARKQARLRNLVKLRAWAEQKARETKV TGLPDHINIGLCHDKQQGGNDHANGNPDDAIMTNNGDIDPMIA TSTA_092220 MESRPPIYSTATNLKQGYSPPWEDLSIIGIAGSSGSGKTSVAME IIRSLNLPWVVLLVMDSFYKSLSPEDHARAHRNEYDFDCPESLDFDALVQTLRDLKQG KRANIPVYSFKEHQRQKETTPLYSPHVLILEGILALHDPRINELLDAKIFVEADMDVC LGRRILRDVKERGRDIEGIIKQWFAFVKPSYTKYVEPQRQISDIIIPRGIENKTAIGM VVEHIRRRLDEKSEKHSSDLKKLRALAADEELSPNVFIVKPTPQLVGMHTILQDPATE QVDFVFYFDRLASLLIERALDCMDYASAIVKTPKNEIYHGVQPAGTVSAVAILRGGSC LETALKRTIPDCITGRVLIQMNKQMSAPELHYLKLPPKIETHSTVLLLDPQMATGGAA LMAVRVLIDHGVEEHRIVFVTCAAGKWGLQRLTAVYPEIKVVVGRIEEEEEPRWMEQR YFGC TSTA_092230 MADSSSKKAKRGSQTNADAIITDKRFANIQNDPRYRLPSKRHTH VKLDKRFSHMLRDKDFSRNAAVDRYGRKLKRDDTKKQLEKFYQFEDEDAGNASQVSVD DDEEIMKELEKANQRDYDPARDGGFSESSSSEESSSDEEDEEEADYTAAEVEFPDKQQ SDVPLGEVTNRIAVVNLDWDNIRAEDLMAVFSSFTTGGRIKRVTIYPSEFGKERMERE ELEGPPKEIFASKSESEDDEDYEEPEDSEEEEEAIKKSILKEDEGAEFNTTQLRQYQL ERLRYFYAIIEFSSKETAKQVYDAVDGTEYLSSANFFDLRFVPDDTDFSDDKPREQCD RIPDGYKPTDFVTDALQHSRVKLTWDADDKARKDAQAKAFKGGRKEIEENDLKAYLAS DTSDDEGEEQVEVVDATTASKSSSISKKEAERQRMRTLLGLGDEPAAPSKSKGPVGDM EITFTSGLAGEPARESVFENEPEIEETTVEKYIRKQRERKKQRKEKLKKKAEATGDAK AEGAENKAAEEDLGFNDPFFDEPESKAKNSQRKEERRRKREEKAAEEAAAAAKRAELE LLMVDDQSAGMSHFDMNEIEKAEKKARKKGKKGKSKQEQEHVAGDDFQVDVADPRFAS LYESHEFAIDPTNPKFKPTSGMKALLEEGRKRRRVRGADDDVPTETTKKQKKASKSGD AADDIDLKKLVARVKSKTKKT TSTA_092240 MLGRLLSTFSAGSYGSRNPAVLESVTEEEHTSGLLFPDPRSLHR SSNSAHAFQTSFNSPNASGAGGYDDRGGLDLDAIKDFRIIIAQNAIGDRDEPCILLDS RGVHASSSNQGLGMDPQVFDSLGGRHSRTSSLSQASLSRRGSIQTNQPSLVEGGSLSQ AASARKITSPLTGGAFSRARGRSSISSVVTPGEGILEPYHNRVAPENSDTDSGSEGTL ASSPAPREVDTLASRHGPRNPLARTYSSGTQPQLGYIMPQDNKSSSRVTILITRMFSV NLPESRDPSVESSELPSSLPVDGASESGYPFPDLTRRRKVKEKKTPMYAVAMTIQIPL AARNNGRPMSRLSTHVPEGQKQMSFSLDSDPHWSSAFLDDNSSQNTNLDDRIDLLVDR WDVITRTLTYLEKLARQEILILLKKVEAQVAVAPKPLKPPNMQRTNQTIIQLRPNVLA HNSKLKEELLLNAQRISAALRIPRVILGQSRWGVWREEARWIARLMADKEHGLFFLVL ITAFLGNHTEWLNTLGPEWYRRRHQMQQKAQTDSEPTIANRTVIISDNKMVARRLIFI LSAFLPAKHRVDFINSPLRPGTSTSTRPLSQSPPAFPLLRQESLRRRINRRAQAQRFN AEESTHQRSVSVSSSETTHKASDEPESALPSEPVYTHNRRGSDVRSIRTVGLPISANE ARTRATTSTTTNDTAVPVAYFASRQHPPRSHANTVGDETDSYASAKLLQNLRRSETSS TNSNGSNPTAGGKWVGSILSGFWSGRSDSVGETDRANSCRRTSIAQHASARRRSQVLV DDDDDDDNNQEHLQSETSVTGVTPKASSATETITIPHSSANARLSLDQNESEFAPTEQ IKESPLKLSVQGNDGVVDVDLPLRGFLSLSSSNDSTIASPKKARTSITSMDASCSIHS SFSNINSGFRDSEGSNLNVAGWLKNFHEDFLLQAVRPYHGIEADVKRAMLGESYSHVT AAGIDVENVNITDRWVEVASTLIADTRTFTVKRLRLLRRGTPNCASSVASTGTSTPPL PTPRQTSENVPSTSHLTGFFNGRSQKNSAAALTDLVDPNDTEYKFIEEPVVDVDGTLV DAVERVLIQSGQSSLAHSRSTSPHRNGRSDDRPSDETPHVHVVEVPRNECRKTVLGAL EEVARSVTEIHCREEGDAEFMGGDDSTDKDGKRRSTVIQDNTLREGVRKWLLDIEEAL TSTA_092250 MIPRRAPSRGSHLRQVSAASLGSNSSIASPPPQGASTANLRIDN SFSGGPWRQICTLWIHDESFSKDEFLFNNSAFGDSGISPGDILEVIGIDANSDLASRK LTDSGFESDGNLNSNARSKFSSPAQHRCLFIVKPMPQDVKQRSPKLELSINRSVANIF GFKNGCHVFISHIERSQCSASHIDISFRDQYLVRADMWRLVTSELVNKTVYKGQKIVF MGTIRATVKNIFIGGKKVLSGYFAPNTIPVFRSEASRYVVFIQMAREMWDFDAEGTGD ILFSRVINGFLPELFKRWANIDAKHLVTIVLFTRVEYDTPLESGIKPLESLKSRNHVS TRDFYRVVVNDIASGHWTTILDELKANFRTFLRDVMIQNFSGTDNANDEGQRLSISGH PASAIKGNTLEAIHLATSYLSNENIDRDLARTGHSIVVITPGTGLFEVSYEALAATTE AVTNMGIAIDLVSLSPMPLHSVPLFKYKTPNERSHDSHSKRFRSINHLETDASIPGFS SRSPPASFKDTDLGSTGRPLSSQDNPPAHSKEWNYGIPHWLDISFWNPTTYKEGRRIT KNDLNAPIPFTVNKRTKTFVPRVRLYEIQMMGIMESEQSNISIPYLSEKNFGFQRGET SFSDIFPDALTPKSGKQAQSPSSSFKAQLSDSLRPEAFLPSFTNSRMLMINSPARQQK KVANWMDEYDENVLRPTPKKYHSRKTNPRRLLESKNLVSRTERSSVRSITSPRDRESL DLERSPSAQLSPHGRDVAISPQLAAAPPPSRPKDQQPMKPAIKTQKTPRISRTISFAL RGLGVGVPRAQASTGVNAEHAVGRATPAKSTSSASMSDIEGSPRPLSSTLDDTSSIAS MELPPHSLTPRKSMETVDLPQPLPISQARPISIKSISRKSIEGSHDDRARTEAPLPTT VEMPMETGAHGASQGPFPMKRTGPQRPGPRFEITRSQDPPTGVSPIRTLNPWVRSINP SNTPRASLRDASWFGRWQHAYPRPPHVAVVKWKSLKTPAILPLTTEEFPTSKELTANY LETPYRVFPNDDHDTSETSRSHEEILRDMVALRLSHGFQIVIGSAVAEAFGESSLEAL NVFDPKRLGQEDATVVLSKGNVIHRLSSVTGGEIEVTRFTRLSNSSNHDKGDSYTYTH AIRTILAKKYELTDVKLMTSTNEYNWNYADNYIAGHRDHLTDPARQLRFWRIRFVLIP LHLPPNARRHIQSFSEDNEEEIHLLGISQLTSLWQRNKYIPADDKRFQSHGRTQKDPN PLNILYQTQDPSDMVGAELERLLLTDPGLDNAPAQLLPESELLDRSDFGPGSLMELAQ IMQGETGVRLMDRRWHFRLHYSCFIGSEFTTWLVQTFRDIDSRDEAVKFGNELMKLGL FVHVEKRHNFRDGNYFYQIATPYRASRPESKSGWFPALSGKSDYSLPPTPSGEVPRDS PSADASKSENSSETVTSRMNTPSKAKNKMTVMLSKSMKYDVDPRKRSGRPEVVDLHYD RLHNPENCFHIELSWMSATAKLIDDAVASWASTADKYGLKLVQVPIAEAAAIVKTQTF RKLYHVKLSVEPPPPPARSLSYGATNFSQQGRPDKHFYHKALLRKFDFVLDFEAASAF SPDVEVLYSWGKPDYQHTQYIHRSGCLLAQISDKGEFLFLANRLFSTKSAAGRDATHH KFEHRSGSEFHRPRTNTYTYDPMFSPRLSPLVRAAADPSSSTATNNIPSLTLNPPTSS PSSFSTASIDSANLYKTPENLKTQIEEFCNDPIRLQQFYAEEHIRSASTRIGPTTVPP SSVTHSALEASIPSLELPASVLGHHISPPAQLETQSFSRSKALGSFDFMGSPLKTAQD ANSVATEDKKENDKGKGEEEREEPQTKTGGGDGNTSPT TSTA_092260 MASQETNISPGAATYLVHHIFLPPKLPGSDDYNAAHEVWLVEML YGALSRFKSHFSDNQRLTLASVAFMLEKVKQTHDSHGHVDEPKLKRALQELCEKAEAH EFRHQKRQELGKKKEEYSRLMGLYDRSECDYVEGYSRRGRPYRSHSNCCGKCRYERNA DAISIDLHEWPLPDSDLQAKNAVFELRLPYSFGFWRQTTFFVFIDVFGAKYKSGNGVS KLYHLRSYAGLVKYFVPFGGEGNVGLSSSVKPHTNTHYRNKKINVFDSKKQVFVGQFE FTDKIVKLCTYTLPTPSSSLQKFMSRPSLNRVIASQSDCPTHFTLEEYKALASIPLGF RVQWQNILVQLAMPAVDFRKQETALVIAQAIYQAGPASAEQALRRGHVLVEDLAFMGT LLIHIRKATERIKGNWESYNGVWLFIALTTRVLSLSSSEAIQHECLAILDSLRSISFE WVSYLKNQINETDDHDQKRSLVDKALTVALICAGSFDCDEHFLTLLLGNQDNGSVFLQ CSLFIQEKLSSDEHSSNDPLFCILQHRWKRLCQRSCAILQKNVVRERCASLDHAIKNH WSAYQAGLAWAISECAHHWLQNESPDGSMVNFNLLTGELLVDGIPVGYLPNEYRSHAT YQTLFGRTSLEVMPSSVVGMQGCIIFCPKDTPWASLANPWKMEKLRGGWVLSNDSYSL LSFHSESNQAISNVLSPLEDARYINCTVSRDLTTLEIDLPSPNLQFYAKIGELEIHAR QYPGMVIDDNQLLGTLVGLHSKLILRPKEGNRLVVTPSSKFAKRTMTRVHSYTVDEQL SRLVDNGSLHSKLFLCYLHALTSFCLVDPLTMRTGTEQALSILQSAAVKSFDRLTKED IDLLAHIAKLSPGREYYPRYLHVIQVVTWDSVLSYLSQHGHFLKHVQAMFEHYDKMKI FHEGQYVKPWGVDHAEEALLNRDLIRSSMLRISGFGAEDHTLSMDAKYTPRDRGILSA PFQRAFRLSSFIYNGRTDIEYALPESTFEDIWKFLRETSGTIPGPMTPLDISHLRYDA KLILSPSEQDIKPLVRGRSELNKYHVMMWLATMAFSESSQMTFLQIPGTFSSHNFPDA PAKSSFNLLVGSEVVTEQLRLALEPFVRPIGSCPESRLTQQTHESVYDFWERKQSLYQ SNCTVAVQAAMDALCSQWPCETPTIPPSHSDIEILQYVNVQTANTRVREIFNHWYSNF LLRSFLKDVCNILKCVPVAHTAVPSIPEIKAERIPQRKLGYVPVDSVFEATEMQTVGS LSSPLSNLPLIPRADEGKPLQLLHKLIHNMSAGCASEYERLYLKRLQESLVSLQDKSF KWNIEVEESEQEQLLRDNLDFCRKRVGDVYRIMVACVSPSIVTRPTHLSQDSHAHAVA FAAGQWPRIAPILFLEQLARQKWCRLSNDWKDCIVSYGVALTQLHRAARLCGLAGRKD DLIKQLQNHGHQNWDPRQYPESLLLEVENGIMIREVQQEIVIEIVNQTGGTNHVMQLN MGEKKSSVIVPILASVLANPSCLIRIIVAKPQSRQMLHVLTSKLGGLINRRVYHLPFF RGIRPSESDAVKIEEMCRECMTNGGVLLVQPEQLLSFELMCSESLIEGNEPLGRALLK TQQFFDESTRDIVDESDENFSTKFELVYAMGTQKSIELSPDRWLFIQTLLSLVGEFAT QVQNKYPLSIEVNPSCPGSFPRTRLLRSDAQIDLIDRLARKICDTGMKNLPIYRQSKE TRQAIFNYIVERHPSEQCTSLIQDDREFFTGTTRSLLLLLRGLLAGGVLASALQRCWR VAYGLDPHRSPATKLAVPYRGKDNPTARSEFSDPDTVIMLTCLSYYYEGLSDKDLYNS FTHVTKSDQGPIEYEVWVKDAPALAHQFRQLEGVSLQDRNYCVEHIFPALRYSKGAID YFLAHIVFPKEMKEFPLKLSASGWDIGRIKTHPTSGFSGTNDSRDILPLSVRQLDLTK QKHTNALVLGYLLQPENSVEIVNPTETDITNVCSVLQMVTNMTPPTQVILDVGAQILE SNQEVAKEWLKLLPEARCQAIVFIDDNDELNVLDRKGIVEPLLISPFAKQMENFVMFT LTSPILEALTYDCHNTIVLQSHWVLTSLKTNWFKSVVFLVSEEVQTKIRSCVSKTEDA VLNVSNVLRWAISETMIDTCRNIALWAVQGSRYHRQRCIWEEQGGRTGLTSDGAKQLL EPDAQTIEKRYNARTRGGLAFLEAQLLEDPSVEPIIERCRQFADLDIGSSSLYEEQER ELAPEIEQEREIKRPESYEPAKHMIHEDLRLFIRTGSLDTDSSAFMPAFKSLSDTTAA RHLGLSNYPQNDIIVTKDFVRTVEAAKRGSKFVSDSYQRQIQWILTSVDNSNTVQHLA IISPYEADVLLPDVLKSSKVTLHLYPPRSSLAYQPLDHLMLYTTPTRSPSATIPRQLI TQLNLLAGQLYLSSYDEYLEICKFLNLASGMTPDGWTVAADGFILNRGDENTHPTATT FQTSPVQFFKILIAQIRRNCEDIDKTHIGRILNGGLLEFGGFLD TSTA_092270 MHKIRSYARRAAWYDDEGESTHNPFKKIRVNRRRKRTRDIERDL ALEARGPPHFADPESQEKDSPPTLAGSEDAINVSRDIDGEDDGPRRRKTTTSDAPTVA DDAASGESGNGKPKFTVASQLRATVFNSWINLLLFLVPVGIALNYTSVSRTAVFVVNF LAIVPLAAMLSYATEEIALRTGETLGGLLNASFGNAVELIVAIIALVHNEFTIVQTSL IGSMLSNLLLVMGMCFFFGGINRIEQKFNEVVAQTAASLLALAVASLIIPTAFHKWAS GGATHTDELSRGTSVILLVVYGAYLFFQLKSHAEIYNAPSEKTEKRNKSRAKGDTQKG IIAMSALGAGMSSQTAQRDLESRGSQEEEEEKEVPQLHFYVAILTLVISTVLVALCAE YMVDAISAVTSGSNSISQTFVGLILLPIVGNAAEHATAVTVAVKDKMDLAIGVAVGSS MQIALLVLPLIVVIGWIMGKDEMTLDFGDGFQVVVLFVAVLLVNYLIADGKSHWLEGV LLMTLYIIIAVAAWFYDS TSTA_092280 MAFTTIIVHYCDKEKSLHPGRGPPEYFKRKDRELDIHILESGAY RQLKERGLCDGGIVPRFFGTMDKFHPRQCLPYLKAFVNDEYFPSAIFLDYTPNMEMIQ LHNYTRERTDDLIRGIQEIPKVLLRYHKDPKSQKSDGCKERPEKEESYLDRLQPRRDL RPRTHYGETKALH TSTA_092290 MRRYASTISKQNSLPAAYYRGGTSRAVFFKTNDLPQNKKSWDHI FRSVIGSPDPYGRQLDGMGGGISSLSKICVVGKSTHSDADVDYTFVALGVKTNEVDYS SNCGNMISAVGPFAVDSGLFPTSINQQSATVRIHNTNTGKIIHAVFPVVEGEAAASGD FSIDGVAGAASPIRLDFIEPAGSRTGHLLPTGNVTDDIDGVPVTCLDAANPCALVKAT DLGVDGNLTPDEIDTHPDLLQWLDSIRRQAGVKMSLAKTLDTVPGSVPKICLVATPTS TPRDIKAQQTPSDVDLLVRAISVGQPHKAVPITVALAVATASKVRGSTVSDVVGVNPV DKAGVTLGHASGKLLVGANFSEDGGLESATVFRTARRSLVSMLKTYNGPVQYEEAICI YLFRESSALS TSTA_092300 MPFSTGELVAAFALGTAVYVLFFNRGEHHLHPERYLIAFGAAVS ATIALLHFHHHLPIFEVLRITINLSLSFLAGLYSSVLFYRQFLHPLNKFPGPFGTRIS ALFLSVKFSKADSSRQYLQLHKKYGDFVRTGSSDLSIVHPKAVQAIYGPGTACTKGDA YDVTHPVVSLHSFRDRQLHDNRRRIWSAAFGDTALRGYEKRIRKYRDMLMATFAASEG KPVNVVQWFNNYSFDIVGDLAFGQSFDMLKKDELHWSVRLLAEGFEPLAYGLPTWVFR LGQVIPGATKDWFRFLDFCRERMLTRMQNTPDIPDITSTLLAPLEGKKVSKEDLNLLV GDSQLMMAAGGDTTATTLSSIIYELCLHPEQIDTLRELVAPYMTDPSGDVLNEKISHI EHLNGVIYEALRLHPPVPGIIQRKTPPEGIWIDDIHVPGNMFVFCPQYVLGRSEAIYE KPEEFIPERWYSRPELVKEKSAFAPFLAGTYGCIGRPLAMMNLRSTLARLLTTFDIKF AEGEDGSTFDGKSTNHFLWVPGDLYISFTKRV TSTA_092310 MASKLSWRLLTQRATTRRSAIALPDQYRCFSCSIRASQQSPSTQ KSEAESRMTHFGFENIPEAEKEQRVGAVFSSVASSYDTMNDLMSLGIHRLWKDHFVRS LNPGSPSMLSRSADDKEKGWNILDVAGGSGDIAFRMLDHATNINNDRDTRVTVADINA DMLAEGRKRSLDTPYYNTKRLSFMVANAEHMPQVPDNSVDLYTVSFGIRNFTDKQAAL NEAYRVLKPGGVFACMEFSKVQNFDLFNEVYKRWSFSAIPLIGHLVAGDRASYQYLVE SIERFPSQEEFRAMIAKAGFVIPGRGYENLSFGIAAIHRGVKPLPNTV TSTA_092320 MSLTYDNDGDALSTALHVITTERDALTHLECLYRTNDLAQKNVE RAVSQLVHTINRGGKLVVCGVGKSGKIGRKLEATMNSVGIHSVFLHPTEALHGDLGVI RSIDTLLLISFSGRTAELLLMLPHIPPTVPIIAITSHTHPSTCPLLSFNSPDMTILLP APLHIDEESSFGLSAPTSSTTVALALGDALALAAAHKLHTLPGQGPAEVFKGYHPGGA IGAAAATAAAINSAISTPSTSMTTSPSLRSLEDAGIKLSLDDSITHTGLKSDTTICSS EHFVSMECIPTVSPSQQQQQTEKKDSIRVIDVLLTAIQNPNSKSWVKLSDTQIIPPRL LRAMTDPSTTTFKIDTPITEISSATFPSTSIHASRWLPIRSSTTIEKVKCILEETTGI TDMMLSDNQKQNNEDAENKYIVISVVDDMDSNKILGFVAGEDVHPSILSSAS TSTA_092330 MTTLIRFSLVFLTLFGTIQAEQMLAFAPVTALARWDGLAPRQLF GDCPASTQTLCPDKLGCCPKGVACTYSRSIPVCEESCKGGPTCPQGGCCQVGYICGTT NNFCTPAPTAPPKKVDNKEEAVEAPVTSAPSTTPTGATEDADEHEETTSRPSTPTVRA SSSHHVVSATKTATATTARDGSKYVGVPSGSNTKSPSQSAQATNSGASSHASSLSIGN TSTA_092340 MSTPSSTSSQELAPYTGSLDTYEFPTHRLKRVMDDPSKTPLVLV AAGSFSPPTYLHLRMQEMAADYVKFSTNYELLGGYLSPVSDAYRKAGLASAEHRLAMC QLAVDESSDWLMIDPWEALHKEYQPTAVVLDHIDHEINVVRQGVDSGNGTRKQVRVAL LAGADLIHTMSTPGVWSDKDLDHILGRYGAFIVERSGTDIDEALAALQPWRDNIHVIQ QLIQNDVSSTKIRLFLRREMSVRYLIPIPVIRYIEQHRLYEDDGAGSTTSIDKGKGKE QAVATAA TSTA_092350 MPPRTRSAARAENVSSGADTTGISSTGGTASRKRKATTRRGKPR DEPEPPSQPSSPPRKVKRQRTAAATTSSTAPSAPAPPSSATPRSRQLSRNRPAMSQPG PSSQPSDETSRVRASSSNSRRKSGRNGKSSRRDKDVLPTSIENHTSQSPHRRSKKRSP KHSADVPMRDTDSQGSEADPPKEDADDQSSQPSESNDDNHTAGLMDEDDGDPFRNVFG SRTPLGLQNTLRALTGMMTSMSSRLREILGQLRMKEDPSIQLIALQELSDLLLVSNED NLSGQFSPDSFVKELVKLMQPSETGEENPEIMLLACRCLANMMEALRGSVTNVVYGGA VPVLCQKLLDIQFIDLAEQALSTLAKISEDFPASIVREGGLTACLTYLDFFPTSTQRT AVTTAANCCRSLPGDSFPVIRDVMPTLLNVLSSNDQRVVEQACLCVSRIVESFRNKPE KLEELIEPAMLKAILRLLVPGTTNLIGPHIHTQFLRVLGIVAQASPRLSVELLRMDVV DTLYQILTGVSAPRDDDDTGVKVDNVVIMQALVHRPREQVFETLGVICELLPGSQSLQ GPLSRFDNALTINLQSRFAPIRSSSKLQESADKRRELLAGCKPELKRFAMVLLPTLTD AYSSTVNLGVRQKVLLAQLKIIQEIDAHVLEDALRAVPYASFLAAILSQQDHPLLVSY ALQCAELLFERLPDIYRYQFHREGVIAEIAELAAKQLSTEKASSEVKSSTEITVPIHA TEQSDSRATSEAPSASQNDSEDEDNDDDDEDDEDQDEDDEEDDDEDQDRDNDDASESE SESSFNAPRPVNQSMDDMMQDHVITTARNFVRLYEQAQSTDTMAEKANKILKDLQALA SKIKSTHEAGRGRDFTPVFESLASYFDGDAVESITSTELLRSGIIDVLVESFTSSKST SAARVSFLKAFMGTIVSQKSLQGSVSTPFSKLIHKLHDLLSRTEHFEVITVGHNSLEL TRSNATYMLGKQVRLRLVADEGSNIPRPYKNIMVSIHAIATFKSLDDFLRPRISLSER PRPSRNLDFLSQLANADRLRESLNSSQSSTENNPSSRADTTSHPGRRQGIPKEILSAL RGELDGRDGTHRFSRTQPSHPADEESGDDRPLECADEKQLSEEDDDEDEGEDHDEELN AIVDDLDDELSDAPGPEPTAVNMEIASSGKVTARKEDGTRVATPSQSQDLGRGSSSAV NNDLPAMGGDSLAMAGRPFSSYAAAVASVPQDWHIQFSIDGEPITNDTTIYRAIHHSR AHNEDGGRNVWTSEHTVQFKRMPGPPPPEASSLPSVFRNTGAKDEQTGLPLSLSKEHT TGSILQLLRVLHEMNAHLDDLRAENQELTAIKAEPVAQFINNKLTAKLNRQLEEPLIV ASSCLPNWSEDLAREFPFLFPFETRHLFLQSTAFGYSRSMMRWQNSQPEDSRRDLRRD DRPFLGRLQRQKVRISRSRILDSAMKVMELYGSSASILEVEYFEEVGTGLGPTLEFYS TVSKEFSKKKLKIWRENESADKNEYAFGKHGLFPAPMSVQQAESDPGKKQLHLFKSLG KFVARSMLDSRIIDINFNPTFFRVGTNGFVPSLGAVKTVDESLANSLQLVKRFAKVKS EITQDASLTAGQKIMAIEELEIDGVRVEDLGLDFTLPGYPTIELIENGSNINVDLDNV ELYLDRVIDFTLGKGVERQIEAFRAGFSQVFPYSALRAFTPNELVMLFGRIEEDWSME TLMDSIKADHGFNMDSKSVRNLLQTMSELDPQQRRDFLQFVTGSPKLPIGGFKSLTPM FTVVCRPSEPPYTPDDYLPSVMTCVNYLKLPDYSSLEVLRQRLLIATKEGQGAFHLS TSTA_092360 MTIKSVAIIGAGAAGAATASAFAAEQYFERIRIFERKGSAGGTW IYDPDPSPVARPQPGKLPPDIDRPLRIPEDLPRTTEPSSQERWDKTPIYDELTTNVPA IAMSLSEIPFPYGPFVPHHVPKQYIENYITQFKLDKYLELNTTVEDVSRLPSKSGTKG HNRWSLTLRRYDAVEHLDHWWKEEFDAVVFANGHYSVPYIPTVKGLETYIKIFPNRVV HSKSYRSAEHYRNKKVLVIGNSASGHDVTVGIVKTARLPVYQSRRSASHWDGNEPPPG IEWKPIVKEYVPTGEIIFEDGSVLRDLDLVVYCTGYKASFPFWNTKVNGTEIYDYKHE YVVGNYQHVFLTDFPTIGIIGIPRTLTFRSFNYQAIALARVFTGRNERPLPSLDKQRE WHARRWELVTRQHRKFHDVPWDDGEALNYFRELYDLAGLPRIEGLGQVPPVLDSDTRW AIENIRKYPVPGDGRLKKESGGGGDDEEWTVVGRGQWRDSLHFI TSTA_092370 MASGLDDLEYLSPDFDLSSLTVPRLRAILVRHDISYPSSAKKAQ LISIFEKEVLPKAKKLLRDRDLVRRTSAGITDMSSQSNFEANGDEDEIDDRESMPPPP TPSTVGSRRGRSRPSTRASTADTEDSTLLQATPRRRGRPSRSTRASEADTADENLATP VITQETPQPRSTARKTRRSEALPSYDGHVEHTPSVKTDSRAGSVFSDENPFQSGSSPT SHEQTPRTRTISRERKRKSTPRVSGDSTLLAEHRGKRDSTRPIKIKQEEDAITPRKST FEFSVSRLRTATPQSEDESESEAGEEFTPDEQLALETANAQSRSVARHRQSEISWRLP TLVLALLLSGFGAWWRQEKFEIGFCGVGKPRWSLADTNVPEWANVLEPQCEPCPPHAF CFDNLKIECENGFVRQYHPLSINGWLPIPPQCEVDNAKSDRIIAVANKAVQELRGQRA KYECGDADGAKTPFVSEVDLKKAVEHQRHGKSRVKMSDAEFDDLWASAMGELVSREEI TTSEGASSRAFASNSLAKLPIICAFRRHLRLSLIAYRLPILLLVLTIAGLAYIRAEFI ARRADIARVPELVGTTLDRLSTQAALHRRGEAPEPWIAIGQLRDDVLRSELRGNRRER LWKRVQAVVEGNANVRAAVRESRSGDVARAWEWVGSSLGSHLDSVRRESGRVRFSVSP GDETSPAGNEDMSTLRSPRESRKWDEGRPIY TSTA_092380 MSVTAEGSPGILQDLEGRPALTAPANLPALTPSSSSTSCPELFI DDSLLCYPHYTEEFDFGTTRSLFTDDLDQRLTGILNIYQPALRSPRLFWPKEAYPQEL SLNRKYVLVNLRSYPRMMLSASRNGMPPFVHSYHLSYDCADNTSLPEPLARCAGIVAM WSVKSKHNSMHIWKAIRSEQERLLQESSVYNDWDALAALQAMCVYVILRVLEKDEDII DFDIPLIHTVLRVGRRVGELDTRHYESIRRTMFIIFIVMSLFDASAGLDYKACGCAEY LSSLALPSTKGMWNSRTGTEWEQEYMGSPVQSDFDGKPNQVLTFSDLLAHQNNGIEYE SNIDSSSTVTGLMLDRWLARVDEVGTLVMSAAKFSEDTFYR TSTA_092390 MAPILDNEAAWLESLGHPLKVGPGPQPDPADDEVVIIVAYAAVN PLDWKASALVFSPSAFLLTHLIPPSPGLIGSLQDGAHPVDTPHILGADVSGTVVQLGR KVTRFQIGQRVIGFCDGLIINNKAKTGYQRYSTLSEIMITAIPDSLPLANAVVLPLSI STAAMGLFVKLGLPLPSFNPEPTGKSILIWGGSSSMGCTAIQFAVAAGYEVITTASPA NFDLVKSLGAAHVFNHKDSDIVEKVAKVLTPRVSNAFDCIASAETQKVTSEILSKIGG GKLALLNPLECPFPDNVEPISVFAYEPGLMDSYIGEFIWQTYVPEGLANGKLKAKPEP MVIEGGLAKVQEGIDLLRKGVSGKKIVIEIQK TSTA_092410 MARRNGDLMSLNERHGSESSTSSYRSQSTVRDDTAANGLRNGNG NGRQTPSQSGLKRYPSGRQGDAPTPPELYTSMFSHSPSISPTDLRRPGPGVPNHRKAL AILGADDYFSLNSAPPPPPPPASGSYQITLNPIAHDPFRASWAAPASPASPVPQDKTE PFHRNSGTFLQDASEHEVSPITPSFRPGSEATSDSDVHDFGYKEFRRESAASATSSNS KSSQNGHFRKRLHGFFGNGTGDENASAESSRREHSEPNTRNRETPVSRHRNDSTASKP LSESSKARTISPRPSRPLTPAPSSEVTPWIFQQYNDIPHYGEAPIRKEPTGPDSQRFT NGKAASINSDHKSSHKLPFPGRRHSRSKEGKPTSAGSYESTFRSTGSANPQDADAGSH IRDAGGLLASTAMSSTSTLAGRPTSPTPSAQSSIVQRDTGSGHDSPGPKRGFIRHWIL GGSKSSNLKSLPGSEKNLHDAIRSAAKGPKRDTSPYRHRQGSLDDGVGRHGESLEYDR KKDGRGLGIRSHGVKKVRKHTANKSPTRREENYFPLDTNLDNMEGIVSGPRPLSPNNQ PAIFDGTLGEDRPPQENQVGDWNAPDSWRVIERGDDVVRGLPEIDDELEAAAIHDSSS YFIRVFRIDSTFATLSAPLHATVSDILELLGKKSFLQDDLKNYEIVMRKNDLSRQLEH GERPILLQKRLLEQVGYLPKDRIEDVGREDHSYICRFIFLPTKLNSYVTLDADPGFNK SQKFSHVDLQGRSLATIPISLYKRAPEIISLNLSRNLDMDVPSDFITSCINLREIKFM SNEAWRLPASFRLATRLTYLDASNNRLDTLDHTDLSELHGLVSIKLANNKLSSLPNYF RDYSSLRSLTLSSNNFKIFPDFLCDLPNLVDLDISFNSIRELPHIGRLTQLERLWVTN NKLSGPLDKSFQGLKSIKEIDARFNDIENVDSIMQLPRLEQLLLGHNAVTRFRGSFPK LRTLVLDHCPITQFELDAPIQTLTSLNIASGKLVQLKDSTFENLPNLTKLILDKNFFS SLSTYIGKLRRLEHFSIIKNPLKSLPPTIGCLSELKHLNLRECNLERLPSEIWFCLKL EHLNVSSNILDGFPKHTGQPPVPPGEYISPSQATTPGLSNSPSYENMASLDEVQSRRP SQNSGGLLSLAGGSPSIGRNNSLQSNYVPGSRKASTASRAYTDGSGSTRKDSNFAQRL ATTFSGSLRNLYLADNRLEDDVFRELAFLPELRVLNLSYNALTEVPQGYLKRWQNLTD IFLSGNELASLPSDDLEETSNLKTIHLNGNRFQVLPAELCKVSKLAILDVGSNLLKYN VSNWPYDWNWNWNRNLKYLNFSGNKRLEIKPNIASLGSNSVNGTDLTGFNSLTHLRIL GLMDVTLTIKTVPEETEDRRVRTSASLAGSLAYGMADFLGKDEHLSIIDMMVPRLRSD NMDTLVGMFDGQSQSIGGSKIAKFLHENFTHCFVDELKKLSPDTDTPLDALRRTFLTL NKDMAGAAYKSIDDKEVRQFTRGSTAAKLLSKDDIHSGGVATVLYLRNMDLYVANVGD AQAVLVKSDGTIRPLTFNHDPAETGERNRIREAGGFVSRNGKLNDVLPVSRAFGYFPL IPSVVAAPHTAHFTLTEQDEMIIMASREVWDYITFDLVVDVARAERRDLMIASQKIRD LAIAFGASNKLMVMIVGVSELKKRERYRKLRNASLSVGPSSFPEEVLPPKRARRARDA VGDSRLARFNFVDAPVGDLAIIFTDIKKSTSLWETCPEAMRSAIQIHNDILRRQLGIV GGYEVKTEGDAFMVAFSTTSAALLWCFNCQTQLLEAEWPKEILEQPQCKERYDKDGNL IFRGLSVRMGTHWGEPVCEKDPVTNRMDYFGPMVNRASRISAVADGGQIFVSSDFMSE LQRTSEVYAESERSLSVSSESDYGDPLQNIRRELQQLNSQGFIVKDQGEKKLKGLENP ESVYLILPHSLSGRLAAQEGVSPDEDLAPATIKKGSQLDIRTDEIWQLWEITLRLERL CSSLEAPETSETTLPNRQLMGAIQRAGGELADATILSIVESQVTRIENCINTLAIRHM MHPFKQGGSLRDHAMTMAEVISQLQSQLAEFQALKDYLNTAATGAPDPAAIVGRDLKS PSSDSSRVNSNSSSHIRLLLSPPSPDR TSTA_092420 MKFSGLAAATAALILSTQVSAQTYTNCDPREQSGCPPDPALGGS ANFDFTKGAQKSWTASGKVEYSSSTGAAFTVANSGDSPLITSDFYIMWGHLEVVLQAA PGTGIVSSIVMQSDTLDEIDFEFLGGQDSNVQTNYFGHGDTSQYNREQTIAMSNNQNS FHTYTVDWTANMIVWQVDGKTVRSMTPASANAGQYPQTPMMIKIGIWAGGDPSNPSGT INWAGGTTDYSKGPFTMYCKSVSVIDYSTGKQYVYTSQSGTSDSISAVGGSVDGNMAA TASVATDASAATVTSSGGDAPIPWAGTHRETSSWTTPNIWPWVATATASITNDNPSSA HTTSGSDKLTVFTMFFPVYFISTSLVFGFLFPLWR TSTA_092430 MSGPNRANVIGNGTLVPLSVIESEGYNQKQLLWTRLRKASREPF SEFFGTMILILFGDGVVAQVTLSKNQRGDYQSISWGWGIAVMLGVYTSGISGGHINPA VTFANCLYRKFPWRKFPIYMLAQILGAMTGAAIVYANYKSAIDVYEGGPNIRTVPGYS QNATAGIFCTYPAPFMTKTGQFFSEFIASSILMFTIFAINDDNNIGAGPLAPLVLFFL IFGIGACFGWETGYAINLARDFGPRLVSYMIGYGHNVWAAGNYYFWPSEYSMDGFKKF TSTA_092440 MPGLTESFVGSIDQGTTSTRFLIFNRDGEPVASHQVEFEQIYPN PGWHEHDPLELVSSVETCIEEAVKKFEEIGHTRESIKAIGITNQRETTVLWDSETGEP LHNAIVWTDTRSQTIVRELRSRKGAEDLQQICGLPLSTYPSSTKLLWMVKNVPKVKEA YDKGRLAFGTVDSWLVYKLNGGPAANVFVSDPTNASRTMFMNLATLEYDDRLLDFFGV KGKIHLPRIVPSSDRKSYGNISSGILEGVPIMGCLGDQSSALVGQKGFYPGLAKNTYG TGCFLLYNVGEKPVISKHGLLATVAFQFDGKPVYALEGSIAVGGSGVRFLQNNLSLFK ESKDINELAATVEDNGGCIFVTAFSGLFAPYWIDDAKGTIFGITQYTQKGHIARATLE ATCYQTKAILDAMEKDSGHALSELAVDGGMSNSDLAMQIQADLVSIPVYRPKMRETTA LGAAIAAGLALGLWRNFTELRDINRAGGAVFEPSVPPEKSAEMFALWEKAVQMSKGWV KKDNENINGVHQIRRPSVIANGKPNEPVFITQSVYDDLDGADEEDLLLELRKIEIQQR LKRLRKESKS TSTA_092450 MSLDLDSVDYSFQDGQLYVQKDDDLDSISSPYDEEEVERLELMH LIFTTTSDGYLHLAPINPYPQRILDIGCGTGTWCIEMADSYQSAEVIGVELSPSQPIL VPPNLSFEIDGFEQEWTYSRSFDLIHARLLAGRILDWHRLMRRCFE TSTA_092460 MAPTDDLKAHAASSQDFYALLEISPAAADNEIRRAYRRAALKYH PDKVANPTQADIDKFHLLQIAYDVLSDEAVRQLYDNAREARERKRREVEMMDAAKRKM KEDLEARERAGDAGAAAAQRGLKRTWMMSSAADNEAEERLEREIQRIAENSQRRRREA EEKLMRETEEEERQERQERLAAEQEREERDRSSARVDRSKEGGTNVPELERAVKVHWI REGPGEAFDKDRLKELFATFGKVENTFLLKDKRQRVGEKKEKKTVATGVVVFTSIVSA HSAILDSAKKIKSGAEGDWAILDSVVWASGTGPDLKSRPDSRSPATTPIASPRSTSSS TSTPSIPASAGGSTKNKPALDFASLKNGPATPSMKTGGKPSFASFSARPTHTTPSDSS TTKNPTLTTPSLEEVTLMRLKTAQREKERKALEEQLRREDEAADAAGLDV TSTA_092470 MPGRLLSSLVRSSSPHAITSASRSSSTTSVNDEQSSSNTSSKRN SWTFPEGHDINTIENRISAAMEHIIHPHKEKRISLGRSSRSKERGKGTKELPRAAAGP KLDVVIESPPLVFYGTPANSTGALFSGRMRVTVSEQMGSATLKAFKVDLTKTFTTKKP ISRDCPGCASRTEILKKWDFLTEPVTLKKGDHDFPFSYLFPGDLPASAQGNLGVIEYW ITARAVTTTGAEIVKKVPLTVHRAILPGNDRASVRIFPPTNLTGRVILPSVVHPIGAF PVQMSLSGVVEKGEETQTRWRLRKMMWRIEEHQKVVSSSCAKHAHKIGGEGKGVLHQE TRIIGHNEEKTGWKTDFDTAGGEINMEFLANIKPGSKPVCDLDVKGHMEVKHNLVIEL IVAEEFCPNRNPKLITPTGAARVLRMQFNLLVTDRSGLGISWDEEMPPVYNDVPASPP GYSTVNGSCEMEDYIGSPLPELPDYEDLERLDRSDRSSVSGLSREMSRLTADDLIAEP EVAAPRSHAEAETPDAVEAGNIEASQTA TSTA_092480 MPQNRKQRRAAAAAAAAAADDNNFDSSSIPLSRPPEFSDSNTKS TRQAKTLLEIAAERQQELKQRLGNNNKGKNSRNTAKINGQFIDLDATETQFLEISSSG QISNLNPDELQTKPSQLNKQIREEEEEEEEEGIPPLMNTLLTSIPLTAVHFTLAFLAA HQYIQEIIWKDIIQESIFIAFPVLTFCIHLAHGHIISFSSPKRKPKPKKPKIPSPSDA LIGDFFTPRTLFFFLPLAVVLGGYLIHTTNQSGYYAVMKRAPSIGTMWVWCVLEISSP LAALVALLVPLAWGTGVMGFSSSEE TSTA_092490 MTAASTSTNEIDPRRKAVRNNSSNSQISSPRLSERAFGGGRRSA RNGTENSGSADRLHDNDQGSKNTENRADNAPSNAPSLQTRSRTVAGELLDSSENAAID PLSQVRNIPERKDLTIQSSRTVLYLHYPQHILKRTQTEKSIPYKLKSQTPYGQESGGD GNKPSPTDQVGHRSETFPLGPRKEKKKGVSFLSRIIGTKKNQIPAETNDSNASVSQAD DVNGRNDIFAHPVGFIPRFPPPPKYIKVRHYKRQKSFDRVFLAQELDGEPDESTQDEK KTGNPKNKAIWAMVFSKDGRYLAAAGQDKVVRVWAVITNVEDREAHEQEEDEIKGNDG MRLTAPVFKTKPIREYTGHTGSVLDLSWSKNNFLLSSSMDRTVRLWHVSRAECLCCFK HSDFVTSIQFHPRDDRFFLAGSLDSKLRLWSIPDKSVAFWATVRDMITSVAFTPDGKY SIAGCLNGLCIVYETDGLKPNAQVHVRSARGRNAKGSKITGIDTIVYPPNDPNGDIKL LVTSNDSRIRLYNFKDRSLEAKYRGNENSTSQIRASFSEDGKYIICGSEDGHTYIWPT TSTEKDSEKRALEELDMRTDIVTCAIMAPIATKQLLGFSGDPLYDLCNPPPITLVGKM DSNLSSKQADDQLGQPKRGKDEDATPTTAKVNSSPAYLARSTHPDGNIILAADCWGRI KVYRQDCAHNKRPSDWDTMSFSKKLLGRSNSARHSIASSIGRESKTPSERILAWRSSV TGTELASIDSNGSRIRTPSPRKSGLGRRSRYSSPVNSPDAKTESGYATPLTHSVVDHE ESVAVDRHRGVETVNNEEENTNSASETGSGSSYASEESEVDEKEGAGNGATPELPEIP QFNENSQSNAFWRRSAEFVRSIRAQGLLSPTYGTRTDDTEAMRRKSSAISALSSDMGS SYDGSNDVTPSHEADESEVLRCSRCRGTNFRATKTKSGMQKLVCVRCNTALG TSTA_092500 MPYLPTPNAFLEQSSLLLEAYPDDTRITTKYSYPNPSNKNNTHK ISKRQSSKTTPNTEESIIPKSTTTTCATLTLKTYNPVTGICLKYKTNKAAEVGRLISG LGKLGAGVKITSATEEPVATTAAAATEGESSEVPVQIQAAPAVAAPSSGGGGGGGKKK KGKGKK TSTA_092510 MVKASSGNKAGPRTDPEAIERRRMQNRLAQRKRRLKRAQMAREE KERQQRLQAAQAASQLTFINDGYRLSLNQPPVCHAGQQKILPPVVNNYFDYTPLQFED VFSSSPYFPRTPIPPFETDPTFLVNGYPSPSSSHAPSTPTLSLYTPSLIDEDLSNNIN SSSNSNIDLDPSLYLSSQAGVVSPSAKTQHPWDAFGLMPLQPVSSPVLQGDRNRSTSL PTPFTTPPRSVPSLSTPRVPPTPNIMQYPPSSHTKNMNMRTNGLNLFNTSIDTSPHAG SSSINMKSNLTTTPNKTGLHICAEQGNTHAANLLLGYGADIDAIDEYGRTPLHYAVTN RHTHIVKLLVERGATTTIADINGVNPMHIAADSGEKEMTQMMHMMIMMTPPVVVPGAH NINLHSGPVVLK TSTA_092540 METVNKVVDAGYKAIWGEQNTNEQTTASNSQPTTSTGVTGIQSV DKVVEAGKKAIWGESTTDSQQQTSTSHGEEPVAGKRGLGTATDPYDAGNRDEQYDAPP VEAGGLPTSIPTSEQRNIETTDTSLNTPNMGSSSGTAVAGTEAAKDLKPSATGLRPDP AQPGNAADFTSRAVPDGGPGQTLKHTSKPFEEESQKLAKDLQNINVNDDKPKVHTVTG GVAVSRPNQAVHPKEDKEDSHSSSSDFSSGVVDNRGQDKTQGQGQSPKSKTSKLEKVK SKLHLGNHSPKASK TSTA_092550 MPQIVSKQTPEERRRGELALSEFADYVEKEQKRRAELSSLNTAG APSDSGYGTTSPSAVTEEHAELDILDQLGLSDVPSPTKLKDVLLGTAPTEDENSLQIL AGIIQRRIDEGHGETLFDLGLEDNGDSMNLERAQWDTALGRLQEAANTIPAMCRVLLT YNVGGPEEAQTRIERMKGCCGKVLIRQIPDRPEEVIETRIAVVGNVDAGKSTLLGVLV KGHLDDGRGKARVNLFRHKHEIESGRTSSVGMEIMGFDARGEIIGSDKGRKLSWEEIG KKSAKIISFSDLAGHERYLRTTVFGMLSSSPNYCLLMVAANNGLVGMSKEHLGIALAL NVPVMVVITKIDICPPQILQQTITQLTRILKSPGARKIPIFIKDMDETVNTATQFVSQ RICPIFQVSNVTGEGLDFVRTFLNILPHYGHYNAQAPFEFLVNDHFSVPFVGTVVSGV VKSGVVHAGDAVQIGPDSLGQFTSTVIKSIERKRIPVNVCSAGQSASFALKRVRRREV RKGMVVMAKAENEPPKVYREFVAEVLILSHATTIRPKYQAMLHVGAVSQTCAIIDIDR AFIRTGDRALVAFRFIQRPEFLSVGDRVLFREGRTKGLGIVKSVGYDPAHPLSAAASI SDAATGTTATAPAKDAQEKGKSVAAKST TSTA_092560 MADVEAGDASVSEPLDLVRLSLDEIVFVKLRGDRELKGRLHAYD SHCNLVLGDVEETIYVVEEDENEEETVKTIRKQEEMLFVRGDSVVLISPQAS TSTA_092570 MNALRWRGRQFRFPLVAAQRFRHESSFASQSVDVTPETQESTVS SLHERTKEVYRILRNGEPRQILTTLADPINYDVVASLPDSVFVSALLRLTPEYFIEPF LDTLRHVREETVLARGIRPIRDILQDFLPSMNRFISAWCSGGNKLGLVEYKHLLRISS SLGNLTSVSNIWRRMKREGVEPDLQCYNFLLHAMVWYHSVYGPERYHLRVTPYYLQKR NYGEQRGFRGFGTGARSVLKDVNAVASEMQNAGLAFDEDVYINLFLAGARVGSQQKMN SILKEIWMVDVEAIATKSDEIGSARPLVESSPLYPTRKLLWAVAHAYGCNNSFNWAVL TVEYISNQYGIEVTDDIWAELFERAFVLSRNRNGEWGRAFRRGKIHPEILFSLKKKLE PHGILFDMNMYRVLAKTRCVHQRYRCFQNVMEEAYELLRKTRRERDHALRVLENYLGM SLKIHSLSPLVKASHIQKNCKEPHVWRALQKYEILRSLVDQQRIILEKLVWVAVHKDR WTTPTDLDWTHRGFPRFIAEWKDFIPECFRIRLPTTQSEIEFVGRTSIDHANFRVHDN EPVRWSGCGEFLAIKDEQIQVSHDVLWARAKAHLGELADLPPLHWILNKRLDLESNHR LAALAAGVSEEFEEKLSPHAWYVTMVPLFSIPL TSTA_092580 MTFNFSWIVTALLAPLVVTVFWTLSSTSSGFSSFPTLYNKRICL LIAHPDDEAMFFAPTVLALTKPELGNHVKILCLSTGNADGLGEVRRKELQQSAVHLGL RDESDVFVIDDPSRFPDSMTATWSANDISSLLASAFAPELASGRAARNDVAPKATIDV LLTFDEHGVSNHPNHRSLYHGAVAFLKTLMDGKSGYGCPVSLYTLTTTNIIRKYSGIL DSFLTMFLGAFTTFGDSLVSAAKKPTAKNDGNASRLLYISSFHDWVQARTAMTDGHKS QMLWFRWGWITIGRYMFVNDLKKEKI TSTA_092600 MEQNGKGLMSKQCPVPSQAQLALAIAIVNSKPANISVIDHLQQI RLHIKASRNHSPPSTNSSDKYFDSVAFWKQAYTKAEATQSVLNDRIYELEQRIETLKL KLKQDDSVCDTPERGKRKGSREPTVPDSQRKRKKTSNVSSGVVTTGEAELEQLNELIS QDDSTGASQTGIGVNSVMRHLFVLQQNLQKRPNWAVIYASAINLCTATNSVVPFLYDN SGAEARQLNSTDSNIRVPNPLLNLAIIEASHSLLCRTLNKIMNSSERQKYEGQIVYHM SSLFETALYALEKICDYQTSSKELPKVKQNSKSPSAKNTKHQSSSESSNVTRYEVPTD EVLQTLRRVLAGMMLKATSLITTNPNTLFEGYLYVFLTHVGTVLSTLEFKDILTSPNL QASPDKLPLPDGLRRAIMNAKGEAIGTIVMARELETCHMIWLLEKAIALAHSMSMKSS LSQGTITPSDRKDSNAGILLGLSKKRLQNTLLKAVFDEEEPLFLESLIKPESLAGGEM TSPINKESETASEWFSREVWRLLGWDILESIWKNGKENHIQ TSTA_092610 MSDPIVDIHTHVYTPAYLAMLRARKKVPYVHDPANGADSRLIIL ASDDDSSTPLDQRGRPVDASYSDIEVKLAFMRRHGITTSVISLANPWLDFLEVDDAQV WSERINNDLENTCAKVNKDTGTTSNVKTLYAFGALPLSAPDPSIIVNEINRLKTLPHM RGVIMGTSGLGKGLDDPALDPVWAALEETQTLLFLHPHYGLPDEAFGGPEVTQRYGHV LPLALGFPLETTIAVTRMLLSGVFDRFSNLKVLLAHSGGTLPFLAGRIESCIAHERKF VANGGSTQGPVRDVWTVLNTNIYLDAVVYGEAGLKAAIHAAGGHERLLFGTDNPFFPP LSEGDVAWPSVTTNYKAIEGTLSTDKEAIRAVLGGNAIRILNLN TSTA_092620 MPPRSREEFTVAIICALPLEADAVEALFDEHYDELGHIYGKHVG DANSYITGRINNHDVVLACMPGMGNRRSAGVARGLLVNFTGIKLTLLVGICGGVPYVS DGTEIVLGDIIISDSVIEYDFGRQYPHGFERKSGVKEALTSPSQEIQSFLNRLRTRRM KDQVQRQTAQFLRILQEMEGNEWNYPGVLQDTLFEASYRHKHYKQQSTAECICADCHS SFNPVCQQALNGECKNIGCTGDLVQRHRLNTDSPQPIIHIGTIASANSVMKSGEHRDQ LAENEGVIGFEMEGAGVWDSLPCVIIKGVCDYADSHKNKIWQNYAAATAASCAKAVLA YWVNGLQQQPQATSHPKPSSTVPFERDEMFVGREDIIMSIKNAVQEGSGWTSKRAALV GLGGVGKSQIAIEYTYRVREFAPNTWTFWVHASNTTRFEQGYRDIATVAKVPGRDDPK ADILQLVNKWLCHETNCRRLMVLDNADDNDTFFNTSGERLPFVDYLPHVSHGSILVIS RNQTVARNIVGPRGQLLQVKPMSTNDAITLLRTRIQVDQSNETEAKLLVKALECIPLA IAQAGAYISNRSPRMSIPTYLELFQQSESNQEHLNYDDAHDLRRDRSIRHPVITTW TSTA_092630 MCMKAANLLALMIGQRRDRLHFEDTLALLISFSLIQVEVGGRLF ELHRPVQLSVRQWLKKQGQFRQLTKQSLRVMEAVFPSGDYETSASCQMLLPHLKETIR ITESLDKNDHLNVSIIIIRCGWYLLLMGKDEEAEAVHRRGLAGRERVLGTEHPDTLTS VSYLSSVLRSQGKYEEAEVMHRRALAGYEKVLGAEHPHTLTSVSHLGSVLERQGKYEE AEAMHRRALAGRERVLGAEHPHTLASVSNLGSVLERQGKYEEAVAMHRQALVGKRRLS PES TSTA_092640 MLPRKSIVALLASLSLTSAIPQQATTTTTSTSTASSGSSTSTSS TTTTTTQAAASSSAPATRPGLSSVSHGAYSGSASVTGAVTASSVGTGIVVGGVPPAAT TYPADGQLHDPEPAPYVPAGGVGLNTTPVYNVKSDFDFESLALALYQEWIELDLFQYG LEAFSVSDFEDAGLTAEDRALIEFMAIQEIGHATVISNMLGPQAPVQCNYNYPFTNVR EFVDFCQKLTRFGEAGVYGYLSHLDSREASQLLLQSITTEARQQLIFRQFEGLFPMPV WFQVGVPQSWAWTLLAPYISSCPTNQTRLAWQNFPALWILNQPNPVRRNATQGFNETL GAGTNTLNSTGISGSDACFNNTDKSNDCNPAISNNRSIPLSYPRRVVNLTWEYPGKPV GPNSSYVTSSTAGSPAFVAWVSQLNVTYSPLNLTSNTSGTTIQPSVETYEGDPAVNGT LFIAITDSDLYLTPFNLTLINEHVVAGPALYQAG TSTA_092650 MAATLPPIISLSALPLTDQLKVLDTLFEPSSELHNLLQPILSNN KDQTFTSYNSLIDAIYSQLTALSATSDPQQKQLLYGILGSHPRLGAASPTAQAGLSEL SRREQANINKSTDQEAAADQAARLSALNKEYEETYPGLRYVTFVNGRGRDVIMVDMRR RIDRGEFEQEVRDNIEAMCDIAKDRAKKLQSNL TSTA_092660 MSLNGLDGPAVIDAYQAALTEAGGWLLLKYASRDEVTLLGRGTG GVPDVRATVETYEEKSPLYGFLQYRRRKVVLKYVPEGMSRLVQARTTVQFQSILDRFS PQDTTFEFKAPGDLTESALSSACLLHAVSGSITSSSSSLRRRRLMEIAEDAEEGPPTT RAPPRVLQTPEETDDKRLSQASDATAVPPKEKGDDSPSIPPAPESEVDARSETASVRS VTRRRFLDQFQQDSFERRSSTQSMRPSLQELHYAAPYRQKVKMETRPSADINGRPRTA GSMTRGQEHRPTAALPAGVRSRRPSTNSNRPKSTPDVPPVPTLASLRNAPPVPLLIPP PTLSIAKPKLSPGAKSMNALPSSGMSPEKQRLMKALELRKKQMEKKTQDLQKKQAQIT TTAIPSSESPRDKENTKHSPTKNDPTLPETGKTMADEPPAVSTNGIEDKKPLSADIAK ADSAVEMVSLASKDTEPSISAAESEDTSSNAKNTTENQKDEQELRLELNDDPSQDDVK NGMPEITEIGEASASSEEETLTPRTTDSDNTIKVSGTTDIEETQEEKTEITDEAGNTT GEVVDTAAVDTEAGEQSETSQAADNNDDLSGLITIVASMDDLKGPETQEIASDAPIEG SKPIADANSWAEDIPLPLGSGDSLEEQGPAKSALETGSTGQEQPLPRPEGAEIEKSEK QRRLALLEPIRVSTPDFSDDENLLSDDSLMEELKSATVQEAQPVSVGKGPLSPNGEPR SPLEAWQTRAVSNPARRPSDFSSLPGESRSISATFVDNNNQAVRPVPVMVAKKVNVSS GISKRIKALEMFSNNHDSVATPAGNASATGNHLAAPGSSAFEKFRKRASVFSNGNLPL ATAGTRSSFISTTESSPLNRSDSLPNANSVSVTARIVRDPSTPPTEMTADPLETNILN LQRSPLIVRHDSSETQSSNHVLSDSATDLRNNYFSPTGHSTNSSISRSESRASMRSQV EDWSSRNGSSGDIGANSDDKKDSRRSRFMRRMSALRSPSRKDLISPPPGIDKQRLQSP NAEGAAQSASAHAVDIGEVNVQFPDTLLWKRRFLRIDDQGYLVLTPGSTDTGARNIVK RYHLSEFKTPCLPDEERQELPNSILLDFRDGSTLQCACESRKGQAAALQTLVDAHTAY QH TSTA_092670 MTGGKIYEGILPVHKPEGVTSADVLRKLQKHFNPSTIFRPWIEN EISRRKNDANFRNRRKKRPEVKIGHGGTLDPMATGVLITGIGRGTKNLIKFLECRKTY ETVVLFGAETDTYDRTGKLVRKAPYEHITREVVEEALKKFRGKIMQRPPIFSAKSIQG VRLYEYARAGKEPPVEIKSREVEVYDLQILEWYEPGTHDYKWPEEEMTGEEKEVAQKM LDKEAAIPLASEAEEAEQAAANSLLEDKKNKRKASPGLDKNSATKTSETEAETKRLKS DPEQPSTEDKTTKEEDTNNTKQEEAKEAKWEKPPAAKITMTVSSGFYVRSLAHDLGKA VGSCGLMSSLVRSKQADYTLDSDKILEYADLEAGEELWGPKVIRFLEEWQEKNPPAES T TSTA_092680 MTSREDQILKPRDPLLEDENEWEEFSLTDVKVLIPGKSRYANLL ATSPENPVRVIGCLNEVEEEQEHLVIDENYLSKRIVIENVTHYAYGQHADGEIGVWVA GQAGWFSILPAKGYKPMFNDMVEAVDLLYFLVDRHRNQRPRRKRRGREVTFEYLCDEY VTHTNGICEDGDDSAEVFYKHSSFLLSQMIQGREEVQWNETDVFNHLVKKFPDEYERI LALQQKPDDGPATEDHDGSTDKETVKVEAIPNSQADTIFGIITDLKEAGALAKRQLNL DLVVSTLRNRIDVDSEDYARDLIAARSKQVIERMDQSEFDWPKKAIYRELKQLAENAD IRQIAITPLRPRTSVNDDTSSSDHEDGDDEDEDDSPRARRHRMRMSVLRPSTTKAGKK TRGGKAPAAVRDDAHLCEDSDVSAEDLDTPSKSRGHNLVRDPPPSATTLHIRARSILS DADSTSLVIRKTPLQETHQSANLSGPDAASVNHEDSSKIADGLPDDTWICPAEGCDKV ICKASSKRSKELISDHTLTHAEDTQTKLDLVFAEQRLNIGLGVDNLLRRIREFGTLDG VNGDGSDVAAKRVRR TSTA_092690 MVKKSKLFAALDAHKGRDYEKERQKKLAKAAEKKKKAKKEESKE EIEEENVNGVEKPETNGEEEPKENEDTGGVKLTEEDQEENQNEEEEESEGEEEEDIPL SDLSEDERADVIPHQRLTINNSAAINTSIKRISFITAQTPFSEHNSLVSTEPVDVPDP NDDLTRELAFYKVCQSAAVDARRLLKKEGVPFTRPTDYFAEMVKTDEHMSKIKKKLFD EAASKKAAADARRQRDLKKFGKQVQVAKLQQRQKEKKETLEKINSLKRKRKTEPTGPT DNDNDLFDVAIDNDSTNKKSSFGKRGGKDTGVSAKRQKKNEKYGFGGKKRFAKSGDAM SSADLRGFSAKKMKAGAGGAKKRPGKSRRNAMK TSTA_092700 MSTDIKDSPSGGQLQTPTDPSTGAPELAAAVDELLDQLQHKFDG VSTEIFGKLDDMTRRLDELEASLSEVQENAGTSKA TSTA_092710 MARLSFIVSSLALFISIVSAASAVLDLLPSNFEEVAIKSGKPTL VEFFAPWCGHCKNLAPVYEELAQTFSFSDKVQIAKVDADEHRSLGKQFGVQGFPTLKF FDGKSDTPIEYSGGRDLESLSAFITEKTGIRPKAAYHPPSNVQMLTESSFKDVVGTDK NVLVAFTAPWCGHCKSLAPTWEELAKDFARDENVVIAKVDCEAENSKSLASEFKIQGF PTIKFFPAGSSEPVAYEGGRSENNFVDYINEKVGTHRVVGGGLDEKAGTIPTLDSIVA KYVPTKSFAKLSDEIKKSAKNVQEQYAQYYIKVTEKLKESEGYVNKEFTRLTKILSKG GLAPEKIDDLISRSNILRQFLGETENPKDEL TSTA_092720 MPPIRALDDWATSHAYTLALSSLKGTVIGIDATYYLHQHLHHPS TREPLLIALGGFPFALRANIERELMELKELGIGLLFVFDGLQFGVEDSQNRVRNDSRR ADSARAFEQAWELYDQQQADQVVDAFSNAGNPEPVEFYRFLQRILFENSVDFFVAPYS AAAQLKYFESTPKPFIDFVWGSTDVLLFDIEKVILKLDLEAGQFLWISKEHCKEELGR LTNEQFLDFALLLGSRYLRTFPPFENSTFPSKPWNVSNALTIFNGANRHATTLCSQFE EDRRVQDLQYLDRYKRAYMSIKHHVIADNEGRVGPLDPETAPSDVHELIGQRLPEELY YYISRGVLGPNIPNYLTTGQLTVPLPFGVEDSEVYRRLAGDSLMPIREQAVGLLSNCL HRFYQTKVINVRLWHEENSTRTINLKTLPSVRDSIRSWRISHKELPSELATLETPRGS LKFAAGSLKNSEFVSKTFYTKEAVTLSSEDEILHQTLLAFLQLRGYVNSKHELTEWGK CFTEAIKVLDSAKGPVDSQSYENVLTAVEMLRMGVLGTSNWFPHHSGGPMRGSDEDKS YNLLISRVACIGKLKHKPIGYSGPLSRQLLSFRSLISAVRRTLRELIEVVLTSMLLSG EVDRSISSEGLTSISFKLPFIDDNDCGLGIAVRTYLDDLLYQPESSSPKTREEVRAKG KEWFQHSESFEANLDAAFTLWDAVYVASQTAPKDFKAPKVWDDANKWLASHR TSTA_092730 MASPIQLPIIDISDPLNPAVGKDMLDAAIKYGFFYVHGKGSDFS AAEVDSTFDLSKKFFALPNEEKEKCRIRTDNRGWSGMHSEILDPEHQRNQLNEEKRAL NFGEFKNGKLQQPLPPALEPHEGDIKHFTNLCNKTAARVMRLLALGLEIDPEFFVTRH DASRGSTGCILRFLYYPSINSPASSSYQHDIDVRAGAHSDYGTITLLFQRPGQPGLEI LTADGSWAPVPVWPEAAAGGIKDDFPPILINIGDMLSFWTNGLLKSTIHRVVFPLAER SPAGTEADTKPQDRYSVVFFCHPLNDCNLVPPVPSPLVAAAGVEASRVGFGGGAGSLK ERSSMTAKEYLDLRLSATYGEREKV TSTA_092740 MAADMSGEQMQAKITAARREAEGLKDKIKRRKDELADTTLQQVA MNNTDPLPRIGMRPRRTLKGHLAKIYAMHWSTDRRHLVSASQDGKLIIWDAYTTNKVH AIPLRSSWVMTCAYAPSGNYVACGGLDNICSIYNLSAREGPTRVARELSGHSGYLSCC RFINDRRIITSSGDMTCMLWDIESGSKVTEFADHLGDVMSISINPTNQNVFVSGACDA FAKLWDIRTGKAVQTFAGHESDINAIQFFPDGNAFGTGSDDTSCRLFDIRADRELNTY QSDQVLCGITSVAFSVSGRLLFAGYDDFECKVWDVLRGDKVGSLSGHENRVSCLGVSN DGISLCTGSWDSLVRCYIWVRAIMLSC TSTA_092750 MREVNFSIPNVNKASVNITTTLYDRRALDCTSTLPLINSLNHLA YLTTSSGRIRDILTVDGGIERLVCILKEGRSGDLMEMWKWSLAFQCVVNIGVRGSEAV RTRVVEADVVPVIATILDNYIRVVDKVRARADADRSSSSRIVGSSSNSHYTRDQSSST ATVFERSAAIEQRPSRRQAPPPSIQIPQPFNQDNTHPPHHHHHHRHHHHHNNSNNNEH QASNAELTPFHQPALTSPPERTTFGRDVLNPRSHDLRYQQSQQDIRQMQPLATAVPTM DTTDGVHHRPVQHTLRLPSMHLSSPRTAGLVSQPDSPTTPSGPVQARSVPQPAVQIVR PTLRHLQSASGDSDDANGEDSPMADEGPAGVVSEPIVGVQNSMEIDNVDGDESMLENN ESRGLTMADPSRNQDTETFNITHRSAVDGSLVNNTNTQTAGALGFSPTAGPANANSPV MNVLNHSPYSTFLRNHNSPLGVLKGMPRDEDVLMALQLLAYVSKYCNLRSYFQNTHLV PKLKIGRELDLLDEPNKTFLTGDRADDELMSDVKNDEGKTRADDEEEEEYLLPDNLNI FPLVEKFTVRHHSKDMQYWAMSLAEEFVNVLILNVENGKTTSDNLQNVDVVVGPSTAA KNVKKPPGSGIGIGVTPHHSPGTAHLLDFNDSPHVPSNCI TSTA_092760 MNWDTLWFNIATFICGVFILDYGADKFIDHTVIVGRRLGISQTL IALLTIGAEYEELAVVIAAILQHRSPLALGNIIGSSISNILGAFSLGLLFHSGPVIEV SRFDAAAKIYTALLFAITTGVVGVIYFHLLTWVTGVVLIVVFGVYIVSIAYAIYRGIS VLAPDSNDESESDSDSGIGDATIPSEISPLLSPTSNSAAHEIVQEPTTRPKRPRSLTY HITHLLIGLISLSLSGYILSHSASSIADSLNLSGTLVGITILSFATTLPEKLIAVLSG TRGHGSIMVASTAGSNIFLLTLCLGVVAITADMSSNKIDGGNRVAMFELGVAWVSSAV LLLIVGLGLGRVAGVGLLAAYVGFLVLEFTIYRR TSTA_092770 MSSAQDEFDRLIQANRDSSRTHPEDRDQSDNDSSPAEEHNHSAV ADDHYELSDADSDYGDHVKRDNDAMVSRTATYTVPTTVFEANTGPKGVIADAQSFERA RKKSFRRTLLSAAGLESHSRLFGVSNNITSQQQQQPIQTASSSPPSDEDEEFMRRWRE SRLQELQERSQRRPSPSKRVYGRVDTVDAEGYLNAIERVASDTVVVVCIYDPESSVSS QVEDAIEVVARKQSTTRFVKLHHEIAEMSHIEAPALLAYKAGDVICTLVDIPRQIPRG GEISANSIEDLFKQYVTSFC TSTA_092780 MQVKRTVKLVTEQSVIQGRDSGVEGFPLRSWSIEIYLLGEHGEE LPATLFDKVIYRLHPSFGDRANQTVKNPPFRIQEEGWGEFDMQIGLYADKEHTINHDL NFQQSRYESKHTITFKHPKAALLEKLRESGPVPGDENGVKGKRGATGEESVKKKKKTE KTVDMDKLADGLQKLGEDDLLQVVQMVHDNKALNSYIVNDVEQGEFQVDLYTLPDALI KMLWDFVQQRGVV TSTA_092790 MADTTETKPDPTTTTSTEETTPAPAVEKTEGEEKSVTEKATDAS VNAASKTTDSVFSMFGGGPKKEKKEEADDVDEPSGSSKAQKAEGEEEEEVESPEVHFE PVIHLTEKVETKTNEELEEQVFKMRAKLFKFDRESREWKERGTGDVRLLKHKENHKTR LVMRRDKTLKVCANHYIVPDMKLSPNVGSDRSWVWNAAADVSEGEPEAQTLAIRFANS ENANLFKDAFIKAQQENEKLFSAEA TSTA_092800 MVSNEMSKNFEIAIIGAGISGTTLAIALHHRGLNVTVYEAAHAF GEIGAGVSFSPNAVQAMKICHDGVYEAFEEVCTRNKWSSKQKVWFNYVDGYNKTKSDG SELKADEQPDIAFTIHNSLGQTAVHRARFLDEMVHLLPKGIAHFGKRLCGITEPANDE GKLVMHFTDGTSAETDAVIGCDGIKSAVRRCLFGEDSPSAWPSYTHKYAYRGLISTRD AVAAIGSEVAENSFMHMGPNGHILTFPVDKGETLNLVAFRTTSANWEDPSRLTKPAHQ DDLLRDFEGWAPYIQKLLKLTKPDLDIWAIFDLGNNPVPTFCKGRVCIIGDAAHATSP HHGSGAGFCIESSAILASLLASDKVQTVSDIRAVFETFDAVRRERCQWLVQSSRFIGD CYEWRADGVGKDFEIIEREINVRYGIIANVDVEKMCREAVEDFNKRVSA TSTA_092810 MTQPTSPTWHVMPDGTQVSTIDRVCKEVQAPALYPPTDETFYSP QDRTKPNLQYLKQHFYREGRLTESQALWIIQTGSELLRTEPNLLEMDAPITVCGDIHG QYYDLMKLFEVGGDPAETKYLFLGDYVDRGYFSIECVLYLWCLKIWYPNTFWLLRGNH ECRHLTEYFTFKMECIHKYSERVYDACMDSFCALPLAAVLNKQFFCVHGGLSPELYTL QDIQAIDRFREPPSHGLMCDLLWADPLENFGQEKTGEYFVNNHIRGCSYSFSYSAACN FLEKNNLFSIIRAHEAQESGYRMYRKGRTTGFPSLMTLFSAPNYVDEYNNKAAVLKYE NNVMNIRQFNCSPHPFWLHNFMNVFAWSLPFVGEKITDMLIAILNVCSKEELEEGTNA GTPPSVSPGVPALPPPPIIEQDEQTELRLRTLKEKILAIGRLSRVYHVLREEAEGITE LKNAAGGRLPAGTLMLGAEGIRQAIHEFEDVRKIDLRNERFPPSQEEVARTREEDRRA ALERALQEAENDAGLDIVARNISM TSTA_092820 MANNQERNSNFDPAATPRLQYPTFPPLSRSVEEWLSQSRPTMST NNPHHERPSSALSESWATLSTSDIHSEDGTRSEQTDMASLIDQNGPDDVASLDDRESS GYDDDDVNNSQSEEEDADNEDEESVIEDSRELPLPLFARDLPPVEDSNLTTKPFMSHS SDSIEFSEPEDWPEVQRVQLKHTIDILNDEETAALKDQLPMNLDDCQLAITVQQTMTK QGLDLDKPFRVLYMGNPEFRNIILDKIGDVLVSSSTRSLGSSSTESSRYHVVPTSFGI GATPNYAELLPIHVQLVVDECIGAVSESHRTPSGTDITLNFKNRPSCSSVWTGSEHQI LSDTEWSIPDLSIFLVSDRDGEASMRARHLAQNFMKIHGIPVLIISEEPLWRKPGQHL FPFDHQSLHLCLESRRSLTGETVVVERYPIDVKTFESITPSQLNRHLASLSELRPRKV STSSTTSLPQKPVEPNLFYDAEKYPSSSIFSACNGRAHELAPFLRVLMLSIVLATSLS LGYSALRAVATFIVSIIGGAAVSSSAASVVPTTITTTTTIFPAANTAGLASLALKSRS TDLSERFETLSVGPVSDFSDISKLLKDQPSQNDAIQFQVIGDCHVIIKQPAAAKNHRF DIRVTRGNISLPYELAKLFDGVYTLRLNREDAYGLVNVTVSSRSKQPREETLEVDFGT PWLKIENWKRAAQAVSSQIKKDFDSAQTGLSDIYTRLSTDVQLWVDDAVKKSHSIRKE AESLRYDSGQLKANLHKIMEQSKELSDLVTRGASRQIDAASAALEKVHKHSVAMNKVA HDVFDETCNFLGTRLKDLEGLQTQLQEAIPTGILSRAQKSAKKLTAEKLWPPRRP TSTA_092830 MDREDEVEMLRAYSQSPRSGSRTPRNTTQNQAPQETIKQFWDQF NTRYPGKIFTILPDNPYARSKAAQQPTGVIQSSEAVGSYEQARKECEIAVERIVRECE RINQKYSDPHFDIEFDLKTGKRNCLDGLAEENMEMRPRGVKRVTDIFEHPQFYINGPT AGDVRQGRDGDCWLMAALCTLGNKEGLIDRLCVAQNEKVGVYGFVFYRDGEWQQCIID DKLYLRAADYDESIEERPIWDDINRKDTEEEYRKVWQTGSRALYFAQCSDENETWLPL LEKAYAKAHGDYTAIEGGFVGEAIEDLTGGVTSEILSTNILDKDKFWKEELMNVNKQF LFGCATGIFSNWLDPKYRGPPRERKGISEGHSYSIMEAKEINGVRLLRLRNPWGKKEW SGAWSDGSEEWTPEWMNLLNHKFGNDGVFWISYDDLLKKYQHLDRTRLFGPEWTVTQQ WMSLNVPWSADYHHEKFVIEISRTSPVVVVLSQLDTRYFRGLEGEYDFTLQFRLQKEG EEDYIVRSSTNYMMSRSVNTDITLDAGKYCVLVKVTAYRRKNVASPEEVVRVTASRRR EKLVQIGLSYDLAHTKGLTLETEAEKREREEREERQRFLDRQKLREQIMKKLQQQHIR EKKIRARRERMMRRERLREALEEERALHAESADDAEEDFIPEIRNGHEDLYQPNWTHH ENELQSAPTTRKARVSSRPPRPNLKTSFPKSNAARYQETMELLQDFEFDSDLDMPPEN SPTHSSASFPGPPPRAGGGGGDGSIDPWNAVCIVGLKVFSKDPELKLQVVRPGCNDGE APLDRDDPAVSATFEKRTSWLT TSTA_092840 MGGGSNWPSPRQLKISPTTDKNTYSGNPIIPGWYADPDGHIFEN QYWIFPSLSLDYGQQTYFDCFSSPDLIQWTKHERILDFADIPWSTNRAAWAPTAAYKN GDYYMYFSAGDGAGIGVAKSTTGQPQGPYKDVLGKPLIADVLFGGQPIDPAVFIDDDG RAYLLWGGWSHGLGAELNDDMISFKSEVVELTPPNYVEAPYMIKREGVYYYMYSVGGW GDNSYGVEYVTSTTSPLGPFTKTPSHILSPDHEVAQGTGSNGVLHIPGTDEWYIVYHR RPLGDYAANHRYVCIDRMEFDDHGNGKAVIKPVEITTEGVMAHPLQESC TSTA_092850 MCFLFQYRNVLTRQGSSVSPLLFKHGRLTARRVFLQDSRSSVHR TFASIAPPPLVEDVVEVPVGGAGSITLTILRPLTDNAQANPKVILYLPRGPIFQNESV VGVKDHRTLDYSSHDDDHDTMISSSLLRGSLSTPQELMAAITGANVVTVNYRLGYTDT NTKLSNSDNGRIRPFYRYPTPVHDTLAGLDWVLQTLRPGLLFVFGSNIGGSLATMLSL TESQHIHVVAAHEPVCDWTGLDDYCTIDPQIFTGSGHTIGGNKLVIVAEGDEMQKSSA QPTQTKQPSKHRRKAAPSDLVPLLNTRRYLFDSPSKYFDPFASPMLFLRSAGKDVPKV IPEYFTGTEYPIPLLKTPIDEEELIDLWDIHIHTEDEPRVSENENATPVEVEERPSRR RKALSRWPPYGLDTGNDGGGRGFTKSTRLQINLPWMKFFARLGSNKDVPMPFQSLESV TNSNENDGPSPKHRTSRRSATENNSVLATQAKDMVSVMRRACFWGEDAGNGEERVTLR NVTRTTTADHNEQLQLTDPNYLETVHESANWFKEMMYKSRYP TSTA_092860 MANIMIVAPEPKSLLGYHRQLAPNAAVKVSPLCLGSMGFGNSMK DLMGECDKDASFKLLDFFYENGGNFIDTANVYQYGESEAIIGEWMKIRENRDEIVLAT KYSSAWQLANPKIKIQSNFGGNNKKSMLTAFDSSLARLQTNYVDIFYVHIWDFTTSIP ELMHTLHELVSSGKALYLGISNTPAWIVSKANEYARQQGLSPFSVYQGQWSAAERDVE RDIIPMCNDEGMAFIPYGVLGSGYFRTSAQRAAEKQNPGTKREGRNIAMIDKPQKTIM ADTLEDIAKARGTNITSIALAWARLKQPWVFPVIGGRKLEHLKASVNALGIVLTSEEM AKIENALSFDFGYPQTILGGPGGATKPADVWSTKRFGHFDWVSAPQVSNFL TSTA_092870 MHAVLTISASHLNYLLPQQAENKRAMNIYLSRTLPGFRSGVGTI ASLQDTDAVIACGFLLLYYAWDDSNQSIDSDGLLWFATGLSEVITAAYTIQSQDGTNN GIFHNYAIPQYAQMLLDIRTRTASAASYLSYDFDGNFLGRHKLSDQVQDSVSQGGGCR EIRLENRLGPIFYTVDSFTLGLDLTGFLPSFMAYSLTWPTKAPKDFVNQINAGESHAL LVLLSFYSSVWLTLSNEVWWAHRRCRMMCELILSQLTYQKESFWSENITRIAEYFNFN QKTNGTWEVGCPSPTRI TSTA_092880 MPHNAGKATPPLTTSPFAVLNKNKYSNTHAKPKGSGDNRPTALR IIKDEPREGDLNDKMVFITGCSSGLGVETVRAMKATGATLFVTARNLEKAWLALGDIL YGGHIHLLQLDLESFESIRACVADFKPRAIERRFGINDLHAWSARPGGIRAGLQRPSL SDYTTVFISGIMVTFNIMMSTEQGTSTSIWAAVSRDLEGQGGKYLERNQISEPVKKEF KPIDPGHAEWAYYEDKAKRLYDESLKLGLVNGVSFMSNMLYQQRLKRANHIQPKEHLG ITWVIASHCLSCGFFLYYVCLHLHILSPCTAEITQQPSDKLQDGVYHNAVRQKPQYQS PVKHLSSYNWIEAPQPTIAVPGSPELWFPSSAPQQVPKDSGLIYSAQNTARHPDSPLK SLFRSLHITHPSFDIRSVDLVTDRRNIRKLLSYINPNLGRNGRESLTINVEIIRSAAV FCRSETEPSPSIGAHEFIGFRHEFEKAYTSNQNLSYKLSNLQLVIRYETNGYIDDALT RRTGVNNDNLAASVKDMLLAPTPPPAAAHAAAGSKLMIKKEAKEAKEVPIESYRNQNT RDVEAYRHTKDSPATMDISNSQTWLFEVPKFEIVALEIQSWEKNHRADLNKLVASIKS IIQAVMENGGKVDVIYDDQHGDELVI TSTA_092890 MENYEKIEKIGEGTYGVVYKARDLNHNNRIVALKKIRLEAEDEG VPSTAIREISLLKEMNDPNIVRLFDIVHADGHKLYLVFEFLDLDLKKYMEALPVSEGG RGKALPNGSAINMNQLGLGEAMVKKFMAQLVEGVRYCHSHRILHRDLKPQNLLIDREG NLKLADFGLARAFGVPLRTYTHEVVTLWYRSPEILLGGRQYSTGVDMWSVGTIFAEMC TRKPLFPGDSEIDEIFKIFRLLGTPDENTWPGVSSFPDFKSSFPKWRRNYDTPLVSGL EPAGLELLEMLLEYDPARRISAKQACAHPYFAQGSAYYSGRTRVR TSTA_092900 MATIKGLNAHDRPPEKVREVYKKYLRIKMPEIDQDPEIIDLEKL DVDNLPSPYAISGSLDSADLRLSFDEFVGVHKNSSGGKDHHGGGLIEDIPILTHSKVT GLHMIPALYPPTVQSKLLDLMLHRDLSNPEHQTNLHLHYDIEYPHATGQSADKQNESP ISFFGADPTTTFAPKDPHVHKPMTIQNMLDKKLRWITFGGQYNWTTKVYPEGQPPPFP EDIAHLLRASFPETEAQAAIVNFYSANDTFIGCDALFMISHDDGEGCEVIRLRSGDAV YMSGQSRFAWHGVPKIIPDTCPKWLCDWPGPGYPYWQGWMGRKRVNLNVRQMMESN TSTA_092910 MVDTEDHTKSPAESAAGDDAGHSGAAKGAAVKDKECQYCHQAFT SSSLGRHLDQYLFKKKPDGIHDVEEIRRIRSSITRRQARTSTKHDSPDVGSHKSQNES PSATTHDPPARPREGPRFLFNTPSWHATGVINNLPESTSQDVAHSSRAPVSHSPGSLD YASRNSKTKDADTVRALELALQEVLDNIKAATATTRPRLSPFDFDVQAETFPALILKL LPPPPTLFSSQPFSTGTSFPLEPPNAGQLDLVRHALKAHIEKWHNEQLALSNAKQTGS RTKNNHANDPQMINAAAQQHDEISSRHVDLAYQNWMVLSPENKKHTWQLELTRAFVRE SEKRKDLEAQLNRVQQEAEKFREQNERLASCQWPREFALFPPDTLPLPREAARELHAM DKSYTSPDSSRWDYDQLVAKWKRVVMHDKSMGRVGAPAYRGLEDPPPESTIRPKLNPP NSESHAEFPSFGYVATATSSANNSGQQTSPYDAAPHQSTYSTHQPLKRQRLMNGTSKD VGTASGDNHYKTSPQLANGNGTGWSPASVQSLLASSNPPSSSVPANTNRYGPP TSTA_092920 MDLTGFTEEQLTVREAVSHVCSQFPSSYWQECDQEEKDPKEFHA ALAKDGWLGIALPEELGGSGLGISEATIMMQTITQSGAGMAGAQSIHANVYATQPLAK FGTKEQVEKLIPDIINGSWRTCFGVTEPNTGLDTLRLKTTATKKSDNTYLVSGQKIWI TCAQVASVMILLARTTPLEETQKPTEGLSMFAINLDRTNPGLELKKIKKMGGRAVDAN EVFFDKYEILADTLIGKENDGFRIILHGMNAERCLLAGEALGLGYAALEKASHYANER QVFGRPIGKNQAIAHPLADAYMRLEAAKLATYHAARIYDASKTDSSISLQSVGTACNS AKYLAAEAAYTACERAVMTHGGMGYAVEYDVERWLRECFVPRIAPVSREMILNYISEK VLHLPRSY TSTA_092930 MFLLKKSRRFLLWIPIVLILFIFHYVFSIHNNRNGPHTDDNDTR PRFLYRSSFRDNPDLEYERRISDALQGIEGTVLAENGGDTLAEERIWQIAKDEGQRGS DSKALQGQNRLWEYSLMTDEKAEDFVNNILSAVPEIAQVYNSYPYYVLRTDLLRYLLL WYYGGFYADIDVFPARPIKGCPDLKPVLFTDQKSTNISLVVGVELDEPYVSPRGMREW HWTRRYGFLQYTMFAPRRFSPVLREIITRVMAHTKQHNERFASHFTGYGQSTILGITG PDVVTDAVLDVLSSTLPTMHKLMNISMNKDAGIDPLPISTDTGETLRRVTWAPFHGLS EPLCIADSEARQKGSMGGICVLPVNAWGNGQRHSGSEGFDSPRACVNHRFSGSWKKGW WQSFFG TSTA_092940 MAASSNADLRGNHATPSESTNYNDSSSEETEVVPVRSGSSHQRK RDGRDQKDGDEDSTLARRYTTNSSGKQQTNEDWAEIQRLMSRMFGRERQENSEEEKTR HSGVIWKNLNVKGAGLGAALQPTVGDLFLGLPRLVRGLLARGRKGAGKNVPVKTILND FTGCVRPGELLLVLGRPGSGCSTFLKVIGNQRAGYESIDGEVTYGGTDPQAMAKNYRS EVSYNPEDDLHYATLTVKQTLSFALQTRTPGKESRNQGESRKDYQKTFLSAITKLFWI EHTMDTKVGNELIHGISGGEKKRVSIAEAMITKASTQCWDNSTKGLDASTALEYVQSL RSLTNMAQVSTLVALYQAAESLYHLFDKVVLIEEGRCAYYGPIDKAKAYFENLGFECP PRWTTPDFLTSISDPHARRVKSGWEDRIPRTAEEFESIYLNSDLHKAALEDIRDFEQD LEKQKEEREAARNVTKQRNFTLSFHQQVLALTRRQFLVMIGDPQSLYGKWGMILFQAL IVGSLFYNLQPTSAGVFPRGGVMFYILLFNALLALAELTATFSSRPILLKHKAFSFYR PSAYALAQVVVDMPLVAVQVTIFDLIVYFMSDLSRTASQFFINLLILFVLTMTIYSLF RAVGALCSSLDVATRITGVALQALIVYTGYLIPPWKMHPWLKWLIWINPVQYAFEALM ANEFYNLSIQCIPPLLIPEGPGASPQHQSCFLQGSQPDQTTVRGSDYIKTAYTYSRSH LWRNFGIIIAWLIFFVVLTMIGMELQKPNKGGSSVTVFKRGQAPKDVDDALKNKISPG DEENGDAAQTNVNNTEQEADGEKNVEGIAKNTAIFTWQHVNYDIPVKGSQKRLLDDVQ GYVRPGRLTAMMGASGAGKTTLLNVLAQRVNTGVVTGDFLINGRPLPRSFQRATGFAE QMDVHEPTATVRESLRFSARLRQPREVPLKEKYDYCEKIIDLLEMRPMAGATVGSGGS GLNQEQRKRLTIAVELASKPELLLFLDEPTSGLDSLAAFNIVRFLRRLADAGQAVLCT IHQPSAVLFEQFDDLLLLKSGGRVVYHGELGSDSRTLIDYFERNGGKKCPRDANPAEY MLEVIGAGNPDYKGKDWGDVWANSEEHEARTREIDEIVSSRREGQTSQETKDNREYAM PIWTQISTTTKRSFVAYWRSPEYLLGKFMLHIFTGLFNTFTFWKLGHSYIDMQSRLFS VFMTLTISPPLIQQLQPRFLHFRNLYESREAKAKIYSWPAFVVSAILPELPYSLVAGS IYYNCWYWGIRFSHDSFTSGFTYIMILLFELYYVGFGQFIAALSPNELFASLIVPAFF TFVVSFCGVVVPYSGLPSFWKAWMYWLTPFHYLLEAFLGVLVHNQPVKCVAREEARFT PPPGSTCQNYTSAFTSQAGGYVDDLGNGMCAFCAYSNGDQFAASFNVFYSNKWRDFGI FWAFILFNFFVIFALSWLYLHGIQGIKKVLSIRKSKSSSKTKQNSGRTSEKNRSGSTS SGE TSTA_092950 MYPFKSISKAVMPSLRAAQVSMAFPKAHSLPNLHAAQAPPVKLS PGNGYTCQKSVLQGQPDSSALIPKAKLPTPCPYPTIYIPNPRQYTKEEYAELALHFEN RVKGQWKKVWFGLYQVEGAKESTHAAEIRKLYAGAGMGESLRKPGNQDTTIYFRGYWE FTGLGRLSHVLVESGPTDFWPVIGRLKMYDGIALDNMQFISIMLKEYEKQVDQLSELY QFLEGMQIPHHVEPTEEHIKTVMHGFRERSGNIAQALIDLDLEMGAMQRNINQEAAQL HGSSLERVETLHFRKEVLKP TSTA_092960 MLFGKRGFLHKPNSSLWNPLPPSPSPSPSSSPSTPSPLSPPIFT SSSSSTPSLSSYPSSPRSPIRYYNIHPPPKPPLVWTWQCHLCNRNWPVGTTQRCLYCG HRMCLPEQEQNRNRSSRKRKIICDSHSRQQPLLLSSLLSANSSDGIRLPMASRNSSEP VRQRYKQRLRCHYRKSRARQEKKKKKFHCKSEFDYAGWENRNKWRRDVKELNELKNKG MLEELTDEEEESDSEDTDSTLDEDEGRKREMFKLLPGTGPLRKSKTGGFA TSTA_092970 MSLPPPPGLSKPSSLPPRPPSSFKPAYSSAPSYSSPGTTTTATP SHGARASPATTGYSAFTAFAPRAVASAQPYRSNSPAVAAVSQPTTAYQGPAVPGYSAP TYQNPQQSYQAGAQSYYGQQPGYGDSQYGASPIPQIANPFPVPGQERKGYGRDSGMDP EMEAQIAQWQSAYMTNPNEDSPATITQAKAAGRPGASAPSSTNSGTPTYSASASHTPV PRAGGESESKTVIRSGGGQTWSDPTLLEWDPAHFRLFVGNLAGEVTDDSLKKAFSRYP SIQKARVIRDKRTEKSKGYGFVSFSDGDDYFKAAREMQGKYIGSHPVLLRRAMTEVRP VQDKRDKKGKKNGHGGGGGNKNSPHGTVGGGKHKGDGVKKQGYTRVD TSTA_092980 MADQAVARLAGINVGAPARPVPSGDFGLIGLAVMGQNLILNAAD HGFTVVAYNRTVAKVDRFLANEAKGKSIVGAHSIEEFVAKLKRPRRIMLLVMAGKPVD DFIETLLPHLEQGDIVIDGGNSHFPDSNRRTKYLASKGIRFVGSGVSGGEEGARYGPS LMPGGNEEAWPYIKDVFQSIAAKSDGEPCCDWVGDEGAGHYVKMVHNGIEYGDMQLIT EAYDILKRGVGLTPNEIGEVFDKWNKGVLDSFLIEITRDILKYNDDDGTALVEKILDK AGQKGTGKWTAINALDLGMPVTLIGEAVFSRCLSAIKDERVRASKVLGGPTPKFEGDK QAFIDNLEQALYASKIISYAQGFMLIQNAAKEYGWKLNKPSIALMWRGGCIIRSVFLK DITNAYRKNPDLENLLFDDFFNKAIHNAQAGWRDVVSKGALWGIPTPAFSTALGFYDG YRTKELPANLLQAQRDYFGAHTFRIKPEYASDNFPVGKDIHVNWTGRGGNVSASTYIA TSTA_092990 MADTKRQSTGAKAQNQQATGSGAATPPSEETLSKAIGARIRITT ATPNTSTIEGTLFTACPITNLVAINTSNQASPNPTSSIQSGDYHIIPTSRIQSFQVIS PAPAVVDGAPSLQTLETRALKAREANAIFKLQEAEARRGKGVTREAQDIFDAFSRTMP ARWDATSIVVADAVVIAKPYRVDDCRALVAGDSAALTRVRKVLEMERKKIELRNASAA IGNSNQFMRQNRDRVVVPSRFDNSPAPAPRKGG TSTA_093000 MSAPPYKAIEDYGIIGDMHTAALVSKDGSMDFLCWPVFDSPSVF CRLLDKDKGGHFSIHANVAHDGIPLSKQRYLPYTNILETRWIHEIGVLSLIDFFPVSN HKSPQSDRHLSGYCACTEPGGNRWKSGAKHSGIIRRLECSRGTMGVTVEVFPAFNYAQ DGHTTRLSPKADEPGNKLQCVYFESPQERLQLDIWIDNKKEGQGPPKAIYRLENRPGH LGQGLVVDVEISEGQSMIFVLHSPEKTLPGPTQLASYLSRLESETFHFWTDWSHKCTF RGHYRETVERSLLILKLLTYKPTGAVIAAPTFSLPENIGGTRNWDYRYSWVRDTSFTL YAFLKNGYDEEAEAYITFIFDRVFPPLTQKQYTKEQHRPFLPIMFTIRGDSEIPEFEL NHMDGYRGSKPVRIGNAAAFHTQLDIYGELMDSIYMYNSHAKPIQYDQWLGIRRMVNY VIQVRDEPDMSIWEVRGKPQHFLYSKMLLWVALDRGVRLADKHSTLPCPDKQQWIRVR DDLYDEIMDKGFNEEKGFFCQSYENTEVVDAALMIAPLVLFVEPNDRRFLSTLDKVLE PPEKSGLTSASMVFRYDHEKAQDGVGGREGAFIMITFWAIEAMFRVRYSPLFFPTPHS TPISDLEKKASKYTDTLPNGDDIRRKAIASFDNCLSFANHLGIFSEEVAISGEAMGNV PQAFSHLSCISAAMNLPDIRR TSTA_093010 MAVGASRNITGHHRRRSSVLTAAGSSQLHPVSTDNYIDREGRLS PKEDGPKGEEQQALIHGDTDSSDLSSIAESDEDDSDDGIHDDEETGLTAKQRNERRRR RKRQRRRLDAKIAGVKGKTSNVVADKNVATALAINCCLIGLWYLFSLSISIYNKWMFS EDDVVFPFPLFTTSLHMLVQLLLAGLILYFVPSLRPKHPPSSSSKSEPIMTKSFYLTR LVPCGTATSLDIGLGNMSLKYISLTFLTMCKSSALAFVLIFAFIFRLETPSVKLIVVI AAMTLGVVMMVAGETAFDARGFTLVIASAFFSGFRWGLTQILLLRHPATSNPFSTMLF LTPVMFIALIAIALGIEGPNEIIAGVKALAEARGQFTGIALLIFPGILAFCMIASEFA LLKRSSVVTLSICGIFKEVVTISAAGVIFHDKLTPVNVSGLFVTITSIAAYNYMKISK MRRDARQELERKTDVEDYSVSPALGDSTDERIPMSQAPNHETFNNHHTASNWNTYEAD IDDQSASSSGEGDENVNITPRNDVRRGRYSFPVKVSGTYTAPGTGQPLQSVPHTPMNI PDSA TSTA_093020 MPARNSRPKPGAVASPAPDTKKRPFDETEENSLSPRRPRRTRST TENVTAKPFPALANQAPRKPSRLVSANSKKRGTAVEIKEEEAIEADDLKAAIRIKKQK LSIAAQESDTGVKKEVEIEEEEEEEEEIIAKKSTKKRKTKAEKDAEMLPLAARTKGLQ MFVGAHVSAAKGVQNSVVNSVHIGGNAFALFLKSQRKWENPPLQDENKEQFLKFCLDH EYDAAKYILPHGSYLVNLAQEDEAKAKQAYDSFLDDLQRCEKLGIRLYNFHPGAAGQT TVESAISRLAQSLTKALAATETVTPVLETMCGHGTTLGGPLSELGAVISQIPEKYHSR IGVCVDTCHSFSYGYDLASPEGFRSFMQEFDDKIGLKFLRALHLNDSKAPKGSKRDLH ANIGTGFLGLRAFHNVMNEKRFQGLPMILETPIDRPVPDSGKSNKSISSRKPKKEEDT DDGLCDSDHEIDSPKAKKARKAPSTQNKLVEDKSVWAREIKLLESLIGMDPESSEFRA LETQLSGEGRAERKRHQEQYERKLETEAKKKQKQLEKGQKGLDGMFKKKE TSTA_093030 MQVSEDLINFDVIEAQKENVQSLPGGRSAKALAQIFSSGSNGDK YNTPSPNDTRTLNDAIRQEYEAELQASADSDDPLDAYDRYVKWTINAYPSAQATAESG LLPLLERATKAFLNSTHYRNDPRYLRLWLHYIRLFSDAPRETFSFLARHHVGEGLALF YEEFASWLESAGRWTQAEEVYKLGIEREARPQERLLRRYNEFQSRLAQQPQDSDGPSS PALPTVRPALAAKVDPFATARVPVDPQAPQPRATSGRTTTKSGKQKLAVFSDSDNPES NQPPVSSLSKGWDSIGSIRDRRKENEMEAKPWTGETLKQEKKQVPVQKMAVFRDESKS NVQAESNIQPLRKETPQHHVKEAINPRTGRRERVFVNLEAVYPDYKNPNHEICFEELR AASRGWLKKDWSKPKKPLKEIQTNAAGRSSGLPGGMDDMTDRSLAQDVKQKLAIGEGS SQGDHRERRLSKVKKIKVKEVGETQTIKTNLDSPTGPRIKKKNNSEPTMTFHTRAATD EIYSIFNQPLKTETEHADHGDSFLDSEYEDDDYTSGAESTGTGRISAGQSEFDDDETR GVNDEEEDEGGSEHDGEWTEFTASKHLPNVSSAEHGFEENVDEQDLRTKYIPEIPDDY NPPVGPYRDAAIMAQNRLPFMTPIIEKTESSLPSFTAGRNRHYETKTPCKTVPEVDFT PSGAATENLLLATPDPDAVTVNVGDAQSPSLGVKTLRRTPVKKVAPFTITNTDCIIKD AQCDPSDRAIRSKILKMTEPPLTSFSGYYDHSSTFGAHAADIMKYSKSMKKPAKGGER LLSTPPVLSFPGSRRTYAIRRELGAGAYAPVYLAESMEDINSYLFDSETERNSKVALK TMRDQKVQRHGLEAIKLETDPPSAWEFYMIKLTHDRIRASSDHRRASESIVRAHELHL FRDESFLVEDYQGQGTLLDLVNIIRTEAITNTGNSEAGMDEALAMFFSVELFRTVEAL HTCGILHGDIKPDNCLVRFEDKKSRSSFPSPPSESLLDLADEDAIADPSEVHYSARGL CGWREKGLTLIDFGRGVDMKAFRPDVQFIAEWEMSQHDCNEVREMRPWTYQIDLYGVA CTIHAMLFGKYLESVPINNTRNSGGRDSLNSGAANAEGDSGVKRYRIRESLKRYWDRE IWTDVFDLLLNPCTERWARMEREDPSGAESATSLPVLKSLKYVREKMEAWLAINAEKK NLSLQIRKLEAHLSRRRERLEKER TSTA_093040 MAQERQGLSRTLPKSFTFSSLDTTYPRTPEHPRIEPQIPPPPRH SSYRVRRPRFDSHASIFSTMSGEPNIFDLSRPDVPVPSIEFPASDTMSEPEITSSESV SSEFLQVPTLRRMEFKTPPAQIRTEPFNFPRATPDPSENAQEDPIERPSSRASDSSVS SIETYTTQPSLGGSCTSPESEIYDPFSFQLADTSKHVPETPPTSNPNRGKSLDLPKRK TRWNADMDNHLWNTYQMYLQDPTNTPFKTLPGSLPPLGVSHRVAREAKKTWARVKSRK AQETVAVGPGSVSVDNEQSINPAGDRSGSCTPTAKKPTMSRWPRSEAATRRRLKYLCK RKLSIAPHYQRLLQSRSPSPFLDVFSAPPRRPIDLAIDHSSSAAFMTRDLGVSLVSSS LPTDFASFGTAELTTHQPLPHQAKTLQSPQQDSNTPVSVISSHLSAAQEPFPRLGSPF MYNTWGPETSRREAHGAAARGLRRDTIHVTGSRLRSPPRMDVPSNVHKRRAHHRLESS PNPSDIQRGIRDLVRDGKLKDGQRRVRLRNRGNTTSGGFSSKERIEQLFSPTSPFTFP GNQPSSPQVSQDLLRPRAETIRRLGSPFQPESGPHFQHPRSPRHAPSMSDPFMNSSMK SQIHLQHHGENAPSESRVAPGRLPYDPTEEGISDAERIRRQILNLPFTKNSMVRYKPI FESSVL TSTA_093050 MGDVGIVVDPAISPASPPISQSINVLVTGFGPFRSFDVNASFLI AQSLPSAFTLPPKEPVSVPGTAIPAPREVRIYVHPEPIKVSYATIRSQMPDILDGFSR THNGLRPDLIVHIGIASTRRYYSVESQAHRDNYRIPDVDGRSGYHDGEKIWREKGLSP ILVPGPAELSGGGNRHNTSTVQTSGLKITPYPTNDHFLQVWKSFSPANTDLRVSNDAG HYACDFIFYTSLALALEEGRDRSIVFYHVPVATDLESLDLGTKVAVALIKSVVKCWVD GE TSTA_093060 MAGFEDISNKGMGLPSSFILLATAVVILATFAATQLGGLIKTKF SGERKVYDIIELRVYPVKSCRGISLRKTHMQMHGLDLDRQWMFVDGQTHQFVTIRQNP RMTLINTKISEDGKNLILSVGDEQVSVAAYPTLEWLAANTTLEHVKIWSDDTDGYMYG PEVNEHFSRFLDQDVRLVYKGPSDRILSTNAKPELLGRSQSTYFPDQMPLLIASDASV TELNERLAGKGERAITIERFRPNIIIRGNQAWSEDRKKEQKPIIIDVVARCARCQVPN VEPTTAEKHKREPWDTLMSYRRVDKGSKYKPCFGMHSVPRNEGEIEIGMQLEVLKETD KHRIVH TSTA_093070 MSTETNPKKRRRESYNVDVKQVEIYEDLASMKDEVRLKAAQELV SRFTPESKPTEDQVRKALQRLFRGLCSGRKAARIGFSVALTEVLSQVFASKQSVSGIG VSEAIRIFSTATDPSGSEPGQERKDYYLGRLFGAEAIIKSSILFQPGVPFEEWNTVVG IIIEIGNAKPWLREESGWVLYRALHELANQEAHVRYCEKMIETIRSNGFVKSPEGVAM WLLVQDAFPNAKLPQDVWKYNNDPLDRHDRRTLAKIMKQVSEAEDQSAASGVWNSRLN FAWDAVLSRLADSKPADSKKEKKDKKAEKESSRITFEEFWTEVVDDGLFAAASSDERK YWGFLLFMKIIEEGTLHRTEAIFTKNVVRCLMNQLAVEDRYLHRMAVKAVKTIQKRVS KEAAFASVAVKGLLGHSGSLQFDQITKVKTVEKIVLEADPESLKEIIQRLERLIAVPG TDEPKVAASSRQYFADLFVSIVRTRSSAGEDYTPVLQHILSLFVRYAYFGGEQGEQKQ LTANPPISPATQELFRNRINSCLNSLISNIKDPAEVAYVVVRQIRDYQKSKEYGKFII EIDGAIAESLDAAFKSLKKLSSKDDKDVKDASIQAFRLVYSLTILQVYNGDADAVSML DELAFCYSKFWGDKKSKKEDRSDASNALVEILLSFASKPSKLFRRMSEQVFGVFADQI TADGLQSLISVIEVKENLAGQQEMFDQQDDDEDEEMEDVDSLDSDVEVIDVDDADEEN SDEDSGDEDEGEEDEEELAAFDAKLAEALGTHRPDDDNSDLSEADMNDDEMEAVDEML VKVFKARQQATSKKKDKQDAKETMTNFKNRVLDILEIYVKKCHTSVLALDLILPLLRL VRKSTVKQISQRASDVLREYTRLCKGNAIPSLSTVGDEEDEEEDPIEPVWDLLRAVHK EAMISGLAAHSTAASQASIFLVKVLVAQNKQNISQIVDIYAETRKAQMLSNKCHVQPG FFTDWNNWCVNAGKSMKN TSTA_093080 MIFTLATHLTLFVRLALSQIENPITMPSPTTTLDVTMLNANSQQ TPSSHYAVSTALCGDQRLGPTALPSHTLINSMLVNYHPSGMECPQGYRDAWINYTGIA TSPPLHGFKMFPNGTQIMSRVTIPNNIYIVRFGDPNCTYVSPAGTPYEHRAIPPSNLV RRSANGTVYGRILTLTEIEVENGTIAP TSTA_093090 MDFVLLDLFKFLNLDAGIEFRIKLAKTLNVDVGPNRSAVQNKAL HQKLMDELARNNGQLPAKLYRIDEHEKLPPDLLQDVDGTQLKQSQTKNTLDTFSGGYM SEYKDGMLLEKTMEIPLLASTDRKLTKNNSTPSESDESKVLSHSSESTRDLSKSLSGI EAVDSQLAWIFLFQCSLTKVNVEKLARNVKRLIVRFGRNLEGETSEGLHKQAAKYV TSTA_093100 MEVVEDITNSSENISITPFGLQEEQGQLRHNYRRYGEVDAALLT QTPGVVRIDTVLHRRRGILITHDTYDYFRLSFEDDDHARCFLGEILANVSASDHVVRL SELQLEEINSQWDENPRVINPRFTPANLPLIMSTKLVYSLSTKWEIVKTHYYIALSET MLDQLCEEAICSASLNGFIKVTSLPIMKDIPDIRQPKMSVEHELTQALISASLTERYK PPICSLVERLINMIKVGRRERSEPFLNISKNWAPRFLPRESMNKISQAIRFVHIENHD PDTLDKTDRQDGSDNADKASNALKPSNSSIRGMRAFLVRRPVGKTSRTCLDKALAKCI RESTTARTLFDRGERSRTKLDSFADKLFYCANRCYTGDTRSHSEQCSSRGMVEFLFE TSTA_093110 MSHELHYSSNNVRSFFDDFPDFVQDPSAPISAEFARLAAHRQWK KGARSKTYERNWSKCIRMEFDNFYGKEYTKLESWQNICTEVGIEPLSSVTKCKKALSK VNVNLVNLIDCRTTGEKPLLFPSLDALRKYTRKRGRAFPREEAKEDGFIKILLKRIY TSTA_093120 MPVVGADDHKLPSIMNADLNTPVSSTLLVALSPRLLFIASRLRA IAYIKLRKLLLHFPLTIHATVTPRHLVRPAGCLHCIPNNNVGHPYEIVPDIWAPEYNV LVVYFSAASIVYMPRLRTLWLIPSITACQSLWTAVYVFTIAILIAESARRTRFLRRLY QSVTPEQGGGFWSRSLFIWVLPFFHQGYSKRLQLEDMPGSKASSSMGHNHHWLTTTSA LRYVSRVRVGLSVRHSASSGLDGTHFCAAISHHGARELDGDSCSTSQLWSGAYRSCCA RIFWFGGLKSNILASNVSFYHSHSCKACLYYLHRNDGVQIKDMAAITLMDTDVERIAS DFKFIHRIQKRLAATSHMLGDMKAVKMLGLTDVMFTVVSRLREVEIKTLERFRKLLLW QISLSNIPTGFAPFATFTTYAIISVVKAGDSLNLAQAFTSLFLISLLTSPLLTFCQAL PSIVQTIACFGRIQEYILDKHASQGVRWNCKSMDQSTASNAPLVSFVNADISWSPDTQ VVLHDLNLNITQGITVLIGPVGSGKSTVLESILGQTSVKRGSLNSTLTKVAYCCQTPW IIDGTIQDNITGGAEFDDSWYQFTISACGLEADMKALTKSDMPSRTGSKGVLLSGGQK QRIALARAVYSKNQVLVLDDIFSGLDSKTISVLSRRLFGREGYFKTTGRSLILATHTQ QIFSYADNIIVLDDGRIVDHGPYTEILARRSDIIAESLMPVQNSPTLSPVDESLACEK ADETQVFVSDADSIHSNATSTAQRGGTWSVYMYYYQSAGFVPVILLAFFSGLEAFGSK FATVWLQWWTETNSQQPNKELWKYLGVYALLFGLSFLGIVAGRWIFLVNIIRTTGLSL HRNLLQTTLESLFSFFHRISSGSMTNRFSQDMQLVDMELPMNGAQFVTGSFSCVAKLI ILCILGKYLAVSVPFVLGILFFMQRYYLRTSSQVRLVDIEAKAPLYNHFIESIHGLST IHAFKWESRFRDQGKAYLNDSQKPFYMLFCIHIWLQLVLDLIVGAITVVIVATMTSMR DRFASGSIGVALNLVLTLNQSLAQMIQDTPSESGLLITSFRVRPEWPFHGAIEFIGVL ACYNSTSIPVLQNLPLQIRAGEKIAICGPSGSGKTSFILALLQMIDVQQGRITIDGVD LSTVSRNTLRTRLNTVPSDPLFMPGTVRFNLDPHGGATDESIESAIKKVGLWKRISSE GGLDAEFSASDWSIRDRQLLALARALNVCSRVLILDEATSSVDWKTEAIMQDVIDTEF AFSQIVISILHRLRHIDRFDRVVLLKSGQIVECDSSRVLLARNSKFRKLFMSLESSET TSTA_093130 MEHSSPLAAMQPPSVMFGHCFANEGSKFRSLGGIGGFGPSSFNF KDLSMKKAPTSDYFNVKQFTGPSPAASLAADLSQNFHIDQSPQLATPRRSLFSANIFG GNGGRDEMMTTPPLPESSPMTDIMETSPLPHKLPYNLPTTDLVLQSPTPDSESTVSTS TGVSLQASPMEDDQPAVPQERRRPPLLRPSFLRTKAYSTQTAVKKVSPESQCPPFQFG NGSSGLSNSMSLADMFNESSPPQERVAGKGSSANLLGPRLRQPLYGRNSGSASPVPSA VRKNASGNPFMRPRKQCRRSLSMFEHPEDIVRQKQPNVVPEPTLASIMDEEPAHTLQL PHFVPADQPDSLPRIEKGVLVDILDGKYSDRYDNLMIIDCRFEYEYEGGHITGAVNFN DKEVLAGRLFEDPKPGTTLIFHCEYSAHRAPIMASFIRHKDRAYNIERYPNLTFPEMY ILDGGYSAFFAQHRDLCHPQNYVEMTSKEHEFACERGLGRVKQRSKFIRAQTFAFGQQ SPQMEDSPTGRCRSSNNDRVRGLDSPFEAPDAGRMPGRRMLSY TSTA_093140 MTMDIDDILASVDRDNYTSPESVTSDHQHLTRLWVAERAVSELL PWPAQLMDRMMERVRKQVENIEDLTASSYENSNNHVDNIGNNKNNTLNLKLSILQTDL SRTQYLIRSYLRQRLSKITKFAMHYLVLISPPAPSPSSTLQSETSEVGGIRTEDTLPN PANATSNDTSPLSASEAQFLYSHQYLLASHYRLSFLASFPPQLRRLDDNAGGTSMIQG PDMREVVLVRCLVPEVPIMVPADEIYDQEREGEIMRMGEVWVGRWEGVRKAWERGDVE VL TSTA_093150 MALRARQKVRAPRRALGSRSDNFEAVWGVLGSALREIHTKNASS LSFEELYRSSYKIVLMGKGDELYERVKQLEQEWLSTLVSQNITSSISPVLLLNIDPSD TTDQANERRAAGEKFLAAMRGAWEDHQLCMGMITDVLMYMDKAMNNDQRPSIYTISMC LFRDHVLRSAIPESDLSIYKILESTVLFMIQLERTGEMIDRPLIRHCIYMLEGLYETL AEEESAKLYLSVFEPAFLQISTTFYQNEGRRLLETADAATFCRVSSERISEEEERCHA TLSPYSEQKVKGVIDEHLISKNITDVINLEGSGVRYMLDHDRVQDLANVYELNARIDA KKSALTKSIQIRIVQLGNEINAAAKASATAPAPSKSTYADGEKKSGEKEKPAAPPVNQ QTAAAIKWVDDILSLKKKFDAIWERAFSSDQGMQTSFTNSFSDFINSNNRSSEYLSLF FDENLKKGIKGKTDAEVDSLLENGITLLRYIRDKDLFETYYKKHLSRRLLMKRSVSMD VERQMISKMKMEVGNQFTQRLESMFKDMAVSEDLTNSYKTHMSRAAADSKRFELEVSV LTSTMWPMEIMSSSKDGDVQLPCIFPKDVDAVRQSFEKFYLDKHSGRKLSWQAAMGTA DIRATFPRGDGKYARHDLNVSTYAMVILLLFNDLPVDESLTYEEIQARTRIPDHDLIR NLQSLAVAPKTRVLKKDPMSKDVKPTDRFFYNPSFKSQFTKVRIGVVSSGGNKVENQN ERIETEKKMNEERGGSIEAAIVRIMKQRKKLAHSQLITEVLGQLASRFVPDVNMIKKR IESLIDREYLERIPDSEPPAYGYVA TSTA_093160 MRSSTTLLRFLRSPSGPLPRNIVKTPSPFNAYRPSPLSSRAGSI YANNFRRYNSSSSSVADPSLRPLTDRVPSASVDAANAEQNAARRAEEPAYRIVFTCKP CGTVLITCPSCHARHVISDHLGIFMDAKSSLEDILGTKGMKITKGILNDDLEIWEDGS VYKAGSNKEGVDISSEVTVETTPKETP TSTA_093170 MVAPSLSLRGKPAKFLKKGTETTKSHRFETFSQRVSKLKIDPIH RVRRTGFGEEDGEDDETFSHFRSSLDHWSEMNLSEFFTDFVRRVNPLSENLPQVLYHE EKIMALLVEFIDKRDKLSIEPLLSLLSQFARDLGVRFEKHFATSVTLVASVAATHADV EVIEWSFTCLAWIFKFLSRLLVPDLRQLLGIMTPYLGKERQKPFVARFAAESMSFLIR KAGLVYHKNKAPLNRAISFLFQDLRNSTESRSLQTYKEGLMVMFSEAIKGIKGGLYSN ASDILKCLIENATVNDEVQFSLAEEVVCGVLTSIVHATTSETFSELLKVVCAYVEVGN SANNVPHFKLSSHLIFVCVTTRKATRVINWKDVHQSLLTLLQRALKGLDAHRDTLPRI LTSAAYALQLSPMDEMMPFMRPIMEVISDERLAPYFLSFCSTFSQFGSERFQIVVLQY FQRFLNSFWKTKEEDLCLSLIQLEEVGCVTSQPSRPGFVACPTEWRSQILEKLNKPGP LVDEAAMLNAYTKLGAAISLSNDATVLPQIVQALHKLVTKSLDESASDARPLDIFSSG QGFQTYVFLADQCDSLDRSLWQSISKAGPRFIRQIPFLEATLNYITRLPDLITESKED LDSLASGLIDNLTSPSQPLRLLSLKILQELIKATTGDAQNTIGITIEIEESELSLQTS RFLSMQVRKLGLLYPQIVSHSWLSKLVPKFCFGLFSKKLGQLWTDAAETLKNISQHAA GEAVVTELCMLWLQHHADDTNDEPTEEEPGKIWSDFRCDNALKIERILEARFERVQVS KEALIESFNTNHKASDLIPVTARARSLQVLHVIPELAEKRSRQIVPLFLRWASTETDS GTVDSNPSEAVGVEEAAPKWAYKDRLALLGLFEKFINPRSLFKSSQVYDALLSLTAHG DSAVQKSALKGLFTWKSPGVRPYEENLINILDESRFREELSVFVHIDDEDSKIEKGHK PEILPILLRLLYGRMISRAASSSGSGGQTGRRKAILRILAQMSELDFELFVQIAFGVL YDLNLSKAGYIDNKSFNLELASERKQVGFLKMVETMLETLQSRMHAYAVKSMDAVTYC LVRASRLLALDSPDLESKAAVLREVRSVGIRCASMIFSIAPDIDWTPYVGLLFTEVIN PRLENFAIENAQGVSVFHRLFHEWVSAPKSIFYLTRFSRDVIPAVIDTLTVPSARDDV KVYILEQIIQPIINHSTGRTIEESGGMSDISPRTIREEILAPYVDRILAHVGKLLQSN PTRPVLVSAVETLSLIAPCVETSAEIASLVRISTYLLRQPQDKISPKTKSGLLYSLKH FIPLASVEEDPSFAEEVFFTVSSLFDYFKDEQNRNVLSAVLHEFAKHDADLKEVSILC ADLNAVDTSKLDSIDYDRRLAAFRKINEDLWESLSPKQWRPLLFNMLYHVKDEHELSI RSSASHGIKRFIERAADGEANQSSFAALRDSVLLPALQNGVRQRSEQVRSEFVTALGH LVKLNPTLASVQDLHVLLVAGDEEASFFNNILHIQQHRRMRALRRLATEAASGKIDSS NISSVFFPLVEHFVFHIAEDETAHNLAAEAVATLGGLAEWLEWNQFRAIFRRYRANMT SKPEVEKNMIRLLGRMTDALSAAFIQRYPKSSFEGAMEIDDSMSDQPSKCRLALTLPA PAKIATELTTHFLPFLTEFVHHKDEAQMSLRLPVAVTSIKLLKLLPEEDMAIRLPAVL LDVCYVLRSKAQDSRDNARKTLADIAIILGPSYFGYILKELRTALARGYQLHVLSFTV HSILVSTTDEFEQGSLDHCLEQAVAIVMDDIFGVVGQEKDAEDYVSQMKEVKSSKSYD SMELLAKNSSVTRLGALIAPLQMLLREKLTAVLVRKIDELFRRIGLGLLRNPGAESRD ILVFCYEVIKESYKDANDAQAPSSTESARNRRFLINMQGMKRGEKRGTTSSYLYKLSR FSLDVLRSVLNKYNFLLTANNVAGFLPIIGDAVIQSYEEVKISAMRLLSTIIKLPLPE LDRNASTYLAEAVKVIKEAPSTNTEAAQAALKFIASVLRERKTVELRDNHLSYLLKRL TNDIEEPDRQGLTFNFIRAVMDRKFLVPELYELVDNIARMMVTNQTKAARDLARGVYV HFLLEYPQAKSRWSKQLAFLAKNFDYQHREGRESVMEAVHRLLNKTGGDLGQEIISTF FLPVVLVMANDETVECRQIAGLLLSEFFGRADRERLQIMLKPLHSWIEQAENKQLANT GLQAMRIFFETEDTEKEKEGRFVVETLPELINLIIEDPESEDWETVYFSWQLFLKVCK AVPSVAFSEKCQGLWSNVQESLFYPHAWVKTCAANLIGLWLADLAKTNATEGYAHVPL AGKYGLQLDKDGMIKITRGSIRSVLIPGVTEELAMQSVRNIVFLSRCFAVNAVEFSSK EAEEAKEDGADDDESVAEGERFDNTEVASPKSLLHYIFQQISSILRRETVSSKANALV PKSAIMALLAAVCRHLDADKLICFLPIILLPLQHLIDPNIPAPRSSDPDFQTSWKSLV TNAQEILDLLQKKLGTTEYVDQMARIQENIRARREERRVKRRIDAVADPERFGREKRR KNDRKHVKRKERGHEFRERRRGF TSTA_093180 MIDIHFEFLTANKLKIMASKILVLGTVNCAFQQVFTKLAKLQAK QNFAFAIVVGDLFGEGTSEEELNQISALLAGNIVVPLPTYFSVGKNPIPTRVVEKIQA DDEVCPNLYFLGRRGTLKTSEGIRIAALGGEVLTDGQSDPNVNKRYHSRYTESDARSL YGVHDTDILITYEWPKGVTGRSNVPLTDKVAPEGVQCVADVCSTLKPRYHFSSKADFF YEREPFFHIPTEENPDTKFVTRFINIASYGNPSGQKWMYAFTYDPKAPIPETIPTGTT VSPLANVARKRPALESQNQGFQRFSRGNNERPQKRARRPPPGPGECFFCLSNPNIATH IIASIGNDAYLTTAKGPLTKSDMYPLLGFPGHMLIIPLIHSPTFSSIADPEARRSTYD EMQRYRVALNDMVREKSKSSLGSVTWEVSRGNGIHVHWQYLPVASDLISKGLVEAAFK VEAENLQYPKFKSSANDDGANEVGDYFRVWISQPATDGDSKDQTESSKSGSDKILILA LTPDFRFDLQFGRRVMAKLLQLEKRMDWRECEQTTEEEVTDVEAFKDAFKKHDFSLEE E TSTA_093190 MGSTIHNRMIMSLLERSVADEANSVKSTFSSWDSCMAKTYCKWP VIAGIIVGSIIILGIVGCLVSCLCCGYQCCKGCCGCCYSCCDCGGSRHNRGGSKYAGP PQQPYYQQPPPPDPMNFGYRQPIAAASSLSAGPPPAYRGPTTASFDQPSKAAHVHVNE DELPPMPTWADAQTRHVEDHSAQHEAVEMDNLEGIRDHAKSPLQHDQRVSPAPVAGGL SRGMSRGGYNEVRGTYASPHPSPQPLYDDGYSPNGHGGSYGGGHNGGAVGGLSEHDAY LNHGGQGHYGGNAAETHYFNQKPHSPGPQSPGYGPPAAAGYPPRASPQESPAAYRGFE NQHAPRVQVPNFSGPRAYNQTPSPRSPPAMGGGFPPYPEARSPPPATSGYSPYDLHNQ HNQQHQSDFYHPPTQSPPPQQGHRAPQGQYTAFSPRIASPPLQQQHATSFLEDPGHQS PDGEDRPPSLLMAGRRPAPNTFRAV TSTA_093200 MGIIYHRDLCCDGFDNCRNCYSTWNNWGRWVAFAVIVGTGLVFF FLFACFNARRRRARGQTPIYGTHWMAPPPYRQGDYQQAPPQYQRQDPNQGYYAPPQQQ YPPRPQGPYGGQQENGIELQQPPTAYHGGEPVYAPPPGPPPAKN TSTA_093210 MAASPVVLILGAGPRIGASVAEKFANNGYKVAIVSRSGSGTKTA KGFLSLKADLYKPDSIPALFDAVKTEFYTPPSVVVYNAGGFTNPLDKDSMFSVPAENV ALDLNINTISPYVAAQQAVSGWATLPMGTKKTFIYTGNMTNVSIVPMPLMLSIGIGKS ASASWIGLADTLYLAQGYRFFYADERHQDGKLKGSGVDGDAHGEFYWQLANHEENVPW HATFVKDKGYVHFSLN TSTA_093220 MLEDWKVHMGPEGAAKEWLNNRIVVPPPAWMTPEEVETHIRILK KGGRRRLDSLKRNKTFIRGIDEPFYSKLTTHESKDLDIPALVVTAEYMTTCVMQLSKH KLQRNT TSTA_093230 MAGIFRIIYDWLLRMFWATEMDVTMIGLQNAGKSSLLRVLAVCV FSFLSVPSIPTIGFNTKKVQKGHVTLKCWDLGGQPRFRPMWERYCRGVNAIVYIVDAA DHAALPVATEELHDLLDKPSLDGIPLLVLGNKSDLPNKLSVDELIEEMDLKSIVRREV SCYGISAKEETNLDAVLHWLIARANR TSTA_093240 MAANTTPHHDLVAWKLPHSAAARPVLFDFVLIDHNLNSFLSAFQ TLFSITIRSSSVTMKFTATTFALFAASAFASPLGHGLAARVAGRNRLSHPKQTNNTNV DYSSNWSGAVLTSPPSGTTFTSVSAQFTVPTPQPVNGQAGSSSAWVGIDGDTYSAAIL QTGVDFSVDASGAVSFDAWYEWFPDYAYDFSGIDISAGDVIAVSVVSSSSTSGTATIQ NLSNGQTVNQDLTAPDSSSALGGQNAEWIVEDFESGGSLVTLDNFGTVTFTSASAGLS NGESVGTDGADLIDIEQNGQVLTTASTPSSSEVVVTYSG TSTA_093250 MAPEPTNTDWTVGFDTLRREKLFRNPPKDRSAYPMLQAAIRPHI DSFNALFGESKIMEHALEDMGIKVFLDGDAETPEQRSARKARGEAPPVRNRLSLRITE LFLDKAVLPPTNKFVPRQTTANADYRNIYPAECRERHATYRGRLRARLQYQVNNGEWH ESVRELGQVPLMLRSNRCHLESFSPQQLVDHKEESEELGGYFIVNGNEKLIRMLIMPK RNFPMAINRPSFQKRGAGFTTFGVQIRSARPDQTTQTNVLHYLSDGNVTFRFSWRKQE YLVPVMMILKALVETNDREIFEGIVGNASTKGPANTFVTDRVELLLRTFKGYKIYSRS DARAYLGKKFRPVLFAPATWTHEECGAEFLRKIVLPHLGNQNVTTTQDYDKFKLMLFM IRKLYAFVAGDCAADNPDAISNQEVMLGGYVYGMLLKERLEEWLLSFGRLVRDWSYRG GNLKFTDPSFEKDFIGKVIKRTNENIGGALEYFLSTGNLVSPTGLDMQQASGFTVVAE KINFYRFIAHFRMVHRGTFFAQLKTTAVRKLLPESWGFMCPVHTPDGSPCGLLNHLAH KCLIATSDLDVSHIPRVLAQLGIKSEASAEVTESVCVQLNGRVVGYCSPKQAKMIHDT LRFWKVEGSHDIPKELEIGYVPTSNGGQYPGIYMSSQPARMYRPVKYLALDKLDYVGP FEQPFMEIACLEEDIRSGLSTHIEFTPTNILSIIANMTPFSDNNQSPRNMYQCQMSKQ TMGTPGTSFAYRTDNKLYRLQTGQTPIVRPPLYNAYGLDNFPNGANAVVAILAYTGYD MDDAMVINKSSHERGFGHGTIYKTKFYNLDDKDSRRNKSKREISKLFGFAPGSEIKAE YRATLDEDGLPHPGAKIKEGSYVAAWYTVRYDAGSDSYINVDGITHFLKYKDAEEGYI DTVRLVGNENGNEPLQAISVKFRVPRRPIIGDKFSSRHGQKGVCSQLWPQIDMPFSES GIQPDLIINPHAFPSRMTIAQIIESMAGKAGALHGHPQDSTPFQFSEENTAADYFGHQ LRKAGYNYHGNEPMYSGITGKEFAADIYLGVVHYQRLRHMVNDKFQVRTTGPVNSVTG QPVKGRSKGGGIRVGEMERDSLLAHGCAFLLQDRLMNCSDSQRAWICRDCGSFLSTQV AVSSNSSSGTQATGASEVSKAALAAAAKATPNNQTITANLSRISAVNALGGTNGIVRC RRCAREAVFDDSRAMIWEDGDGNRFVGGDNVTVVVVPGVLRYLDVELAAMGIRLKFKV DH TSTA_093260 MDTLVAKYSSSPFQDAMYSEEEQRELTQCLPPLSLKFDLPPVAK PSSFLRAMTDDHSNPSAPIKLAHGTTTLAFRFQHGIIVATDSRATAGNWIASQTVKKV IPVSRLNLALDKDHDAPVPGLLGTMAGTAADCQYWLRYLGEQCTLHELRHKRRITVAA ASKILANLTYSYKSMGLGMGTMLAGMTPQEGPALYYIDSDGTRLAGNLFCVGSGQTFA YGVLDAEYRYDLSTDEALELGRRSILAATHRDAYSGGYINLYHVKEEGWVHHGFSDTD PIFWKTKLEKGEFTNVTAEV TSTA_093270 MTTLRGYQQPELSKKLYKIIKNENAVIGAYESAGRERVSIASQL SDWGEATGDEGVSDISDKLGVLLSEIGEQEDIFAQNLEEYRGVLKQIRNTESSVQPSR DSRNKISDEIQKLKYKDPSNTKIVTLEQELVRAEAQNLVAEAQLSNVTRQKLKEAFDV HLAAVIERAEKQIILAQHARRLVNLLDDTPIVPGDAVKPYEQSTAARDILNDAEGDLR AWEPSMYPITSNANEIGQSLVPKPEAATANQPQEAASVADGVEPVETHASHAVGESDS VANREAIATDANEPATVATA TSTA_093280 MATAGEHNNIEEVTTSYPDAEKPEDMQIEHDAELGPIAPAIDPV IEKRVVRKLDRRLPVLTGFMFLLSYLDRSNIGNAQIAGMKKDLHLDGGKYAWLLTIFY ISYTLFEFQALMWKVLKPHQWAAFTMLAWGVIATCQSATTSWGGMMACRFLLGAAEAG FGPGIPYLLSFFYSRRELGFRCGLFLSCAPLAATFAGALAYGITSGHPHIANWRLLFL VEGLPTILFVPFAYFLLPDSPGEAKFLTEEERAVAKARSLRRSGEEVTTHKIDWKDIG LTLLDPKPWFTALMYFSCNVSYSSLPVFLPTILEDMGYSSVNAQGLEAPPFFLAFLVT IFSTWVADRLEQRGLVIAVLSTIGAVGYVIVAAAEPVGVRYFGVFLAASGVFPAIGNI LSWVLNNQGSDTRRGMAMVMLNLIGQCGPFLGTNSFPSTDSPRYARGLWICAAFMFFN LFLCLCLRTLLAYENQKLDRQYGTKQERQANQKADFVVAEENYGEDFRYML TSTA_093290 MRTRIPSRFPVPIIQFAERNDIPELVRVWLAAERTNLLMFYHFP TEESRSEYAEQAIKVLDENFDDPHLLLLKAVDPDTSRITAMAVWQKRGYGQPSAQSED IFAGAGDSDQIPLERYIDTQFKRFLASWAQNSKHLYLALLMTDPNFQRRGIGTAMLEW GHKHADEAGIPAFLIASPVGHPLYQHVGWKDVDTPLQIDLSQWAAGAQGGDMGWGVYK YYYMLRMAKTAAMPE TSTA_093300 MQDPAGGLPGQLVPHMHLVSRNRYPAMTALPTETVLDYLLAAPK VVRDMYPMAWTFLDGPQDGTTLLTWQPLANLGTTFASDGYVWADAEQAFTFETRGYTI EMWVQRSGYHPPQETVASHSRRRYRLVPSKVPSNAPSPDPSLWIVHYARASQNDMIPA QRIPITPQVQTTLAQRRFLQTQGQLVRKEFMLHDRNSWPTITFPAQMGAQGFAQPQAY YANAGRQPPPGAYYPPPQPGMPAGQVPVKAPRGHRTSAGSVAGAAAEFSMEDEDASTG DILDVITPREISKMRYQQHHEWIEEVFASPYTIKQITPVDLGLGRKGELESLTSGFFE APVTSTHPKDGPNTALKEGKMEPEKAEEFADRVAKKVSDMTAEIEKLKRRHERRMQKI GRVSVLKDAEQRLREAGANPSETGREVWRLEGRIDTSTEEEVPTESPLEDISVRVPQY RVDEVVKDLEKAYGKTIVPDPSITCVEKGGLLERIEASPAPEDITGADTDMLMETSIL DQFVASSTSPPGQGSQQAAVSTAVPATQPTGDGDVEMGGATNALTQSGTAAGETGDWV VVENENSGNVPSVTADKPITNNAPTGGETSGVETSNFDDAANFTNLDSAGDALAAYDD QHDGLDLPDLENSAFGDAFHASDHEMGHHPDDDEMS TSTA_093310 MNPEHSDSDSGSIFTVKTNTTAPTEWTSEEGIDPHDESDVMSVL ESLYGGDSTYSLSSSVLNYHFENGRRYHAYHEGKYIMPNDDQEQNKLMLMHLCYALVF DGRLHLSPISTNPQNVLDIGTGRGDWAIDFAEAYPSARVIGTDLSPIQPLWVPPNCTF EIDDAEDEWLYTQQFDLIHTRTICGAIRDWPRFHRQAFDHVKPGGWFEMQENDAWFQR DDGSCPEWTQMFLEKLDEASILSGRRLNVARDQKQYMIDAGFINVHDVIFRLPLSPWH SDPRMKEIGRLRGLAMNEGVEGYSLALYTRFLGWSPNEVRILLAKVRSEFNDVRNQMY IAVHVVYGQKPEEVA TSTA_093320 MSGTLSAQAEALVSKIKELETSSNNISRADRLRLVQSLEKLTLQ LKDPKDAIFDHLTNVFSLVNLRALLELEVPQNIPTTGSISATELAEKVKADASLIVRL MRILTVTGIFAMVGPDEYAHTPYSLAYIEGHEVDFLKLCCDEILVVTSRLPEYFRAVG ARDTTSMTENPFTWGNGKLGSSFFEIISQDPRRIKQFDIAMSTQDHTLPVLGMYPFGE ELINSPDLGNRALIVDIGGGRGQSLLQIKEKWPQLRGRMILQDRPVVLNSFPELPGIE KMPHNFFTQQPVRYAHAYYIRRCIHNWTDEHSIQILKVIVPSMAPDSRVLIGEMVVPE YNSIRPGGVEDMAPYWMDHNMFAFGGRERTKSDFEKLFAASGLKLVKVWQSEASSQAV LEARLADS TSTA_093330 MANSPSRPFHWPGGILPEVRLDPNGDIKPDEVKEEAKGWLLFVT ERWVSREAPNIPDHDGDYEVRQRRTLVETWAKADQQFRDSYHQRAPPGDALAYPEPAL RNVDKSFPPHDRFMCLAPLSRSYRSNRSKWIKLCILSYRLDGEMEHCLETAGSSNVGV DPNPATFPDPSTFQITDFLPWLILEMANFAAMTMTKRGTVLFTKFLIPWFLVD TSTA_093340 MYPVYLHLCVLIKPLKEVKESRTGGVRPEMNAELDMTLPVIDIL EGAKLRGEFLPGFDGARDVWREDIEIYAPGYLQMEAEGNEADYDHHISSIRMKHLRFV KVCIRNRRVQRWRGGVPVTFLKSFNCRFGIRKELQNLVQKSLEHGSRDKCRD TSTA_093350 MTSLRELKPECLYAVVDMGSNGIRFSISNLEAPTTRIMPTVFQD RAGISLYDAQFTTADGRRGPISASVIDDVISRLLRFKTTCEDFEVSAENIYVLATEAT RTAPNSKEFIDTIKERTGWDVRMLSKSDEGRIGALGVASSFASVQGLVMDLGGGSTQL TWMVAKDGNVTTSPQGSISFPYGAAALTRRLQDTRNKGAGAERELIAEMKENFRNAYK DLQVPGSLEHAATAQGGFDLYLSGGGFRGWGYLLMSQSRLDPYPIPIINGFEAVPADF QDTSSIMQTASTSEEKKIFRVSKRRASQVPAVALLVHVLTECLPTIRSVQFCQGGVRE GFLFDMLEPNIRKQDPILIATIPYATSSAGAIYELLQSSVPETPSPIHSRHVPESFSP SLLRAISNLVFVHASVPRETRPAAGLYSTTTGILASANSLAHRDRAIIALVLSERWPG DLAPAEESFQRRLRQFVSSEEAWWSQYLGRVASLIGDVYPAGRVPARRPRIQFSTKWE QIFKKKQGTTDCLCLQVQFHEQTEQTITKEALLNTTNLIENCGKMKNWIKGDDRLGNY GVSTEVRLHS TSTA_093360 MSPPAAIFESSPVSTTTTGLKDKLTPEMLSFITQGQQTRLLDEF AGKWDSFKFAPIRESQVSRAMTRRYFNDLDKYAESDIVIVGAGSCGLSTAYVLGKARP DLKIAIVEANVSPGGGAWLGGQLFSAMVMRKPADVFLNDLGVPFEEDATNPNYVVVKH AALFTSTLLSKVLSFPNIKLFNATSVEDLITRPGQNGIEDVRIAGVVTNWTLVTMHHD DQSCMDPNTINAPLVISTTGHDGPFGAFCAKRLVSMTAIEKLGGMRGLDMNAAEDAIV KNTREVTKGLIIGGMELSEIDGFNRMGPTFGAMVLSGVKAAEEALKVIEDRKRECAE TSTA_093370 MTTAEEGSSPTRIRVLTLNCWGLKYLSKFRHERMSEIGRQIAIA EDPPQIVGLQECWTQQDYKSIRRQTKHLLPYGKFYYSGVFGGGLAILSKWPIEESSMF AYPLNGRPTAFFRGDWYVGKGVACARIRMGPRADDIAEVFCTHLHAPYEKEPHDSYLC HRTAQAWEIAKLMRRAAERGHLVIGLGDFNMVPMSFAHRLITAHAPVKDVWRHLHPDS SVGSADSAAEKKRGKPIPSADFNIAENGAASDGPFNTWRWPKEQQKRLFKGEDTFIDG SQPDPKGRRLDYIFVGDGGYPPSFPAPRWNIEAAWVTMMQRHPTLKVSLSDHFGIETV ITRDVNHPVATAEQSEKPSSQLHPTSAPSSALTVETYDEILAMVDKYELRERSQCRWR LAHFLLSVLVSIGCFIGVWWTADVIYVAFILTFVSTLSFGAGILDGLIGGLFMSAELR ALKEFKWEVRTARRLASVAAGNSKAAEGADDDDDL TSTA_093380 MVRQRTDTGNPPPKRRRLNSATDDQSDRESTHNESSSSDELAAT SDYELERRRASWSAKKAVPSQRKYKNQSHSPNGADSPDELSMDADGPWNRNTREQSPI KDESAESDQQTREEEPGDYTPANGDTNNIESQDAHSPEQFEQPTSEPAPPPPPPKPDR VNYVQKHLLKGHIRGVSAVRFSPDRTMIASGGADGTLKVWDTLTGKLIHSFEGHLAGI STVAWSPDNETIATGSDDKTIRLWNALTGKAHPRAFSGHHNYVYSIAFSPKGNILASG SYDEAVFLWDVRTAKVMRSLPAHSDPVAGIDVCHDGTLVVSCSSDGLIRIWDTMTGQC LRTLVHEDNPPVMAVRFSPNSKYVLAWTLDDCIRLWDYVQGRCIKTYQGHINRKYSLC GSFGTYQAPHGPSHAFAVSGSEDGALVCWDVVDKNILQRIEGHTDVVLGVDTAELNGR RLLASCGLDRTIRVWEEVTSEAGENDKWESSQTILYNGDEKMDLTTDEPMALASENVA MEAHDEAQDTG TSTA_093390 MNLEEFLVYIHNGAHELGIEDLKQLRLVSHTVNEVVLEYPILTN LCISTHVPDLEYLEVVSDNEKLLKHVSKLFWEESLFCPCLLVGGERNEVEKCHHRQTL IFYLGRMRAMHRVYKWRFHASAQRENLETNRDVALLMKILPYLQNVDCVFFTSSYQGG GVIVRTPAIATYEQMFTDEYEHVPLGTYWKKGIDGIGYHPRPLMSQQDNESEGLLADQ IFKSRFWGEPPVRGLRVFCDLLSPEEKETGHDYQPLDMHVFFPKLKWLFLNNIPTDFF SFWGGGPLYRLLRDSMRGLQHINLFLMEPADQLRKRIDYSAGIQAMIQGSVSSLRTLE LIIVNEDRFFKEHETFMSMLTESLPQLVALEELKISNTVLTTTEKMMAFGEAIRTCRS LKLLILMYFCLPEYWKDVLDQWKASKCMHHLEHIVLTECLDAETDSGFYHVSINDSAI VNWLHGKTDMYPLIAVQP TSTA_093400 MYVINAFLATMMATAAVAKPHNLHADFHKRATPASTSKIGAAYN DVSLVSLISGASWAYNWNDDSGGSVPTGVDYCPMLWGSKMYDSWTSSVNKALSSGSTC VLGFNEPDMTSQSNMSPQQAAADYQTYITPLAGKATLVSPAVTNSGDANMGLNWMRSW LQACNGACKPNVMAVHYYANADVSYFYDFINNATALASENGMESVWITEFQNTGSTAE QVTFIKEALPWLDSNTGVGRYAYFFTADGYLLTDGTLSTVGQAGWNPLIDLLKSIYPL HVA TSTA_093410 MNNEQFRRLVLDQPGKKTTTLDKNAQTNKSPNQSSPPSSSALLG SRMRSSIPMTPRHVRGGTSNTNEFARQLAEQRREEQPPAKRFKASAAPKGTKFTSGYQ DRTALRRQQKDDEDEQGSRDESVEQRLKALEDMVKLGQIDQQTFDKLRKEIGVGGDLK STHLVKGLDWDLLKRAKAGEDLSQKKEEEKKDTIDAGDVDEELDRVLEEKEAGIAAVP KEKKVKKGNLAAAPPSGKLSRDEILKQLKASRAAAAAQQPKQPTPPESTLSNKFKKID KSDKKRWIETDPSTGRRKEILVITDAEGNSKRKVRWLDKEQPDIPKIDDNGLLRPGRD SKPLGMEVPAVIAARIADEKAAAEAVEDDDIFAGVGTDYNPLGDLEEEDDDDSDAEEK EEKADDAEIKEAATAAIEPSTQPRRNYFKDSKTGKDAEDVPRMNPLTSDPTLLAAFKR AAALRQSAAQGKEDDDDHAVAMEENEFANDDDDPERLARRKKFLEEARRRDALDALDL DYGFGSSRIEDEEDEEGPTFGDGPQRGGNKRKRGPKKRKGDKDSATDVLRVMEGRKKS TSTA_093420 MLTECEKLLGEGLLRLPAKYSYHYNIKAEEDLLELLFRSLCGND PQRLRILFPDGPPADRVWKLAEAQGAQEGAEYSAAAKGKRCGHIFKAGEGTYRCLTCG ADDTCVLCSRCFDASDHTGHQYQISLSAGNCGCCDCGDEEAWRVPLCCAIHTDTGESK GKERTKKDLPSEWVEDIRNTISRTLDYFCDVISCSPEQLRLPKTEEGIRHDEVASRLH PGWYGEGDEEEEEPEFALALWNDEKHTIRDVSHQVTRACRERSSFGDLKAHETNEIGR SVIKYSKDLNRLLQVSKIIEHIKVTVTIRSARDTFREGMCGTIVEWLSDIAGCSFGED NEILRNIICEELLRPWRQGSGAYNADIGMKGIDDHERNENAPFYRTAITLTVSPQGGV IVGTEDDDEDEDDEVDENEAGQGQDEDEMEGEEDFVVNEEDDDDYSEMMDIENPRLRH ILGINSDEDVDMGNADGEGQETDANTPAAGDRTPQTARDNQTAGAGHETTESFHVEIP RTPTTSVKLSAAKTPSHWQSRPVRPAGNVPIYENLNQRTRLDWMILFDLRLWKKTRID LRDLYISTVVNVPQFKRVMGLRLAALYTALAQLYLIADREPDHSIVNVSLQLLTTPSI TEEIVHRGDFLTKVMAILYTFLTTRQVGEPWEVNPNATLAFDAGSVTNRRLYHFFLDL RHLLLSEYVQSRIRTEEQYLLQFLDLVKLPQGICPNVRAVGEHVEYETDAWISASILM REVNRLCRQFCESFRQPTATNGQNLVRAIKAAAVYAIVNSTGVERKRFDQAEIKEYIK FKQLPLFDFEVSPDGHIQRHRVVDFVVEKGSISFHHALHYTLSWLLECGRDMGREYMR DILLEAAQIANEKHVHDRHLSNEDLLLAMFDYPLRVCAWLAQMKAGMWVRNGLSLRHQ MSQYRGVSSRDFAYYRDIFLLQTALVTCDPARVLASMAERFGVVAWFTGDYQPRPGYE DSQIIDVAEEFIHLLIILITDRTSLTVDEDDAMLTRENISRDVAHVLCFKPLSFSDLS TRLSDKLLETDIFQDVLDDVARFRPPEGLNDTGTFELKPEYLELIDPYSAHYNKNQRD EAESIYRNWMAKKTGKKPADIVFEPKLRSVTSGAYSNLSAFTRSPLFAQIIHHCLDYV LCSKEKTPQIPATRIEAFLHIALHLVLLATVEDNSSEDEIDDKSTSSFVSNALSKSKP TQTDAHITIVGLLEKVSVASEYESCAAKIKHIIKTMWHKRPRTYATATEKLKFPYERL DTNSPASTAESEQELKKKQALDRQARVMAQFQQQQQNFLSSQGAFDWGDDEELSDLES ENAGDEETKTWKYPSGTCILCQEETNDSRLFGTFALIQDSGILRLTDVQDRDWIREAL RTPTSLDRSIDHIRPFGVSGENRVMVKKLDSSGGEVITEKIGLSKGFSSKNTLRGPVT TGCGHIMHFACFEVYCSAIQRRHSQQVARNHPEHLNLKEFVCPLCKALGNAFLPIIWK GKEESYPGVLSTEKSFEEYVSEDLRAHLSQPPNLPLFVMESEKIPHDPYFHVATKYLT KSMVAPLSTAIDQRNLWPSSTAPLSRPNSWSFQGARILIPGDFPNTDEGGANSPMRPP APPAVETSTPEPSTDPISELMKIYQRLKKTLHANHISSQFNHNLNPSAWDDLIYTDSL FRSFGFSISALEISQRGVEADPGTTLLDKIPQLTLTHLRVFAETAYSYAALGAATNYY YGDKNGTTDEFHQIHRHKLCQLFVGHPISYQSPLLAEVRNTPPLLGQDIFVFLAEASV ALGPVLNIEIRHLIQLCYMAEVLKVAVTFILCNHHLKEELARHESDDYLQTESAEIKY AITRKFFDAVVSEMKANTIGRAAGSSITSGYLGQGEDSSTPRLVMALRRLISSYALPF LRKTAILLYVQHGVKFPNTGFQDENVSELDRLTKFLHLPTLDEIFSLFSNPAPENTFY RIFSGWIFHWNASRGSRSEDHRLWPSLSHPAPFELIGLPKYYDLLIEEANRRRCPNSG RELSDPSICLFCGEIMCSQAVCCMDSKKYGGCNQHVEKCGKNIGLFINIRKCTVLYLH NHNGSWHYAPYLDKHGEVDPGLRRNRQLILNQKRYDKLLRDVWLSHGIPATISRKLEA EINNGGWETI TSTA_093430 MISDVQKTAKKVRGESAMVRQVDECCQVNIARIEVEVPLGQTAS RKPENFRLAYWPMVAAASSFDDLTPDSTLPTTYTGKPRSHYSKYIPASPIPNKFKMPA TEPVSSSGIVVDQVTGRRHVPSSTRADGTKRKEIRIRPGYQPPEDVKKYKNPSAEAWK NRGSGGVPGAEPVSGSNNSASAASNKNAKRREARKKAKAEEEAGANGGKDKDTTTAAE KKDVTKEEAVLDPEAEKEKKARNLKKKLRQARELSEKKDKGESLLPEQFAKVIKINEL IRELDALGFDADGEKKKADV TSTA_093440 MPATVAPGTPLAEALANVVQPKLVEMGWSSDSGEDSALTEYVIL MLVNGKTQDQIAEELSNDLLNLGEGDTQAVDFSRWLFEQVEVLNNNINGLSSIPDAAP AAAQSIPASNEQNAFGAQDQQDATMGEASGPSDNIPTGPRAMRENNNRGRGRLLNQIN KNLERGPDAMLHRVRGPNNSGRINTHGRDFQKNQRGQFGPGRGGRGRPGFGQMQGQGA GNMMQMTPENQMQLMALLEEQARMMSQLMPGFMPPAVNPNFQNQHQQHQQGRSLFERV ERPNQRQGGDFQSRASQNGIAAKQGDDGDMDTAEDQTAVDGQASTDSVCRFNLRCTRK DCPFAHQSPAAPEGAPVDVTDTCPFGAACKNKKCTGRHPSPAVKASHQAEEVCRFFPH CTNPHCHFKHPSMPLCRNGADCTTEGCKFTHLTTPCKFNPCMNRTCPYKHVEGQKGSF PDKVWIAGQEKPHVSERKFVDDENGEEELIKPDAAQAEQFRVHTSTTDGCFNSAVDQL TNSHHLTTQLLLKQHCRKGCLYDHIFNRCMNRFPAAAMRRYCLAHAIRPSPPTLLRSP TTSRFIKHRFFHHETKPYNVAVIGGGLTGLTAAWKLLQDPKCQKITLYEKSPQVGGWL QSERIEVGGGKYVVFEHGPRTIRVADPAVMPMLDLLFGLDLTEQLVLISKGSPASLNR YIYYPDHLVRVPGPKPEGGTLGTIWEAITTLFTEPLFKGTVMGIVKEPAVEVRSDLRK DESVGDFISRRFNPTMADNIVSAVHHGIYAGDIYKLSADAIIGIPRLLEAKHESVIIG VVDNSQQKRKILPADYLLAMSSVVGQRPLGHFDRLRKLVRPASVFTIRDGMAEIARAF ERVFKEHSEKIQVVTDARISDIKREKDHNITISLNAGNETTSQTFNRVISTAPPTEMA NLIAAGSENDSQKPIESISRLRAHNYAVNVMVVNLFYNEPNLIPYRGFGYLIPRNIPF EQNPERGLGVIFSSETSEHQDTAVGTKLTVMMGGHWWDGWAESDFPDPEKATLMARSL LKRHLGIDATPVVTRARLQRNAIPQYTVNHLSKMQGLSDAVREDFDRRLTLAGNWYGM HGVGVNDCVIQGYLAATWGVDSIEGATKFSSGMPPDLMEHQAGGIPTSSMRYLMQQAH KIKAN TSTA_093450 MIEEVLYDLSPSRAALWLGLGLFVLFWILKLRNARQIHLLGGKS PEIPTYLPVAADFIYGSTKAAKHHQDLEFWKESMVRAQKSNKLPFVPFTAEINQLISN RLLFTIDPENIKAILTGQFNDFGKGEPFHREWREFLGDSIFATDGELWSSSRHLIRPM FNRDRIVDTQIFETHVQKLIHLFGGSGNADGSKIVDIGGLYFRFTLDASTDYLLGQGT DSLENPETRFAEAFRYVQSRQAIYFRMGPLSPLLSRKKFREELQVMEDFLQPYIRTVL SLSNEELEKNLSKRETFLDALARFTRDPRVLRDQLVAVLLAGRDTTAGTLSFCTFELS RHPEVVAKLRQEIAERVGVGADAKIPTYTDLKEMKYLNAVINETLRFYPVVPFNVRYS LKDTTLPHGGGPDGGSPIGIRADTRVVYSTLLMQREEAFYDHSKADKYYDPNQWIPER WTSGWQPKPWQFIPFNGGPRICIGQQFAMLEMLYTITRILQVYGKIVAVPVSGHDKVE DPLLQFEVTLSPGSEMNCVFLREGEGQY TSTA_093460 MFEPHATVSYVWGEGRDHRTTLANMQDRAEIGWPSLYHLHTPQG ASPEYQSRERRMDQLEPQRSCHALDLWQPTLTICATVGASAKTGLLALDEKKNTNQKI AKCVKGVHLVLHQPPEISMESSAWNQRAWIFQGRLLSGRCLIFTGRQVNFQCRSTGMS GAIFADKLDQGWPLENPIDAISLTSTVISVEAF TSTA_093470 MDLLRNHFSMHRAPSVASKTSSYFIDPEEQSSSVQDGSSEKSWS LRSRPDHYSDSISGSTLDSQTPPEEESLSQQKAEQIRRYLAGRFETGINEQFPNANLK FVLFSIRTLYTGGDFNASPTITKTRFWEDTDLAIYLMKASKRDKRGASRNQVRAFQFL KKSTADTTYTMSQGTATILIELLSPIHDLTQLVTKRLCALLRHSGDLSEALRVTRDGI RVIRALLGTGSL TSTA_093480 MASRASKKFVCTSSKLHQHEQQHDKSGRPPIPPTSGYAGLTNRA LIAITGVDSTSFLQGMITQNMLMGKEPVRAPRRTGSYSAFLNSQGRVLHDVFIYPITK GNLGHSNESPDEAAWLIEVDKAEVSNLMKHLKKHKLRAKLTLRALEDGEQSIWAAWNN ESTEPRWAAYNLESDFPSQLADNSPVVGCIDTRAPGFGTRYITPGPDDLQIHLPAETK LQGLQVDLETYKLRRYLYGVAEGQGEIIRESSLPMECNMDVARGIDFRKGCYVGQELT IRTHHTGVVRKRILPVQLYGVDEDTTTSSSSSAPIYDLETQTTQPPTGANISRVGTRK GRSAGKFISGVGNVGLALCRLEMMTDISLTGESSQYNPSQEFQVSWDAGSAAGLVSQQ GAVKIKAIVPSWLREYIVASTMRNVSSARAREGDEGLRARDLVERLGEEEAEEK TSTA_093490 MTETKLRLEDWLDDLCVRFIINLPREELESVERICFQVEEAQWF YEDFVRPLDPALPSLSLKAFAMRIFQHCPLMSEWSEYHHAAAFSEFLAYKTRVPVRGA ILLNHDMDKVVLVKGWKKNANWSFPRGKINKEEKDLDCAVREVLEETGYDLKAAGLVK DEKHMKHIEITMREQHMKLYVFRGVPMDTVFAPQTRKEISRIEWVNLSDLPTVKKNKQ HDAVNANKFYMVAPFLNPLKKWISQQRKLDAKNNFASMAYTEGETSMDEGFVSANNGF TPVQAHIQPAIPSELPKVTPTLDVSSHLKRLLNIGGPAASPSTTSPPTDPSKSNALLS LLRSGTSGTSILASGTPQQSTQQPPVSHKAPNLLNQVLNPVGGMRNQINAPFQPSLAP YQRTGDPLFAQRAGQVQTQGQIVPPASKLPPPKLTSHSLALLNVLKGNQPEGISATKA VELAGQPVQPVQPAPKQILQRPTSLGNSTAPAKITERKPPDALTSATVSGPLRTPDFD KTPKSNRGVPPGARGKRPQQQASPVRILSRPPSARKDMSTAPPSKGVSTPPAQTSTSA SLSLQEIISRSKIILSDIGIPSAYLFGSYAKGEQTEDSGIDIALEFGEKLRLPDWPKI ADAKEELEKAFSKKVDLTVCPSKDFYEKIKDHMIVLVEPKTFQPTILRRSEKLDLNSL LPVRTTHELPQELSDIPLRQKSAVPPGNKVTQPNYDRRPSQTAAQKETLLSLFGKSPP TKTSLLASSMEHPIASSPFNNATPSPSLSGAEPTVPAGAVPSIDSASDYGSRTPGAAQ RMKSPDNKAFLLGFLQNVAERKK TSTA_093500 MAAQLAAFASNRILKENVKNSFGKEDPYFEQVPASRLGRAFGKK TRKQRKAIPPGLSANDAKILNRVKRRAYRLDYSLFNLCGIRFGWGAVIGLIPFAGDGL DAALAMMVVRDCDKVDGGLPNSLRSRMLMNVVLDFVIGLVPFIGDLADAIYKCNTRNA ILLEKHLRDKASKVDKARAKKGHPTGESQRPVDLSIPEEFDRYEEGLLPEPPSYTEAP LSEPTSIEHGVETRRPAESQPAELQPANNPQSRRDGSWFGGRKQKRSDLESGGGRH TSTA_093510 MPAKEISQVCVSCREVEALATIRSRPLCRDCFIEYAGHKAARVM GSYRPVKNSPPKKVLLPLSLGISSASLLHIVDRLQNLQLSRSLNTAGFDLHVLVVDPA TVHSGYADVKANFDSARESYPNHTYTMVPLHNIFQYDTTIKETLCEFGFVEGGFDSDK ERLDAFRASMSTSTARDDIDNLLLVRLIVAFAKSNDCQGILWGDSDSRLAAKTLANVA KGRGGSLTWQVCDGASPWGLNFSFPLRELYKFELELYVKQIPELASIIIPDLQIQENE SNRNLSIDNLMNLYVKTQGEKYPGVMANVVRTTNKLRPATIPQNVRCSLCAAPVGGHA SLCYACLRSKADVLQPSSSR TSTA_093520 MKGWFQTLVLAASLAGRVVANESEIKQDDAHRQRCSGMYSRKAW GGDIDPFILTKFLKVEDDTTDQDILVSLVIFEWGDEELIGRPIPGNPDEIATICDQVK VDAGLCSQEELGSFILAENATEASKLPILSKAINLKDPAAINYPVKKTGFYCVSTYAF SGHDYQGVVEFRNAYGELPAAQIAKLPFYGGLTIVYAVFGVFWAFLYVQNRHDILPVQ NYITAILIFLVVEEAITWGFYDYQNSHGSNAFAKVLMIIVAILNAGRNAFSFFLLLIV CMGYGVVKPSLGRTMIWVRVLAITHFVFSVIYGIASLTITPDSAGPLVLLVILPLAAT LTAFYVWTLNSLNMTMKDLVERKQKTKAMMYKKLWWCILGSIIVIFAFFFINSFAFAG RSAANFVPDHWKTRWFVLDGWLNLVYLFDIAFISYLWRPTANNRRFAMSDELAQDDDG FEIRSLANSLDEEGAMPLNDEYERYSPERELSPVPPKPVQPAPQQRESLDGETIFAVG EEDGDKFSDDDDDDDFRKSSEETRKLTGGSHS TSTA_093530 MAIGVALIGGGIWAKEEHLPAILASKDLELKAIYSRSLKSANDV AGEVKDTQKIDLYSEDSSQTFGDILKRDDIQAVVISLPIANQGTFIKRALLAKKHVLS EKPVSENVQDGVVLISWYNGVKEEFDNVTWSVAENFRYLESFKYARQQIEELGKIIGF RVRVYANITEDFKFYHTSWRKEPTHQGGYILDGGVHFTAGLRLLLGSDVFVERVAAFT TSLKEHLPPVDTVDAILKTNTGIQGTFQSSVATTLTGPEWTIACENGSVTVSSSTVTV LSVDGEEVVKEIPNERSGVPPEVRAWGEALAAGRQNPEQTPEEGLADLELIELMLRSG NHDGEPQKCKYQSSTAEVSK TSTA_093540 MENNTNDEARDASSTTRISATTLSSRLIHHCRFLLAEIDIFQNA IASRFRRHQQQQHLVEMRLLRSNVASELRTLERLAADTQALVNERAKKGDNDDDADLE MREGRIIHTLRSSNLSFLTAVWTIAKERCSGVVAFSKRFYWDKDENKVVDGKEDRQAK PPNKDKKKSAFVDIVYGNGEDWLKVSTVNQSRLLFEMAEKGWEMDSDEEEDEASSTRN DQGKELPINQDEEDEDQLELITMALDMIRASRATRVRYRNPRVHIMAPKLEEGKVPEI DRVLNTIRSYGVTIECKIQIRDIFTEDKIYETCDPNSITENDLPLSTMLPNPFEKFTH VINVDCTLLLALVSDLSHVQNIEPSPNFHRAIIRQIEVEDELPLLTTELWPAVVGRQL VCTQEAAKRMKEIVYTIGTETERKRTAILLGEGDMEGLDKDTLLGELRKLSDHSVPEK LILPMKVVDAHAEIGAAMKQNKFPPVISKVTEILTDINYSVFLYGWASDIVTISSNKT VVRQIEATIEDNRGYDNTLEGPKIWICDTARSLIGKEKHRKP TSTA_093550 MADTPQTDHQEQKALTSSSNTPHTGTPIVPLPQGSSNPRTSQKV SDAIRTYRPTKRFKLSKHENSHITSLDFDDKGEFVVTACEDETIQIYDVIEGKNTKIV PSKKYGAHLARFTHHQRQVLHASTKVDNSLRLLDLHQESYLRYFTGHTDKVTCLSLSP ANDAFVSCSRDNTISLWDLKSRNVQGKLELATPYLVAFDPSASVIAIASQSTSSVLLY DFRNYDKAPFATFDLAPLEERYTPTTRGRLWSRLEFSNDGKNLLVGTDYHGHFVLDAF EGHLNAFLVGKNGSSGRAAPVSSSGRPLGQGDACFSQDGRFVLGGAGDKNEVLVWDTN QNPDSNKYLQPMTSLSSRGRTAVIEINPRYNMLATADKDILFWLPDDAPKNL TSTA_093560 MSTTTGAFIAGGIAACGAVTVTHSFETVKIRLQLQGELQSDAVK KYRGVFHGVKVILQNEGPQGLFRGIGSAYIYQILLNGCRLGFYEPLRTGLTTAIYGDP SVQSLGINVFSGASSGMLGAAAGSPFFLVKTRLQSYSPFLPVGTQHQYKNAYDGMRQI YTNEGVKGLYRGVGAAMVRTGFGSSVQLPTYFFAKRRLTRHLGMEEGPALHLASSTAS GFVVCCVMHPPDTIMSRMYNQTGNLYKGVFDCLYKTVSTEGLLAIYKGYFAHLARILP HTILTLSLAEQTNKFVRKIEDRILSDDLRSRL TSTA_093570 MTTQLTPSKQAAASLEQFKMESPAKKLSFESGKENSPAVVDASA TTLVKPTEKPAVQEAPKVAPGIKEMEVNEPLLQENPHRFVLFPIKYHEIWQMYKKAEA SFWTAEEIDLSKDLHDWNNRLNDDERYFVSRVLAFFAASDGIVNENLVERFSGEVQIP EARCFYGFQIMMENIHSETYSLLIDTYINEPKQRTYLFDAIDNIPCIRKKADWALRWI SDKESTFAQRLVAFAAVEGIFFSGSFAAIFWLKKRGLMPGLTFSNELISRDEGLHTDF ACLLFSHLNNRPNPKVIEDIIVDAVAIEQEFLTDALPCSLLGMNSKLMCQYIEFVADR LLVALGNKKYYNSTNPFDFMENISLAGKTNFFEKRVGDYQKAGVMNSTKKDKDASGEK KDENAGGLSFDEDF TSTA_093580 MSDTAFYTYNGDDHDAERPAKKRRFFVEDENQASRNGHDVQNVS QQNASSQVDSPVNEGNDTTCETFQQVTESTAPCQQIQDANGFDAQLFTSIVGQDVPES IITKIRQAAGGNIERAINIFLDGSYERITTRPAPLPERQRGTLPTALEVQTDTTRKTT RNTSEWTPPEGAEVLQYMPSHRYIGAFGVAAWATRSGLNVLRHGDKVKIERTKMRTTK IGRKGRVIPNQKADVITRFTNIEGEEIGRLPQETAEWVSTLIDQKICDFEGYCVFAPD WMRVNDTVYLQLHCYLKSDAFVKNAFYNIDDNRTVQLFEQKESTEEKDLRIRQIALVR LFDEIGLLPTSTNETTAKHKKEGLLRAAEMAEQYDKNKDRPKEATDLEDSGPDEETAE LEEDHLDTLYQKAQSFDFNTPEAQPAETFALDLRKYQKQALHWMLAKERDTKSNRGES MHPLWEEYKWPVKDADDKILPCVERQDAFYVNPYSGELSLDFPVQEQHCLGGILADEM GLGKTIEMMSLVHTNRETPAAPSSMDELHRQSMSATGIVAAPYTTLVVAPTSLLAQWE SEAQKASAPGTMKTLIYYGSDRSTNLKTLCSRANGINAPNVIVTSYGVVLSEFRSFVT QGQHNPAAHIGLFSLEFFRVILDEAHLIKNRLSKSARACYELNAIHRWVLTGTPIVNR LEDLFSLVRFLKVEPWSNFSFWKTFITVPFESKDYVRALNVVQSVLEPLVLRRTKTMQ TPEGEALVPLPPRTVTIEEVELSEDERAIYDLVYYRAKRTFNDNVEAGTLMKSYSTIF AQILRLRQTCCHPILTRNKAIVADEEDAALAADAVNEMKDDMDLQELIDRFTKSTESS STAQSQDTASRFTTHALRQIQNDTSAECPICSEEPLIEPAVTGCWHSACKKCLENYIR HQTDKGELPRCFSCRAPVTRHDIFEVIRYQSPSSTPDEIDTSTPPTSSQPAPRISLRR IYPLSPSAHTSAKIHALITHLLKLPPNSKSVVFSQFTSFLDLIGPQLTKAGLTHLRLD GSMPQKARAEVLRQFNRTEIYEELESDEDAPKDGGASATHSKPPQPSPSILLISLRAG GVGLNLTAANNVFMMDPWWSFAVEAQAIDRVHRMGQLREVSVTRFVVKDSIEVRMLRV QERKMNIAGSLGLRVGGDGTEDDRKKNRIEELRLLFE TSTA_093590 MSATKPALRIRALKAPRQLCSQRRTFSKSSQWQAPVTGAADAET ESARRYCTNLVQNYDRPSYILSTFIPPQAQTLYLALRALNISLSMIPDTTSSHTIGLM RLQFWRDTITRTLSGSPPKEPVAILLANAIEDLSFRTNGRARLSKGWFLRMINAREQS LSNTPFADLSALESYAENTYSTLLYLTLSSVPLTSITVDHIASHIGKATGISAVLRGL PLVAFPAPAKHHTNQSSLISSTSGSEARQGAITLPLDIMAQSGVIEEEVFRKGAEAPG LKDAVFTVATRASDHLITARQMLKNLRAGQGVGHEFEHEGEEGHQYTNSTDDQTLSPY EKQLNEVERGFGVLMPAVSTQLWLDRLQSFDFDIFNPKLLRSDWRLPWKAYLAHRSKS F TSTA_093600 MAVDAHDYASQHAQAGLSPDSAPDPIDIEAQSPRGRSSHNDDPV SPGAFSASARVDSISTIRRRSKRTNTLHSYHREGGSSPERHWNAGNEPGIDPNRASPL SESEWTQLPIDLHRICEITVVDFSQEDMRQYVLDNSSIEQFLARERESWVQCRWINVN GLSWDVIRILGRHKNLHRLAIEDLMHPHNRTKVDWYSDHAYIVMSLQRLEKLRHHEND NTDSDDYDDHKPSDGGSDTDSSESSLPPVVIRRRKWNVIKAALQDLLFPKWSRKRDRK KVKFAGPEPSFNGGKVSNGANTGDFLRMSPMPRTLQRYRGGPNEERIEFMERHAVLAS QGLRVTLEQVSIFLNADNTVLSFFDASAGDIESPIVKRLSSPETILRKSCDASMLVQA ILDAIIDLAIPVTLAYQDAIGDLEVAVLTDPNIDQSKLLYILTSEISVLRNAMQPMVA VINALRDHRSQPVQTPGVGSINIGSLSKTNTNTTTDGSLPSFPFIGTGTPNLKSVGAS TITISAMCHTYLGDVLDHCITIVEGYDQMRRSADNMIDLIFNTIGAYQNESMRQLSFI TALFLPLTFLSGYFGMNFVNFAGVQEHSDAYFWEIAVPFVFVLTLVLMRDMIGRYFLK LAQRRLFFSSRKHRQERKRERRNR TSTA_093610 MLRRSAHAPSWGVARSCVAITSRYGIQLSYIRTSSLTPIFTSRL YTTVHSERKGIKSLSVHSTARFNEIGVQQLSDYVHSQIFLNKPNPPDEKLVALSKDHL SRHDLLGKSQEAAKPIAFDFPPLVGQTLDEHFHKLAMDASEPYLSIAKSYSTINVPQM PRKWARRCGWTKYNSDGSWEAVDAPDESALTFDTEVLYKESPFAVMACAVSPTAWYAW ISPWLLGESENDRHLVPLGDMSKPRVIIGHNIGYDRARVQEEYNIKQSRNFFVDTMSL HVAVNGMCSQQRPTWMRHKKNRDLRDKVANDNNAVELSALLESKMLSEEEEELWVGRS SVNSLRDVAKFHCDVTIDKSQRDYFGELDKDGILSRLDELLDYCAADVAITHRVYKKV FPNFLEVCPHPVSFGALRHLSSVILPVNETWEQYLQNAENTYHKRLDDVQKRLLELCE EALKIKDDPTQYESDPWLRQLDWSGQEIKMVKGRKKGDPPRPAARQKKPGMPKWYKDL FPTSNADINLTVRTRIAPILLKLSWDSHPLIWSDKYGWTFRVSLNEAHNYQNQPVTQC DMNEETNAELRDDRKHVYYKLPHKDGPQARCINPLAKGYLTYFERGTLSSKFALAKEA LEMNASCSYWISARDRIMSQLVVYEDELKRQKKAAKKQLGFILPQVIPMGTITRRAVE NTWLTASNAKANRVGSELKAMIKAPPGYVFVGADVDSQELWIASLVGDAQFQLHGGNA IGFMTLEGSKAAGTDLHSRTASILGISRNDAKVFNYGRIYGAGLKFASTLLRQFNPTL TEKETSQIASKLYKETKGTRTTRKILNDGPFWRGGTESFVFNKLEEFAEQERPRTPAL GAGITEALMRRFINKGSFMTSRINWAIQSSGVDYLHLLITAMDFLIRRFNIDARLAIT VHDEIRYLVKEEDKYRSAMALQIANVWTRAMFSQQVGIDDLPQSCAFFSAVDIDHVLR KEVNMDCVTPSHPTKIPHGESLDIHQLMEKGESAYLDPSIKPITPPTPENYSYTPRKS VMSALQTSNDIVFIRAQITNDDKELRDIIKEKSTTIAKSRVSTGQRTTSSAPKPASEP QRALLMEDWNNYGHQQYPHASKQNAFPFSKYPFKQRPTARA TSTA_093620 MSRRSSSGVIDLTSSSRSHRYVDPSSQQGLAPHIDTSNEPRGTK RRRLYGDDIPGSEFASSSNDPHQTSSLEEDENIESVDLTEVNDDTALARTLAKQREDA IKAQTATGDREGRTTLTATKCAICMDTPTDATTTIPYDTRKDVLKVRQGNLLEGNVLR VVNFFQGEKPQGGSETSFRYSLSSEHHHEGTGFCLKGTTSTYLDIEG TSTA_093630 MATDPNIDDSIINRGIVVFSGGSAANSLVDAFNTVRANKQCLLN YVIPISDNGGSTSELIRVFGGPGIGDVRSRLVRLIPDSAPASERAAVKALFNHRLNAD AAAARENWQSIVDGTSSLWSRITPAKKELIRSFFNYLNLEILKRARPPTSTFDFSSAS VGNLFLTGARLFTGSFESAIHLLGSIGGVSSDLVRVIPAINSNFSHHISASLEDGSVI VGQNSISHPSNAPGSPKLGRRRASLLLADGDADDSDYLDMDDATSYQHDHIPGSLPSL RYKNITFNKADTEELPCRISRIWYINPYGQEIRPPANPRVLEAINDSQAIIYSIGSLY TSIIPSLILRGVGKAIAMSPARHKILILNGSLDRETGPSSKPFTAVDFVEAIVKAGEE SRGKGGGSGLPNGRHRSMDAIMDNLPGSTTATNSLVTPESSVPSIIEPLSPAPSSLGA KKLLPYTSYVTHILHLEGPGTPSVDRERLSAMGIETLRLYGPKIMSDDGKTVLGMRYD PTALVQALEVVLGKKGDAMLRGKLGGGVSRRNTLEGGYKER TSTA_093640 MTSEGSSSGSQHPKRLVFAPGDISGPNDAGQDIHNIKSAAAHLA EDIARSDTSTPTSVMQQELVSHPARAHQFVINPPLTVAQLHPTNPLHQFHRWFQDPRL PRSSAPETCTLATASLPSGRVSARTLYFKELDERGWVIYSNWGSKEGKGQQIFGNGFA SSADGRTPGDIHETASLNEGNRWAALTFLWSLVERQVRIEGLIEPLSKEESELYWRTR ERGSQIGAWASWQSKVMWSANPDTLPLPEELQRADFDDGRIELEQRVKEMEARFADVK DIPLPPFWGGIRVVPESVEFWQGRASRLHDRFRYVRVGQTDHAAANFKWRIERLSP TSTA_093650 MSTPSPSLRGSPLKTSSSFTITRWVTLAIASGAFAALNGLFAKL TTTESTGSAASKIAHAFNLPEGMVEIIVRAICFGLNLLSNFIMWALFTRALTAAPSTT KVSITNTSANFLVTAVLGMIVFRERVGGLWWLGAVMMGAGCILVGMRDDSTSHKEVSE GEGVVPSVDGDDEVETITLREDGFDDEIEPEPYRDEVER TSTA_093660 MTLPRASSSSAQPHGFLSPIEPSSRLSRRLSGFSVSDQSDNGAG DGAASTNHPNPAVAEEINEIKRYEDFTTIDWVQDEVQEQIRRRARRREGHGFWDQEGT FGWRRKLWESYDAGQAWLVVTIVGAAIGLNAALLNIVTEWLSDIKLGYCTTAFYLNEQ FCCWGADNGCPEWHRWGGNGLFNYIVYFLFAITFAFMSAFLVKSFAPYAAGSGISEIK CIIAGFVMKGFLSATTLFIKSIGLPLSIASGLSVGKEGPSVHYAVCTGNVISRFFNKY RRNAAKTREILTASAGTGVAVAFGSPIGGVLFSLEEMSTYFPLKTLWRSYFCALIATG VLAAMNPFRTGQLVMFQVKYDRTWHFFELIFFVILGVFGGLYGALVIKWNLRVAAFRK KYLGPYPVTEAVVLAGLTALLCYPNIFLRINMTQAMEVLFRECEGDNNYEGICEKQNR WSMVFSLLIATILRVFLVIISYGCKVPAGIFVPSMAIGASFGRLVGILVQALHESFPD SGFFAACEPDVPCITPGTYAFLGAAAALSGIMHLTVSIVVIMFELTGALVYILPTMIV VGVTKAVSERFGNGGIADRMIWVNGFPFLDNKEDHVFNVPVSRVMTSSPLSLPASDLP VREAEHLLNDNKFQGFPIVEDRASKILIGYIGRTELHYAINKARRMQPLSPNAKCVFT HEAPASSTRIHSPAPTASGPYLDAPQTFDELENTAGLKTVDFTPYVDHTPISKMGPRV SRRATSRLMGLVTVKDCLKYQFKVEAQENATTTNGANADSHDENEGGVATLEDRAWSF FQKVGHTLRLIRNRSIRLDGSAGARHGRRDRMAENTDEYQYGILEGTEESGPVELEDR VR TSTA_093670 MPSNTQTDESEASGQSVLPLARIKKIIQLDEDIAQCSHNATFLI AIATELFIQYLAEQGYNVVKSERKPRKMIQYKDLATAVSRIDNLEFLADVIPKTTTYR QYKEKRAREGAQDVPKTEMGQPSNAATGQNGVARLPGGQTTLFAATNGSRANMVTENP IPTVSMMIDPTGNEAANGDVEMTG TSTA_093680 MSQQLSSALLRTCARQQLPTTVVARSAIAASTANAQQQRGVANA SKFESPFASSDDPTATLKIPNFKKYMSKSSPTTNKVFSYFVAGTMGLGSAVGAKATVQ DFLVNMSASADVLAQAKVEIALGSIPEGKNVIIKWRGKPVFIRHRTADEIKEANEIDW KTLRDPQPDEDRVQKPEWLVMLGVCTHLGCVPIGEAGDFGGWFCPCHGSHYDISGRIR KGPAPLNLEVPAYQFPDDDTLVIG TSTA_093690 MVFKPFTHLARQNFAKAFTHGYAQSVVAASQSTYASSSTTLNPL ATTASSLKFHRTSQLQSTFQNASSSSGSGAKAGQATSNSGDSGLAAYYAAWQHAQQTG DDSDWRQFQFKRRIGWKPKDEVADKGQNVPEDKTPRDLKKAAVNVDVSAKVEEAVARE IQIQEENAEAEDDAATVVAAADEHAVDSETAHEVPIDATATKSIVASGRVVQLAVEEK YADIPAAFEAILRDGVTPTVEAYNSLLQAAIKLHSDSYHAVPKALDVYSDMLRRRVIP NQETYKTLIAVLVSRAVEISASGAALEKQRIRFGGLEEPGKFLFRSSEIEKELVSEDH SLTIALKLFDAATSRHSEMVFPLEVYKSLIITCAEEGRVEDMIRIYAHMETQKLVPHA AIFPSMIRAFAKIGDLKSAVECYNEYRELAMADDNGVFSIVERRDGQVYAALVGAYFS CGKSEGGMRFFERIRSSYDNVQEDKASRLEAVESTIIRDALVQSSLDAGNFEEAVKQA TSNLQAAALQQAMAKICVAAADAGSVAVAVESYDRLAAESVHAIGSAVPMLALHVRQG NVLAARTFWAILSNLDQTTSDLIQPTTMYAVALLKSGHIEEGLMEARKMFGRIRKDTA AQQMVQLPIREEIDEAIELLGRVLMMESGMVISAPAAMTLVRCMVENGGLVSPIAEHA ISSLGPMGISELSVDDLTLALQVQAGMIINHEFTFNAPHLVRFAHMVDVALSTGVPLD VYTSRLVDQAILKFSDSRPDLVRRWQDQFVPVARQQSVGSSRYTPVSETSSQINSSVT SEDSYDPYAYSTDYRGSSIISDELEATSKRIDSHLNEALTKLRNIRRLGRHPRYITYA KLINAAAKCGRMNIANDILAMARHDVPLLPQYSAVRYGWSSILDAMVAACLTVGERDL AAQYHQELLQIGSAPSANTFGLYITTLKESTKTFDEATEAVKIFHRAIAEGVEPTSFL YNALIGKLGKARRIDDCLLYFAEMRSNGVRPTSVTYGTIVNALCRVSDERFAEEMFDE MESMPNYKPRPAPYNSMIQYFLNTKRDRSKILAYYERMRSRNIEPTMHTYKLLIDAHA SLEPVNMEAAEQVLETIKASGQRPEAVHYASLIHAKGCVLHDMDGARALFDSVISNRD IRVQPCLYQALFEAMVANGQVSSTTDLVSSMGRHGIEMTPYIANTLIQGWAAEGNISK AYEIYNSVGIDKREPSTYEAMTRAFVAAEERPSAAAVVQEMLSRGYPAAVAGKIVDMV GSSVSAPIAHF TSTA_093700 MPVHPSTTTAVSAPGKVLLTGGYLVLDRQYTGTVFALDARIHAV VQQLQRDRNRSSNQLTDSTETPQNTDEAVDPQPESVVVRSPQFIDAVWEYGVERCEQG GGVKVTQKNEGPKNPFVETSLNYALTYISYVADSKDLGSLSVTILADNDYYSDANQPG NSSQRNRGAFRDFGVKLQDAHKTGLGSSAALVTALVSALVVHRTMHPEDLIVARDKLH NLAQAAHCAAQGKVGSGFDVGAAVFGSCSYRRFSPSILEELGDVGSPGFEERLFSTVE DLDAKHPWDTEFMDVGMKLPPGLQMVLCDVDCGSQTPSMVRKVLEWRNQNQEEADSLW DSLQANNEKLRQELRRNAGNRADPETEAALADEVSKHASILIQQSRTLLKTMTEKSGV PIEPRVQTELLDAVSAVDGVIGGVVPGAGGYDAVVLLIRDDMEVIQRLNQLFESYQSQ VEDDFGGKIDRVRMLGVRHGSEGIRDEQANLGNYLAWL TSTA_093710 MASNDGNVAALEAKVDKNNHDNVETSVATSSAAAVFEPNTSENA PSSSIDPVAETATAQKDTQVDSVADTENQAKEKKDTEIENNSSSSATTAAPAVESTEE IPAATVIATASAPTQPLASSSKPEVPETVKTTEEGESSDPAQPPKETTEAEETGPELV ITLLLTTGARHPFRIDRKYLKRRSVKVENDDPFNMSVYTLKELIWREWRSEWEPQPSS PSSIRLISFGKLLDDKAPLSESSLTHDAPNVIHMTVKPQEVVDEEDAKGGKSYSARDR EATDRSPGCRCIIL TSTA_093720 MSLRFTPSTTHASHTTNTTGRNYASLPHPSKGAPSAPGLPDTLR NNITLQPPRGSPSSISNNQTPTSTHPLEARLLAWRETQDAMKMEGLRRVYGMAEPIRR GMELKIVRDSKFVPMALGGARRMGGDLHEDILVLGGRDTEIGWEDVFHGDEFREPPSI HDEMEKRLKMDW TSTA_093730 MATTLCSRTLIRHRTIIPKPTIRVRQPYQRQFASFTRSNNSIST SPSLYRSSILSKLPTTPFTPTRTFTSTYLRQATYNQVRRGCRKEQRARRGRSPALANR PEMKGVCLKTGITKPKKPNSGERKTARIRLSSGRVVTAYIPGEGHNVQQHSVVMVRGG RAQDCPGVKYHLVRGALDLGGVANRLTSRSKYGTKKPKAD TSTA_093740 MSSNSGKYIRYVLFAVFGLAILAFITKSSLPLPSDYAFSLLPET QQSETNDKPNNEAAAIDPTSQTPANTGPPPLTPSGDRINATFVTLARNNDLWEIAKSI REVEDRFNRNYHYDWVFLNDKPFDEEFKKLTSVLASGKTHYGLIPKEHWSYPEWIDQD KARAVREDMKERKIIYGDSESYRHMCRYESGFFFRHELMLNYEYYWRVEPSIQLFCDI SFDPFRYMMENKKKYSFVLSLYEYYETIPTLWDSTKKFMAANPQHIAEDNSMGFLSDD GGETYNKCHFWSNFEIGSLEWLRSDAYIDFFTSLDHDGGFFYERWGDAPVHSIAAGLL LNKEEIHFFNEIAYYHVPFTHCPTGEQTRLDLKCHCNPADNFDWKGYSCTSRYFHIND IPKPEGYEKETN TSTA_093750 MSFFGFDTNLPRDRQAGQQSRGIFENPDPFAEVARAQAQGLTID DDEIDFEDTYDGLGDQLDDDQDAFNDDTFGGGEGVGKDFDFFGSTAQISDVINEEQVR YNLQHSKKSAPLATKEAPVPAPTSGQAPSIPRLPKKTGYERYQDPGFIPEITAKSSVW GTTTQTTKPAEHAPQPAAAAPSRKMMSLEEVEAQLRQQPQHFPQPQQPMPYHELPQAM PRGPQMPFLPEAELIAIEQQALAMGIPPAQLLQRLQQMPPPGFMPGPPGPPAPLPRQP PVQPQPPPQPQPQQQPQPPRQPAAPAQRLPQQPPTGAPGLPVITDPQQLMNLTEDQRV AYLMEDAKRAKRNHKIFILSRGNGLMTPQDKNFITRIQLQQLVAATGNVAEADTESLL SEDFYYQVFSQIRGAPRQHPRQPLGHFAQTYLFQTGNRSGGHGRRQYQNADNHMQRMQ QQVQRAVEAAKAKPKNQQLIIEGSLGKISFSNAKAPKTSLNIKRPEGADLKPKRATAD LSASDRKSVLSNIENVYTILMKMEDLERTMPPPPDENDPNSVEHHMEWRQKMQTLNSK LWQSMKVLEPIVPGSTVAHPFIAFLSYPKGKKAIPRLFRQIDQEQRVTVLTMIVVNLD TLDVVRKGLLNPGETQLPAASREDIELFSQAVMPSLLGYVNEASFNIIIGLLGVLLAQ THVQFVARTRVGLGIMTMLLSRAAIIKEAGQADEHEWQQWIEKFNQLFDALEPGLADI FPGSINTGDDMYVWQFLAAIGIGASQEQQQRLVIAVKDRVMETVAQSKTLPPDMASQR LNNVNLFMRAIGLDVELLG TSTA_093760 MEWIRYLGVYSDNSKDVNKLTRIISPKQAIWKYGSQLPDLWTKY YDDYIQKVWTCYSGDRRSMIDSQDPDRKMGIVACGIDADGLLCVSDSNNQEIWRIGGK PTTEDIFKDDSGPFKRYDTCPTWKNNVCHNLVAAFVRSRSTVIFSQRPMTSQEDKASG SLSINTETADKFSLVLLLVDHNVDQGYHEQKHRKYLPRWSRNRLIREGLGDLGLFAFE PFELVDRKLIILFALGLSHSSISMKAFMSHEAVFLRPFHVTALRCILEISVLLDPTGT RTEFQGSVGCGGFEGIECSSERTKYLQHMDLSVTFKVALHELFGHGTGKLLSELSPDE YNFDIKCPPVNPLTGKPIDTRRRGG TSTA_093770 MSLGSVLVTGGTGYIGSFTALALLEAGYKVVITDNLYNSSAEVV NRIELICGKKPEFVQADITDPTAFDKVFTAYPDIDSVIHFAALKAVGESGEKPLDYYL VNVYGTINLLRSMQKHNVTNIVFSSSATVYGDATRFPNMIPIPEECPLGPTNPYGNTK FAVETAITDFINAQRNNLTKAGKPEEAEKWNAALLRYFNPAGAHPSGIMGEDPQGVPY NLLPLLAQVATGKREKLLVFGDDYASRDGTAIRDYIHILDLSNGHLLALNYLRSNHPG VRAWNLGTGRGSTVYEMIKAFSHAVGRDLPYEVAPRRAGDVLDLTSNPTRANKELGWK TERTLEQACEDLWRWTKNNPQGYRQQPPAELLEALKKK TSTA_093780 MPIPLISHGITEGLSAIPHAYTAIKWAAVVAVVALLKYYFGGAR NTSERLMHSKVVMITGGTSGIGSSIVHDLASRGAQIILLTQHAPSDLFLVDYIEDLRQ TTNNQLIYAEQVDLRSLHSVRKFATKWVDNAPPRRLDMIILCANTLKPSRFGAPKLTG DGLDEEWQVNYLANFHLLSILSPAIRAQPPDRDVRIIFASCSSYIGATIDLKRSEGVI TTTNTKSTTTTHAKSKAGAKKKPKQKQNAASMYGITKLALMIFAKSFQKHLSSYKRPD DRPMNARVLIVDPGYTRTPGTRRWITGGSLWGLLLYLLTWPVWWLILKAPEQGAQSFL YAAMEARYGRGGENGSNGGWYIKECREMETLRKEVDDEEVAKQLWEFSEEQIQVKEKE SAKRRALEKAKEEEEKKGGSTATSTATNSGNNKARAAGTKKSRK TSTA_093790 MKQPWTLRAGECLFCSFRSRYASAVTFTRPFTSTPLLERPKLKP TSQKPSGGFSGGFRMAVDAKFDAAVETALRHFKNDLSPSDPLLEKWDSFYRRITQASR LRRQGLEKPKPHSGSLVDLRLQLFDAYRRGGLKAIRQELKSMKNDMYFAEKYGNPHAE EQARATDLRYPAEWYPFTRSKQRTIHLHVGPTNSGKTYRALKRLEQAKLGFYAGPLRL LAQEVYTRFSTQGVPCSLVTGDEVRISDATPRIISNTVEMVSIYKDYDVGVIDEIQMI ADPDRGWAWTRAFLGARAKELHVCGEERAVPLIKELTTLMGDNLEIHRYQRLNPLQAE EKSLNGDLRKLRKGDCIVTFSRINIHALKNEIEKSTGKRAAIVYGGLPAEIRTQQANL FNDPHNNYDFLVASDAIGMGLNLKCKRIIFQTLVKGGKNGLSRISIPEIKQIGGRAGR YRAANETDPRDSEEENVGLVTSLEDVDLPFIKQALEFDPPPLTAAGVIPTDAMFYRVA SYFPPDVSFKFLVNRVCSVSRVHPLFFMCKARSQLEAAEILDRCDRMSIEDQLVFMAS PLGKRDPALLASAKGFIQCVARNTSGRLLDIPELNLEILEAPVSGSRDYLNELESLHK SLILYLWLSYRMGGIFTDRTLATHVKGMVEERMMRALTEFSANAKLRKDASRRRQILL SKQNMHEEQLLAMSEVSGEQSVGGEEDSFDVPMEEDASSTEHVHAGVQGTLDEQSNDK TATAYN TSTA_093800 MASLIHIQRDIILGAIRHAAGNDWKVLVVDEKSKKLLDNTVKED DILNQNVTNIEQIEHRRPPNKDMDALYLLSPQPHIIDCLMADLERLRYRKYFVVWTAI LDSQQRARMDRSQMVRDMIVSMHTLNVDFYPRESRVAIFRDPYSFPILFHPACNNLVR EHLGDLARKIVSICVVLGEYPVIRYYRPQTPTHEASVLCSHLARFVQDEIDSYASSNR NFPPQSPRPRGVLLIVDRSMDLFSPLLHEFTYQAMAHDLLPIKEGDKVTYKTVINEGS SKEEVTDMEIGDHDRVWMDYRHLHMKDVLEKLAEDFARFRAANPQFAEENDKVTVNTI KDMLAGLSDFQEGKNAYTLHLNMAQECMNFFQERNLLELSSVEQSLATGVDEDYKKPK NLAIQLVRLLDEQSVVPPDRLRLILMYLLYRDGLLKGDIRKLLAHAKLPPQDGEIIYN MDLLGARVERPLKDTKLPPQPLFPLKQLAATEEQDISLSRFEPNVKRMLEEQIKGTLD STIFPYTRPQTDADNSARDQISQSSLRSAKPTWARARGSGDLPRQRILVFMAGGATYS EARACYEVSQNSNKDVFLATSHMLNPGLFLRQIGDLSVDKRRLDIPAERPKPTAPAHL FEKEPPPVPKQPLPPAKQPSAAAHAAATTAFANMNLNGQAPPSNGGPTPQPPTGKIKK EKKKHRFF TSTA_093810 MAQPNYDDEDYFVPLEDQRIFGAGIKRKRVPFVRASDDLHATTT TAPLNNKSARSVADIYSAIVLSKKHKKDTNNENIQEKTPVAESEDDVPTAPIATTSKT TINSQQTTETQQDTLTIAKGYMCEICNLPVTQTTENNNDSDAVEATSIKPHEASMVHQ ICLQHSHPPSHLDRTRHGLRYLTSYGWDPDSRTGLGVEGRTGILQPIKPKAKANTSGL GLTAEDENAIATRNNLRKQQQEQRQRLNAKQVRQGQLVDKKKGEKLRELFYASDDIQR YLGEQSSGFL TSTA_093820 MDDLESLELFSLVSRVTTELQNHLGINDKTLAEFIIDQHLKCKS FADFKNELDALGAEFPPSLIESIDRLVVTMHPKYKSKKGMDSGNREVDNDMEALNAME KKARIFKGLAIPDKVQTWDDEEPTTAAKNGTVTENDARAGAMDDTFAMLEGLAGKSRE DRHESSQATKPSRKRSRSPDYGDYDSTTYRRNRDRRKSTSRSRSPEPRERHRKDTDDR ERYDRKERRNGYKNGYERRSRRDQDDDYFRRPPTPEIDDQPVLHKIYDGRVTGIKDFG AFVNLQGVRGKVDGLVHVSAMQEGARVNHPSDLVSRGQPVKVKVISIQGTRIGLSMKE VDQVTGRDLIPEKRLASGANMERLSGSDGKDRYGNLSSDVPVIEGDYDRKQFRNKKRL TSPERWEIKQLIASGAVSAADYPDIDEEYHATLAGEGEFEEEEDIDIEVRDEEPPFLA GQTKQSLELSPIRVVKAPDGSLNRAAMAGTNLAKERRELRQQEAAEKAAEQAADIDLN AQWEDPMADPEQRRFAADLRKAPDVKSDAAVPEWKAVTQGKNVSMGKRTNLSIKEQRE SLPVFQFRQQLLDAVRDNQFLIVVGETGSGKTTQMTQYLAEAGYANNGIIGCTQPRRV AAMSVAKRVAEEVNCKLGEEVGYTIRFEDCTSPKTRIKYMTDGMLEREILVDPDLKRY SVCILDEAHERTISTDILFGLLKKTVKRRPDLKVIVTSATLDADKFSEYFFGCPIFSI PGRTYPVEILYSREPESDYLDAALVSVMQIHLTEPPGDILLFLTGQEEIDTSCEILYE RMKALGPSVPELVILPVYSALPSEMQSRIFEPAPPGGRKVVIATNIAETSITIDGIYY VIDPGFVKESVYDPSKGMDALVVTPISQAQAKQRAGRAGRTGPAYQSEMLPTSVPEIQ RKNLAHTILMLKAMGINDILGFDFFSPPSVNTTLTALEELYALSALDDEGLLTRLGRK MADFPMEPSLAKVLLASVDMGCSEEILTIVAMLSVTSVFYRPKEKQQQADQKKAKFHD PHGDHLTLLNVYNAWKQSNFNNAWCFENFIQARQMRRAQDVRKQLVGIMERYRHKIVS CGRDTTKVRLALCTGFFRNAARKDPQEGYKTLIEGTPVYLHPNSALFGKPAEHVIYNE LVLTTREYMTTVTAIEPKWLVEAAPTFFKVAPTDRLSKRKKAERIQPLHNKFAGQDDW RLSAQRRQGRGGGGGTWG TSTA_093830 MAPGFSPEHRNKRRKISTDGNQPPTNGALEIASHKQLHDLLFFR QDAKLDALRGLNAFKDFLSSIHQAEVEADKEKKYQILKTYCDSQIPKLEDGVCLRDLL QTWNFAESSNHENILVIAPSVLAQLLKIISTRLEFREFGVSLCKALMQKEQLRLINRS LSAPKMKEHLISPCIRLLTEIVSFDGGAIARLVYLNRDITYKRLEHFLTPSRSQVETI SSTSKKSTLRRNAQRYVLANILFLQGPAKVDFIEQHKVIRALLEFIRRDPRELVVDIL KTIDRNVAHDSSIPRITKSRFFNKWNLERLVTLYGYDKDNEEEEESDISISTEIHRML MQICTVSEMGVLLPQNGWYPPSSDTSLEITQDENYIDLGLDAPFYQDKYKETVPVRNG ALSTLVQVLRPESDTLQTELLLEIFKAAPELVADFFTKRFMFMAEPKSNPTWLGESAF LFSTVQLPVPKNFCGKEENFELPPPVSIAIENVMPRPLNAKVLTRCFNQTSDPIVTLF AVRILTAALRKLQVVLKGFERNHGRYQPLWEQAASKLTMEFIERCSPIRDAILTFRRT ASEDIQQQAAVMELIAAYHDVVPSIATEENFDVTLVMVKVLEQLDDSNLSEDDSEPAL SLLQNTLHIAHCSASMRWWHQPASFPYSAFTCILKVALKTGDEPSTKELQNLLGTVLV EQAILSSSTGSFDALVASFKESDPAELAAPLQFLDNCLCRIAKKPVHYQDLATNLLQD NSAPLSLLVVAVLEQWPFILKGNDAEREQSVAKWIAFLIKRLKAAGEDKKALKNVRDG LCELSETKKTKSIFKKALKGGDEKEDAGDDHDMEDVQSREQLKQNTTSDAGLELLDIF GHLPKESKDHMGLYKWEKEEIDAAIEQGRVSDLLLCLHSEHEEIRRQAFAAISRLMIK LKDSNYTEWRAVYLLLGEIRETVNQLGFETSLPTVAGQCGVACLMVLNDPLHKMYGKV NRYLQRRPWWEVEKIPSYWIDQILLHQPEDDEGHSDEVNWLLDMLVNGLQTPQDLNIY RRANVFEHILSLYNAPSTNTAMKKKVLHLLFRATQVGGGTTLITRAAALSWIQSCVAS SDAYATLLKELAQAIYESSDHERVGNWSGRAISAIVGGMS TSTA_093840 MARRYEIEELLWLRDSPLVTKPDKLPPIEEWMGPISDPATRKPN HRENQTDSTTTQRRPSLFGESRHISRNSNSEDIVLGPPKTAFASASRIHGKSSYDNTE RTTRYNDTEEGKGDRFTFRDKLSKEREGVDLDKRDGRFGGPNGRRNDREDWNAGRPRR TFGQEEGDQRPRRNGDTNRWEARDKQDENGLSRNPKDKDGRFEKEGRFEKDGRYIKKD APRGRHEQSWFRDEGAGGDGVPLDEEKPFMREREWRRDRQLPDRDWNRGTRVEQDPEW MDSTDRDEPKQAHTQEDFQRWKERMKAGSSNPAQTEEKRDATPEQASPDVRKTEANRL DGELFATSKGHLPVDAGFGKFFGILGENKQSAPEAVASPNPLEFARKESAAAKTAKSS RFAGLFSPPPDTLAKAPDPVQAIAHPDRPASTDADQEGFQRILQMLGGSKSRNTTPQV DSSQQPRPPSYVMRDQGRPTPPPGLPSPTRNAVNRPDELLAGQETTTPRSMHPPPGME GMLPRDPQAHFQDRENLLRLMQQVNVSSPTMSHGNAPPPSHLGQSGHHTPGILNVPDL LSRPQGMQKGPSNQPFLDDPAIANMQRPDKEQQVRKHPVNGPPQMQYFDDIPFAGGSQ GAQGPTPGAGRDPQLPPSHMGIPRPPGFGQMPPGPLPGWAGQMPPQQGALGPPPGIPN PNKGINPNFMGGPMSMHGGNMPPPPLSNDSPPFIRGGSGANFGLPPGMMPPPGYMSMN GPPPPPPPSGGFMPGPHNHEVILNNGGTGFNGGSSGGPPPSSRHLLEMLAHANGGDGR GAMLGGGPGQFR TSTA_093850 MRSSIACSRCRRSKIKCVNAGIDTTCRACEASHRECVYPTPAVG GGGAKRDIAATIDGDERNGADWDNPKRHRSRKVAGGASGAAGKDAGKNLVDVLDSSIL SPKVWEALSKSFQTQFATILPFIDSTILKNQTGQYSASQSDAQSNHSDHHPHSPPPRT QLPPLILLGVLTLTARFHPQLVAYHSPASSGNPSNPSAASEFYASALRSRLAGPDGMG LMTADLVHVQALLMLALHEWGMCRGKSAWLYVGIAIRLAQAMGLSYELENDSLSSTNR GEANRYAALKVEADHFGIVRQKEQLEQSSDDVIVQETKRRTFWACFIMDRCLSSGKHR PRMVKVRDLDIQLPSDDAFAFGERVRTSKLSDSPDRRASSFDGPGAQIPSLRQSVPYG EDNLRNGSTDSKAWSPVSKRIDGDHDIDRWEVGAQESLLSRVIRVIRIWGSIAKWSCA GGRQNETYGPWQPESSFSKLRSKLAEFQENLPRKLQYSQRSTDGHSQNENSLSAYMVM HVVYFLSLIVLHRAYLPFLPLRCNEPVGPLDEPTFPREQYAVPDGFWRESTRELFRAA RNMMDLVIACQERGVLVETPLVGFAIYNAAFMGIYAAHFSHMDVDGYLSPRQALSPTA TGISIQPQLPTRKSLDILRDMRPRLRLAIGWFRTLNRLHSYFVKVKRDFKSRSRSGDS IHSPMGLRPVREGGPGGGLEEFKLLEKIFLEFGNIDDQIPDTNCLDDDGTGTITAVSD RGGETASNAVKSESGDSTMDGIVQQKRDSWVTVNSSKNLPPLPLTPAASDADLSRNEM DRRPSLPLPSRPMPSQSSSSSYAFPPIQQHPIVSTASPSLPSITSPTAFNSTGAGQAN QQPSSTSHRLQPLNPWLPTHQQGPPPPPYSQNLPPINAATQNNHSPYPPMLPPPGSSA VPQQQPSTSTSLGYAPTPVSTANGSGMLDSILDYYYPAIWSSSLGGDDVLSFLSDGTL EQGHIITNSEVGSPSGWLNAIWNDFT TSTA_093860 MKRTTSLFLSAVAATSSFTRLTTAQKLAFAHVVVGDTAAHTQST WENDIKLAHAAGLDAFALNGGFPDSNVPKQIANAFAACESLANGFKLFVAFDYLGGGQ PWPASEVVSILQNYSSSDCYLHYSNRPFVSTFEGTGNIDDWAPGGAVRGAVDVYFVPD WTSLGPTGIGAHLDNIDGFFSWDMWPNGASDMTADHDLAWVNAIGSEKTYMMGVSPWF FHSASGGTDWVWRGDDLWADRWNQVMTVDPQFVQVVTWNDWGEASYIGPFVTDNEVPS GSSVYVDNMPHESFRDFLPYYIATFKGDKFDISRDQMQYWYRLAPAAGGSECGVLGNN PDQGQTVVDVNSVVQDKVFFSALLTSPATVQVQIGNNPAVSYDGVAGINHWNQDFNGQ TGVVKFSVVRNGATVKSNTGAEITASTSLANGCTNYNTWVGSF TSTA_093870 MVPTPFDCDFGFLYQTYEFPADLNGEWEDPILQPQRSLSNENGV VVRVVDFPPKTKTRFHRTVSLDFGIIFEGEMSCYLDNGVELTMRRGDVCVQRGTMHGW ENKSDKPARIYFVLTGKYFLVAIVTNMLIIYVAAKPVSLGGKLLTNAGFNAKDEAKLA EK TSTA_093880 MLDQINLLDAKLAFLLAISMAILYPIAQAIYNVYFHPLSRFPGP ISHAISRLPYFYCQINGTLVFDMLTLHQRYGDIVRIAPDELAFSHPDAWKDIMGHKNG EAEMSKASWYYRPIEEPPHIVNEEQERHRSLRRQMAHGFSEKSMRDQEPIIRKYVDLL VDKLRQQSNSLEGSPIVLSDWYNFTTFDIIGDLAFGEPFGCLQGSHYDNWIESIFDLA YIGSILQALSFYPWLKRGLLALVPRSARDAYEKHKKLTEAKMRRRMAVTEERPDLIEG LLKKKDELNLDIEHLVSNAEILIIGGSETTATLLSGVTYLILQDAKAYEKLKDEVRST FHSREDINLISVNSLPYMLACLDEALRMYPPVANGPPRVCPKGGARILGEYIPENTYA SIHHWAMYRREEYFTDPDTYHPERFLGDSRFSNDQREAFQPFHLGPRNCLGRNLAYSE IRLILALIIFNFDMTLADESHDWIQQRNYLTWKKPPLKVYLTPRNEEA TSTA_093890 MTMTGRLQTEAKAINRFKQLSETADRPNGSAIFVRTDVYEGQIH GWLEMPSFAIDANTRTKAFSDAIAFLKDVHQAYGFMVK TSTA_093900 MPVPSASSATTTAVKTAMSKLSHAKIVPRRSAARGHADHGWLNT YHTFSFAGYYDPSFMNFGALRVLNEDRVTPQTGFPTHPHRDAEIFSYILSGELTHRDS MIKKGAEGKQGKDFYRMKRGDVQFTTGGTGIAHSEQNEHTSETVHFLQIWATPWRRGL MPQYHTASFDEEAKRKAFLPILSPLAAGINATVAQEKEAKPTIPGTIPIHADLVMGAG IIPVDRRFKWKVGGEIESGETAVENKSDRKVYVHLPMTKNGHAKIRLDGRDEAVLNEG DGAFVKGVNVGDEISVESIGEAEAEVVILDKIQIPLKRAPGHSVRAVIYFPKSALTRT RKAPLHLNIHGGAFLGGLPEGNARFCAELAERTGAVVVSTSYRYAPVHTFPNAHEDGQ DVAEYLTQNAERLWNADARIFTVSGFSVGGNLALAVAQGLAGTEYAIRGSTTFYGVVD FRLPPWEKPKPPGYPEKDLLAFLQPLFDAYAGPNRVRDIKNPLLHPTLADIDTLPQNA IYRRGA TSTA_093910 MTDMRIYGYTDVHLGLRQRVSLSDGLTKHQTVVQGYCSATEYHF DEEQADAIVRTAFYHCKDDCLSVIWFPSSEHAAIRSSIATPFAQTSSTGLGFLICCPL STCMKPCLGWKQTLYSSFQRLNPGRDMYPEAMSFPMHSRGSGNINATLASARKKLHLA KAEVMRLKSFKTLTGTYSMDEIAQKSRIAIVSVTQAILVCDRQPSGSTQAQPENAGRN QKYNFMGAYALPYSDKQTGTVEHGISCGGCQLALEKRIIGGRGENWALEARDSLCTRL LFSTF TSTA_093920 MPDNRSRVVKRVQYSCDNCRRKKIRCPGEKPACSTCTRLHQTCR YNDAGVISTRSSERLAQLEEKMQLMLEKSASQSNSDHTYTSDQPETRPDLLPSSHPQH ILPRNQESQSMEVSSSSESEPLPPRNVILEIVELYFRFCHRQPLWLFEREELSSPEDI AEELVFSLLALTVRFSTTTYFASRSHQQLAQRYGEVARGLTMFRIAQGAVQMSTIQSL CLLAFANFTTMETHLAWFHIYMATSLANMADMNIETHKESSSSSEESRRRLFYSIYIL NQTYAPRSMLLNMLDDIENPKYVEPKRDISQESGQVPPLNPKDSISITQTDENGRAGI WTYVVQQASLWREVRGYVAQCADGHPKPPWSPESGYTVIGAHLMNLETSFPTHHRYDA VKFLDRSTTELQQNRDYWSPWLRIQFVYHAIHSMLNHPFLYSSRPHQSVQMSVPNTFW KTSSEQALLHSTWTVRLIDMVWEKDYRVSDPFLGYCAAVAATTHIYYCRASDIRVRTS AQSKLGKCMRFVDELGTVWPVCQAMYDKLDSLVQSALNSESQKDNESSVQRTVSINTG LMWDILDHACGKSTPGSSGRGLFDPSFVQDKSPSRVRLEKRTKRDGQDQQSDGSDTDD VVETQIFHHPNPATDIDTSNGGQEFPPYSGASARRIRSQSNVNQHVTPNVERSTHSAP ATERVVVNQNQQEEQQDTSAMITWPADDMWMSASMINVSQDPFLRFQDHDIPWTGSWD VGNL TSTA_093930 MNTSLSDHMFLPGKRFFRSVKVPNLRRPPRSVFGKATGSAQLMV KRDCDLFTRDESFLDGMGLVDHYYHREDYILFINELSNPDWRNTLESKVLYGLSGIVQ RTQRNRVSFRMYDCHPYEKNARIHILAEEIPVVVGICIWGYATKGRTLQVEGSPEKLD LSSSQATGEGNGISATKNP TSTA_093940 MPPIRNKNRKNLDEQEGRILLAISDLQNGRIQRVAQAARIYEIP RTTLQDRLNGIQQRSLVRANSHKLTQYEEESLVKWVLDLDRRGLPPRHSLVREMANYI LSQHGKPQVGKNWITKLIKRRPEIDSKFARKYNYERAKCEDPKIIQEHFDRVQAAISE YGILPEDIFNFDETGFAMGLCATAKVITGSDRYAQPKLLQPGNREWVTAIEATNSTGW AVPSYVVFKAKKNVREGWFDDLPDDWRINISDNGWTTDQIGLEWLKTHFIPYINGRTV GKYRMLILDGHGSHLTPEFDHICTENNIIPVCMPPHSSHLLQPLDVGCFAVLKRHYGQ LVEQRMRLGFNHIDKMDFLTAFPQARTVAYKAQTIRNSFAATGLVPFNPDRVIQQLNI RLKTPTPPPSRSSNTASSCLQTPQNIRQFIRQSTTINKRINERTESNQNQEINQAVVR LSKAYEMIANDVLLVRKENYDLRAAHEKEKQKRQKSKKQISIEQGVTKEEVQALVQGQ VEASHAVTTTTPAEPELPASQAVVRRQYRCSELTNALVVLVVRFLYIILVPLRWSYGV DVFIQAYAPPAVIAESNHKILITSLLRIVYRTSQLRLFFFQFFLPRLPCFGHSISAAY FRINLGSTQQATLFVWVQAGTFVRLSIYHATMQSLLQYRRFGKALEAQLGRSRDKISH LHPQRLDVIETGERFDTEEKDLERGRGGSEEAVSHVYNAESRANQREETETPGSIYSS PPRSEHESTDAADRITKDNIERAALPDDMDLNDLRVVPTHTTVGTTLGRAITGIEIRP RTTIEGGPEFGKVFVVSWESDTDPINPYNWGVTKRIVCTFIISLIGGIVGFASAIDSA IIPQAAAEFGVSEIIEALATGLFMIGFGFGALVAGPFSETLGRNPVYIVTMALYMGFL VGAGASPNIGSQLTCRFFAGLFGATPLVCAGGSLSDIWTPEERVFAFPLFACSAFLGP LLAPLVGDWIGQSSSVSWRWAEWVTLIASGVVLIIVVLVQPETYAPILLGWKAKQLRQ ITGDKRYRGAIEIRKTPLIVRLGRAMYRPFLMFIQEPILVLFGLYLTMVYIVLFTFLT GYTYIFTEIYGLSQGLTGVCFVGQIVGILSCALFIPLNMHLRKRDIARARALGPDIKV APESRLYWAMIGGPAVPVSLFWMGWTARPDISIWSPLLASVFFGFGILCVFMTTYQYL MDTYEIYAASALASVTLIRYTTSGAFVAISIPFYENMGVAHTLTILGSLSGVLVIIPY AFYRYGPAIRRRSKFVPE TSTA_093950 MQFRATLPLLWAICLSFLSLNVVLAHSPHKNPFPTGCLPDPSDP LKVDLGYAGYNGVHNASSGLNQWYGIRYAQAPIGKRRWQVSKPPNTKFNGTFMDASTY GPICPQVFDHINTPPSPWSASASQSEDCLVLNVWAPENATDLPVFVWIHGGGYGAGSA DTDMTWMVETSVTKFVTVAIQYRLGAFGFLASSDVQKHGTANAGLHDQFLALQWVQRY ISLFGGDPDRVTIGGESAGGGSVMLQAMAYGGNLGDELFSGVFPSSPYLPQQYNYYDE VPTTFYERFAAEVGCANSATKRVFDCLVAADTKALQNASWTATAQAKYGQWAFTPVTD GEFVQQRPSVQLATGVVNGKRGLIGNNANEGVSFTPQNITTEDAFVEFVKYLIPELTD HQTQQVLSHYPLPSSLPNVRFATDGLSKDATAVFVSPFAVGQEQRAINLYSEITFVCP AYWLADAYGKKGGQSYKYQFSLINSCHGEDLPGYFGNAPPTMSQAFQDSFLSFLDSFV THGTPSNTSSYTADVPTDTAKLLASWPSWSINNRLQMNLNQTGGISTIVTDSNDPYGT VINTYVNPGLVPDFTIVDGYAWEGERGVRCDFWKSIGAAVPEK TSTA_093960 MSRTRALCAFSTAIPPPSPRVVISSLVHHSRHVSTTQNAKASAI GLGSTPEPTTTASILQSTFPSSTTHPPPPPSSTATSTIVPTPRPPTKSPLAKLPLSAI IRSALILSISSSPLLLKPCIWALSALASPKIALTDVDRNPILNWLVKTTIYKQFNAGE NKDEVQRSIVETKALGCRGVLLGYAREVLVADSETSPHDETLARQEVDAWLKGTLQGV DMATAGDFVALKLTGMGTQAVHLLKNRLPPSEYMGAAITKACDAALAKSARLLVDAEE QAVQPGIEDWVMKYQKYCNSQSPGYATMYCTYQAYLKSTPATIAKHLAMAEKDGYTLG VKLVRGAYMKIESRNIIWDTKEETDACYDGVVEALLTRRYNFMLRPAPESQSRDQVPS VNMIIATHNRDSVLKAHQIRLRQAENNDPRVELAYAQLQGMADEISCELISGFSTSTE KTSFIEQPNVYKLLTWGSVQECMGFLYRRALENTEAVTRTKDSRSAMYAELWRRITRQ A TSTA_093970 MWKQILTLTAAAAMYPMSVQSTSLAKFALDKVLDEASPIFGKYI KNTSRTAEWMKYYADDTLLVHMNIPGTHDSATWNYTQATQNFLLGITDLNQVTVPTPL AFRCQQLSLIDMLNMGIRAFDMRYAFDPTNTTLIFYHSQALLSEKATVNDILFGFYKW LDDHPSETLFLSFQYEGSTALHASNNAAVQLQLYEALTMPAARAYFVQTKDELGTLGQ ARDNLPGLHFSPTLWTDNSPDIRLVYNTAKNLTAYIEDYYEPQTPFRSTAAENIQWKY NATIANLQRATSNDYADSLFWTWASSENTDNVPYIWPVIQALGNGTEYTPKGGVNDLL VPFLKQQKGKRLGIVMFDFFDQPDELLETFLSL TSTA_093980 MSRFSVGIAIGLASLSPVLGLTPEETFYPPTLNDTAYISNSSIG TYGGTYQAPTTGPTGGTPYGAYDYCSMPHPRPQEYELPDALKQGHVWGKLVYLEYLQR HQRRSPYNILPGGENQAYECDNVRPYLYAGVNSFSGIQPIPMYAQTYQDPTNPFTPGV NGTCQYPQITIGGIQDGFQHGKDLWAVYGERLGLIPRQPNERVWFRSSESALTQGSAG AVLRGVWPWYQGTLPLHQMVSSVDTVNAGYSCSAVGNMLNAIKSTPEWNEHITVTAEL RSTLGSMLGAESGSWQSTFDHFSDNFQARLCNGYMLPCSLTNSSNCVTMEMAEEVFRA GDWEWNYYWRTNPDVAKYIQVVEGLFIGEIVTHLQAVLNGTSSLDYTHIFVHDGDIGP VLGALGIDALRWPAMASNIAFEVWETNDNQSRYYARVLYSGWPLKTIHGTLDWVPLAD LINIMKPYIPKDIVSLCA TSTA_093990 MAATADKSKPYIPLSSCANDGWSKEESATATCFCGAGPGLVGTF VCHCTDCRKITASMFASNFTVDDAYLTHIRGHDNLTSYGQSHTIASGKKMTNYFCSTC GTLMYRVGEAFPGKSILRIGTVDDFNLHETKLRPQREIFVKDRVSWATSIEGVQQIQA QSSKI TSTA_094000 MSKRVTIFEPPPIDNSKAAIENVLDLTPISDIGPDVFTNTRPLW HPPGARGIYGGAVIAQCLSAAQRTVPDNFFVHSMHCYFVLAGDSEIPLVYHVERVRTG RSFATRTVQARQRGRPIFTTTLSFNAVNSGGKKRLEHDSKMPDVPPPKDVKTPVDAKS PFESQRLDDTDDMKIEDRKFRQWIRIRGKISEEGGHQAHLSAFAYMSDSYFIGTVARL HKIPRFSSPTALQRALQALKNPSDLDSEAISRYFEELAEEEAAEIRAGSLNGTLTVNK LSSIIKRKDSREIGMMVSLDHTIYFHNPQALKADDWLLASMDSPWAGDGRGLVTQKVW NRDGVHVATCVQEGVVRLRQDEERAPEAKL TSTA_094010 MSLSTPPPPLPEDGRLEAIGEKTHQVEPEKQKQKQTETVESNEP SIVASSSTVNGREKPGMLEDDGTATQEKGVDEPQPPKSVAFPKGVEAFVIVLALVLSM GLMSLDQTIVATAIPKITDQFNKINDVACFVVSIFIFELGSLICAVAPNSTTLIVGRA ITDLGASGIASGVYTIAAFAAEPRKRATWMGIIGAAYGVTAVLGPLIGGGLRMGCPGG GESKMLCFYINLPIGGLAAFVILLTFKTPATAKRVDATLKEKLLHMDFPGTVLIMGAS IALLLALQYGGVTHAWNSSVVIGLLVGFVVMVLALMMVEI TSTA_094020 MLTPRVMRQRTVWVNGVWGFFFAGSYFVTLYYLPIYFQSIDNSN PIGSGVRNIPLIIMFSITTLVTGRIITKTGIAVPHLTAGSMVILAGFGYGLALQVPIV IAQAYAEPSDIAPTTAIQIWYRSIGSTFLLAAAQSGFANQLAHKLANTAPSVSLALVT ATGATELRQVFSGAELDGVVRAYAWGIKVAFAITIATCGVTVFVSLCNKWHNINALEP KDGGT TSTA_094030 MRAVPALDPKQWVGELDIIDVGDVVPEFLAKGYLLSDLVGMYKE KLGTEMDVLPVPEWMRKAKDLGMRKGVEATWTGSEVFVSTVLRKGGKL TSTA_094040 MGGFEFCGFYEEVEEIASELAKRLPRLPRFNTAEELLNTNPDVV MIHSLDPDVPRWARFAINHPAPFKGMFLEKPGAADPTDFYKLAEEIEAKRPGLAVELG YELHYSEALEFARKVIREDVLGDITTARFHGGCPSGAGMDLWQSIPEDLGGILQTEGC HTLENIIDIFGAPERVVSSIRKLPERPPHPVVGWIPDLFTGTVTTGEFGVGTLLYEDV CSGIMEYPDKTIVLDMTAWEPTEWCNEWAIDIYGTNGSLHVIPDFPVGTLYLRKARGS FAAGETKLSTEFPHGTSNIPSCYRKQFRSLFDRVRGKEPVDGCCDLRTNVKILKVVDA YYKSANSRQWVDV TSTA_094050 MTSTLTAPTSNSEVKDPVLINDNTEKTSDTASATITPTTGEEIV YTTPPKGYRLFGNIVIPAYRSPIVQCIIIAFVHLLVVGMFNVLSALGGGGQVDPTTSN NANTILYSLFAFFALTAGSVVNFLGPKVTLAMGGVGYSLLSASFWSYNHNKNDGFVYF GGATCGVAAAFLWTAEGALIMSLPMEKDKGKYISIFYGLSFFGTVIGAIIPTVENWGV TTAGSANDGTYIALFILMLLGSVVACFISDPSRVVRNDGSRVFVPRNTTFVQELKNVV LAVKREPWIILFFPYSFAGLWYIPYQSNDYNGYYFDLRTRAFGSLWFDFGQFVMAVVM GMMLDWKAVGTRRRRAFVGWTVLFVLLNAVFIGGVFPARRSHRGVPPPGGLIDVDDSR AAGYIILYVFYGFVDGAWQTFAWWVAGCLSNDPLVLSIYSAFYKVFGAMGAAIVFNLD VRKISYQAMFGSYWGLLAGSMLFILVLIFKRVEDSTGVVAEAEVPVEKMIQGDE TSTA_094060 MELPPPQYPPLNKAKRPRVAEENRKRAVRACDGCRRVKEKCEGG MPCRRCTRYHRQCIFNAPSEPIEKSNRHRQRTGSFTRDDETEAERVRYMERILAHYLP NMTFDTPSLRKVAEDLKRDHREQSESEGPLTQAEADDLDDLAIDEEVFSIRAFPDNTT QYSGEFSYLNFSMKIRKKIDEWMQSSVPGEREETLPFEERWRSTHLESGPSSVSAAIA CLPPRYVAEFLINVANKYAQTNNFYVEEDWLRDKLAICYNDPDSLTSVDAASVCAILM LLAIGTQFAHMDSPTPVNKISREESSADDHRFSEDEVGLTFYHFASKLLPDIIATASV RSVQACLLIGTYLLPLDTSGLSYTYFGLAIKLAIQNGMHRKYTGEGLDLHMQEVRNRV FWTAFTIEKRISILHGRPASITDTDVDADLPVDLPSLHSRTTTNNHTNMVALIKLTLK LGKIAEEISSLRKFHKWQQPNPLENLLTQRRELIDWWSSLPKETSCRDLNPTSPLFRC NVHLKLDYCLTRIFMGRPFLFSNERSGSQISSQKTSVSRSKMRSILVSDCVEAALEII DLCRLLRDEIGLARASYTEFSSCRAALLVILAQSLTRRTDRLRNALTQGMGLIKIMSM GIGSARSAVSVIEALERAIRRLEDWSEIQGPTKGPQDSLDSGYERFKNWEMLWKTGPI SPATGTSASNSEKPPPVQKRRRDTTEMDFYRTSKTPMLHHTTIPNTAKPVDPGDMNGM AVDVSPGTTTELVNNNPDGKKFTNLENADTQTPDEASLFHQLDIAHSANSAGLPPMPH FGFEGFISNFPQELDEFTAISCFDSDFLAQSAVASGGSVDNIDNSASNGGGWLP TSTA_094070 MSCGFNSSGIKNLKIIIIGATGSIGSVVLEAFLKEPSFTISALQ RASSKSKLSSDVNVISIDESYPLNALVSAFSGQDVVVNCMTSSAVGDQKRFIDAAVEA NVKRYVASEFGLNNNRPDARALNSVFREKGEIQDYLRSKVDAGLEWMSIACGMWLKWS TTHDFLGMHVKERRMVIWDEGNGYISTSTQDNTVLAIIKALTEKWEETKNRIVFLSEY AITQNELLAFLERFSGEKFTVERINSEEFIKQKKAAVEAGDPYAVYDLIDVGFASGRF GGHLEKEGKIDNDLLGLPKRSLEDIMREAYEAVN TSTA_094080 MSCPCSASSVPNIPRPGAWLPSNPHRLWDWINKKVESLEPKPTQ RLLQSVKDFKIHVQNDPTLYMLFTEMLDEVPAKYVKDPSGKRPEVRDLDTLFTFFDEI LRQPPSWDPSPQIGTPVNAVLDWPMGTKAGFAAFLRDDVNAHFKSMLQSWGDFLSTEY SCSTLTTDTGGWFSVEALESDHMKDFAKTFRCDPKLKHWGFKSWDAFFTRDFNEIDSI RAVAEAGNTGVIVSAAESTPFAVQTGVQAHDKFWIKGQPYSLKHMLDHDSRAGLLTGG TVYQAFLSADSYHKWHTPVSGTILDYRMIDGTYYSEPLSTGFSPDLGDPDPDPGADSA SQGYISSVAARAVIWIQADGPAGLICLVFIGMAEVSSIEVTMPVGKHFEKGEELGKFH FGGSSFCMILRPELDVQFSLPDDWKDRPKNMKQVKVRSKLATVKFVIST TSTA_094090 MASSLSPQASLPPPSALTSAPPSRPSSGLQMAYLLHPPTQQGPT LPMPTSSPYTHSYDSASGSPADRASVLTDGNGSLPDAPSLAPHMGGAAGQPQQKRAYR QRRKDPSCDACRERKVKCDASESSSCTECSNRKVRCQFTKETNRRMSSIKQVQDLEKQ LSSTKQQLQQLRSGVLKADNVMDIEFDVSGQPVLKLPDVGGRPVRQNRPPVSQDYSRV RANVRTFGSGIVKVPPPYRQVLSSSFITDNGPALPSKALADRLLAQYHAYVHSVLPII HWPSFTAEYDKVYQQGNLRGIPREWAAVLFGVFACGVMHSLESNKRHDAQAYLLVSQT IVDIWLDDFTLDQARVSLLASIALYEMNSRSSSWVWLGSAVRIAQDIGLHIESGPWST VEREMRRRLWWGIYAWDRLLSLELGKPISINDQDCDVDLPCPVDEQFIVEGARLPEGS NTNSLLATIHVVRSIGQLTKTLRSPVVSPATLEIFDRHFNACLATFPIHFHPKSDNLL DPSSLAPIVYLQNARFILHRHNISPYCPPDVRFPALAQCLLIAQDTARVLSRCMHSPP PAAPTSAYGGARNDWQTSLTSSASTMLCTHIWRCLLILIFKEDFPAALICVQVCKVIG DHHIAMVSCGRYVTFFLRILLDRLRRNETAPIDRDEEMLAYVSGDMQSTATGSWIWQG SETGTQLENVPPQSSPYSSSTPGGAAKVSNDMEWEGWDWIDGTLQYLASELRQRGYDR RDIPQVRVPAPAFHKIEESPGSTPRSSAPNSRMTIANII TSTA_094100 MLQHRMLSKDEEAATGSEDTEVRREDQDRINRFSRLHQRETVLE ERLKAKQKDKEDLEEVSTELELADEDELVPYKIGDSFVHLPLEQAQSLLSTSTDEIDN EVSRLEDQLGEIREELSGLKAALYARFGKAINLDV TSTA_094110 MRTHTQRSTLAGLASSLLLLLPSFTYAISLDCANIIADKVKFDL SPLGGVHEITEFQEIDDISVNTTYVLNICNTLKGAATREGQKCGTSRNICGFERTIYL DGRTDTLKVLPIAGYENSLGGGSKDIETTLLKSIDPATEGIRVKISGGTIPEPELKKK YPASAIIDFQCDPGRTGLEGLKNDDDLDQATDAKLRRRDDGEKGDDGNNKDGDENNKP QTPSLTFQSFSLENENFILRLDWKTKYACEDYKRDNPGSGSGNSSSGHWGFFTWLIII LFLCIASYLIFGSWLNYNRYGARGWDLLPHSDTIRDIPYIFNDWMRRVINTLQGSGGS RGGYAAV TSTA_094120 MSAKPIFVATHPRACSTAFERVFMTRRDSLQCIHEPFGDAFYFG PERLSARYENDEKARLDSGFSTSTYKTIFDRIESETTEGKRIFIKDIIHYLVPPDGKP ASIAPSLFKVKRGIGTNGEINGLTNGHAEVNGVTTNGGTPVKAEVPPYPYPTEAEPGN PTVVPLELLSKFHFTFLIRDPHYSIPSYFRCTIPPLDDVTGFHEFSPSEAGYDEVRRV FDYLRSVGLIGPRVATTTHAEDTANKQTNGTTHRHDNGSMGVEICVIDADDLLDNPSL MIESYCKSVGIPFEPEMLRWDTEEDHAYARAAFEKWKGFHEDAIASKELKARTHSKSF KSEEEFDAEWREKFGEKGAKIIRETVNRNMADYHYMKHFALKV TSTA_094130 MNNVQFTDRANKALLDANGLAEQYGHSQILPLHLAVSLLNPPAG GVDEEGQPAGVSGDSTAAPLFKQVVERAHGDPQLLERSLMKLLVRQPTQDPPPERVAV SPALAKVIRSATELSKTQKDSFVAIDHLIVSVAQDGQVQKALADANIPNTKLIDNAVS QIRGTKRVDSKTADAEEEHENLKKFTIDMTALAREGKIDPVIGREEEIRRVIRILTRR TKNNPVLIGEPGVGLARRIVNADVPANLAHCKLLSLDVGSLVAGSKYRGEFEERMKGV LKEIEDSREMIVLFVDEIHLLMGAGSSGEGGMDAANLLKPMLARGQLHCIGATTLGEY RKYIEKDQAFERRFQQVLVKEPSVSETISILRGLKEKYEVHHGVNILDGAIVSAATLA ARYLTARRLPDSAVDLIDEAAAAVRVTRESEPEALDNLERRLRQLQIEIHALEREQDA ASKTRLELAKQEAANVSEELRPLREKYEQEKRRSKEIQDAKMKLDSLKVKRDESMRSG DTATAADLEYYAIPETQALISRLEAERAKSDAEDRARSGNEEDVALMPDAVGPDQINE IVARWTGIPVTRLKTTEKDKLLQMEKHLSKIVVGQKEAVQSVSNAIRLQRSGLANPNQ PPSFLFCGPSGTGKTLLTKALAEFLFDDPKAMIRFDMSEYQERHSLSRMIGAPPGYVG HDAGGQLTENLRRRPFSILLFDEVEKAAKEVLTVLLQLMDDGRITDGQGRIVDAKNCI VVMTSNLGAEFLARPAGKDGRIDPSTRELVMGALRDYFLPEFLNRISSIVIFNRLTKR EIRSIVDIRLNEIQRRLEQNGKDIKIECTEEVKDYLGDAGYSPAYGARPLSRLIEREV LNRLAVLILRGSIKDGETAQVVMKDGRLDVLPNHTDSEIDDAEMVDSDDALAEIEESG GDMDLYE TSTA_094140 MAAMPSSELEGFHAFITGAAGVVGSFIIQEFLALGCYVTSHDSK PYELPPQIGLDRLNSYYGEVTERSLNRSMQEARNKFGPINILILTASYDDKINEYRVW DLPLDTWEEAYQGAARKTFVTIKQFLRSAKSSQDAQSGREIDNLSIVVIGNSKPGEHA VPFDLIQRVKNELPQLNRKARINAVVPVGPINPLMAEDYIAPHLEALARATAFVASDR EAGHLSGECIIANNETEGRLAWRGKETISFSKSSTSRSLTIQAVPRLLLPSSGNKIRV AVTIDLDAVSGWLGTGYSPENVLADYSAGFFAARVGVPRLVKMLQRVDIADKVTWFIP GHSAESFTEEVQQVVDTGCEIALHGYAHEGAYSLTVQQERDILEKCIQITKKLTGKRP VGYRAPLYQVRESTLDLLEEYGFEYDSSLTDHDCRPFFAPRRPPLQPIDYSKPASSWM HPIPTSSLGDRRSSDRRPLVEIPCNYYMEDMTPMQFLPHVHNSHGYVDVRSIEQMWKD RFLWIREHEESPIFTIIMHPDTSGMAHVIGMVERMIRWLQSYGDEVEFMQAGEMARWW LAENEKNR TSTA_094150 MGLAVVRYLQGKETYSTRLVHDLLHDWRINISDNGWTTDKIGLE WLGIHFIPLPNGRTMVGTGVSPSWFSPEPDIATLNVMLFCKEKLVTGNKLRHCDVRPT KYQDDLLTIGPQQNDHGPFLASVPTLINDVRICQLQKILGEAWGPILGHGHGSSRTSL LEPHSGKHSQMPLITDYHTASNDFKIPLFTDCHPGAGFSSQTQEFRRFVTMKTSVYAG LALAALAAPAFAYDIPDNVQAFYDKVKNGGSCTGKDLLKGGFYAVEDGSKNFGYCQKY FTGKGLYLKGPGSQLADMDIDCDGSQKGIDHRCKSSDDTQDETAFKDQVSKYGISDLR ADIHPYVVLGNYGDYSPTFDPEQHGIKPLSLVAVVCNNKLIYGIWGDTNGDDGPPLVG ESSLAVGTACFGQSMNGNNGHDGTDVLYIAFSGDQAVPGSSADWKASSYADFAASIKD LGDQLVAQL TSTA_094160 MSLPHNRKRPNNSTDEQSSRACDQCRSRKVRCDRQQPECSNCRK AGVTCDFSDMLRRVNYAKRLHDEFSSVSARVDKLEASVAKLPEQIAQILSSPSSASNA ASLTGPSSPRTLLNKSHDMTPHSRNTDSKYEETSEKWQPHFERIQIAQGGERIVGYPA ALTLFVSIKRHLMKALTSTTRDPSQDTNINHYLSKLMCSKPFLLAEFQKHYQAFPFNG ICNDPAIKSDG TSTA_094170 MAFEKICQVASQVVRSTGLNLARRPPSASWEKMIQPEKLFWAMY MLDKQRVFFNGHPCDLYLFDSDLQIASCRGNATYSERLNAATVHLAAIWEEIFIALYS CRGSRSSNAHRTRQVEMLRNLVNEWGLQHHTVIDQLSSLEVPPGSDPLQIELKYTYHA TQILINRCDSNEDSQQQTVFHARSALKIINLLASAQPLTVSHLVALERIFRNYPVVAF TDLLGVCLTKGLLGKEEDIQLLRAARDAYQLIHEPNMYFGNTEKSYTGFIWCIDLLDL VENAENACHSSCEPGREQSCTFSTMYAWWDAERSTSSSSTRNRFLRL TSTA_094180 MASEDISPPASISDGGTWSALHEQAPQSVQVPPSASNNKIDNDI EKQIPRSEAPSEQSDADLITWSGPVDLDNPQNWSFKYKLWITAAWVYSCFVTTVASSI FSSGTEVIAAEYDVGTTIVTLGVSLFLVGYTVGPPLYGPLSEHYGRKTPLVIGMTLFT IFSIPVAVAKNIATILVGRFFQGAFGAVPLALIGGGLVDIWNPHQRAMAVTGAVGTLF WSPSLAPVMGNFMTENISWRWNQWLSCIMGGVCTILLLFFFPETYAPLLLRQKAARAR KEGNPKAKSQFDGQVSSFHDVLRIYLIRGFVLLGTQPILQAVTLYQGFIYGVSYLFFV SYPIEFQEARHWDLGVSSLPFLGIVIGVLIGCAVVIFTIQRETKPPQLDADGKPIIVP EDRLPVAIFGACLIPIGLFIFAWTSNPTIHWSGMVLGSIPVGMGMYIVFLQCFNYIID CYMTMANSALGGNAMVRSLFGASFPLFGPAMYHRLGVAWATSVLGFIGIVMIPIPVLF WKYGATIRTWSNKN TSTA_094190 MSAVEPPQSMPRRVYTNSWFQILLISFICFCCPGMYNALSGLGG SGQVDPTVAANATVALLAATAGTALFVVGPIFERVGPRICLLIGGWTYALYSGSLLNF NHTKNGSFVIASGALLGIGASFLWVTQGAIMTTYVPEAQKGRAIAVFWIIFNLGGGVG SLASFGLNFHSKTGTVSDSTYIALLVIMLFGWILGVLICNPLSVRVKGLRKIADEEKH NWRQTARLAVSTMSDWRVICMIPLFFSANVFYSYQQNDVNGLTFNIRTRSLNGSLYWI AQMLGGLIMGCLLDMPWLTRRWRAVAGWITLFVTGMAIWGGGYAFQLWADRRLAHGLK QDIDYTNGRLSAGPMILYICYGAYDALWQSFCYWLIGAQSNNPARTAILVGAYKTFQA TGGAMAWRINALHKKPMTQFAMDWGLCIGSLMVVIPTVWAITSTNVTEEETVADVEEK EEADVKQPLP TSTA_094200 MAQDVLDLSGLQTEAINERTTNIDRVSTLQMCTIINNEDKTVAD SVTPCLEDIARSIDLLVPRVRAGGRVIYVGAGTSGRLGVLDGSEILPTFAAPPTQFVG LIAGGDAAIRMAQEGAEDSTVAGKDDLVALGLNGELDSVIGIAASGRTPYVLGALEYA KGLGCLTLGVACVSPSEMGRSGNVDIMMAPLPGPEVVTGSTRLKAGTATKLVLNMLST GTMIRAGKTYGNMMVDLIASNQKLKQRSRNILRKVSRRCSSMVDYELDDLLARCDGRV KLAIVVAEKGLSVEESREQLEMAQGVLARVIATEDDVQVKKPLVNGFKRHRSVLCIDG GGSKCAAVVGYSGGKIGKGVAGPCNLTDGNFEASVEAMVTAAREAFKNISKPIKAVDG INSSIESHLSDDAYFDSIWIASAGMDRPGMRERVQAAVTQSLNLDKLVHMQITNDVDL LAAAMARHPEISSSLVVVAGTGSIAIRYALNNNDITPKRVARAGGWGHLLGDEGAGYA IGRQAIRKTLFSLEEINLCQRKAGLSPLELKILNFFSDTTLGRADDVRNIDLLSNVLV ASDDKSAKSRIAGITQTILDSAGSGDAEAVEIIAQQVSDFVENTLSRLLDHQSHGYID PAQCGLILSGGVMLHSVYQTIFQLALAKRNIRFSYTEAVPSAALVGVEYLLASDAPLP LQNGTS TSTA_094210 MQSNYGNPVVTAERMKFDDLAWERSDNISNAWRDALFDKKVLRE VGGFIIKHGKGPAEEPFNPQKGSFNSMFRKKFLDAGSAIIRFPIPGVSMFPEGKVKRE RSLVIRFIERHTSVHVPHVLHYGMMDQTPAGLGPFIIMENYSDLVDALNTLRAPKTTI LMTNGKLRTRHFSINMNELLQMGHVPPHMLPRTTFKTASAYFLALAEMHVIHLSMQRN DTIESTEDCRRKYIVRCLFRRLARENWLCSHDNGPFKLFYDDSRPANILANSEIDFRV VEAIDWEFTYAAQVESVYSLPSWLLLGRPKYWRGS TSTA_094220 MKGLAILSALSSVAWAKHAVKTGLDVLIQSNYTQLAGRKVIALT NPTGVTPDLDLGVDVMFNSGAVNLVGVMGPEHGFRGTAQAGGSEGTFVDPGTGLTVYD AYNVNTSTIVSYIKESGADTVLFDIQDVGARFYTYTWALYDTMVAAAVANVSFVVVDR PNPITGVNAFGPVLNESYASYVGRRPIAQAHGMTTGELASMFVGEKWIKQAANGSDLI LEVIPMKGWKRSMTWKDTGLPWVMPSPNMPTPDTALIYPGACMFEGTSISEGRGTTRP FEPQLLGGSFTNGSWVEKMRSLNIPHTNFRSACFSPTTSKFQSQTVCGLQSYVFLDST RDYEEFDSVYLGVSLLWSAKHLYTVGNNDTGFGNTTQSFHWLFNGSNTSRYDVDVLAG GPLVREGIEAGLSPDEIRSQWEADLQAFRKKRASYLLY TSTA_094230 MSTQANSTRRAVEKNRIRIPSCEPCRLSKLACDHKRPACSRCVN REITPQCVYRKNPFKRHRPIVVSEHHHVLPAGTTNGTSPEKPVATFQMKPYPNPGYQG SSSLKTVLDNLGDHLAVRIDTSRPHVTDQQSSRDAVLRADSSKMVDEGVQLLDTFLNY LADDAFRQLFSDAKGNGLQTHLGTFLLFPFFESLANEIDIIRQSQNRQASLFALSQRL FEKANQPVEIHSAMSLEEFAAQYTGLNLRWETVGLIITLAGIASTEIRVPHPACKTEE ERQLLRTNLVHLTNKSVGFCDSLDTLNDITMIFIYESFLLASIFYGDQSFKAWRRLNH ASSALFADGLHETVKEKEYLPFFLTQLRQQIFARIYGSDISFAVFLGRPPRVSKRFCY TSMPLDIEEDTYSLKGEALDRELAHLDRSGWNTLGQIRKSAVVRWSMITSMIREDALE TLLGRNLTNVPQRISELQAKIDHAWKDLPPFLTMPTRDLWIKGRSCHEVDTLHQIRLL YLNTTFLIEWAASRHGLEDNGALFVNASELLSWVIEALVRREQLSEIGLISLAWRVAS CALPAAGAIAWYLLQPSSRVGFHLDSSSRRRSIENLSVLIAHMGVLHDPGDGNYQLFC HAKNALQSAMDTILSPSDPGQPENSLDMLSTAALSPDWMFSDYLGLGVDSWYVLLRDA L TSTA_094240 MKTTMSFSQLALTLASIGLVSARPPWSNNGELVYGSPESVGMQA APLHQMVQNVTAYTHAANYGRFSHYKVLPIEPGSANLVARNGVIVSEFAVGKRNLYAD VNGTELPRHLQEDTTVDTVYDMASLTKLFTTVAALRELDAGRIELNATVVTYIPEFAT NGKENITILELFTHTSGFDADPSPSLFSDSYTTYDERINAILTQKIINTPGSTYLYSD LNFMSLALVIQTVTGRPLDELIYDYTRPLGMTSTYFNRGNIEGATWQTPNYYRTATQE FQIAVLGPAEPQRPQPVRGTVHDENAWALDGVSGHAGLFSTVRDTAAFCQMILNNGTY AGQRILSQNAVDLIFTNFNARFPGDEHGLGFELNQYYTAGPMASLQTASHTGFTGTTL VIDRTYNAFWLHFSNRVHPSRSWSSNNIVREAVGYWVGKSLGLDVAFPPLS TSTA_094250 MASFCDKVDTYQAALLSVFTGKPEDTEADLSKLLHPSFTQRNDT TTRNFADFVAHISHIRQVLSAGSVDLTVTQFLRDGNQIAERHTSTMKMPDGTVRKAES FQFGEIAEDGRIVSIIDTMLRWFTCAG TSTA_094260 MSTSSGFAEHFRNETWALYGVAVFGTVLRFIARIRRLGIRHLQI DDYLMVFGVIWYTILCVALNKVASGGGSNLMTEEDIQNLTPSSYAERVRGSKWVFVSE HAFILCVWSCKSCMLVIYARLTDGLAQKRLINYCAIYVGLGFVAVELALFLSCIPLQN YWAVPTPNPQCSSYQHYEIVQACFSITADIFMLLVAIPLLVKIQLPLRQKFILVLLFG MGVFEIVAAILTKIYCLVPSLISYIYMNWYFREATVSMLVTNLPLIWSLLRDIFPNLL NSWTGGSRKGADRYYPSMPFSSTTRSKGTRTYGMNSQVQSTNPAHYDLEEFTSKTQKN NSTMSVTHADSDSADLNSDDGSDRALRIRQDITVTVEAGPRQEPIGAAISQPHGWEVK RAEAPPS TSTA_094270 MSADIIPKNFEAEESPVDDKAVGDVVNASGHKQELQRNFGLLSI CAIAVTTGNTWIAQGGSVTVNIANGGPAGIIYEFIAVSICYWLVAASIAELASAMPSA SGVYHWASVTAGKYGRPCGWFAGWWNTLAWVFGAASMSSILGNQTVSMYALFHPEFEP KAWHVFVSMLICTWICCLTVMFANKVLPQIGNLGMFLILGGVFVTIIVCAVMPHINGL PYASNEFVWASWTSSTGYSSQGFVFVAGMLNGAYSVGTPDVTSHLAEEIPHPSRNIPK AMLAQMSIGFITGFLYMIAMFYSIQSLDDVLNSVFGFPLAEIYRQATGSRGGALGLLI VAFLPTLITCIGCYITAGRTLWTLARDNATPFPSFVGHINTRFHNPLNATFVCGCMIT VLACIYVGSTTAFNAFIGSYIQLSTLSYFTAIFPHVLTRRSLITPGYFHMKNSVGYVV NILSCIYIVAFIIIFCFPYAIPTNAKSMNYASLITGGLTIFIAIWWFIRQRDYVGPQA IPLADRKVAEDAK TSTA_094280 MPSKLHPLGKNGPLVPALGFGLMGMSQADYGALPGNEYQYCDSE ALLGKWFRRTGKRDQIFLATNFGFVEHSVTYETDSSAAYCKEACAKSLQKLGVGTIDL HYLHSANPETPIETMRAMVELQAEGKIKHIGPSMISSTTLRRAYKITPVAAVQTECSH SRHESSGYLPRFSAENAPTNTVTVNQFKAFADRKGCTVAQLALAWLLKRGDDIFPIPG TKIKYLEENWAAQGISLSDEEEAEIDTFLESATIAGGTLPP TSTA_094290 MDEMTRFTRSEQVVALATLWRLRIRKVKCDERYPVCHRCSPTGR TCDEYGVWGGGNQSNGACRDRAMTERFDWFEHRTSTKLRGSFDSEFWTRVILQTSVNE LAVRHAVLAVSFVHRRGSLNTRDTCQEEDVIDHVKQVPLRYFAKSIDNLQPHLLANSL ALLRVVLITCIAFTTLDLLRGHFDTARIHVRNDVGTHRQLNRGSFYETTWSGRDSPPH EPCRM TSTA_094300 MASIIMTGLNYSLASSTTCPDQSQTKDGSQHYTTRLSNVGFTGS DFKPLDILSLQHREGFWDTLIMGVVARKVMEIEERDYYEDINPLDDVFSLSNLPTSWD LSLPTLPESYRLREAEAIISGCPVDRVYLACKQKRRNRDQKVLIGEYDVLNRQWIYAG DRSPEDT TSTA_094310 MPFKPFIPPLKRKDLPNNVSQQVPDDSSVPHPSKRPRLSVGEHE IETDKAKPVVLDLTLSDARIVKKDSIARRPLLKVKNMPGEIETLAVGNGNASNDIEAY YNVLWRKFTAKKHKTWDGDGILVIQGGYGYLRDVSGREMGRIVINKLLECGTSLTIGG KDVEVESAISKKDYLSGKEFLNNARKPSSSTPSRPRTASSGATQISEAKNELSSSEGL LDSCMKPKTMKHEVGPPKLSVKHLNAAASRGGVIGTAFKNPLKETSTATLQSVVKPIP RHDPNAPGALVMKRPKTAPKGQTIVDVVVDPFLTKHLREHQREGVKFLYECVMGLRDY NGEGAILADEMGLGKTLQTITLLWTLLKQNFIYEAAPVVKKALIVCPVTLINNWRKEF RKWLGNERIGVFVFDDKRKKLTDFTMGKAYNVMIIGYEKLRTVQEGLLKGHGIDIVIA DEGHRLKTVQNKSGQAIQALNTAKRIILSGTPIQNDLSEFFAAVDLVNPGILGTYKNF MKEFEGPIVRSQQPEATIRDIEKGETRGEELRELTSMFILRRTADILSRYLPPKTEYV ILCNPTSVQASIYRHVLGSPIFQTALGNTEGAFSLLTILKKLCNSPSLLNAKTDDEPQ NSTVATLLSTLSPSLRRQFSPSSSGKIRVLDQLLHNLRTSTSEKIVIVSNYTSTLNLL GVLLTSLSLPFLRLDGSTPSSKRQSLVDDFNRAPASTCFAFLLSAKAGGTGLNLTGAS RLVLYDVDWNPATDLQAMARIHRDGQKRHCYIYRIMLKGALEEKIWQRQVTKIGLADS VMESKSSNMAQFSREELKDLFRLYEDKSCQTHELLNCKCGGRGRPPPTPVPISLLGED DEDNEVIDPTETTPEKSRSISPFWKEEELSDSEDIDLPDIPTLMKASEVNIEEQEDQI RRGQHALHRRNGQKAANTKMAKQSSATLSSRKRKARKDEDEDEMGSSEQSKIQRFLAE YAHIDPAAFRSNGRDNGDDDIKNHIDDPILQALLDDEDHGIGFLFQKTAFPTSGPETS ESGDKEV TSTA_094320 MPPPVTPRQNAGPGRDGTRSSPTKSKSLDIGKALGSHDSNSVRE KVRMWQQKGGGVTTVKDPLAYVEDVESETTARRNKPTKTPEPRKVTVRVKTVDNNTPA VGNGGIRKRSQSTPRKRVVSDQHWRSQRSPPTGSKTTKGPPPNRITTYKTNENFNSSS SSLPSKKTQRKDDVSDLGSGAKTDTEDLRSPTSASPFQKKPRTVKTTKYIYTDDGLLS DKETLHVRESSESSLHDEEPAQSVLEGMRRSTPPPRDDDDWAASEANFSELSRRRRRG PALRETLRDARKPKGGILNQVLDESRKMFAKPAPPRPPPVANKGDKIAAWLSTQPDPF VDGHDDVPVEIPAPLKTRSRTARPLSRGSESRITEELSEVSSATNDQPPQDKPSGATD TQRRLSIDKTRDSFVSKHKEGTTSTPRKSQLEMVENLTKSFENAPSTPSRTSHPVRGN MKNKDYEPSDQSSEVSDRDVILPLRLRRPFPSTGMHQLSTIASEETLSSTVNDRSVMG SETNRSRSEKANSIYDINDEKRDHFDPDSLPKFESGLQRRLTKHSDLISILSNPKSTR RSVRSSRSLRSNRTRLETATMADLMLELSADETKYMRELRTLVGGVIPVLLSCVLSRS DSAIAAGLFRPSADPKDDINFTRPIVDMGVALERLKTLHKRIPLDETEALLAWAQGAQ RVYRDYLKAWRMGFQDVVVNLAPADEEESDKVETHSLDQGMERDENGDVIDADGEKVD VAYLLKRPLVRLKYLSKTFKGIDYVAHSAKTEQVAESYQELVEQARHRSNEERARLED ESAAYIDSTRARSLDTLAVLSNVVIDKTRRVLARDFFNLSLMHSSGQMIDCHAELLLR DNAPDAGPGGDLLICEIDDTDRWLLFPPIEKNCVSARNGDLKGEIVVMVRGKSPADDG EWQELLSLTIDDEDIGFEWVNMLGLDPVPPALPKSKKFVDRSNSRRPKAAGEIRIDSS ALTKQKLRLPSSSEIDVPIGEQASVVSHAGKSSTTHSSGPSTLSSLSEATSGNSLASD ITRASDYALVNSPQTPTSPDARATLPSAKELSDKKKTIDGKASPGLKRAKAKRRSRHA EQLTETDTPPRASPGPEQDVDSSTTTPKAAARFYAGKNVESSSKSTNSKIRRERPADD KDDYHDGARVSSVPTMKMPTIPKVRKGGSSTNSALSADTTSDDDETWPDIQSSLSKIS VSDAEDEAPVPPPHRSPSQALLKNTPVLSPSTNRQKRRSSSPLKHEYEPSSASDSSAE SDTSTVRHYDMGYSDSDTSDTSDEELEDEDELPPIHHQRKLQPPASIPALDNDTLSPS NSASQGPYRSVPSQPSKASKAIATLFSWSDRGKWESLYPDECELVITPGLIEAYKITS HSTNNQDEQPRKDRPLVALELTPLVPIRRGTAIDISIRSPPTERSRIKTSNNIMFRSQ NVDECDTLYGFINHSRINNPTYIALQNARGPYQPASLSRYNSTGSNKGTSWFGFPRRK NSYRAAKHAPSVGMVSESSVGSMSSAFSALKRFGTGSKFFSIARSTVTSRTGSRDGST VYSGAGGSSGLAAIAAAIKGADGIGLSNAKIRLYVRESNTRWRDMGAARLTIMPVTPK SSRPGTAGSTKFTVEANIADANSNDLSNAGRESDDFVDEPRADGATTPTPGASPRRVT MTQEKRILIQGKTAGEVLLDVCLGESSFERVARTGIAISVWENHSGGTIQQKGGVTGG AFRIYMIQMKSEAEAAYTFGLVGKLRY TSTA_094330 MTSHPYFPLGLEIQDYRSNESGLFYIVGLFSTGLAVSLCVILGL AIMKRTSMTSADKLTILWFALSGILHCFFEGYFVTHHDRMGPAQDIFGQLWKEYAKSD SRYLVSDSFVVSIETITVVLWGPLCLLVAYLTTIKHSLNHPLRIIICMAHLYGDTLYY ATSLFDYYVNGISHSRPEVLYYWVYYFLMNFVWIVVPAYILYNSVCLVSQALNQFESE TRSKKKR TSTA_094340 MALKPGRRTSLRLDLSQGALSESPPGIASLFLILFDIKKGYVIS WQESIPGIDLEGAVEYKSLPSGLHNVSEDLIYFVHEEYAGICAFINQPAAETERNALM LAVGVLVPLSFGRLGKSWRHAAGLKNLAKQCANNISDTQPLAEYWDKHHVRDNEPRLE DTPPDSPAVLRRPLKTDRPETLQSRSSISDAAGIETTKPFLAPYHPALSLPDFVEKFG PLIFPLYRASLLRKRILIVTEAPVQSTCDYVYALALLSSLPQSLSPFFDQDGAPPLRP RPIFNVGIHDIPFLSTNSDTYSSWIACTTDNVLATKTELFDILVTLPTTHSPHISQKT YPKIEIMHGSNTQQKSIKLVTLKATQRDARRFVLLRDGLRRLSSAVSEQDDNPNDNID DAASTFSSSSIVEPLSWPRLAYTSFLWWASAGEKRTGLSEDEEEQDEQDTSLLPNTHH GQLSHPGLQSNEIDQPREIALIAYFRRLTTLVFTTLADAVARQGDIDDEPPATGIYRD DPDDDEEAEEVANFPSAGDNDNEPLLPANTVCKEGLPPVTITSSDISEMGLDMWSATD RMFIEELVQVWWKRRADVNGTRIRCCGIPIL TSTA_094350 MESPSPSKHHLSPTKTPLFPTSPERINQQKLAGSFSVPSDLSQK SSDVREKIAFLNNLSQAGSPAASPQHVSSSTASSGSAALQRAILGREEAESALANANN LLSEAQARERRISERLESLLEELQTTRERQAHERTVFEKEVRKARKEAFRAGSMLVKI QEELKLSKGEIKTLKEEVRAERESKETAKQEAFERAYALAGMSEELQSVKDQLRAMES NNQQSVLESHVDEVEGSINKPIVDYVDQATCTTPTARRPKRSADALEILQVAHSDTEH EAHRDETPNKKMRLSRRASDKGNIQPGTLEDQGDLIADLQTEIKLEKRRREKAEDMVL FMKMECQFKRCSCRIAESLGQKYVHDKEWDDAMREEDLQENMHDDEHVQEEEEQEQNT REETPATSAAHSPLVSPVTAMTPPTPHYQETTEAQKARRPARQSSVAFCEETGTFVQV SSPHAYMQDRPSEEPLVLPNADEDEGDDIDVEVEDDTAVPRVSPAVRVMQMQTQTEDI ITHRRSYEIDIEAEEQQVVNGPPHRTVNPLVKNTEIVTSIARNPILPPQRSSERQPIT PVDQIIAVKEETITRTVPIQLESRHTHNPMDVIPGTPLTREEALAQIRARRGRTKSGT QRSVSANEATARSGPGGMKVAPARRIPGVKHSDIRSESDVKDRRDTAPVGSRRY TSTA_094360 MHEIITLQLGQRSNYIATHFWNLQESYFTYGENEEPLVDHDVHF RPGLGADGTETFTPRTIIYDLKGGFGTLRKYNTLYEVEDPSGHPQGQWEGNEVVQKQP SIPLNEYQKSLEAGLPTLSLTSSSVRYWSDFNRIYYHPRSIVQLNEYELNSSLMPFED WAVGEDLFRDLDKEHDLLDRDVRPFAEECDQIRALQLFTGSDDAWGGFASKYVDALRD EYAKTGIWVWGVEDGARLPRHKKMSKMINTARTLNSIAPLATLYCPIIDAPQHLPKYL NIDLQSEWYKSALVASAVETVTLPARLRTYQDFETSLMGHRGRSQTIFELQSSIIGPD AGDNRTPWATKKGTTQKPDDEAKLDFDINFTIPQSMADDTAIFTQVQVFRGEEQQTPE SESSKIGDIGLKRKIRLYASKPAVERFSSRLRFPLPDSYPRNMFSSSDEQVGVELVAA VSTTSRLADKLKDLQAIAHRGVAVEERENLTNGLGELGELYEKDWIGESDSGDD TSTA_094370 MSDTLRRRNMPLSAYSPFLTCVLFPFLLFLLCLPSPASAAGSAV LGIDLGTEYLKAALVKPGIPLEIVLTKDSKRKEYAAVAFKPSRDGNAAFPERFYGSDA LALAPRYPDDVYSNLKTLLGIPFAEDSDAVKLYSGRYPALQLESAQDRGTIALRSQRF GATEGKDAFLVEEILSMQLKQIKANAETLAGKGSTIRDAVITYPAYYTAEEKRSVELA AELAGLHVEALISDGLAVGLNYATSRTFPSVSDGEQPEYHLVYDMGAGSTTATVLRFQ SRAVKDVGRFNKTVQEVHVLGAGWDRTVGGDALNQLIVDDMVNKLVESKKLKDGTTVS DVKAHGKTMAKLWKDSGRVRQILSANTETSATFEGLYEEDVIFKYKITRSEFEKLAKD QAARVGNPIEDALKSAGLKLSDLESVILHGGTIRTPFVQKQLEKQCGSGKLRTNVNAD EAAVFGAAFKGAALSPSFRVKDIRAVDSASYAVKIKWTSDEKERQQKLFTPTSQVGVE KQVTVKNLEDFEFSFYQQIPAGEDVIDSPVLNVATQNLTASVSKLTEKFGCAPVNITT KLNVRLSPVDGLPEVTGASVSCEVDASKKGSVVADVKGFFGLGKKDDQKPLKEETGDE PTESVTLEDEAPTSSAEEMSTTTVSAKETQKAESASRIESITINFKSSPLGRPPLSTT ELKRIKHRLAAFDASDRERVLREEALNELEAFIYKGRDLVDDSEFLKAVKGDQLTILK ERLEAASEWLYGDGADASTKELKEKLASLTEIVKPALKRKKENSERGVKVQLLQEMLN GAKSIQNIVDMQIQNDEEIFSSSQAAESASSTSETSTASSPTTTPDDLEEDPYSSSGS SSTKSSATAKPTAPTYSIYTPQDSASLKTTLDSVNTWLEVQLEVQKTLSESDDPALTV ADIDSKLKELERVLQRIYAKMGAKAGGGDSSSKKSEGKKKDNGKTKSKSEKTSTKPKP SVKDEL TSTA_094380 MASTSQNASSKKRRFQPPITSYFAASTTPENNDFSHQNYSAPTN TPTPALPANILSSLLGVGARVRKSVPEGYKTEQKKLTAYTIPVTTKSDVAASSRPIMT TTVYSELQPFCGIHKVGNYAVQTFPRPDEEYRSEGMMNVDELENISMPSSSQESNASF SSTSNKRTFEPDVDEEEEDDDSSLGYNRAIHQGRNLPRDIWQDTIPSVSNYTSSSSNS MSRRTILSPRLGQHRRRIVASNNTISSKTYTEQENTNPLAAATMSNNGMDIDDFGEAA FLRRREEVDFEYIFETFVTA TSTA_094390 MVKRKLAALEKVEADLPNLQQKIRRDSKSYVEDFRAQYYQYENH REIFMATPTSTEAGIISLRDLIDFISHVADCYPDITKDFPQQLIDMLMQHHVDLEPEL REKIVGSLVLLRKKDIIDSVTLLHTLFPILVSTPSKTLRQLLFQKILSDLRTSNSKSS NHKLNRAMQTVLFNLVTSDRTSPKASWAVKITRELWRRQIWTDAKTVEVMKEACLADN EKVVVGGVRFFLGGDKEREEMEDESSDDDGVDVAKLKHQVGINKKTKKKARQIEKAIT MVKKKERKKKAPHPLNFSALHLLHDSQGFAEELFAKHLQSSKSKLNLEQKLLVLQLVS RLIGLHKLTLVHFYSYFQKYLTPRQPSVTSFLASLAQATHNLVPPDELEPLIQKIANE FVSEASAAEVASAGLNAIREICVRQPLAMNETLLQDLVLYKKSKNKGVMMAAKGLLGL YRDVGAEMLKRRDRGKEASMALSAGERKEIRFGEEAVGGIEGLELLEKWKEEERRKKR IEQGLPSDGEDEEDLEADEAAGWANWDVEDDDSDDSGGWINVESDAEIELSDSDDDTP PSKRAKQGTEEKENKPEETEADKQWSKLATSRILTPADLAKLAELRSQAAVDSLLNAR SKRAQKVQEAINRHIDEPLTAAEIEGLAMLSKGKATREEKIAHAKESKTDRDEFKSKT ARKKERKVADGKSTTNKEKARKKNFLMTLGKARSKNKRSLVETRAVLKAHHDRKKRGG RRGNTG TSTA_094400 MSDNGEVEVENPSGFYPALPKDVQTDSVKLFGKWSYDDVEIRDI SLTDYIQIRSPVYLPHSAGRYAAKRFRKAQCPIIERLTNSIMMNGRNNGKKIMAVRIV AHTFEIIHIMTDQNPLQVAVDAIVNCGPREDSTRIGSQGTVRRQAVDVSPLRRVNQAI ALLTIGAREAAFRNVKSIAEVLAEELINAAKGSSNSYAIKKKDELERVAKSNR TSTA_094410 MDRYQKRRTLLFSQFLGSGNNGVQPPSVTIQGPGGQVDNYSGRY PDVGSDSSSGIARQRTPSLQLPDRAASRASVDSLFLPKTSHHNTLDVNHHEDSRTGTL MSQNYAFNPNEPYDRMSQVSARYDPNVYDPGAYEPDGGQTRQSTLLDSQAYFQDFAGH QNEDYRNSYGGGYHRYSQSDAFSPTANMAPPLMPASEIAPGSMLNHLLPLEPRDIPFS VYDPYDPNTMMSKFDNLPAVLRHRAKTQSKQPAYWVLDQKGKEIASITWDKLASRAEK VAQIIRDKSSLYRGDRVALIYRDTEIIEFAVALMGCFIAGVVAVPINNIDDYASLSVI LTSTQAHLALTTENNLKNFQRDITTQKLQWPRGVEWWKTNEFGSYHPKKKDDVPPLVV PDLAYIEFSRAPTGDLRGVVMSHRTIMHQMACLSAILSTVPGSKGVAQEQSRVLSGSR TEILFSYLDPRQSIGMILGILLTVYGGHTTVWLEAQAVETPGLYAHLITKYRATVMAA DYPGLKRVAYNYQQDPMTTRHFKKNSEPNFSSVKLCLIDTLTVDSEFHEILADRWLRP MRNPRAREIVAPMLCLPEHGGMVISVRDWLGGEERMGCPLTHPLDPQEPEQPEQPETE KKKTENNDGFGSSLIGGTPVTAPKKKVTNDLAEVLLDKEALKSNEVVVLAMGEEARKL AETRPNSVRVGAFGYPLPDATLAIVDPETNLLCTPNVIGEIWVDSPSLSGGFWALPKH TETIFHARPYKFDPGNPTPVLVEPEFLRTGLLGCVIEGKIFVLGLYEDRLRQKVEWVE HGQEIVEHRYFFVQHLIISIMRNVPKVNDCSAFDVFVNEEHLPIVVLESYTASTAPTT SGGPPRQLDVALLESLAERCMEVLYQEHHLRVYCVMITAPNTLPRVTKNGRQEIGNML CRKEFDNGTLPCVHVKFGAERAVMNLPLGVDPVGGMWSPLSSDSRQEILAMEEKQYSG VDYRDIVMDDRTSTPLNNFTNIVDLVQWRVTRQAEELCYCSVDGRGKESKGVTWKKFD TKVAAVASYLKNKVKVKPGDHLVLMYTHSEEYVFAVHACLCLGAIAIPMAPIDQNRLP EDAPAFLHVISDFKVKAIIVNTDVDHVMKQKLVSQHIKQSAQVLRIGVPAIYNTTKPP KQSHGCRDLGFTMNKAWLQANHPAVIWTHWTADQRRISVQLNHDTLMAMCKVQKETCQ MTSARPVLGSVRSTVGLGFLHTCLLGVYVGAPTYLVSPVDFAQNPASLFVALARYKIK DTYATGQMLEYAMSSMAGKGFQLQELKNLMIATDGRPKVDMYQKVRLHFAATALDRTA INTIYSHVLNPMVASRSYMCIEPIELWLDLRALRRGMVYPVDPDVDPTALRLQDSGMV PVNTQLAIVNPETCTLCRVGEYGEIWIQSDACAKSFYGSKQEFDLERFNGKVLDGDTS VQYVRTGDLGFLHTVTRPIGPGGQPVEMQVLFVLGGIGETFEINGLNHFPVDIEASVE KCHRNIVAGGCAVFQAGGLVVVLVEVTRKSYLASLVPVIVNAVLNDHQIVIDIVAFVS LGDFPRSRLGEKQRGKILGSWVTRKMRTIAQFSIRDAEGADAQMLQTRMSRGSKTGSM MGGSSLRRSTLVPDSDSSTRVATAPVQERRELVDEPYTMQEDEEEKHYQDLLNDPGYT TGNDASRGFQEHHDVSTPQPLTIETHQGYEKEKNTTPVLSPDDGFDFGDSFGQTGYDD DHQDRGALTTRNVPSVAVAPPSTAGSQDSRVSHTSPPRRLRNDRGNLPSQQQQQQYSR APQVSSPTESQSWLDTSQRPNSTEDWPQEALLYQSHFDEQDANGQSGKHPGNFNAF TSTA_094420 MPRLQVRLGYLRSARRPFTPGRQYSSQPPPKHTSEDIAALLSKP TWSIRSLLPNTHDPTIPLSITPQKLHHLLRLSALPQPVDKVEETSMLRTLEAQIHFVK SIQDVDIKDDSPLRAIRDESREAIKESTISLETLKEALAKEEVVGRRKKIERRTTTLE DGDASHIESDQWDENTLGSASRTAGRFFVVQKSSWLVRFPVRLPARESDGTYLGCLGA HAEKILESFEALHVSKELSPKPEIQDTPNSNKSGDVSLSNKTEEIIQNAVGLDPKSRS EVLAYFDEWQNSVLLRVGQVVDGREESEDGQVQVDKFPKEPAEPERKTLNRLQQVYPP LDTPLRDTLKAQRLLILRSLLLLLLGLEHYNAYSRVLLLHITSSLNLQLSDINDNEAK IARGLLDAAVAMTADEKTKRKAAENQNLRKWKVGIATVAGAALIGITGGLAAPLVAAG LVTVMGGLGLGGTIAASYLGALTSSGVVVGGLFGAYGGKMTGKVIDRYAREVEDFVFI PIRGKSAKKLKDEKEAAKEDHCLRVNIASTKYQSLCPFVNQGSQTRPSIRRA TSTA_094430 MPMLKDPSKKYKRFKPIHLPDRTWPNKTIDKPPRWLATDLRDGN QSLVDPMDGDQKWKYFNMLVELGYKEIEVSFPSASQTDFDFTRRIIETPGTVPDDVWI QVLSPCREDLIRRTVDSLKGAKKAILHMYLATSECFRRIVFNMTKEQSLALAVKCTKY ARSITKDDPSTAGTEWQYEFSPETFSDTDPDYVIQVCEAVKEAWGPTEEHPMIFNLPA TVEMSTPNVYADQIEYFCRNMTERNKFAVSLHPHNDRGCAVAAAELAQMAGADRVEGT LFGNGERTGNVDLVTLALNLYTQGIHPGIDFSDLNSVIKVVEESNKIPVNERWPYGGQ LVVCAFSGSHQDAIKKGFVAREAANNSVEDPWEIPYLPLDPQDIGRTYEAVIRVNSQS GKGGAAWIILKSLELDLPRGLQVEFSKIVQKETERLNRELRPSEIVDLFETAYHLKTN PRFTLVDYNITTDRSQSPASLEPGKALNTRNLNRRFTGIIEIDGIQHPITGVGPGAIS SLANALSTLGIDLDVQDYKEHSVGVGSHVRAATYIQCTASQSKDVVWGVGLHQDVVQA SLIALLSAASSFLTSRAGSPAPFRPVRSNTLTDEDLQAIEQLSSSIRNLDEEQEKKNA AEGVQQQKADIEKLEKQVEQL TSTA_094440 MIPVGVAVALIVLNVQSRFLGSNSEWSNLFQFIAKLHEILMQIS IATAMLGYQQYLLTRSNSALPFGAIFSAYNATQVGYLWSAEFRASLTAQFPLLLKTGF LFFVPASILLASAVGPASAIAMLPRLVNFTIPDNQIGLDHTYSDLFPTAFNQPGGLLE NNIQKQNAQSPAIGWEYLRNLPSVGQDQLTLVGLQMATEDLGNGNGRSYDVMPPLYTV DVLNNIWYTLSYYDRIIRTLSVQYAPNSTVATVQQVPVAAGLSTAAYNALRAVPGEAA RGQASIQIAHPFVSSICMLNPVMNESDTRAIEFPATFLSTNATGVDTIPYTNITRQQL WHQVQDQGEGQIIWVDNVSFSTERTLGAIVVQPDFCDNGQKYLSMSACAVSGLWADMT AQIFVTTTHDKRAESLISRDYLNTLPPAASWPAVSISKEWANSITSQIANQNRTVADN LLRSLLLTEVVCPVNGSYTTNSTMSDAYRPMMHEAIISSLIANGMSYAVGKFITSDTR LKNGDFQWINSTNYGDESRPAGFIMSFQSSVEGYAWNMDGVAIKIAIPILLIYILYAT GYIAYTLVTGHSTRAWDTMSSMTALAFNSRPSKVLENTSVRISQIETFRNLVSVQEVE SEQRLELVFQQDEQDRAGLLRRVRAGKPYS TSTA_094450 MYSLDRLLSTMNYRSLISYTALAVFVTSVLVWLRNYHSSPAISI SKPVHSPLYWFAFGDSWSATGFNISSAQPSASNPMGNSTPHPGTQSGGNNWIGYLTTQ YNTTLILTYSLAIAGATIDNSLATWGFGDMTSQVDAFQLYYASRPAYAPWTADNTVAS FWIGINDVYYGFAHNDDPSTFVSTLMSRYRPLVEQIYSDGVRKFLFLNCPPSTRSPQV HEENDLPEQFQRHAEMVTAYNKGLNDMLLRFSNDHKDATVVFYNSFQYMTHVLDNPAK YGYQDATCMNTDGSSCVWWNKLHPGWKYHKYQAEDMLPNLEPLGW TSTA_094460 MAVCYRLNGDSVSDPIFQPCGNPGTTSMCCATNRTNPSGGNYDN GYTADVCLENGICQNKIFVTYEDGDTTLVTLYYRDYCTSSNWTKDGGCLNVCTEQTKL TTFRHSLGESILVVVQQWQV TSTA_094470 MIKGLQTQIEAIQNQSAEDCKQLWEQLETIAKTPINAAQMQTKP QPSFTNIANSQSGPLGPVVPPTWANTLFCTIDMSRVGEEDKAKAQIANVRQMIEKEMQ GKEGMGTWRCAAVIKDPKNDNQVKIICRQEEIQCVKEAAQKLNVPGWRVL TSTA_094480 MYFPVHFVALLSVSPLLQALAKPGSTADIDVASFSPDDILDKDV AIIGGGSAGCYSAISLKDKGKSVVVIEKKDRVGGNTETYIDPATGTPIDIGVLVFHNI SVVTDYFNRFDVPLTPYGEDDNSGQSAYYDFSTGAELNLSFPSANKTAAAFTRYAEFL EEYPRLNDGLFLPNPVPEDLIMPFGEFADRYDIGASLNTMYELDPAVGNFTTVPTVER MRTMSLSLVQQTETGFLTTAHHNNSEIYSKIQTELLSASSLLLDSEVISTLRKDGREG ISLVVRTLNGYKLIFAKRLLITIPPKLDILAPLDLSAQEESVFSRFIDVGYYTSIVND TGLPDDLSIFNYNPNTPYNFPILPGVYNIQATPVPGLHLAIYGTPRGNKSFSMPTDQV KADIIKGIKTLQQANPVKFKQTEPEFVVFSSHTPFYLQVSADDIKDGFYDKLYALQGL RNTYWTGAAFRGQDSSDLWRYSKEEFYSQLCMCICVSTLLKTARLSPAGGLP TSTA_094490 MDTTLREALQESMGSISHSIAVTAALGLLMILGLVTFRSVMSEI VLPGIPQFKGVPILGAMPIYFKHGMPQLLGSLIAIGEDGISYANVVNNVLVSVHDPAM VKEVLSYPEEIASREGDIGRMSWSPFWTLRRLIGESLFNYVGPDTSHHRNVFIREFNS TKSNAEKFSTISQIAQEHANMLTGQAKVAEVDNIRYSADNFAIALWGETLYGNPHHYI GGRVLDLSETIITLAGNPWPSVWYSIQLFFKMVTPGEPTRTEAKLREKVAKVIEANFE KLEAYEKANPDAPLKTIRNLSVMTGGGRTGPLSKFAAEFTNLNLFGGHHSIGLNVTWS LIELDNNPECLKKLMAEIDSVDTNDFTSVNSKMPYLDAIIMEINRLHPTVHATLRVIN RETKLTASKKPVVLKPGMLIYLSYLHLHTSPEFWGPDSYKFMPERFLGNYDKSKPFMS FGYGPRNCVGYKFATLAAKVYLLTLLKTYDLQVKEHDHEMKLGTLLETTKPVAVKVSH RF TSTA_094500 MSQLEYMEKKESTDKVTTAEPVAIDDSQESEKVESSPMSTLQKR EDGTDYPSGLKLFLIILALCLSVFLMALDNSIIATAIPKITDQFNSLDDVGWYGSAYM LTTASLQLLSGKFYSFFSIKWVFLASIALFELGSLICGVAQNSLTLIIGRAVAGMGSA AIFSGALIILAHSVPLEHRPMYSGFIGSMYGIASVAGPLLGGAFTDKVTWRWCFFINL PIGAVTLLVITIFFPDPQLKTESEPWSKRFRRFDPLGNLVFMPAIICLLLVMQWGGTT YAWNSWRVILLFCLFGVLLIVFLFVQYWQQDFATVPPRIFLKRTVWSAAVFTFCLGAA FLSSVYYLPIWFQAVKNANAVNSGIMNLPLLISNVVASILSGVAVTTIGYYTPFMLLA SIITPISYGLMTTFHPDTPHPIWIGYQILAGFGIGFGVQQPLIAVQVVLDISDVPTGT ALMVFMQVLGGALFVSVDENVFSNKLVQYIGEYAPRVNPLVVLGAGATGIKQVVDAAN LPGVLLAYNNAITQTFVVGAAMAGISIVGAMIVEWKSVKEKNIGM TSTA_094510 MSGEKQVTTANLDDDQNSAPPRFMLFALLRENLATTDAVTPPPD GGLQAWTQVAMAHLILFCTWGFINSFGFFQAYYEVTMRVSGSRISWVASIQAFLLSFI GSFSGRLMDAGYYRYCLIGGFILQIIGIFMVSLCKQYWQVFLAQGLCCGIGDGLLFCP TTALIATYFVKRRAIALGLSLSGSSTGGLIFPIMVQQLLPKIGFAWTVRCMGFVVLFC SIVCLSLARPRLPRRVSGPLVEPSAFRELPYSLFVVGIFLTLWAVYFSYFYINSYALD VIGTSNSDSLSMLYIINGMGIPGRIVPTLAADRYFGGILNTYVVLGIIAGVLLYCWMA VKSYGGVIAFVICYGLIGGGVQGTALSSLPMLTTDLSKMGVRSGMVLSIVAFACLTGP PLAGALIQRDDGSYTYACAWGGTSLILGSLFVIAARWAMLHRPQTSHPRVVTALRSAR EAFTTSNPKSLLAYSEASRYFPAGNTRTLLHSLPFPSTFQAAHSCYLTSLDDATHIDF CSEYTSSLFGHSHPVIETAIEAVLSTGWNCGGLSDRER TSTA_094520 MRRARGIQTACEAAGVRRVHVLCNMDHPSIMKAASLTGLGRGAV KQRGLLDIARVQKELEESDCASIVSVSVGEHLECLLMFFPTPREGRKTIRCAIANWAA DGQEDLAIVTDVLGSVAKRWDKKSRL TSTA_094530 MAILRIEEDDTVPTRLLQQLSQTAYACSSLSPPLSSRDGNAVYR GALTKPIQDGTTVESIIIKYTTDSVPRIFEELLLKTLASFSPPHTINTVAVKTPYYLL TENFLPSPSAASIGHRLGFWLRSFHNWTSAPEQAALRAHMGQNDHVRKTKYLLTYESI SKVFEIYPGLSDGHENTLEALRDVIANDLERPFTDETDDYGILHGDFWSGKWELLQEV KTTEIFIIDWEFAQFGHRSTDLGQIVGDLYERKVYNNLENAMSAMEGVIEGYGVMSDE MAFRTAIYLGVHLISYYNRRPQKGPRVASPEVILAGLTVGRDFIVKGLQKDGAFFQDS ALASLFAAR TSTA_094540 MGQKSKPPADDNDFVFTLSDDEGFAIDVDETEDISEETSAAETK ISKKRKREQNATSQPSKQTKKQKKQEQKQSKKGEKVELERDYDAGIVTEEEKIDEGED DGVLNPDFEFDIGGNATRDVIEDFDGWGADDGKQKAAGGNKKAVDIDDIIARRKQRKE EEIERKKRKAERKGEQDFEGFGSEEEYGDDDEEEDVGMPNFDDDELLAADGFGMGVDG AEPEEDRDEEQKSSDEEDDSEADDHDNAHSDDESDAESDDSVASPVAHPDDIASGEES ESESENAEEIAKRKAFFAPEEPASADKDADDAAHGSFQNYNLSRPILRGLAAVGFTKP TPIQRKAIPVALLGKDVVGGAVTGSGKTGAFIIPILERLLYRPRKVPTSRVAILMPTR ELAVQCYNVATKLATYTDITFCQLIGGFSLREQENVLKKRPDIIIATPGRFIDHMRNS ASFTVDTLEILVLDEADRMLEDGFADELNEILTTIPKSRQTMLFSATMTDSVDKLIRV GLNRPVRLMVDAKKQTAGTLVQEFVRLRPGREDKRLASLIHLCQQVYAARVIIFFRQK KEAHRVRVIFGLFGLKAAELHGSMSQEQRIKSVEDFRDGKVAFLLATDVASRGLDIKG VETVINYEAPQTHEIYLHRVGRTARAGRSGRACTLAADPDRKVVRAAVKAGKTQGAKI VSRVIGSEIQDKLAEEIEGLRDEVDAILREEKEEKELAQVEMQTSKGENLLKHQTEIM SRPKRTWFETEKEKQEAQKRGLIELNGLDASLSKKEKRKLSGKEKKRLDDTRDRKEGR MWKKGKKERESNIAPPKKGSGKKGGNKMKGKKGRK TSTA_094550 MTDATDTDTPPSLYYSPSISDHDHLSTSESTPVSTPVGFDATSS YPRKPSSRKKDSSAWDSKNSRDSNSRPLYLDSIVIEADGEDPANVSNFPLFPSSSPGQ TTTMNGQQSPFDLSARQTSVSPPGQQASNLTSALQKAANNDRAGNSSVPAANNASAVY KAAAARKDSLSASMSQWGNGTKPISVMGSNREKPRRESLAGSLVGGMSWGGVSVGSWI RDDIIMTGTSPFTFQSPSFHSSSYLPKLEANFMRDFFCCGLTLPSLHDLLQHYEEAHA QKATPGGQRPSQGDNRAAAVAQSQGQSNMGQDRGVQQDRSQNGQVKFNQNPSIDTNAF TSNQPHLDLDTIDDMEMDDAMGVTDASSQLFSSQMPNAQGGFNNPSQRVPQLNLSMLQ GQGLRGSQPGTPIAPSHTLLQNNPTVSSVNTPTLSSNPLQNSQFRNTPDSSGPGTPAE LDETVIGGFGDLSMQGGILPQNGQFGGFGGGNDMVDLCIDEPAKRLFSPNGGFGGQSS QTHLKLGNSQYGPNSEIARRIREQQMLAGVPDTSLASMFPNEEPKPFRCPVIGCEKAY KNQNGLKYHKAHGHNNQQLHENGDGTFSIVNPETSAPYPGTMGMEKEKPYRCEVCGKR YKNLNGLKYHKSHSPPCNPDLQLAGRGLAVGGVMQGQNINVAGAGLPGIGEEGLL TSTA_094560 MANETSNVRRTSQEPADGPPAKKQRLDEAAPTEEASKEGVQADQ RKRGIAPIKPEFLVAFNGNQAIPKASNEEDDIAEGAAHAEKEQDQDNKKRKQKNRGQN VGRKFGRSKDEKGLCASRATYPEFSPAECQYGEGCKFEHNLRVYLEHKRENLHTFDDV CPVWQVRGTCSSGWKCRFVHSHMTERDTPDGKRELVLVDDEERKQKWLSTPFAEDDGM ANVVPIVHKDALRRRKFPMPKAEAYVAWFDQTAKELDKFVHGKNKETEGTETEAQQEK EDNRAQYTEPPFLPSEKRRVYFGAETPALAPLTTQGNTPFRRLCTDLGAQFTYSEMAM GMPLVQGHKPEWALLKAHASELTPPTISSKANVVQGYDHSRDMKFGAQIAANKPHVAL KTVEILAALTPHLRVIDLNCGCPIDLVFKEGSGSALLDSPSKLEKILRGMNAVSGEIP ITVKIRMGTKDNQPTALRLAERLVLGGADAQTMGIGPPGIAAITLHGRSRQQRYTRSA DWGYISECAALVKRLRSQSDALADTVREPEERLQPNGSKIYFLGNGDCYSHEDYYGHV QNANVDTVMIGRGALIKPWLFEEIQAGQYLDKSASERLALVEKFARYGMENWGSDEHG LGMTRRFLLEWLSFAYRYVPIGMLEYLPPRIQDRPPAFKGRNELETLLASDNYKDWIK ITDMFLGPAHPDFKFEPKHKSNAYDAETQG TSTA_094570 MSIDLNWEAATSGPDGEQLAERIRSFIHDKFQQVPLPRFIRSVN VHSFEFGSIAPELEIKDICDPFVDFYEESDSSEDEDGEGHDAESDTSSDRAADSTADK RDMRYGHDDRGNNGHIPNHHDHLRTSQWVAGGTDGHSTQSPLRSPIGLGDHLNAHFRS TTPNILPGVTSNLGYHLMMGNLSGTQTPLAAVAGGTPFGPGWPDAVMNQGSRMTDHTT GRTRREDHNKNETGSPSRPSTAHTNPTQLSHGRSAASSSNNTSNDPTVIYNDHTSSTT ATTYGLHEGGDRPRDKHGHRIDQEEPPPSPTPHMRERRPEDFQVICRVKYAGDVKLSL TAEILLDYPMPSFVGLPLKLNITGITFDGVAVVAYIRRRAHLCFLSPEDADALLGDED DIQHPSYSTANTTTAASGSSTDNNNNNNESNDHPNHPPQPRRRFGSLLQQIRVDSEIG RKENGKQALKNVGKVERFVLDQVRRIFEDETDGLVTLFYYFSGVISLMIPIFMDRL TSTA_094580 MTLYYTLVFMLLVFEMLVFLALIVPLPYSVKRKLFAFISESPLV AKLQYGLRITFIFILILFIDSVNRVYRVQLEMSAFSKDTTGVGAAALGTERMEVQARK FYSQRNMYLCGFTLFLSLILNRTYIMIVEVLRLEDRVKLLEGDKKAAGKDAARIAEAG SVGEIGRLKKELEAKDRDIETLKKQAEGLQREYHNLGDKLTESDNAPKKDR TSTA_094590 MSSAAMQTAPTHTSATTTSTLYPATTASTYPQSQNAYQPRTVTT SHSPQSVDVTSRNSPSSAKRPNHQPSANGANSNIALGPQHSQYGPVSPAMNASVQQSP QSSLPSDSANRTHSRSNVPEGLGVTPQIPPRTSSNQGSSRHQSQSRSRGEDSNGGRSR RKGQQSPENSNMNHSSAYQSRSDNALGSSSEPAALASESSTVLNQVVITDPAEDIERE HERLMEAQTSAGGASNSVAGLGLVGDEGADDAARSGPRTRQDYSNTSGKRKETTFGRY ILGQTLGEGEFAKVKLGWKRDGSVQVAIKLIRRESLGSNPSRLPKIYREIAILRELSH PNIVRLHEMVETDRYIGIIMEYASGGELFDYILKNRYLKDNAARRLFAQLVSGVGYLH KKGIVHRDLKLENLLLDRNRNLIITDFGFANTFNPADELGEEIEYNLTNREFVRRMNL DKTDAAGMRRGDLMQTSCGSPCYAAPELVVSDSLYTGRKVDVWSCGVILYAMLAGYLP FDDDPANPEGDNINLLYKYIVSTHLTFPEYVTPHARDLLRRILVPDPRKRADLFEVAR HSWLSEYSHVVSHITSSTTNISDIATTTVPAEGTQEGPALARSASVREPPKSFQGSVS TLGGLTHQQGKVATPEDPSNSKTKTSRETKRRTVQVEYVAPQSQTARGDHTSSVSPQS ASATRPGSRGVVDQNKPLPSEPPGEQPQRTAYTQSTQELRPNVGISRSMSETTGHAFE NSQTARPNTGHSAISLNAGRLPSRGSYGQPVAPTVAATNAQGRLAQPKNAKQYNISSP IPQDPNMSIGRPSTHQLPSIFNQTPAQEPVRTHKRSSTVSSIGERIFGRSGSFFGGRS TQPTSRPKPSKRYPPTSMKEPYSPDDSRASIDSRRSNSYANRTEPRQRRFSLMNSIRG LSSGRSDQNSESDSHEAEYSRPATGPGILTGRDVSGFSGYSGSHQDEPAAPSDSYEAH IDRQFAQLHESNQMHQEPEPHNNEYGTSDTNEYYGYPQRGQQYNGSYDRSRPSAQLPR TKPGVLQKNNRKFADAYEYERDHSHHSGSSGAARKVMDFFRRRGKARAGEDR TSTA_094600 MASTQGDPRVLYSINNIRAYHLQDGEETDLTPSGPQTLSLLMVP TVSPAQQQQETGSAPEEDFYLHLHLPPELDMPLPATTQIYHQPPNSYLIPRWDLGPDA GAFIRLQFPGIGSGAGKVSQEDVDTFETILAQCTAFLERAAPPSSHAPYNPADYAPGE GYISSSDQKSSDGKGQIVLVDEEDGSVVGELSEGYKVVEKPDVKPGSKNPVEIQLPSP GEDHQISVSNVSEEYLRMARHPAYKDSTIVQTSARASRLIVTGSAYLANKLTTGADSF AQRTKPNPEPLNFSPATQERIRKVHNLSQSAVGLSARTVGSIGRVAQNLGANLARRKD MPKGSSRQGYDSNGNPVNIKTGVLNKSLIAFTTLMDGIEEGARTVLNSGSTAATSMIQ HRYGPEAGNVASDITRGFRNVGLVYIDATGVSRRAVLKSVARGMIVGRMHNGQQVVVG SGDGGQVPPDGSSGKTEYAYYGGSNNGLGNSNTPRSSSRSRLPAPPRRLTRTPSPPPA YGAAGTYSLPGGNSTTVSGKR TSTA_094610 MASLSRTLRPLSRTLASGLTTSTRTIPTRSLQYTTRAFSTTPGR RNVDLTELTPTPITHLSETETLMAETVSKFAQEQIGPKVRDMDEAEAMDPAVVEQLFE QGLMGIEIPEEYGGSGMNFTSAIIAIEELARVDPSVSVLVDVHNTLVNTAVMTYGSAE TQRRWLPQLASGTVGSFCLSEPASGSDAFALQTKAEKTADGYKINGSKMWITNSMEAG FFIVFANLDPSKGYKGITAFIVEKDTPGFSIAKKEKKLGIRASSTCVLNFDDVEIPKA NLLGEEGKGYKIAIGILNEGRIGIAAQMTGLAIGAWENAAGYVWNDRKQFGQLIGNFQ GMQHQIAQAYTEIAAARALVYNAARKKEAGQNFVMDAAMAKLYASQVAGRVAGSAVEW MGGMGFVREGIAEKMFRDSKIGAIYEGTSNIQLTTIAKQLQAQYTK TSTA_094620 MVLQIFAVVASVLLGNKLSRQKTLLVSTSMIFVPFMVIGCIGRQ KYLSTTSKYSIVFSYVVICAYNIAQGPLTYAITRELSVGVNQNQIMSVSNIALYFFLW LISFTAPYLYNKAGLGPMVCFVYAGLTLTSLAWGRTQLEITAFFTEAVPARKWSTHGF ARIGDGGKEKLGSVEKMNVHHVEVAKDAV TSTA_094630 MAGALISGPLNDTFGRKTVLWIASFLVLAGGVVQVADTHYEGVI VLDRFGLDLVSGISLLLLSSISQSMGEVAPVEIQSPALYMYQFLQSFSQLVASWVT TSTA_094640 MGPSTAPRRTVAGNASGTTTATGRESSQQTGKKRDSRAGMRKVT SLTAEQLERKRANDREAQRTIRARTKEHIENLEHQVAELSAKGQQVDRVLERNAALES EIAHLRQQLAMMTNSRQLYQSEDDRRSSTSSNPPSVISSPFNSPPLTDSIAPGPPMPP LTHRMSLPQGSWHSYVSPSQTGALPPTSISVSGAEQSHEPPYPMTADPLAGSSMGQAH HDMGYGLPQTTTGAQYSNSNADSYNRNLTYPSRAVLGQPQQQQQHRPQHIPVATMGYE QNIPSLQAAHLPVPPHQQHHTYPSQILHSPPAQSQMGYQWDSRG TSTA_094650 MSNSMQTASDRTFLAAAILAAGAFVISLLQLVLQYISSSETQNK CNRAAINFSEKDVTHKWSFRSWKLKVYYPELDMSCFRILEAMQDAWEYGIDYSNIKDF AYEQGMWWHILHADDEPGWRNISDELTALAKDSDQGNYKNYSLIKDSELRWRKRLRFW WWRYRHPLKLMRRPRASWSQIITAFGIRNTKLLKRRVIDADTIPASMDVPFQYVELSQ LGRLCVVMGFKDIIIDPVDRNFYATGPSRTITTQEIPTFGKVLRFDGDTFAINYTVVR ANSVEWMGTMANISCGAMFFGRYMCGHLVHLPIDLLAEAIGLDSSISKFDEDIKKFIE SDEDVEDGFAGNLLKEAHNFQDLMTGLQKSQNCGDLSPEYPVRPSALIIIGT TSTA_094660 MEKDYKARKEAFVSNLSGGDINEINTVALVASVSALLWSTLQSR ISFFSPYTPKALVADFLLNVVAILFSTTVYSTSPLLLNILLLTPVVFILLRFKPVYSR QKQAKPSRANQQQNAAGSDKSNDATKSPESSPVHPFLTTYRAAMMVVTCSSILAVDFP IFPRRFAKVENWGTSLMDLGVGSFVFSAGVVSARSILKARAAGTSQQSNLLWRLAAAS RHSIPLLVLGLIRLYSVKGLDYAEHVTEYGVHWNFFFTLGFLPPFVEIFHSLYSIISS YELLALFTITVYQVALESTDLKAYILVSPRGPDLLSKNREGVFSFIGYLAIFLSGRAT GLRIIPRGTANNKPSSAQQARKRVLISLAIMAVGWAVLFFFNSTPAFGYGARIPVSRR LANMPYVFWVSAFNNAQIFLFCLIETLLFPSVHKATEKETEAEKSNFATSRIMAAFNK NGLAVFLIANLLTGAVNLSFNTLDANAWQSLAILVAYMAFVTAFALGLQASGLKLKI TSTA_094670 MGATHFRHYPEVRKGPEKPYEKEDIALPVLRGPSLSISATVIHH LEFLQNHLWRSSGLTNFRKAENILNSYQPRFDPTVWPILSNESEQSSTSLPAPQEQRG SSLSGFWTSADYHQRYLSGDLTPTIVVETLLPMIQRDAKPPGRFSIGFLEAKIELVRA AAAASTKRYREGKPLGPLDGVPVAVKDEVHMKGYKRTLGSKSDFSHGFDVTSWCVEKW EEAGAIVIGKTTMHEIGIDTTNNNPNTGTPRNPYNRNYYCGGSSGGSGYALATGLVPI ALGADGGGSIRIPSSYCGVYGLKPTHGRISAVPTPSLAPSVGVYGPMSSNIDDLALAY RLMAAPPPIEADSIASGFSNPLAEIQFNTNTRTIGVFQAWIDRSEPAVRNIFDQIIKH LKTQKGYNIIDIEIPYLPEGQQAHALTILAELAAGIPASDVTSLLPHTKVLITVSGSK STGTDYIAAQKLRSLLMSHLSYLFTTHPGLLILTPTAPIAGCEIKGGEKDLSHGVMDT FTSTRSMEYVWLANFTGCPAISVPGGYDDSTGIPVGVMAMGEWGSEESLLEFARDTTD TGTLGVDGRLMTPVAERGREDPAWVDVIGEAERKMNGSNASKL TSTA_094680 MDNPAFQVIVLGPTGGPKEDAVTGLLVRSTSTNWSKSSVVAVDA GTLLAGIVRILDQTLTTDDQSRAVVKDGPFKGLHAPHRTPQANAAYIFREVIASVLIT HPHLDHLSGLAINTPIVEASNGPKTVAGLPSAISAMKNHMFNDIIWPNLSDEDGGAGL ITYQRLVEGGNPRFGRGESRGYVRACEGLVTKCLSVSHGRCKQRFDPETGRHHRIGSA VFSADQMVNARTLSMDQSDGAMFSPARSPHIGSSLGATPSKDSTIWGTVESSAYFIRD EDTGKEIIIFGDIEPDSVSLEPRNKRVWEIAAPKIVNGSLRAIFIECSYNDAIDDETL YGHLCPRHLIAELKVLASKVDELKPDHQQQKGQKRRGRSSKRKRKDSDTLDYARDQPV SPHSTRQITTRPRDNRDMSEKSPMSGARASTSTQTPDGGKFDDNGDVAVIDHQPRQTN ESVHFASEQGGEKSGEAGFTYPDAQWTDSENASPQPLAGFKVFIIHVKDTLTDGPHPK ERILEELRDQSDEAGLGCEFHVPFQGEGIFI TSTA_094690 MPPPDPVLLTPAMASPTGFTTSLRIHSQTEFKIAESTQQPMYTG VSSNSDIMAEIAAVSGSTGKGILIGILSALGSAGVAIVVLTIILFFKYTRRGRILLDR IGRPGEFDDEEAFAREEAEALETMDDLSRTEYLRAKAFIQANPPETMQTDISLSQFLA IQEKGVSAWQFEPELEIANCFVEARTEIEFFDSECSVQTNLPVPKQNEVYYWEAKIYD KPESTLISIGMTTKPYPLFRLPGFHKTSVAYQSTGERRYNQPFKSPSYGPEYNQGDVI GVGYRPRSGTIFFTRNGKKLEDVVHGLKSPNFFPTVGANGPCTIHVNFGQMGFVFIEA NVKKWGLAPMTGSLAPPPPYGSEQGSILLESGRETTAPVAPSYLEAGYRGSRSTVPTS PGPIRSPTDISLAQLAHIPSHEDFGEGSSRNTVNTAEDGLYITAASPPPEYSSPESSR NSFRSDHEGGDASHPPGYTAVAADEGNQQHHSHHDEP TSTA_094700 MSPSPALHAAAFQGIGAPDIQRFHINLSVGSNVNRYPAKQHARK VASKLGISRGLIYLVGKPTVFLDDSDQTIPFRQRRYFYYLSGANEPDCHLTYDIAKDH LTLYVPDFDLRQTVWMGPTISIGEALDRYDIDNARYAGSLQTDISGWLRLRGDDSQII LLHPDHRPPIEYEQDLFETKNLVPAMNAARGVKDSYEIEMIRKANIVSGLAHTAVLEK IGQMTNESDIAGLFLETCMTHGAPDQAYGIIAASGENGATLHYMKNNEDFGNRLSVCL DAGAEYECYASDVTRTFPISRTGEWPTPEVRDIYLAVERMQEECIRLIKPGVRFRDVH LHASRVAVEELLKLGVFQKDNSVDAIMASGAVSVFFPHGLGHHVGLEVHDVAEQSVMA ATDDSSPRTRVRGFLMQPASAMSAALLEESMIVTVEPGIYFNRLALKNARTLPIARFI DFDVVERYYPIGGVRIEDDILVTATGYENLTTAPKGEEALDIIRRSSVKSSRA TSTA_094710 MGQRHNRRRTRPRSRNRAASLDSLRSSSSSIDYRQEPAASSPVH KFSEPVVLVPLAHTRHNWLEEWQSSEFNESFDAEQQQCRYFGGEPGDDENLCYYMLDY FEIIQHRRMKKDKTITTTDRREPSIVSASSGLLLLSCLSIRLVNESTIVTNQSFSDVI PYRNSANPKHLVTSVRTIRAVRLVAMKRVVLLKGRTYTWIGLL TSTA_094720 MSSTDYNYDEQGQFFPYFILTLTGLITLPLTYSLLSPPKKPENT APRINTTFKPKNDDLIQAQKRKRLRKERRVKRFIAVLVGWAIIGWMIYLIIVTARTLP KIYDPYEILGVSRSADEKAISRHYKRMSLIYHPDKIRPDPAKNETIDDLNERFVELTK AYKALTDEEVRNNYLQYGHPDGKQSYSIGIALPKLIITEGMGKYVLLVYGGLLGVLLP YIVGKWWYGSQRYTREKVLIASAGNMFREYKEDMIGGRVISALSTGEEYKELLKGSRS EEGLAKVEKKVMAIDEKILPSKDREVIRKLDDTTRRKALSLLWAYLNRIDLEDTTLEQ EKYEAGAIGLALNESFTAIALAFGNLLPVIGAYRSSQNIIQAIAPGSSPLLQLPHFTQ DVAKSVEGSDVKTHLSVQKYMEIPEEKRRDLTVGPALLSEEQYKVAINVAKQLPQFVI AKAFFKVVGERFITPSSLVQLVIKGRIIPPGYTTSAPEVNELDLEDIDPEEGDLDALH NRNLGKTRKVKRADGSIVEEKQEIVQPQLTYAPFLPRDHSPRWHAFLADPKQGKIAVP PFTFKTFDKPIFDDNGKPTFNVQTLKMQFQAPPQVGDFTFALHLVSDSYMGFDIKKEI TLHIDDPAKAVAVEEEDDISEPDEDSIAGQMQALKTGVPPQPKKRTKRPSDEDSDEEE SDTEGDVEDTSETDTETDTDGE TSTA_094730 MSNDPAVARELSRLDPAVPFRATSQHLHFTWAKTFYSRPELYIQ PQSIEEIQKAVTVARRCRRRLVTVGSGHSPSDLTCTSAWLINLDNFNRVLDVSQETGL VTVQAGIRLRDLSRELQKHGLSLPNLGSIDSQSIAGVIATGTHGSSSRHGLLSECIRG LSVTLANGQTVRCSATNNPELFRAALVSLGALGIVTEVTLQTVPAFRINWEQSLHPLS ELLDRWEGDLWTSHEFVRAWWLPYMDRAIIWHASKTDLPVQPPPKSWYGGWLGFHVYH NLLALSNWFPRFLPWVEWFVFGMQYGFKPGAVVTKAVEPAREGLLMDCLYSQFVNEWA IPLEKGPEAITRLSAWIHGDEKTARIPFSPKGVWVHCPVEVRVSDTTLSSTPRPYLDP TSREGPTLYLNATLYRPYLRDPPCRERYYEAFEWLMRDLGGRPHWAKNFTTLASAQDL RDMYGDDMDQWLKVRREVDPDGLFVGEWHRRNLLLTSGDETESAELGDSHLSLGERQE TVRKFGRRGAGDGLEWIGDRRWERASPVSLHKGTIITDSPSPPMTSTSEESFDLLAPG EASFFYYKDGLGVIYADSISTCALH TSTA_094740 MSRQQKILFPKINSSIPGYRYPESINTFNRRGDEKPTHRVFRTK RKHVQRACERCRVKKAKCDGNQPCSRCVTYNQACIFRDRKATQEKVYSRGFVEMLISQ HAVHIQALRDLYKRCVRREGFPGSPLQESIQGYPGTHAILDRLGLIKHAEEAIEDPQK VLADIVEYIKRLDPASDCCLDSVESTTDTSETTVSEELSPEPNTPRESPDLSVPSNAS TWKTATSEEARLCHAYGDYKPMHSQYAADIPLAGSTDDACAIRTDDFTEVSWNYYPSQ VRYTPAGTDTASYTRTESFESSWPEIADHPSATFMPMTSRATASEPLIYTTEQSDFSH GYAYTQGHEHLTGPIQREHQHQHQHQRQLRHSVDYGLMNTAEHYVYL TSTA_094750 MDDSSEDVNEFLLRIRELGDKRVKEDEDRARKLEEEILQGRKER QARRAERARSISPTKDFPSPSISSLPRDHKSISQFPPQVLRPTSASYEQDNKTHLDEH HVSDNNSPSTPPVVANHVAQSDSDRTEDTLDQAIVSEKISSLSLEPTATGEQTNETMP LAEDTPPSRSQISKNLSSKDPAWFRQTADRDRGSLALRRNADTSTTETSSAEASFKLP GLSRDSASDAEKFRDWIADERSRSPSRASSTFAANSSIGNRYSSISSVSTSGLGSPIP LVTSRLEARKIEAQPPGDDRIPLSPGRASPERSGSPTKGLGGFVQSAMLKRSDSISKR WTVQSPANLSRSNSIISNRSGVGRSAFGDLLPSPAEPRPIRESSPLSLSRPGSSHSEA TIVHHSKSPEDKDSSQEKPPLDTGFVRPSLPIHARSSFSNATGADEAKASDEPQTPRS PSKSFDQKRWSPTKSSWLESALNRPESPRTKATPVTQQPAWMRDLSKARQSRASIDLG RPAAAQETTPVSLRSPALSGHSKSPSVSGISSSIIQDLNGPSTEKVQSPKKEENTQPA VSDTKDGVEAVSKEVDVSASPDVEEKLSSSLPKTSPPVPSNKPKTVSAINVGSANPRS QPVTTDFRANLRKREVTNDKTTQDEPEFKNIFGKLRKAEKSTYKVPDVLKDNITKGKA ALIVTGGPKKSDRVDEFRESILKQKDAMKAGGGSIRKTGDEDRNIPKPRSPVPEALAK RNNLARTDSTRSNLSVLSSPSPTNSTGTESPQRPLSVSSNKPELNLSPVEPSPRQQST TIAITPNESSTQYSKINVQKRETVPGPNSVPAKSIQENKIGSTGSTVRPLSSRATVDI STKITTEPKGLATKGAIAGRLNPALADILARGPPRAGGESGKPTVTTSTFTPTQEPQP TSTAPLTHMTKARARGPKRRLPASTKSESITAMKEDSQSTGQATSASKVTERKDSSEE VRSPSVPAKTLDNRSESVIERQKPLIPSKSPDVPRSSLISPPKTKPVAEEPSADKENQ EVGVKSSASPESPTEEVSKPPVPSKSADVRRVSMTSPTLRKTSTSSLNKEKSNDLPSK STISPVVPPKTGIVRDTSTLSDKKRSLNERPTPPPKLATLPLNPSPGNSSPSPSFASR LKETFSNSANVSPIQAKFGLGLGGSFFQSRPGSPLDTSRKPSPANKPPSTPPVPPKKN LSISAQIPTEVRRPSLMSPIPRTSESVGVISEFFDTPPKSSDRVNVDPQLILSTETDE FKTRTLRKQIWEITGDGKKQDLPKNQDYILFEGSMYVCVHSFECETGNTTECYLWLGD EVSEAAMQDAQLFARRVARENGTKLEIIRQGKEPAKFVEALGGIIITRRGSSSRSSSS ALYMLCGRRHLGQIAFDEVDFCYQNLCSGFTFVISARFGKLYLWKGKGSGADEVGSAR LVGMDLGLTGEMEEISEGDEPQSFFDVFPKSETVEPPTTSDYWHLKPKHEKHRYRLLR IDHVLGQKGGFWNRRGSSSPVIRPNDTIREIEPFSQKDLLPQGIYILDAFFEIYVIVG SEAKSRAAEFASALVFAHEYGILAASLQDRPFIPVGYVSIGGLPDSCTVAFRKWDPRL WPTTSYVLPLNAAIEAIRS TSTA_094760 MLSILRKARLKDKEMRILMLGLDNAGKTTIVKQIMNEDITTVSP TLGFIIKTIDFQGYKLNIWDVGGQKTLRSYWRNYFEKTDALIWVVDATDRLRIDDCRE ELAGLLLEERLMGASLLIFLNKTDVAGCMTEKDIHEGLRLDAIKTHKWAIFPCSAMTG KNLHEGLEWVVQDAKDRLFLY TSTA_094770 MELLRASFAIWTTSETKISKLEPQDAVPTAKDDVKKPNGEENKT KPQDAVPIAKDDVKEPDGEGKKSSRADTIVTKVNVEEPHVEDEESVGTASTVKGDSPS FSFEASPSKENRKKKLPLSMANLIRITESEQQQLLSDVRNSFRLSNQEMREIILVKNV KDWLRKANPDGRQEDTASESFRSGDGEIQGGTEISVEEIVQQQTDAASSNPYIMERNL RVDAAKERISILQCRNGIEPGVCMFLRHAMHTDAEDIAAVFNSYVNCSAHTLESTMIN AGDVIQRINESRYKELPFIVAIKKHEDRLDIHRSWGRVLGYVRLTDFQGGLPAFAGTA QLEITVAHDSKGVKVGSCLLDAMMTMADPQYIPRGGYAFVSNIPNETMIHCASWSCRP LTRIVLMMSYLMFEQGENSVIENWLTRRYNFQRRGFLPAIAIKMGQEAGITILICDIA RPSLPYAGVHERNRINPQSAAWRMTSGDSSTPPSALSGHMRQRSRYACGPCRHRKRKC DGKFPCSTCTGYGYECNYSRAGGVQPETNTTNISGSAVQTSSQTGPVSAKRRSSATGL DEDEASVSSATRRKSKPALGSRGFGDEIASLNKDRKPPAPNTNGLMLPSKCRYIGQHS SVAFPQWLGKSLQSRSPPRVHSFGYNTGIRNELPYSVSTHIQELITWTEAHDSLDVYA TVIDPVFGFVDMEDLRRKSHDHWNGKDQGSYFEALISGVIGLASLFSKVLCEGRELKV IRHAKDILDDPFTARYPRLATIQAWVLRVIYIRSTSRPGTAWLYSCIMMHLCEAIGVY REREADEVTDENYSLAGFRDICARVMMVARCLHIIISYEHGRSTVDVGPVLEHNIVKR GTEDLTLQLHALVNNIPADNTRQDHPTRRQELSIALTTLISIPISHEFFNLIKADLCF CIYRRLRLLDLGIKQEQLTQIIQAGKTALPASRILILRNHPWWNTLGTVFQFICVLLA IDSSESLATIPEAMETLTTIAKHLKTHLADEALGTARLLVRSMTDKKRREAAILDKTT NPDSYSAHSTTTNGPDAEARPSASVNNKHSNPNINGNAASTAEANETNMVYPTTAVQE GVEDSIPQQELNGLLDFMDPLWNWDEFFEPPLATMQGAPFMEWNFL TSTA_094780 MANQQVGTVYDRVIQEVCEASRVDFEEGGVDQQTLEEMRRSWQQ KLSSLGVAHFPWDPPLKQSPSPAQSSSQQILPPAATVPSNAPRPTPLPAQPPVTLPPQ VPAEHNAGNSTPIIKTEPGLNGQHAGVPAHGGPSGSLDAHALARERAMSNLQSKYGAA AANSVNQLQAQNQAALSLPGQRPQNGHIPNGQDVKPIQQPYPGIAPPQHVAYNHPPQT DGANESDALAQWKAEVANRRELVQRNNGEGDRMLMAQLKMDALRLEGGGLMLPLDEHS SSGLPKRMTSDPAASSAQTDGPGDDEDDEDAINSDLDDPDDLADEDPDADDALGEVML CTYDKVQRVKNKWKCTLKDGILSTGGKEYVFHKGQGEFEW TSTA_094790 MASAEVSTPLKTHRGIFSTKTAGGRLPLTPSPRTRTTSNASISS SPFTPVREAYDGAPGAQPSVYGGNLASHFAKSVSKTRAYRESPKSNIARSRKSPKHLE LGVSDWSLTGTGPSHTPVKERVPKKSGATSKTTIRIPHTAGDRFIPNRMASEGLTTAG AAKPEENQRPKTSDGSAVLANAASAFDISSRSSDEDLTAALENLGLDDNDPSSTYARP APDAVAYESSLANACGVKLNTRILAFKPPPPESSKPIDLRAQYNRPLKPSSAQSAQFR RRVQTAPERVLDAPGLLDDYYLNLLDWSSGNQVAIGLERNVYVWSADTGTVNCLLESA PDTYISSVKWSGDGAYVGVGLGTGEVQIWDVEEGTKLRSMYGHDTRVGVMGWSKHTLS TGARSGLVFNHDVRIAQHKVAELVSHTSEVCGLEWRSDGAQLATGGNDNLVNIWDARS LSAPKFTKTNHRAAVKALSWCPWQLNLLATGGGSYDRHIHFWNTTTGARTNSIDTGSQ VTSLRWSNHYREIVSSSGFPDNSLSIWSYPTLVRNVEIPAHETRVLHSCLSPDGQMLA TAAADESLKFWKIFERKPGTSAAAAREGGVGSKAQMTKSMTIR TSTA_094800 MARQPPSNASVISPSGFSYVHEGGPTSIWDFVAATTSHRRSLQS TPPSMTARDPHNTYLMPASPAKGRRGSADYRPSIKKALGNVPACLVNASVTYCGNDQI YAFGGFDQFTDEVYNHVLRLNLNTLTWDLVDNYGDIPGVRMGHTASLYQGTKLIVFGG ENEHREYLSDIVILDIPTSTWTQPEVRGPLPRGRARHASVIYEDKLFVIGGVTGESNS ILDDLCYLDLKTWTWSRTWSFTPRFDHTAWVWGGRLWIFGGLGPDMERTTDLWWLDLK GSPSLDIPLSHGIDDTQGSLNRVTPSPGGLVSSPQQLSGRSGGYAANSASVQIRSFTR RKPTAPGAISSLRFHSGPHVPSLLSGTHFHVYSSGVLLDLITPSETVRPYDCNLSSLE LDSLRWQRLADGQEVFRPGYRWHYCTINEDGTKAWLLGCNIENATTAGDDNQLNEVLC LDLEKYGLLGNDFFPESSEQNRILASERQGSPQHAGLGADLLAVFDQPPETGSGTDFI ITADCDDQTMEDETSQISSPGPMQTQSDPAFLSPTAKTSAPIHVHRIILQARWPHFKR LYSAQMAEFHTKRMHIPEPYSVVRAFLYYLYSDSILDHPDFCASIFDVAGMLVMANLY DMPKLRLLCVHRLSRELDVENAAIIWERAGRTNEDWLRRRAAQFCLAHWGRIVRTAGF KSLNRQSLIELCEVVDTEGRIVAGPELEIVRALGSGPLVSKRPRLTGSSLVEDMDELE GEEEDGMEL TSTA_094810 MAITNGTNSVNGETHHSKLPADFVWGYATASYQIEGAHDEDGRL PSIWDTFSKTPGKVEDGTNGDVACDSYHRTHEDIAILKQYGAKLYRFSLSWPRIIPLG GRNDPVNQKGIDFYSRFIDDLLAAGIEPFVTLYHWDLPDELFKRYGGPLNKDEFVADY ANFARIAFENFGPKVKHWVTFNEPWCSSVLGFNIGKHAPGRTSDRKKNPVGDGTTEPW IVGHTLLVAHGTAVDIYRREFKAAQGGEIGITLNGDWAEPWDPEDPADIEACTRKLEF AISWFADPIYHGKYPDSMVKQLGDRLPPLNSDEVALIKGSNDFYGMNHYCANYIRHRE GEADPDDTAGNLDHLFEDKFGNSIGPETNCEWLRPHPLGFRKLLKWLSDRYGYPKIYV TENGTSIKGENDLSLDELLNDEFRAQYYRDYVGAMADASAIDGVNVKKYMAWSLMDNF EWSEGYQSRFGVTYVDYKDNQKRIPKKSALVIGELFNKYISKE TSTA_094820 MKLWVRLILSVVFLWSLAILGSCESQKKSVSSVRHRSEKPNAER FTTGGNNDWKDAESGKELVDSALRKLRAIKISTVAHDRQSGIIGYALHYAKRAFYFLF MNGPSLDDEASRLASRSNKLLPELVEAIHELKVAAETSGNADAMLLLADMNFYGNFSH PRNFKEAFRWYQELASATGNSTAQFMVGFMYATSIGDAVERDQGKALLYHTFAAEQGN TRSEMTLAFRHHVGIGGARDCDQAVHYYKQVADKAIAYLRSGPPGGRVMVRESYRWAD DDGGIYGEGASFSSSGPNAHRDGSHASADANLEDIIEYLDLLSKKGELKATLSLGKMY YDGARTLPRNYRRAMKYFRGVTKRYWTKDGDILANHPVGIDKVAAKAAGYIGMMYLRG EGVEQSFTTAMLWFKRGLANGDALCQYEIGLMYLHGYGVPKDAYKAAEYFKTAAEQDF PAAQTRFGALFLDQGDVQTATKYFELAARWGWMEAFYYLAEIANFGVGRERHCGMASA YYKMVAERAEEVHSSFIEANDAYENGDRETALIAVMMAAEQGYENAQANVAYLLDEQR SVFSLDRILPWTTQKPRSPLLRNAALALIYWTRSARQSNIDSLLKMGDYYLGGLGIPA DPEKASTCYHTAAEGHHSAQAFWNLGWMHENGVAVEQDFHMAKRYYDLALATNQEAYF PVKLSLIKLRIRHLWNRITNGNINGIQDEKESKAPRTFKEWIIAFLENDEEEAQQYSQ LLREREEETELIGSHHRADNDDTGYYDELDIDIDESVLEALIIVALAATLLILVYIRQ QRNRQRDNGNANNGAQQQQQQQAAGVNQNGDAVNNGNDRGLFPRPDQPEFAQWVAGGE IEGKMSQSPNPQAKRRRLGNGASALSRPFKSPLPAQRKEPPKPDASNSQAQQTPKHPD KPPFHNSPVSPSLNIKTSQSRQPHAFESDPDIIALRRKRLVLQSQLTSLRSELDTVQQ ALRIESSSRDDELRALKVKWRSISQKAAEELFETAKDKIERMGGVGAWKESERQRMKR MQMWEDDDFYGGGVPGGDDGVEGELRSTIDADDENGGCEQKDGNEEETFTMDMMLKSL NIETDIIGFDVVEKRWKSD TSTA_094830 MSNVHFPYSKAPLRTIKEIQFGLFSPEEIKRLSVVHVEYPETMD EQRMRPRTKGPNDPRLGTLDRQYYCETCEEGQKECPGHFGHIELATPVFHIGFLTKTK KLLETVCHNCGKIKADMSDPKFQDALRIRDPKRRFDIIWRLSKDVAICEADPLPEDED PFSKEGSKPHKGHGGCGNAQPTIRKEGLSLVGTWKPNKANMMEDDIDIPQPEKRTITP AMAYNIFRSISHEDVRIMGLSNDYARPEWMILTVLIVPPPVVRPSVVSGKSSSGQRAE DDLTFKLAEIIRANQNLQRCEQEGAPEHVVREFEALLQYHVATYMDNDIAGQPKAMTK SNRPVKAIRGRLKGKEGRLRQNLMGKRVDFSARTVICGDPNLSLFEVGTPRSSAMILT YPEVVTPYNIEFLQKLVNNGPTIYPGARYIVRDNGERIDLRMARKTSSNLLYGWKVER HLLDGDVILFNRQPSLHKESMMAHRVRVMPYSTFRLNLSVTTPYNADFDGDEMNMHVP QSEEARAELQELALVPLNIVSPQRNGPLMGIVQDSLCGIYKICRRDVFLTKDQVMNLM LWVPDWDGVIPQPAILKPRPRWTGKQIISMVLPSGLNLLRIDKDKAPLSEKFSPLADG GLLVHGGQLMYGMFSKKTVGASGGGIVHTIFNEYGPDAAVTFFDGAQRVVNYWLLHNG FSIGIGDTIPDKKTIERIEAAVRAGKAEVEQIVQSATENTLEPLPGMNIRETFESKVS RALNNAREEAGSETEKSLKDLNNAVQMARSGSKGSTINISQMTAIVGQQSVEGKRIPF GFKYRTLPHFTKDDYSPESRGFVENSYLRGLTPTEFYFHAMGGREGLIDTAVKTAETG YIQRKLVKALEELMVKYDGTVRNSLGDIIQFLYGEDGLDGAHIENQRVDIIKCSDEQF RDRFRVDLMDPERTLGPEVLEQAAEIEGDVEVQRYLDEEWEALLKDREFLRSVAKGDE EMMQLPLNVQRILESAKTTFHIKEGTISDLHPAEVIPQVQALLDRLVIVRGDDVISKE AQTSATLLFKAQLRSRLAFKRLVTQYSMNKLAFNHVLGAIEMRFARAQANPGEMVGVL AAQSIGEPATQMTLNTFHFAGVSSKNVTLGVPRLKEILNVATNIKTPSMTVYQEPHRK HDKESAKQLRSVVEHTSLRSVTEATEIYYDPDIQSTVIENDRDMVESYFIIPEDVADD SSRQSKWLLRIVLSRPKLLDKGLTVQDVATRIKEQYPRDIAVIFSDNNADEQVIRIRQ LHDAKEDEDEEDMEYDVTLKKLEQHLLDTLTLRGVAGVERAFINEKDNVRVMEDGSLF QSKTDPLCKEWVLETSGSALADVLTIPGVDTSRTYSNQFIEVFEVFGIEAARTAVLRE LTQVLAFDGSYVNHRHLALLVDVMTVRGYLTPVTRHGINRADNGALMRCSFEETVEIL LEAAAFGELDDCRGVSENLILGQLAPAGTGEFDVYLDQNMLNTVVSSNTGIGLMGGLG AKDAMINDGAATQYDNNSPMMENGYIGTPDPDAKFSPIRQAGSETPAGFTSYQPSQGL GGFSPAPQSPGGYSPTSPFNTSPVSPGYSPSSSYSPASPGMSITSPRFVTSPGFSPSS PNFQTTSPAYSPTSPSYQQASPSYSPTSPRFSPSSPNYSPSSPNMSLSSPAFSPTSPT YSPTSPAIGGTRQFSSPTSPKYSPASPAWSPTSPEIYSPTSPNIHGSPTSPARGGTSP SYSPTSPQYNPTYVYTNPGEVPAPVDWKC TSTA_094840 MNLSLVDPFALAQDYPDTLTGKLRSGHAACLRFSHKGDYLAAGR VDGTVIVFDLETNGVARKLRGHTRQIQSLSESLGYIMTVLVLDADFRSLLFVASLFEE QPVLVDISNPKPIKHILPSVPLRPQDGVDPAAAAKQAAQDAKQSTCVTIFTALGNHII SGTSKGWINIIETQTCKTIHSTRLCNGVIILIRLASNGRDLLINSSDRVIRTVIMSDL SQLGIGLDPSNIKLDVEHKFQDVVNRLSWNHVAFSSTGEFIMASTYMNSDIYIWERSH GSLVKILEGPREEMGVVEWHPSRPMIMSCGLESGSIYIWSIITPQKWSALAPDFAEVE ENVVYVEREDEYDIHPAEEVHQQRLDQEDETPDVLSLDHLGSGAAMGELDMDTFRMPV LLDLSDSESEEEIIAVGPGTMRRRSPGAGREWMNTSHDGASSTRGAAANGSSRGPHGR GRKK TSTA_094850 MFPNHRHPAQPLQGTATTTTITATTTGSPQSSQLIYALPDASRI GQNVDAHNPHAGESARSSNNANTLMPSQYKLPEQHPRHLENGRTYHGYRRGVYMLPCD DEEQDRLDLFHKVITEARVGDGLIYAPHPPNARVLDLGCGTGIWAIDVANKYPDSFVA GMDLADIQPENCPKNCDFYAPRDFEYPWALGEDYWDLIHMQMGCGSVTSWPSLYRRIY SHLRPGGWFEQVEIDFEPRCEERSLEGTSMHKWYQYLKQATEMSARPIAHSVSETMAA LEKQGFVNIDHQIVGLPLNPWHHDEHEKKVGRWYNLAISESVETLILAPLHRVWGFSR EQIQQLALDVKREAYNKEIRAYNILHIYQAMKPENPSGN TSTA_094860 MHTLQATASSSLSLPSGTYIYAIAASTPSTQQQRQFALISSDDS LRLFDGQSLHPLNIVSAKCHDGVTALKEYRGNGTGGFLLGTAGRDGAVRLWDPRSTSQ KAGIEMNVEKKCPILSLSCDPESYTIVAGTELESYQATVALWDIRAPGQIRQQYIESH NDDVTELQFHPTRKNVLLSGSTDGLVNLYDTNIQDEDETLLQVINHGSIHHAGFLSDD AVYALSHDETFSIHPITNPDSEEPHEPSPIQFGDVRPSLHCEYVVQALSTNQGTYLAA GNTTEKCLDLFPITPSPTWGFDYTNVWRLPEAHGEEIVRAVYLDEQSRTVFTGGEDGF IRAWRQNGSDHTEDDRNDGQEQLSSDRAAARARDKKKYKDEKRFRPY TSTA_094870 MSIGERATKQRKEESRTDCDMMFKTQWHANRHDETHTRSLEERK KYKCPECNDGFTTKPALERHIKGMHTENPNDLHCETVKQFLVLFPNGSSKAKLFEHPY VNGCAHEEGEIMQGEGIIQQEINPVQISRLQVQNKSTEICLSYGQNLPYLICKKLFWN TDSLLAHIRTYDEKTGYACPLKEEFNCQYFTATPGKADEHARTVHRKEGALLCMVLTC PHAVSGMPITEAGMDTRMIAHEDSSHLKGLDQYPEPINTTNDEMHAQNNHKSLKEATP ETEADLGVTRQQVAERNDAIRKSGCTIVEANRGYKCIGPSLLVNGLLTHLCPYNATID FDTASIVQNYRGFALQTSGEGTRPGTMCGKILLPAGVAGREILQKALQQPRGIDTKGI GT TSTA_094880 MDAQAYLMRHGWSGPGNPLNPNRRPGQHGGLGLTRPLLISRREN KIGIGQTSKKDPTNQWWLRGFEEALRGVGKDGTASPNTEGLAREDNTLTRNGSQLYKF FVRGEVIPGTLLQEEETAEGVVVEVEETSIKSEGKKEKKRKRGQEDDESKKLREEKRK KQKRDSSETATSIEQDSDSRKVKKKEKRSKKDKSINVDVSATDEIDSAQSTDSKERKR KKKNRSDKIDDQDPDTDKKSEKRKEKRRARLSENDDQSIPDVSVQADDKKSKKSKKDK KDKKTKKGKERKDKAG TSTA_094890 MANSKYEYVRLFEQPDNLLPETWIVVRIDGRGFHKLSDKYKFEK PNDRRALDLMNAAAVSVMKDLPDLIIAYGVSDEYSFVFHPNCQLFERRSAKLVTTIVS TFTASYVCQWPIFFPDKPLDLSSLPTFDGRAVQYPNAKILRDYMSWRQVDCHINNLYN TTFWSLVLKGGMSNVEAEKELQGTVSSDKNEILFSRFGINYNNEAEIYKKGSVVYRQY QLEDQFSATTASLTQAAESQPSGELSKTQQEKMRKLRRKAQVVVEHVDIIKDDFWQRR PWLLSGKPGRLPVE TSTA_094900 MDYNVGMYEPLYWSFDAYPSVVPRVRVRGVMALFLAGTILWALV WLLYRAWQVCQTPNDILVDKLGLDIPPSPEVTLEEISAREIHIAWKHPDFHHSVSKHI IQVNSAKVGETKRAETAVTIGNLIPGHIYHICVFAVSAANFQTASAVLHVRTKPLPLS QTQQDGNVEGPTIQASVPRSTVTLSAPSAPVMAREHSSGFSQSKRAGGAKKGSSATAL VDLQTDDSHRASTSDDHSGTLERLAERLKSLQQEHENMDKLIAEEDEEHNGILKELEK QRDELKQRVKEKDEASGDLKKHVNKLESVNRTVQSEKSKRERLLQQKEAERKKRKDDI VRWQEQIAQINKDLARAKEEKEKIEQDAAKQANEVREKIASEQTIMKDIDDEIQEKGG RIKKLEDERQRLEGGDNEDGKELDRIDIEKARQWENRLNHLHTRYATLVNLHVQAQQQ YQEAQERLKWLTEQRVNGTGPFAALQTLDLDMSQSSAMRRSRHRSSLTSNVSSPIAFP QVETAFSRSTTYNPSSNSPTFPPTTAFFNINNGMTLAGLADRGEALPTESDTSLPPMS PRADALLPSDLLGDEESSGLPESMVEQNVPGGEATAGPSLDQQPRSPSPVPSESMSSR FFASPHESLRDVDLAIPTSERMSPGDSPNVQTASRRLSGLFNFHRQRGKTMVDGPPLL GSLKPGQSRSFPRNYDDIDPITARRRRLTHATNWANPMSYFPRSSAAHVTADSSSDHT PSKRSTFANIFSAGKNSSTDVIKMDQGYNQFSPRHDPIDPSSILGPIRRSSLSPRPSS TFSFDKQLPHPSTEPFGWPSSDANKRGSLATFDWASPSTWSRAPSRRPSIQYGSSSHL PLGMSPGEPDFLQAPHDKHRPLQAPIGTRPSSSHRPGTPKLNPAAPTFKTLFKKSDKD KERSVYREFASRSEEFDFRPEDQSPPTSSRSKDSRPLSGGAESLESLDLVPSSSPSDT FGTKESFIQKITRKGSSSKFNLSWKDRGGIFSKKYESSQGDADEEDEAQLGKSMESVI SNTPSADKSSIRSSRNFFSRKSKKDKQSIEASEKASENGDEEIVEE TSTA_094910 MSSQNQGPRRIGQWIYLRAECIDEYKECHVKVWPAVLEQIKDSN IKDYSIYLSLTPRPMLFASFKYVGNAFDKDMERMAANPTVQEWWQMTDRMQESPVEGA VGSASGPSWWGNTEEVFYME TSTA_094920 MQYVRSLSGPVSKTWNSINPATLSGAIDVIVIEHEDGSLACSPF HVRFGKFSLLRPSEKKVEFRVNGVKQDYSMKLGDGGEAFFVFETTDNVPAALQTSPIV SPASSPATSVDELPSLQEPPDLYLDGANSSKVECRDPVGIPVPTDRFGSHNFGNLTPL SQSPSSSTIPRNRLDSFDDSTLVPKLDRSASDGVLPQDRETLGSEFSSPASLLRAGNR ANPHQDRHSMSPPPVSPSEAISRAIALSKKLSGSNIPSQVTESGDLMLDMTGYKSSEE DALRAEVVARKILSEELEGNYDIGALIGADDHGNLWIYSSEEAKAAADRRATLNSLKS HPVPFSDDAVSDPGYHSDGEPSTLQHPIQTRHHRTQSDVQPGSPTPPQTPPQDASVGD PNRNYAKTLRLTSDQLKALNLKPGANPVSFTVNKATCPATMYLWSYKTPIVISDIDGT ITKSDVLGHVLNMIGRDWTHQGVAKLFTDIVSNGYNIMYLTSRSTGQADSTRTYLNGV LQEGYRLPKGPVIMSPDRTIAALRREIYLRKPEVFKMACLRDILNLFPPNHNPFYAGF GNRLTDALSYRSVNIPSSRIFTINSNAEVSLDLLSLNKYKSSYVTMRELVDHFFPPVS LLVQGGGEEFTDFTYWREHANLDDFSVTDSEEEEEERDIESERGYSDDDASDLDEDEQ GDDMGDSFISRDSLDDPELAGSMANSVLEDEEEIPDEELSEADDGLPDI TSTA_094930 MASPRPAAAAGSTQPLTPPDDHSSSANPTISSQNAAPTQAEPIM PSVPATSLNDSGKWRRPRDARLIHMLLASLGVTAYQERVPLQLLDFAYRYTSSTLQDA AHLAMEGYAGVPTGPGETNVSTGNGRGVVELNTITLQALRLNVATERNRIALPGVARG GETATKNPFSNVSMGGMRLPPERFCLTGTGWNLKEEWESEGEEDVDETEPTATLPNAT QNEHQEADADEDGMEDDDEDGRMEDIFGEDMNMKEGDDDENMEDA TSTA_094940 MSASSEAQPASTPQHLNIHNPLPLSATQEQQVKEIYYKRVRGYC APEIKAFAECARGRTFTTTWVCREQRLAMNTCMLAHAKPEELDRAREEWFAGREARRR EREEQEAAVEKRRQEVIKMMREDDMK TSTA_094950 MSSMRNAVHRRQHRERGQLEGREKWGILEKHKDYSLRAKDYNLK QQKLQRLREKARDRNPDEFAYGMLSDKNAQQGKHGAREGTSLSHDTVKLLKTQDAGYL RTIGERIRREMERLEKEVQLQDGMEKVLGSAGNKKRHSSDDEDEEDDEDEMSGNGNKR RKLIFADSREDQKELGRKFDEDDNEDEEEEDEEDDAFGTQLHNLQRQPTKKELEAQRK AVAHARAARKIKRRAAEGRRNKLAALRKQYAEITTAERELELQRAKMSNSVGGVNKKG VKFKIRERKR TSTA_094960 MASPLVIAVQPRATSDKNNIKNIARVGNAFIKATNASEAIDFIN ANSTFFDIYVDTTDIGADNAVEILNAGASKIFLNTKQLISLTEENEIPSSRLVAYVAS DEDSTELRNWLANAPGSQDVGVCSTSNAAELFEKFDFPKDGGQVFKLFGENVTESAVI EEVAHGVVAIVPSNQLSIIPTADGKVAASNVLASRAVPDRNTGLIATLVVDERGVSLG FVWSNKESLLEALKTGTGVYHSRKRGLWYKGQTSGDTQELLSIGFDCDADCLVFRVKQ LGRGFCHLGTNTCFGRYHGLSSLQKTLQSRKESAPPGSYTARLFNDPKLVEAKIMEEA EELCQATTKSEIASEAADLIYFALTRCIAADVSLEDIEKNLDLKNLKVKRRKGDAKAR WAQKVGLAKSGKASEPSPAPVEEEAPKSDRIEMKRIHTANTPISVIKDALERPSQKSN EAIVELVKPIIADVRANGDAAVLKYTHKFEKATSLTSPVLKAPFPQHLMQLSSDTIKA IDVSFENIKKFHSAQKDDKPLVVETMPGVVCSRFSRPIERVGLYIPGGTAVLPSTALM LGVPAMVAGCKRIVFASPPRSDGSISPEIVYAAHKCGAESIVLAGGAQAVAAMAYGTE SITKVDKILGPGNQFVTAAKMMVSNDTSAGVSIDMPAGPSEVLVIADKKASPAFVASD LLSQAEHGVDSQVILIAVDLDDRELAAIDEQVHQQANALPRVDIVRGSIAHSVTFVVK SIEEAMNLSNEYAPEHLILQLENAEEVVPLVQNAGSVFIGQWTPESVGDYSAGVNHSL PTYGYAKQYSGVNLGSFVKHITSSNLSAEGLRNVSEAVMQLAAVEGLDAHKRAVSIRM DIINKS TSTA_094970 MRFSPMSLPALAVVGQAVASPISKRDTASDRANAVKQAFQIAWD GYYAHAFPHDQLHPMDNTYDDYYDGWGASAIDAISTAAVMGHREIVNQIVDYIPTIDF TYSNETTISLFETTIRYLGGLLAGYDLLSGPAADLVSDKSKVDTILKQAQVLANTLSY AFETPSGVPYNNLLINNRSNDGSTTNGLATTGSLVIEWTHLADLTGNHTYAQIAQKAE SYLIHPQPSWAEPFPGLVGSTIDIATGKFTDNYVTWNGGDDSFYEYLIKFFVYDPIRF SEYRDRWLAAANSTLEHLLSHPASQPDLTFVNIWNNGTTISTSEHLTGFIGGNFLLAG SVLGRQDLIDAGLAFTDSWHATYNSTATKIGPEVFAWDKAGVPADQEAFYEKAGFYIT SADYVLRPEVIESYYYAYRVTGDTKYQDWAWDAFLAINSTCHVGSGYSGIENVNVVGG AGFDNEQESFLFAEVLKYSYLIHAEDADWQVKADGKNKFVFNTEAHPFTVVG TSTA_094980 MPALTLHLLAFKDHSDDAKAFVAKLRSYTSVKVVVASRPRYVVV RPTFLDIDPLLMQKWDLVLLLQPTEQNHHQGESSLIPSDLQDVILAEYRILAGIPSKL LSSFPERDAQLKKESPPALTGSLSKMRSQSKESSQALEMSPDLLAFMDMLSAEHDKPV TMLNLLHFHYPDGKQNYFQYGQAFIPVAGRRGGNAKIVGNVVKTPAQSTFLNDSRGSP DRPEQDWWNEISIVHYPSIRHFCDMLVSEDYQAINERYRLKALRDTFLLCTTEFDVEE DSRAKL TSTA_094990 MYLPRSLIGHLYLQLLRSHHPLSPPVLILVALEPDALCACRILT TLLKRDYIAHKIQPIAGYGDLARAGEELVRPMRTTDGGTGGVVVCLGVGGLVDLGEIL RLTDEQDESEELGGVEVWVLDARRPWNLVNVFGGHPDHFRGREALAEMDLNALRKGRG VDKGCLNKSYKSGSGGIIVFDDGDIEEELTKECEAYYALEEMPEVDDDGDNLDDSESE HDNDNSLVGASKKRKSWSGRELEDSDDEDDERPRQRRRSNSSSPITSSPTNRRRAIGH DSSNSSRTPTPEPSFVSPISHQPSARTLRRRLLRMKRKHESILQTYYNTGTAYSEPIS SMMYSLASELGREDNDLLWLAIVGTSSMELSGRTMAGVGISSSSEHGGSAGWGGSRGE QIRQIMRDEVHRLNPPEGVESYRDIPGSNTDVIPTTGRSPTDTSIRISPEPRFLLVRH WSLYESMLHSPYLASRLHVWTENGRKRLHKLLAKMGISLTQCHQNYNHMDMELKRVLR SRLLKYAPMYGLEGLVPPVASGHSSNREGWGFVRSWGWKACLSATDVAVILGSILEVG PSAAATWESGSYATRQLAMNKATSPSDTDPANIHPRFWSAYDALSPTGSDSPTLLIES LPLAQHLHRSILRTGTSLMSKNQIRHLRAFRIGVVKDGPDVKLFTNPGALTKLALWVA EAIQVQERDKSETRMGKKKILGTPLVLAGLDEDRGVYVVVGTGGGGGVIDFAALAKKN EARRVKKEAADKKKKDREERREKRAAEHARRGEDEDDDASEDESEEDESESSSDDESE DEKSLYGNKRLVRNRFGIAFQEVVQETSARVRIDSFEHCVVEVQKEDLSGFLEALSFR SVVG TSTA_095000 MSSEIGIVDSPSDPPGRFSTSVRAGLATLLLSCTIPVSVFGEHT SNWAVLVSTSRFWFNYRHLANVLSLYRTVKRLGIPDSQIILMLPDDMACNPRNAFPGT VYSNADRAVDLYGENIEVDYRGYEVTVENFIRLLTDRLDEDVPRSKRLGSDAGSNVLV YMTGHGGDRFLKFQDSEEIGAWDLADAFGQMWEKKRYHELLFMIDTCQANTMYTHFYS PNIIATGSSALDESSYSHHADNDVGVAVIDRWTYYVLEFLETQVTSPNSKLTLGDLFD SYDESKIHSQPGLRWDLFPGGEQEGRLRTVVDFFGNTQAVQVESNATRGPGSLKEDLD EIAKLVEKWQRREQGYPFLQNRTIASDEKQKQSEPSSTAAAAATDRHRQVVVGASKMT DEDSWTKRLVGLAVIGAGTGLWLAGSVFGRET TSTA_095010 MSSTGKSFKILIASGGVAGLALANMLERFQIDYLFLEAHSNIVP TIGVGIAIFPHGARILDQLDLYEPIAELVDNSGRGDHIHNEDGDCLLNVPEFEDYNKH RHGYPVSFIDRQSLLRILYDRLRNKNCVLLNKKSVCGTLLIGADGVHSVVRREMYRIA NEKVPQYFAADEHKHASCHYLCVFGVSQDVPCWVQGETYSVFGKGYSQLVVSGPDKSK IYWFFFARLPETRYGKDIPRRYNREMETQIIKKYEHRPVTKTVTFGQLYAKRSVSTLT PLHEYTHEEWFFNRISIMGDSAHKPNPISGQGGNAAIESAAEFVNALLERRNSRAQGL DRLTDKDIKNIFMKSQIRRLERAKDLVSAAHIQQALTAFEKPLVSKILWEYTLLLGGD SGYLDLFGEKIASDPRLKHLSIKERPRVIPYDDELPSRPRTSNKLHLGRTFLILGLLY ILLKDHNFLYYSCSWVDTRKGAMTTFSAARDTSALLRDPFSSKKQIAYNIYGLSRLIS PILICIVEGYRLGNRASILALPSLFLLAISFKGIVFVSLLYSVMNAFLSTSSPAGRFV KPEVARVLGELGNQEVELQKIKNSQVVRTVITKTILNDTKAEMCQF TSTA_095020 MSKLIVVTGATGQQGSGVINALSANPDWRIRGLTRNLNSEKAKV LIERGIEMIAADFDDEGSLTNAFIGANAIFAVTDFYEPFGTGIGPEKAMQVEYDRGVK LARAAAKTLTLETYYWSTLPAAKDLSNGEVEVPHFDAKGAIDAYIKNDPVLNPKTIFL LTGFYASNFKYPPFTPIYSKPAGQYILALPAKSSSHLPSLGSVNNIGITVSGLLQHPY PSTAYGPKGGRYVHVTTGKYQIQDYFSKWAEIAGKGKLQVLSVPFEQFEGLHGMWGTE LGLMVKFWEVVGAPRMWGTVGDGDIMVDSRDLEGVRDRLVSAEDVWRQENWDQF TSTA_095030 MENLKLILVIGGTGAQGRPVVKALSESGRYSVRLLTRNPASDQA KELAALPNVTLLQGSQDSQKDLHAAFTGVYGAWVNLDGFTIGEKSEIFYGIRAYEIAR HHGVKHYVFANIDYALRKAGWDEQYHCGHNDAKGRVGDLILSHGQSTMKTSLLTTGPY MDMLYDGMFVPKEQADGSFVWENPASDGKIPLIALDDIGVYSLWMFDNPSESAGLDLE VATDQVSFAEIAETFTRVTGKKGVHKCVSLEEYLVKAELYPGAYSNWAVKPDVPRDES FMTWRENFSSWWRYWSEGKGATRDMAFLDRIHASRIPSLEAWMRVKSYDGRPQSVLKD LHELRKNQGP TSTA_095040 MTSHALASCFSCRRSKRRCDKSLPSCQLCIRKGLKCNYPHRRGQ RSPSPPHSSRGSETATDSDSSTFSSFAVTSAISFLAPDLFRQARLEIPRLRLDIPDDV TSHLGDSQQVRETANNFFQMTWMPIISRKYYLAAVLNPLSPSRRSTALLALCMKLCCL RVEGAERNSLYRLVKKFYSEVESTEGLCVQILQAGIFIAVFEIGDAIYPAAYLTVGAC ARYGVAMGLDKINKDRMGDAHNFSAPWMEIEERRRAWWAVLILDRFLNVANPCRALAT EDPTFEDFLPVDDDQFYDATSQPENAVPISQGFIFKIGHFGRLAQATYLISQVLYTIR SDSAVNDYFNGSGSLSADMGQLCRTLEALVRANEMEITLRRLTFCCQSLVAYSGIFLL QQHLWQRLKVKSTQEAQQHTFIETRIAIDTLCRIASALQERSNMVDLLQGQCTFFLVN IVYQGVSALMTIGQGNPSAEIRESIDTLSYLLGNIRSRWPLAGKVLAKEGSK TSTA_095050 MAPSKRSILITGCSQGGAGNALALEFAAQGLRVFATARSLNSMS NLSEKGIETLVLDVTVPESILALKEEIVKRTGGTLDILYNNAGSMYEAPALEADRSQV RKMFDANIFGLFDMVTAFTPLLIAAAPDSKTAPTIVNVASILARLPFPFASAYNASKA AVSAYSDTLRVELSPLGIRVVTLFMGEVSTKLRSANNISFGPESLYADVEVKVKERTE QSAKVSMTPDVFAKQVVPKVLANNNANYLWKGTNAFIVWLLAAVGPRKVFDSTVMGPV GFGDKNVIKRIYDRGQRLVEKS TSTA_095060 MEYFDFEGASFASNNVQDDDVASCCQELDEAEAVENYESLFFDK NFQLHPDSGLTADHVDNLHDQELDPQPPVEDPVVTEPSSGSQYPMFRSPLPCDFCRQM GFTHEKTPGKYLHTLQPVGEDDVTSTGGLTGRRALKSLGSSKFDHLESRGRKSGARFS RDAVRILKNWLSEHYQHPYPNEAEKDALKERTGLKRSQIANWLANARRRGKVLPSTRS SSPTPGAVDIPGSTHISSASSTTSTSSSSTLEYALMTPLERWKHSPPEHEAAATSDII RAMANASLPPPSPSHAQQPQQQGYYAPPTMSGHGRSLSRKTGSSNDSGSLFQAPSVSS YETENKSSISDYSFASAFSHRSSQLSFNSADRKERRRRRKQMPPVPAFDRQPARGARI FQCTFCTDSFPAKYDWQRHEKSVHLALEKWTCAPRGGVITTSDGMKACAFCRTRNPTE GHLETHNYLTCQEKTVQERTFYRKDHLNQHLRLMHEVKYDSSMDQWRSTTNEIKSRCG LCDTNFTTWKDRVDHIAQHFKNGADMSQWKGDWGFEPYVQRLVENATPPYLIASERAG LGQDKTPGISHTVKTTRSFVGSSSVSLPVPTDETSFTRLENELKAFIGGQFLDGNTPT DKQIQDQARMIVYGSNDPWNQTCADNVVWLSVLKRDCGMEDLPGLENIKLEDLGMQPP FAGRMQHPPLETNSLVAGNVRHSWFSTASLGSAAISASGLQSPAFVSSSGFQSAAHSM PGSLAGSFSGSIGVSSAGPSSAIPGLSSGWSSNFSVGEQSSSDWTGSVAYADPIAQSN FDLELLLQLNDPQSQGMGIDAPIFDMEVLTSQAEMMPPVASALGKEPQSFDPLSTAMM TTASETRPISIPTTTAAGNTVGMDSYFEPSFSSQPGYN TSTA_095070 MSDLSVEITAPNGLKYTQPTGLFINNEFVKGNGGTITSIDPATE KEIATIHAASAEDVDRAVRAAHAALKDPSWKKLAATDRGRLIARLADLVDEKRETLAT IDSWDNGKPYSVALNEDLGEVSLALRYYSGWADKTYGQTINTAPEKFAYTLRQPIGVV GQIIPWNYPLSMAAWKLGPALACGNTVVLKPAEQTPLSALVLGQLIKEAGFPPGVVNV VNGYGREAGAALVEHPLVDKIAFTGSTATGRQIMKMASATLKNITLETGGKSPLLVFS DCDLEQAVKWSHAGIMSNQGQICTATSRIFVQKEIYNTFIEKYKEAVKSTSKVGNQWD ESTFQGPQVTRAQYERILSYIDIGKSEGATVLTGGGPYREEGGNTDFNKGFFIAPTVF TNVNDSMRICKEEIFGPVVVIASFETEEEVIARANDTTYGLGASVFTRDIQRGHRVAA EIEAGMVWINSSQDSDPRVPFGGVKQSGIGRELGEAGLEAYSQIKAVHVNMGTIL TSTA_095080 MLPTALRRRLDRLNNLQSTFLAPLEQVDSNQYSSQGLTCTPELD FGHDISRGTSSRPTSAGSKGSTVSVSVNASGTSTPELDTLTTYESDSGLRWNRVVPAF SLLRNAGFEAQQPNSDARLVRSLFIDGVGYLLEALPSDLTDDEARSIRDRLPDPLRAQ VEKIPASPSQNNPVPPSYLHRVIATLIVYGFIFAQLILPYVKVLLNSIYTYERKYRVT ERILAAALESADGVGKGAGNIGSMLMTLSEGRLFTGLCTLTAWTIESVAGGVYDGVNE GLVVLGATHRGSEIVQQKPS TSTA_095090 MASSRLPNIPSLRKQQLFLEFRSLKHAPPAGVYVSITNPTDPTH WSGVIFIRKGPYASAILRFNIKFPPTYPELPPLITFTTDIFHPLIVPLTTYTFTTGSS NEAPVSATDEERLSPGAFSLRHGFPHWFGRARRTANNSAAGSRNVSGGSIPPATVTDQ EANEQTQPDAGSSSPAPGNSIPQEGAEPTAESSLQETPKAPENNPHISKAPTANPFEK KDETVHVVTLLDYIRSTFDDEAVLDSLPLDAAGNPGAWHAWKAHRSGPGTPNKRGTPQ TRLPGEWNWEGVWAKRVQSGIQASQSDAALFASTPRAETDSIRFLDLDPSVLNSVKEK LIAGLKEKESE TSTA_095100 MDLYPAPLLVNKRKNLPAVKLGNDRPQKPLLSFGRSSSARESTR ASSSESTILASNNPLHTEWSNLDVAKVRRSNTSKSLGHPNRKAASNAAYPGKHTRQFS STSSTFKSEANSQTHRANRTASQSHTQGRMSQFFHALDKKLIKPSARGISKRLSSNVQ STQVEDTMYSSLKHNFVPPLSIVNMDMHIDETLSDFKKDEIYVHVTVTVDVNLDSVRY IPYSLAISAVILLNMTSDREMLRVTAMAQEIMDKLDERDSLVVACVNFERIDCIDVLF STNGIRGFDLARLLSDCTSAGKQLINGALRDDELLGVAVENSFQLFDASNSLVPHVFL ISANRDTTMSTTYNPAVGLTTVTLEDHYDFGPTPRLGWHIYPEMNLEKSSSLGFEHKA DKALCHIRTGFSSGSITDLHLRFSPAAGYETQICGRTSIASLRPGETWKLLVHISHYE EQGFNSLEREIKSMLRYGEFAGLNCDRGGGILEATLRFNHSLHPSCSLKMVKSCSIVR A TSTA_095110 MTDKLPRKRRKLFFDSYADFDLQKKSLEHETVQPATQNKSDDKA EYDDEPTDVKLARLISLFPDIDESILLDVLVSYEGCVEAASACLEARRDSKREDASSP PGMQSSLLSSFQSAAPDQAIVSSSSGWSKPLTKRGKTLHLYSPEDIARHTPCSIIHNF LGPEEANELLTELLDEAKTFHSDTFQLFDKIVQSPHTSKLYVSSDYELRQQTEQYVYN GTYQTDVRKLSPRMKVVSDKVQKVVNEQVQRRIQTHYPDGKKLRYQSPREWVPNVALV NCYDGPQESVGYHSDQQTYLGPRAIIGSLSLGVAREFRVRKVAARDGAGDDDNKQQQD EDSVKKTRLPDKIADAQGQISIHLPHNSLLIMHAEMQEEWKHSIPAVSNISPHPVSGN KRINITYRWYRESLHPRNIPRCRCNMPTILRCVQRKQSSRGRYMWMCYAGYAPGSKGC SFFQWAEFDDDGEPLWEKMRMKRSYHTTNDQL TSTA_095120 MLHYRTEGFNGSAVKYSPFFDNRLGVSASANFGLVGNGRLYILE LTPNGVVPAKFYTTQDALYDLAWSEVHENQVLVASGDGSIKLFDSSVNDFPVQAWKEH NREVFSVHWNLVAKENFCSSSWDGTVKVWSPQRPQSLLTLPTHSCTYSAAFSPHSPDI LSCVTSDSHIRIFDLRTPASASNHLTMQIPIHGGPVPNQVGMPPQGAGAAAPPSECLT HDWNKYRSSVIATAGVDRVVRTFDIRAPQHGPMAVMPGHGYAVRKLAWSPHLSSLLLT ASYDMTCRVWNDRGDAQADVDPMRMGPTGPILGEELGCMSQHTEFVTGVDWCLFGAEG WCATVGWDESLYVWDVRAIMKQ TSTA_095130 MPKRAGGENSKKAAGNARKAEAAAQKQAAADAKRAAEEDAQWSQ GGKGGNAKKEAAEAKKAEAARKKAERDAMLAEEEASQPSKAKGAGAKTAQKKSRGLDL SQLDDNLPSSRKAATLNASGIENALDALELTESGNAKIDRHPERRFKAAYAAFEARRL PEIEAEHPGLRKQQRVEICKKEFEKSDENPFNKVNVAFDASREEIAVVREAERRKVEA RLAGK TSTA_095140 MYDINQFYPTDPISRQAKKRIFVKEACGLTRRLVTKKQDVCGLK KEGRLYLIEWDNNRKPRSSLGNDLALSSFERKVLKDPWNVWHKRFGHISEQAIEKLQE ATKGAIVTSSRALGRNEEGFKEKCEICELTTSNTTIPESIEGYE TSTA_095150 MLKFTEIVKNLSPSIDGEILSAKRRMCLAPNNVRHCLLTKVADQ LALARQKPNFAEYCSISRETHTDELMSMLEPLVNSTGNNGLREALMDIVLDAQKIGID LFSCPYDTRFHFPELHESYDPTVMVNLDPALAVNIVRAGVSLSITPYIRLGLTMSLCV IRMSAMRESSLGFLAKKRVRIALPDAPHDVLGFSDLLSVHYVDIPFLLSEINGFVVLS RFLVGFSEEVFRGVVLQGFEGWGGCFREEKNGFFDLHSKFMLWHVPIDQDQKQI TSTA_095160 MAADTSFGCPLGSDNQLGPQISIYCRPFDFTLLFEDIFFVCLPP ASAITVLFVLHLVFTIFQVHTSALNTHASLPSATLNIVATFTAACVSLLEDQRSLRSS DLLTLYFLASSLCSLPRLRSLWIIPSASVGVCRYLWLSIFIVTVLALIVESTPKTKIL KPHYQKTPKEQTCGFLNRSFFIWILPFLQRGYSNVIRVEDIEEIDTRLQGQECGEKLQ SAWKCLQPNYNCRHQLIKATFYAYRWAFLSAVIPRLALSVFTFAQPFLITATIDYIGS PSTPQSKMTGKALIGAYIVVYVGLAVSKAVYWRQILRLLTMIRSGLISMIYKQTVGMT AAALKDSEAITLMGTDVERIVPNLRNLHETWASILEVGVAIWLLEREVWIACIIPLII SLGSVLAMVPVSTRSGQAQKQWIERVQERLTVTSSMLGKMKTVQMLGLDDILFKMVSR LRQVEVKTSVRFRKLLIWQIALSNVPATFAPCATFTIYAIIAVLKHNGSILSGTAITT LALISILTNPLLIFCQAMPANLQAAACFSRIEKYCMDNSTILLRPSTQASVDTLKEDK EQPFEISKSFDTPLVSFKSAEISWSSRLDPTLRNLNLSVRRGIVMIIGPVGCGKSTLI ESMINQSMVTGGTITASFSKAAYCPQSPWIQNDTIRRNIIGTSEFDKEWYDFTALSCG IEQDFKAMPEGDMRMAGSDGDSLSGGQKQTIALARAVYSKLEVVLLDNCFSVLDSNSI SVISNHLFSKDGYFRKAGRSVVLATTTYQMLPYADGIIVLDQGEVAAMGSYDEIIIQK PEIALKLQTDGEDSFQHGGPSELSLTSNERNAHIDEIEPTDNKKDDHSRQQGSWSVYG YYFDSAGYTLLLFFLAFATIEAFCTSFQTLWMQWWVEANEEQPNQQLGMYLGVYAMIF GLTLLAIVAGCWLLFVRILNKTSPNLHSDLLRSALGAPVSSFQGIDTGSMINRFSQDL ELIDMMLPIYAVNFVMSLLTVLINTIIICALGKYLGASIPLLGLVLYFLQSYYLRTSR QVRLLDIEAKAPLYAHFLETVHGISSIRAFSWDEQFCNKSQFLLNRSQRPMYMLFCIQ QWLTLVLDLIVGMIAALLSIIITSLKDQFNSAPIGVALTLLLTLNQTLTQAIKMWTMT ETSVGAVTRIQRFIEDTPSSRRNASSPPPDWPSRGAVNFHNVTAGYDLATNPIIKNLT LTIKPGKKIAICGASGSGKTSLLMAMFQMLEIYSGHVTINGQDINKMEQSAIHSTINV ISQEPFFLLGTLRFNLDPHQRVSDESLISAVEKVGLWSQISPKGGLDMTFSDSNWSVG QRQLLSLARALVVKAPILVLDEATSSVDWDTESLMQSIIEKELSQQTIIAVIHRFRYI DRFDMVALLRHGELLEVDRPDVLLSRDSEFRKLYQTYN TSTA_095170 MANANEETSLLSTATDSRLPEEESVSQRTSYYSIENRRMLYTIA SLCVVLLIFDLSNYIAVVPQTAIYEEIVCRGYYSSEKLALGNPSGDKCKVEPIQSEVA LISGWRDTFDTIPENGPPWSGWLFAQRCLGENCLFPHILPLRFMWFSGAFQLIGGGAT SVASMIYVMAVDVCPPEHRTTAFSQITAAALISEFLSVQLSAWLMSISPWIPYLGSSS IFTIGLISLIVLIPETYIPKSESLIVDTSTEEENLTSALGRPSWIVRLASRIGQLKNM LGAIFIWMRRNVPAMLIISSFFIANIGKQTSVILLQYITQKFHWTFAQHNKAAFVISL RAAGNLLVLTILLPTLSEFLARRAHLDRAAKDKRLSQISVVTVILGYLLIFVADSPVE LSAEVILSALVSAFTVTARSTLSSLVDQKYLATVYIGLSVMAYSGIVAGGPLLASSFR WGMKLGGFWIGLPFIVAAGLYVVILLVISMTRMS TSTA_095180 MELRHGFRLLKASVSEIFDQAQLRIAGHNYMEVEDFDQIDHPAG FEKAPRFITRNFRSALIGSFLIAALLSGIFIPIYTIIRVKERGTAIERGGPFPPRQFE QITFHGLNGNNNVWNNVLPVGAGWVVIDDPEGYNLPPGLPLNGHYNKYGLSWAHQYHC LRRLRLEFWVLIENRSTLVSVQLDETDVIPEVHELKHLEHCHEYLFQAILCNMDMTIE YSTGDGISHGTIDGLGISHTCTKRFSAQMLSSNM TSTA_095190 MEKYSALDAENRLSAESLLSDKICTEEDVQDGFALRYTYAPVRD VIRYEEKQFNLIPIHQPDGSLNPEKPGHFNGKPTRELAEDWKNIMQHQNIRLTKDELG PFSHDDGVVKLGDGSGSYGTFAVFHGLHCVKRFHHYLYKDFYYPNMTKLDSTKLLYHT AVDKGNHQCVSWEPLENWMAEHSFDAFEPGLLMHPIYGENYVNCLYVARSLETLIYYL GDPYTGEEPNTIGIALADHPLDEGLYSLSN TSTA_095200 MHLSKTIYLACILAIGAQALVASPRSEADDAWSISSKRNETDDA WSISSKRNEADEAWSISSRLWAIFSVSRIGQPTALLN TSTA_095210 MTMPEYMSLIAARWETIGLLFAVLGTATFHIPDDDPVFTHNPWN IDRRQLRNISVAVSEICCQFCNHSGFTSDPLCWLVTQQTVLLTLMAGKSDFRTWQKLG DLSTITYAIGLHRPSSNLEEKCPFFLAEIRKRVLACAYSLDKELATTLGLPPRICSRY CCIELPLDLSYEEIIATPSEVEQALQSLDPNGWNIKGSLTLEVRLRIALLTSFMRENI LELSMSHQTDDLLDRVEKMIKDSREMQQALPLFLRWTPSESESNFANESRSLTHLEFT YQEFLLYRIVLKRLEIKLQGLIDTSCEIISTLLNLTTLRTRSAKSMQDVSWDLCYMGL PAAGILTTELLNKQSTATQLPIHSRSQMIQKLSIFVSHLKSFIEPHEGIYEVAQQGAS IICKVLDQVLSVGSLESVALASGTDWPENWFGNENLDIGEDDLMPWLENIGWGQDPWL YFT TSTA_095220 MSKSTNIMLPFLYPFLNATNCSKRTTIKAADNLLRTRRRWQSTS GHNLSIEQTPDEKTGPDLSHLNPPPSDYSRFIFQDKCTAKLVAGSGGNGCVAFLREKY IEEGPPNGGDGGSGGSIYIQAIDGLTSLHKLARQGTIRAGRGKNGQGKSRGGKRGHDV LIQVPVGTVVREISRYDPVEEAEHRYKSLKGSMPKEEQAMGMYAAQRDRFVLYPGSLP SDFLTTTFPTLGPPRRSNLAALEPPAPIHLDLSRHMDQPKLLAAGAVGGFGNPHFVAR NMGRPKFATKGEGGMTLHLEFELKLLADVGLVGFPNAGKSTLLRSLTNSRTRIGNWEF TTLSPNIGTVVLDDFKGRPRVESSNKKSPRTNFTIADIPGLVEDAHLDRGLGLGFLRH IERAGILGFVVDLSSADPVKTLQKLWHELGEYDKLRNAEIESEPVNKQWMASSPRDPL PPLVLPPIYRKPWFVVATKADLPDTRKNFAALQDYLSQIQEGFVKHPSGHKDAFRERL VVVPVSAIKAEGIIDTRAQNSLKFIPMEALITNILSAIDNPQLQDPILECFQHDKEKL LSLLRDDPQGALNMANAKLHVFPFKDVKWCWRRLYTDACLVLAVRLIKANIHSDHGSD YHNDDSSWLADVVRPLDMAIIMTGAPWREEMIESLFSALKDWLRANRKQFEQSSISFE CGDDERSAKRQKMNVPLFPPGSAPLPEIKKPIPRVSAPSFFAMENHIQNVRTPLVITD AINNWPALNERPWSSKDYWFEHLLEGRRLVPVEIGRSYTDEGWGQKIMPFSEFVDIHL WRLKDGSKTATSDAKQQNEVQTGYMAQHDLLAQIPALRKDIGVPDFCYIDPPGPEPGT PVYLKKMREKEEAMNKEKDENSIDQGSAGNATTTADGMGLKDISSKRNHAGYQSDSEA QMGIASDPIINTWIGPSWTISPLHHDPYHNILVQVVGAKYIRLYSPHTPASQIYPRGM ELVNDSDENITPGNQDQTGTGLTSQNTEKGQLIDMSNTSQVDLAAIELSPAEFELWES KWPGFADADYVETVLKEGECLYIPVGWWHYVRGLRAGISVSFWWG TSTA_095230 MPTQQHRLPVIDTAKRWLQRLVRSQNNSNDVPFPWQQFTVLALV RICEPIAFMSVFPYAYYMVESFHVAESEEKIALFVGMITSAFTFAEFSSGVFWGRLSD KIGRKPVLIMGLIGTAISMLVFGFAPNFPTAMVARALGGLLNGNIGVLQTTVAELVTS KEQQPRAYSIMPFIWCLGSIIGPYLGGALARPVLSYPAIFKPGTIFDRFPFLLPNLVC IGILIIGITIGTLFLEETHVEKKHRRDRGVELGRWLLGRSWSGHEEVIEPMETKIGLI KEMESDEIYEDQPPEYRSREPSPRSSSIGNVRPPEIDLDLEEPKRSRRVGFKNALTKP VVCVIVGYGILAYHSVSFDQLMPIFLSTPPSEKDIDLPLKFTGGLALSTKTIGYMLAV QGIYSLFAQIFLFPFLVNQLGALRSLRLAMFIWPPIYFAVPYLILLPSTLQKPAAYVA LLSKITLHVICFPGISLLLANTIPSKNVMGTVNGLASSVASLSRALGPSITGLLHSQG LRSGYSIIAWWALGIVCVIGAIESLFMEETDQKQEHDIKTDTTSEQIPSVCQHQQSLY PEPLVVSEEVGLLSNMFTTSEISEPLEMSIDDKSERP TSTA_095240 MLNPPSRPGTSPVSTGIKRSRSPDDSLSIPLHPSAGQRESSKPT SAMSTPSQTSTSTSVPASSFRNVSACNRCRLRKNRCDQRLPRCQTCEKADAKCVGFDP ITKREIPRSYVFFLEARVTYLERLLLKNGIEFKPSSAFDGEMEVRGTNQVSEQDAETA ASKETKKESKKNEKALEEKKDSKQRDLVSNKSRDPDSKEHLDQLVSNIGMVSVQAMSD SRYLGSTSGISFARLVFAAVKSSVNTSGSEPGSARLTERKSSNSTGSTIRETFFGLQS RPLMQQAAFPDRELADRLVSLYFEHANPQMPILHRGDFLELVNRVYETEESARSSRDL YLLNIVFAIGAGIIFEGRRRSSDDEEDGSNSGNRSSPSHSAKKQKLSGVQFQPEEYHA AAVVHWEAFLSASPMADSTGGGLDELQAYLLLASFALLRPVAPGLWYIVGVAVRLAID LGLHHEDGTGIDSIGEENVVSPNQPVHPERGRLQYIRDHRRRLWWCVYSFDRLVSTCV GRPFGITDQVITTEFLSILDDRYITKSGFRPPKGDVPSYKHVSYHYLKLRLLQSEIQQ VLQYQQARFVRRSGKNSSNHFMHTKLQSPFLERFDSFRSWRRDVHRRLLEWKDSSPTK KETGVQFSLELFELNYYQALIMLYRQTLTVPAALAGDLHPTEDVSSPPSFDLDDREDE DDIYLQIAEAGQKVIKIYRQLHRVRLVNYTYMTTHHLFMAGISFLFAIWHSPVVRSRL TLDDVDFTVLAATSIFSDLIEVCPPAETCRDAFERMSRATVRMGSKGFASQAVGGVSL TAPSKSLASTTGNINRTAAPGVQLAPVQRPQRPPRRPTFDMDLSDLYHSPPSQQAHLN PSPRQRSYQVAPSLAPQPEQYQNNPGYTQAYTSYDQTAQQHQQQYYMAQSPQSAGSST APAFTPPTHSEPQQHSLTDMSLDFLDFATNLANNLNQDADMSHADGSSAALDTFAGPF NLNDLQGTGINLGGFGMEADYLRDLTTDGSGYDLMDGYWFGMSGGGNSGI TSTA_095250 MVKADVRRDYYADLGVSPGADTEEIKKQFRKLALKYHPDRNPGR EAEFITKFQAIQAAHEILCDSQQRLKYDTERLRAGYGKLYGPSSTNTPRRTNTTSYPA NSTSKPPTSKPQPSRPASYQAPPSSGAQRYASYAKAAPQQPYDKMYEQQTRADAFRAF QGMKANGWSNFDPKSGRPTPPPWNASGGTTSSRGKSAYEQMNTRQHPDAFGRASTVRK KHGFAPGTPGGDEPMARNISSYSNTPRADRSSAFFDSVPAPTPKAKRTPASSRPETPV DEVLPEFERTSHKYATAGGERTYFSSAGLARAYSMRDSSSSSKARSRTNPPSPRSPAR DRHRSASPGIRHPHKAYASSTSSSSSEDDLDSEVDQPTSKPSRKAVPKSRLRPGQTFQ YQGPGSGEETSSSHSHHHTDYHSLRSHRRRRSLFNRSRPHSYHEFQDQSTDSDYHQGN DSDNSSFAARAQQAAQGSPLHPDQAAEGLANLSFSQNGAKSRSHDNLNKRFSASDWGD AIRPDLFAPNPANGHHRSRSKTSPARGRPGRRLDSKSPANGLSSSDEAAKQHTPNSWN QSQFSADAWAQHLQSKNWMFQPNQTQQGNSTSATTGRNVPRTTWRAAQGRQPAVATEA EEEEITVSAPSSKGTPTPVPDAMEIDDEPPSHSTKARTNGYVSSPLVPPKTDSSAKSG DDENAGKTSEWMSSLFNMSALHNVTPFTSSNNGGINDLNDIYTTLPFESRPNDPNTGR RMAPQRSKTSLPQPPKRPPRPAVVPSGNDPRNMVLPKQSWEIYERQMSAYIVEWNKFQ RQMLQLLAMRQLGFETGLAPRWIDATGDSLRLNTKSTEDDADVQVGSGEESDADNDSD LLVPHNSHGGFKAYFNSVDDFARIIEHWSVALERHRDCMSQLGELRQWIRGHRKLA TSTA_095260 MVTIVLGSQWGDEGKGKITDYLSQDATLCCRSAGGHNAGHTIVH DSVTYDFHILPSGLVSPKCINLIGAGTVVHIPSFFKELSALADKGLVDVDSRVFISDR AHVCFDLHSVVDGLEEAKLGGRKVGTTGKGIGPCYSDKASRRGVRVGEILDEEVFERK LRNLESGYRSRFGELAYDVEDEINRFKEYRQKLKPYIVDQLSFLQKYKNDDRILVEGA NALMLDLDHGTYPFVTSSSTGLGGAIQGLSLNPTKIKNIIGVVKAYTTRVGSGPFPTE QLNEFGEKLQVAGREFGVTTGRKRRCGWFDLVLCRYSLAVNHYTALNLTKLDILDDFD EIKVAVAYTLPDGTRLEDSYPADPNLIEKLEVEYITLPGWKTNTMGLTKYEDLPENAQ KYVEYIERGLDGVPIKWIGTGPAREHLIIR TSTA_095300 MYNAVHRGPALARAFRTCAPRVLQSARVASPLTANLQKALPLTL SSLRQVRSFQSALLKQANAAAAETQPQESFSSISEFSQLSHHGHVHPRIIHSITERMN INTMTDVQKLTIAHSVTGADVLAQAKTGTGKTLAFLVPVLQRIITNDPSLVQRSRGRF DGPDSQDIRAIIISPTRELAEQISVEARKVAAGTGVVVQTAVGGTRKQEGLNRIRREG CHVLVATPGRLKDILSDYRSRVAAPNLDILVLDEADRLLDDGFGPEIESIKDLLPDPS VRDRQTLMFSATVPREVMGMVRRSMKPKFQFIKTVSEDEVPTHLRVPQKMVFLRGFEN GYPTIVEILKRYMDRAAADQNLRPMKAIVYFNTTVEVQLAHEAFGALLSDPMQRRSGN PLGRKILHIHSKLSQAQRTHFSDAFRRAESGILISSDVTARGLDFPDVTHVIQVGIPR NRESYIHRLGRTGRAGKEGQGWVLIHDEEERSFVEQLGDLPIEQDNSIEAANADMSKP DSLSGPVAETISQVTAALKLVPYEVKEDAYRIQLSANSSTFGRKRNLVAALNDLAKNG WGLPEPPAVSPSMASKLGYDRVPGLRMEERRSSRGRYDDAASSGTPSGAQWRFERPRR DGFASRRQQGFQRPERDDWFSMDRARGRRD TSTA_095310 MSNSVFAPRHVYFPEGKHQTTVIFLHDRDSTGPELADYFAKSTT TSGKNLYQHFPNTRWVFPSARAKHFYWTEADRRQLYRNNKDNTTEWFQMASLENVQLE SPQQLMTVQESASYILRIIDDELKRVDNNPQKVFIAGIGQGAALGTVVLLCVHHQLGG FVGINGWMPFAETLTGLLERNQVDEAAGFFKSTFIAAAQQAYIQQQRQQQVRSMVHAG QEKASGPNNTAIPSLGTPEAQLEETVCIFKDAGLAN TSTA_095320 MGISKAKTGAPAQQQPCQPSEGKGSGQADGLRRDIRKDMRMNLV MRGSIDKEEIILRYTEDYPEKADKIRDIVHGYATVLEDKAASKVDKSSEPANMQIESP KTEATSGSSATPSDSAPQATEKKKEKKKDKQKEKKKEKQPFERAKEPVQSLNNAENKK RQPKQILEPKKPKFEHQPPHISQHEEPLSKRQKAKRAKKSKENKNIVSEPSVEMKARV EEAEEHADLVQSTNAILNEFKEHLATQRLGSEENNLHCLSKTPDLERQLQFLSIVDES SSSSDESPRSIEHSVLVSPTLEDMGNKMPSQFGLLGHLASQQSEDLVDPRVMLNTNTP FSAFICGLQGSGKSHTTSCIIENCSLPFPALGVLKKPLSTLVLHYNEYSSNVSNQPSE AAFLASTMPEYSNKFHKTIPVRVLTSPSNFHNLEKMYSQIPSISVHPFRLQPRHLNIA TMLSLMSMGKKDSKPLYMAQLTRILREMALTNKGQFKYFEFRQRLKDLRLDRSQTPFL EQRLDLLDSFLDLKGADKTNGDYFVDGGVTILDLSCPFMDQDTACILFRIAIDLFLHA HPSRGKMIVADEAHKYMTNTEAASALTETFLNIIRQQRHLGTRIIISTQEPTISPRLI DLCSITIVHRFSSPEWYQTIRKHLPIEYKKDNSNQDGNGDSTTLDGMYEISSLRTGEA LVFAPSAHLLDGHQELMDVKHKVFKMVIRKRVTWDGGQTIKAVR TSTA_095330 MATNITVPYVAIGDFDWGLNLASSVPLPWNQTIWSLVAVFTALP LWMTLELTVSVLYVFRRWSGLYFWAVLVTTWSISLHAIGFLLSYCVPSCNWIASSLIT EFGWAGMVTGFSLVLYSRLTLLSFVMRNRHISRIALGMITTDAILFHIPTFVVFMVGI SSPANFVKYVPYMNIVERIQIVMFSVQELILSGLYIYGTFKMAQDSFNSRIRRTIALL ITVQIIAICCSALLIILDFAGYYILKSFIHSFVYAIKLQLEFVILNEFRHLVTQPKSG SGTDEQDLMMGPNNCAMLSFLKKGDSSPGGRNNETDLGIQAV TSTA_095340 MADIGDLASELVGEVISSGDPLSAAVKLLIKGGITIAIFYLDRR ACQQFLEEIQTLFVRLTKLQYLAPLIPASQQEARTARMGWMMTPAGVESLFMSVYTDI SNARERFGHSAERLKSFGLQEPVVVNLNSPSKGSEIRKRLGATWPPQYRKWILQRVNP FQFMPTIAKKDLGRFALGMVRKSVRSPAHPDLLLARTLGLAQFCGLEEVSLADLIELM AHACHYERVARRYIAAVFGVHYTVFTGYALASSLDGKLLGSLENPIDNFAKIAEGFTG SLTQIGAVESGKPRIIGATDMPNIKFKIDSGVGPKQGEPIYMISGWAEVLRHRPKNHP HVRSMAKDLTVGLAKDVGKAFVPAPLLRKMSNLGRNVLARDVHGNNLYFSAELETITV SIKRTPGGRLVGKVSQFGDFRPSPFGGQGVFGVRCGCNKPEPGKIIQGSKLNGCPLMS VHKYRAGEKLFIGYDGTVFHWTAGDLPMILSWADSHVTGTYIQVAEECVYCATSRALG TGCNLIIAGGW TSTA_095350 MAPISFLTDTIFCFVRDISSAFITLSSSTLVARFDPLPESTTSP SSLEQTIHKRQNQILSIPATYAFLNTSPTPGTVIGVVLGVVGGVVILVIVLYQTLGWG NTNESIVVEEEVSEVGVVRTGSRRSAVPRPRSSRPGGRRVVDEIVEVRERPHSSRRSD VDVDDEVIVIEEDGTTGTHYSDDVVEVEEEESSVATSPRPPRRRGTGGSYRRVDPLAY GGGDEPSQIVAWKRIQRI TSTA_095360 MINHQPIRLQFIKTPVLIIPQVTLRLQHHIIKVHPPTATPALHR TDISHQASHNTGQVLRVATTSQAISKVIPHKGINKAIRHRDISKGILHRVTMHKIPIG DTVRQTEFAQGFAKVLISFGNGSGESALFFSA TSTA_095370 MKFLLGTTVAALACLSGQALGRAVSHRSKPLTVLGHPDIERRAL LQDIVTWDNQSLYIHGEKLMIFSGEVHPFRLPVASLYIDIFHKIKALGFNTVSFYVDW ALLEGKPGTYRAEGIFDLQPFFDAAKQAGIYLLARPGPYINAEVSGGGFPGWLQRVNG TLRTRDPAYFDSTKNYANHIAGTIAKNQITKGGPIILYQPENEYSGWATGYSDDPQYM QDIEDTARNAGVIVPFISNDAGAYGHNAPGSGVGAVDIYGHDSYPLGFDCANPSTWPA GDLPTYFRQTHVQQSPSTPFSLVEFQGGSFDPWQGPGFAKCTALLGPEFERVFYKNNI AAGAVFLNLYMTFGGTNWGNLGYPDGYTSYDYGSAISESRNITREKYSQLKLIGNFLK VSPSYLDAVPGSASNSTYSSTSALTVTPLIGRSTKSSFFVVRHSDYSSLASTSYTLKV PTSAGVLTLPQLSGSLSLNGRDSKIHVTDYNVAGTNILYSTAEVFTWKNFSDYKALVL YGGPGEHHELAISSSSNAQISVVDGSKSGVTTKIQNGQAIIAWDVSSSRRVVKVDDLL VFLLDRNSAYSYWVPQVSTSNSSVEFSSQETVANSIIVNAGYLVRYAYLQGNELHLSA DFNATTNVEVIGAPPSATRLFVNGVQYDHAKTSNGFWTASVKYNAPKISLPDFSKLTW KYVDSLPEIQATYDDSAWISANHDWTNNTANPLKTPVSLYASDYGFNTGHLLYRGHFV ANGNEKYFNVQTIGGSGFGSSVWLNDKLLGSWTGSANNDSAASSYTLSALKAGSSYNL TILVANTGLEEDWTVGTETMKTPRGILNFDLSGHSQSDVTWKLTGNLGGEDYVDLARG PLNEGGLYAERQGWHQPSPPSSDWKTSSPLEGISQARVGFYSTSFTLDLPEGYDIPLY FAFGDSSGSLYRAQLYVNGYQYGTYVPQLGPQTEFPVPQGILNYQGENWVAITLWAQE SSGAKVDSFELINTTPVLTALTGIESSPQPAYSQRKGAY TSTA_095380 MSRLFSFDREEKGFPPEAQNSIHPPPTQPYTGVGITQFEPPQQP PPSLPLDERERISQIYNLHHTHNLIPPDNKLLVFRSLTGIDSVPVLSSHGFFSPRNAP NVGIYTRVVNAEQAAAFRYRVFNILINTSLGIQIVVAAALTAIGAANGPHGAVTAFGA INTIMAGILTYLRGSGLPHKERNIEKAWGQIREYIEQREREFCLENCMLNVEDEIHNI ERMYEEVRTQMEAGASDSGGKRGGLENLSSLRPRGSLLADAKGAAQTGFDAVRGAISG HRDQTEHHMRDELRNLGQRFQGTRDETEHHFRDQLQNLGQRLSGARDQVRDTSAHLRG TADDIRHTGEDLRETGEQVRQAGENLRDADVHLSVHTPSVSLSRHGHGDAHDNTITAT LELTFETVRDTLQIYHQSD TSTA_095390 MASTATDAAVGQKTRPTKPDEAAYKAKLAAAEKEHTAAQEKLNQ IKAKIESAKPNNQDSPAAKRQKELRAELSAIRQKQQGFKSARSSTQEKLNALDATLKA RVAEQNNSRGKIAFKNVEEIDKEIVRLEKQVDSGNMRLVDEKKALSDISSLRKQRKNF AGLDDGQKNIDALKKQIAELKKTLDNPEARALSDKYNEIQQELDSIKAEQDSAFKNLN SLRDERTKLQQEQQAKFTAIRELKDTYYKERKAWKEHEDEVWRARRERQKAEREAFER EKKKKIADKKLEEASRPAYTDEILTAQGLIRHFDPNYDFAALGLDDTKKTAGGAFRAE VGRTVDESGIKGMKVVKKDEEDYFVGTGGKKGKKGKKGGNASPAPAADSKFNLSFGVI EDFAKVKVDQPMSQADVPAVVEKLAAKITEWKKNQAAKTEENIKKAKEEIERLEEESQ RATDHAKKVAQTNAGVNGHVSAEAEAKQEKDGADDAADELKKAALEDAE TSTA_095400 MEEDTSPTSQEARNEMARLWRTWRTVHEMLRDRGYEMSEEEVKI DYQDFANKFGGEHGYPSRAKMTIAAMPSEAMKAKYTPLKKRVSDPDPVPDCGPIYVQF CEDTTGVGAKQVRAFNHLVDEGNYHSGIFITRTAISPSAMRLLSSAVGHICEHFQESD LLVNITHHELVPKHVLLSAEEKARLLERYRLKESQLPRIQVSDPVARYLGLRRGQVVK IIRKSETAGRYASYRWVI TSTA_095410 MSTLSLVPRDIYKDVPPDPRTALQNNPTLLVCWWCTAASFAIIL VRVIGRYKRTERFFTEDKVMMIGAIPLIARMTLVHLVLVWGTNNAKTEGLLEQDIHHR EIGSRLVLAARVFYAVYIWLAKYTVCEFLRRLTGMVWTRTFQISLRLIEYFLASTLAV VVIATLVECHPFTHYWQVTPDPGPYCRLGYANLLTMGVCDILTDIFLVVLPVSLFLAS AMSVKRKIQLTLLFASSLLLVGITGFRVPAVIQRNGIQNFRSLVASFEILAATAVANF VVIGSFLRDRGLKRAKYKRVERSLSVVGSVDHSSVRRTTITKHHWGSDADLVDGLGIR LDPELHATQRSVMRPAPVVGESIHRHHDHHDDRSLSDSHSIEDYTMTGSSTTSKTLTG IPPPSFADINDPGMSPRTLQRSVSFYDVGATVADTVTVTTTA TSTA_095420 MNDIFSDFDIIETGSRLANLQSQGKKGGGQASRPRQAWTDTPKT NEKYESYYNDHGLIPKEEQDAFWAALRRELPNSFRFTGSRGHALSVKKTLVDYHIPQI TSITYEGNVVEPPKPVPWYPDELAWYMTTPKNVIRRFPPFSSFQKFLVSETEVGNITR QEVVSMIPPLFLDVRPGMTVLDMCAAPGSKSGQLMELLHAGEEESIRKAAAEVEQDTY DESHLPEGLRDYGRTTGLLVANDSDFKRAHMLVHQMKRLNSPNLIVTNHDATFYPSIK LPSPTGEKQPNVYLKFDRVLADVPCSGDGTTRKNPNIWSDWSPASALGLHATQMRILV RALQMLKVGGRVVYSTCSMNPIENESVIAAAIERCGGSSHVEIIDCSKELPDLKRVNG LHTWKVMDRDGRIWNSWEEVEEYRETQGITGLGRLAATMFPPTEDVHLERCMRVYPHL QDTGGFFITVLEKKKEIRAKPEDMTKVIPKASVAAVVEELDKKNRDGNDGSMDKIEAL DDIVLPSEGTRGKDATVAESSHQPPYKVTLDEPSSNGKRLAPELETQMPVKRTKLEDG TEAILGDRPVHSPPPSAVEGQEDTTDYPRVGDPKQLDMNIRAAKRKPGLPFEEPFTFI DGKQEEIEKIFKFFNISDHFPRDRFMVRNAAGSLSKTIYYTSALARDILRENEGRGIK FVQSGVKMFVKQDAQRPNQCQWRIQTDGLQLVEAWVGPERTVTLTKKETLRLLLKELF PRLDKNNYLHLGEVGEKVKDMDLGCCILRVEPSDIEDGFRERMVIPLWRSMYSVNLML PKEDRRAMLLRLFNDSEPVVHTQVKKGPVVGDGEADSSEMSEAVVGDAVTATADPEMV YDEIVKDIPTGKTEEDIIKTENLLRGEEQEDLMAKRETYQRDGDEEDRFNTTV TSTA_095430 MGLIKSALLVGGGIYAVKAVTKSHHEKKKEKQKNQNNIQDMYPP PHQQQHYYQPQYHQQYQQQQRVGQGEGYDYTKSREGSNIMQDYGHINGQPRGQFSPPS WAHPESSQSQYRNYADGPLIDGPPAYAAYDEKKRLD TSTA_095440 MKSDVLLVPGNNDMFNNQAWFYNDQNLKDFHNAVISGIPPSSPL NNDELSFEHFVPPSVDHMDSPSSIAAGSPDLSHPQRDGSSKSCTKKEKSRKSRSAKTP TSEEDTPEKKSRRRREQNRLAQRTFRERKDRYIQSLESHIKLLDASHKDLQASYRQST DQINALYTQLLEIQGELDYWRCLAQPSTTQATVTTTAPTITPVEAHTTRHQRQHIPVI PGMHIQHGQFT TSTA_095450 MASQKQAFDLSKCARPNILKLHPYRCARDDYKDDGTNILLDANE NAYGPGLLLNRDGNIEGTATTSTSPQVDFLGLNRYPDPHQIDLKQLICNLRNTKVHTQ KDLKPENLFVGVGSDEAIDALLRCFCVPGQDKILTCPPTYGMYAVSADVNDVGIVKVP LDVNNGFQLQPDKINEALSADDRIKIVYICSPGNPTANLIRKEDIQKVLEHPTWNGIV VVDEAYIDFAPEGSSLAEWVNEWPNLVIMQTLSKAFGLAGIRLGTAFSSPEIAVLLNS MKAPYNISSPTSAIAQAAFTPANLAVMRKNCAKIIEQRDRMLREMPKIPGVGRFLGGQ ASNFLLVEMLNKDGKPDNVVALATYEAMAEKKGVVVRFRGKEYGCEGCLRITVGTEEE VTRFLKELRVVLENLHSNTPIQSSKEEQEKEIEANEVVA TSTA_095460 MSAFPMHQLARASVPAFTCPPGTKMHILNLGTMNVDEGWLLIGA NGSSSSNPNPTNKRRDLMLIAGLIDHPEMGLVLFETGGAEDVYKQWGPDALDLFPVTR HSAHNHLPEAIKSVGYDIKDVKAVIMGHLHMDHAGGLEHFRSTGVPIYVHEDEFKHAC WAAATNADSGVYLADYLNLDTLNWQTFTDKQLDLCTGITLYHCPGHTPGLCIMQVNLQ EDGTFIWTTDQYHIKEQYELNQAHGWLLRDHRAWIESGKFVKRLQKLFSARLIFGHDV QTAESLMKEKSVFK TSTA_095470 MRQLSTNTVRLRRALPVQLSIYLRSITASTQNTNIYTYYPFRTH ATRGLHTSCEKPGANLHITDHFGARPSYPPSRINSSTSYSSNFSSSAFLQKEVPDWED NPDLSISKFSELPSKNFGVNQHMVINEEFKEALRQILWKFRAPIRYAFAYGSGVFSQT ATAGSESDPGHPAPPPAIQNVQQGKGKMIDFIFGVSHTQHWHSLNLQEHRDHYSALGS LGSGAVSAVQDKWGAGVYFNPFVTVNGTLIKYGVVNIDTLCRDLSQWDTMYLAGRLHK PVKILRDHPRVRVANQVNLLSALRVALLMLPREFTERQLYTTIAGLSYMGDPRMTVSA EDPDKVRNIVSGQMDYFRRLYAPLIENLPNCDFNDSRCSESDWIDDPSANVKLTQDMD PVKRGNMVRRLPKSFREKLYFQYQSRYQIPRSEFLEMMQKTSDEDPERIHRRQGGAFE QRIAADPSLKEELQHTITKTIRWPATMQTIKSAFTAGLGRAWTYVSEKRKKHVNSKKR SLTDPDHPATNPGTAEKPTQPENVVEKAKSD TSTA_095480 METFSARLASFNSVVPAINSRVSSSRTIQPLRWPYESPSPEQLA HAGFFFRPYDTNPDNTMCFLCGRALDGWEDGDDPVLEHLKHSPDCGWAIIMDIQSNTS NPAEIVDPTSSSIVEARRATFAIGWPHEGKRGWLCQSEKMVEAGWYFCPNEESPDLAS CPYCKLSLDGWEESDDPFEEHHRRSSECSFFVFALPAAKTKKNARAKKPRASKASRLS TQSVMTTASEAPTIDEDMTDVSIMSQSTTKKTKGTRKTTKSRAKKTKKDEPVGVVEAV EPEQVEIQAPEPARPKRATRGKKRGSEAVTQEMSVMTVTDEPAVNSEPSPSPKRRATE IRRSVSQQPEDIQPDIPDEAPLDVATSPVMPKKGRKGAKRGTSTRTRKTSTASSSGTG QSRIPDDSILEAAIEADLARKLEDPEPFEFHYKDEERESARFRQQSISTSIRAPSEAR YDRGESQEAHVEAPKQAHMEDLIIEVVPEPKQKKQTKRKATAKKSKKGKAPEPEPEPE IEPEPEPVQEPERDPEPEVEPEPAAESEVEPESEAEPETVGRANSKSKRISQSKPSKV QQRSNHAEEAPQVMMEVEPVVRQGSVVTVEIDMNDLPPESDQEMNDYKPPKKQGRKAA SKGKKPAASKRKESEIVTEQEKNEPAGFDSQEILPDAPKPPRRESKRKSKETKEDSMI VDRHEELPLAPAQKETVDEQPAKAKRGQPSKESQEVSRKENPVVEVKSKRGRPSKQRS IPAEEDLGEHSKKSRVPPKNTQRYSDLPKDRHRAQSFIESVTQETPSPQRLSPNNTHS TTQERTPSPSPQASDAENQPPSTRPPSARPPVLSPSKPPTARIPIAASTPMQSPSKRQ ANSGYLSSTHSWAPMEIEELLGSMSDKENRDFAIDQDNLKEILTSPEKKMTVEEWIYY NAKNGEERLRRECERLISIFEREGGRAMRALEGIECID TSTA_095490 MGHLPCHKRSAYGTASSDTDFRKTWNREEYAQRAKEEEAKAKAE SKARYEAKLAGKKWHAPVDYSALEETSSRTQRLDVASMVGKTTMVPAGAAVGKRGRGA GFYCSDCDLTFKDNIQLVEHLNSKQHLIAIGESGEVKRASLEDVRNRLRWLAHKKREK EEEDRRAFNLDLGARLKDREEQEAREREEKRAKRREKRRAVKQEDDNWEGRLGIIA TSTA_095500 MDSTNSNPNTRHELTLMNLPTELHIHIASYLDYPDALALKHTNR HFYAIVYTGVYLKVSWLLSRFEHKLECPRNEDCSLRTDASFCNKTVRKIMERRRRHLE CRPGDGGCTVVLGASCRKEVVPRWLRKELRGWRDEVLIYGLLLVFWTLVWHAVRMYVR TSTA_095510 MSSTISTSSGSKPVDPYKAKSYDFNASVQEKIEDLVKFIKEIKY GMLTTKASDSDLLSSRAMALAGTENGGIDLIFHTNLFSGKTMDLTVHPTETNMSFLDV VSGGWASISGTATILSGKDIVEKFYSPTLKAWLGDLGDGVHDGGPSDPRIGVIRVEAK SAVHVTPRKGMIGRAVEYGKAISTGDVPPINKLREISTEELAECKCSLIILASIIADH FKGVVLTTNK TSTA_095520 MAGERAPKYRQEIQQMMFVSGETAEPSQETTTLIEEIVRQQVVE MLTRSTALATRRGNRSISTDDLIFLIRHDKAKVSRLRTFLSWKDVRKNVKDSDDKAGA DAADFAAGDDPLAGAGVAGPQDMAAKPKNKRAKVGLPWDINSFYSVQAPEREDEEDEE EEEQNYATLQRLATADERTKNMTREEYVFWSDCRQASFTFRKGKRFREWAGFGIVTES KPNDDIVDILGFLTFEIVQTLTEEALKVKEREDREKKGRGGADSDSGDNPKKRKRETG LFDPPEEGRTPIEPRHIREAFRKLQATPQKAVAMLLHDGRVPARFPIRLV TSTA_095530 MLYLVGLGLADEKDITVRGLEVVKSASRVYLEAYTSILLVEKEK LEAYYGRPVIVADRELVESGSDDILENAKDVDVAFLVVGDPFGATTHTDLVLRARELG IPTRTIPNASILSAIGCTGLQLYNFGQTVSMVFFTETWKPSSYYDRVKENAQLGLHTL VLLDIKVKEQSLENMARGRKIYEPPRYMTVAQCASQMLETEEERQEGVYGPDSLAIGA ARVGAPDQKLVAGTLKELAEVDMGRPLHSLVLLGKRAHDLERDYIEQFAVNKETFHAA WKQGYGHT TSTA_095540 MVLSFILIQNRQGKTRLAKWYAPYSDDEKVKLKGEVHRLVAPRD QKYQSNFVEFKRSTKIVYRRYAGLFFCVCVDANDNELAYLEAIHFFVEVLDQFFGNVC ELDLVFNFYKVYAILDEVFLAGEIEETSKQVVLTRLEHLDKLE TSTA_095550 MPVYKIHGFPWPRGGIPSVRVFIVLENLDDAAAEYIQQKKTSDL ILGAIRKNHPDAVKHLPNLQLIEQYDPLDMTDAAVSQPYAFVADNVTVLPDTARPVQG LSMNIDQRPLDDVILSDAHTALAEIRDAIAPGQKIGWWIVYNGDPERYYPGMEDDLME DEDDDINYQSRETGPSSVATSAPVKVPEIPADTSPAPGLKKKRSFWRRK TSTA_095560 MPPSAQTTKLQSTLRLLIPRLRLQQKKDTASSIAQRRELAQLLE NGREASARYRVENVIATDIGVEVMEMVELYCELLLARAAVLDQIAFSDKGVEARNKAK EELNRQNLEKKNAASTTSTPEPKKAGGFGWFSSSKVSPTVPEYQANSKPQELVAAAAT DERDDFNDEANSYINVGLDEAAVAIFYACPRFPREVKELTTLRLLLMERWGKEFATLA QDNKAAIKIPERLVKKLRVKPPSKELVESYLREIAKAYNVVWPAGEGEDVAEGDVPEV MTQEETSPPPDYDDGGNDTGDVSAAPHTPRKSLADNMRRASETDELSRATPPRDIGTH DATGKSPVIVAKPGPSSDNPEPRVKIPGETEGNRKDGGARPSGPQRRDSKGIPNVDEL SKRFAALKR TSTA_095570 MGHFVTVATCNLNQWALDFEGNTQRIIESIQQAKAAGAKLRVGP ELEICGYGCLDHLLEQDLFLACWEMLERILTDESCNDILLDIGMPVQHRNVRYNCRVI CLNGKILLIRPKMYLANDGNYREMRHFTPWCQPRATEQFHLPRRIQRLQGATHVIFGD AVISTPDTCIGAETCEELFTPDSPHAHMGLDGVEVLTNSSGSHFTLRKLETRLQLIME ATRKNGGIYLYANQQGCDGDRLYYDGCAMIIVNGTMVAQGTQFSLNDVEVVTATIDLE EVRAYRCSMSRAFQAAQSKAKYERIQTSFELSSEEDDMDLTRGPSIPITPRYHSPEEE IALCSGCYLWDYLRRSGVAGYLVPLSGGIDSCATATIVFSMCRLAIEAVKAGNAQVIE DVRRLAKYSVKLPETPQELCNQIFHTIYMGMSQQSSKETRQRAKDLSEAIGSYHVNLD IDEVYHAQKALIKTTLGFDPKFKVEGGTQAENLMLQNIQARSRMVTAYEFAQILPTTR GRPGGGGLLVLGSANVGESLRGYLTKYDCSSADINPIGSIDKSDLKRFIAWAEKEFDI PCLQEFLNAVPTAELEPITEQYVQSDEVDMGMTYDELTTFGRLRKVYKLGPYGMFQRL VHEWGEERVRKDGDQEPVLEPRQIAEKIKHFFVYYAINRHKMTTLTPSLHCNDYSPDD NRFDLRPFLYPSFWKSWGFKKIDKELERIEKAKQKRVKAQA TSTA_095580 MGRWTYTPPRMPNGILIDGKKLFELLRADNPQSYIWDVDLLIQE IEETFGAEVTEVPEYVDGAFHKAVWCVLSNGEEIIARLSKADINRPHEKSLPVPMQIS AAEYEIALYEALYPEAELRLAALLYNRIPELHEGIDDDSKDIIGRRLCVFEAPEGRKN MWWELGPEDKVCILKQAAEIRAALFNFNPQPKFIATWLPKRLASAHTPISLPVAITPT RNFCIALFRAKIEATIRNMDDIIGWPQDNNTVGPFAAAAKQSLLRLVPYILPSDNRGN IFYRFVIDHGDYGIWNMTATTDEYEKPYITSVYEWDSSCIVPAIFSDPKFVTNISFVT DEYANPSIARSSKFKSKYIKPARRAKFMAWSRQYFNTLYDAAPAYETIIREGSDARYL WFALQSRSLHNIEPEIYFGELGIWAKKKLREFGVSV TSTA_095590 MLSNSLAALVGLLAFADAARTHGHSHQHRSHNHSEVEKRGGQCQ FPSNAGLVAVTPGSENGGWAMSPDQPCKPGSWCPYACPPGKVSMQWNPKATSYSYPLS MDGGLYCDENGQIQKPFPDKDYCVDGTGAVTAVNKAGKPLSFCQTVLPGNEAMLIPTL VEDTATLAVPDPSYWCSTAAHYYINPPGTGEEGCIWGTSANPVGNWAYFVAGANTDSS GNTFVKIGYNPVVQEPATPFRNTPASFGVEITCDEGAGCNGLPCKIDPSINALNEVTS GESSDGAGGAAFCVVTVPKGQTANIVVFEAGNSGGSSSSSSSSSSSSSSSSSSSTKAS SSSSSSSTSTSTSTSTTPTTTSSPSTTSHSSTTSTSTSSSTSSTPSSTTTHTPSSTEA STTPASSSPKSTSATYSYAPHVFAETSASGDGGATTTSAGDSKPASPSASPSSAASPM STGSMTGLALCLMAGIMMQF TSTA_095600 MVKPEPDAEAEGIIIPQSNDQTSNQATQAGDNHAQSSDQAEEQA SSESSNQIHPSVSQFGNQTGVQTSTDHDSITEQIRRTYGNGVQITEPRSRMTRAYRDY VYGDRYFAPRDNEGHPPGHFADLVRYFYQDDEFSDLTIRLRDHKFRVHKMVLCRQSHK FAELVKGMNRYTKTLTLPNQNIDVAAFKAMLAFLYGFRYEGRIAGSPMAQHFTMVHMG FDFDIYRLKKYALKRVKEVTEFLCIIPEFISDLDQELARGLTGDIVLPLYVMILNVCR VNIFWLLKYQAFNDVLDTKPGFAHDLLTTLGDEYPAYWCLSCYARWHRAPCPGCRSEE NWAKFFH TSTA_095610 MQLLNTIVSAFLLTAAASAQSATQSAPQASGTAGAGGDVKVHVV QVGLNGSLEFSPNSIQANPGEVVQFQFMAKNHSVVQSAFSDPCAPINTVMSNVTGFKS GFMPVKDSIPVFSVMVNDTKPVWAYCSQVGHCAKGMVFAINAPTTGAKTFSAFQAMAM NGTSSSSTSGSGSGSSGSSSSGSGSGSSSGASGSASGSAAASSSTAVPKAGDGAKPMV DMTMLMLVGVFGLFFAGF TSTA_095620 MDAAQAKRNRLPFKPPRRQSAGTNNSSSTSKTTSKAASRKTSST SIPSKSTTSKSTTSKGKVAQRPSSITKATSSTTSNSRKRKAQSISSVSEDSETASSND NGNESTRSRSNSPFQEPDYILAEIITNDRPRNIESDEPGIPPKLLTTILHHHFQNSKT KITKDANEVVAKYMDIFVREALARAAYERADAANGDRGGGRPGADGFLEVEDLEKLAP QMLLDF TSTA_095630 MNDAVNSLLTEHFSYTPLSLIDDIINSINNLIYQAISSLETGLL NTPPERLGFGHAHNASTAIPDTDADGNIEYPEATLEIENGLHQLETLFESSVDKSFDK FEIYVLRNIFTVPEDLVNYMRLGHYENLSFSKDSNAPTPESINMQRRKLRETRKLQQA LQRESMQNEAVISQLRAVLFVAQDTAQKQPVVKTDSSAPATSSTSTLPNLSFLTSGPA ANMLRVGQNNSLTTNTDFVLSQLPALHAATQKLRAKLATLPTSVPSESLKRDERREYI DSRIRLHLERLGEPLLGDGQAAIAGRKISSAEAQALEAVGNMLNEK TSTA_095640 MASLSSFTVTITSAADDQEVAYLSRARILHRIRIGITLLLLGAS AGVVGTEGAPLHYYNETKAYSSAWLPLWPLNLDVRQTNALLACGVVILFQSIVYIIVA AFPSPRPRLRLLNLLSTAVGATGLVTAIVGVAFSIYLPSATYPEGFTVSETIHSWTCK WKSIDSISGGNNQTLSAPANFSRVCAESRAGFVLSGLLIGLEIFMCAAAAAGYMLDMN VSRQRKSSNADEFHVMEPKQ TSTA_095650 MSGFRGVMKDGWHPKGKEGGKESWRGDFKGINQVAGWMGKGKDS SKDDRAEHVSRPLSSLKDPATFGPPPKRGDSRTSTTSVSKSTTASRGLGSELAAEEIT HEPEQVEEPAPPPLPYRANRTGINIEHLPPPPVRRTESPANSTHAPASQPRPHLPPRL PTRNTTTSPSPPPPPYSEADRSIEMNQGSVSRLEQAGVSVPALGIGRRDSDQSATVQR GMNNQVNELQTRFAKMSTPGSQTPQSLQSPIPIERPTSQRGFDSNTSTASRTDTRPSP LPSTTSTFRQRSNEHIETGKQKLAAFNQKHRITERINSYFEEQPPLKASQGAPQPPPP PPHPNLSRQSSNIDIEVLNKRKPPPPPPPAKKPNLKSTPVNGGAESPSPPPLPLGTKP R TSTA_095660 MLERSISFAPTDQISTDETLNQKPGTLAKIKYRGVVHYLSSDIN TKRADIILIICAFVSGLVDGVSFTAWGSFASMQTGNSVFIALGVSGQPRYPAYLWAKS LISVATFIISNIFFSRFIRFLGAKRRITNIICFLIQTLALLIASLLVEIDIISPRAED PRAPIEWMQVLPISLLAFQAAGQIVASRTLEYDEIPTVVLTTLLCDLLIDPNLLARRN AKRNRRVACFVTLILGAMTAGGLFKLTNMSSGLWLGFALKAVITISWVAWEGAQNVKG DGDVVEGCV TSTA_095670 MLDSYQFSEAGASLGFRLPPSAFFKLPGSQPMSLVPPANERSLA QPFQIPPKLYAQLLDYRVPLTIGSIYATTVILLNRVNKSRGYKPWAVSKTSWFKILVI LHNVFLAIYSAWTCVGMWRALRLSIPRFNNEYGAVGVIDGFCRVAGPRGLGNGAIYNS TTDQWIMPNPEYKLGPDGLPDPTDVGRLWNSGLAYYGWIFYLSKFYEVIDTAIILAKG KKSSTLQTYHHTGAMMCMWAGIRYMGQPIWIFVLVNSFIHSLMYSYYTLTALHIRVPN AIKRSLTTMQITQFIFGTFAASSYMFVRYSIPVTVSRAVSWEHLSKAIPAAASAAESG IAAATASAGLVPWLKKLAFRAAGAEGIAENVLNAQGQHFGADALNAARLSQAKQQAEN AILYEIIDCVDNSGQAFAIWLNVMYLLPLTYLFARFFVKSYLRRKEPAQQKAATQIQQ VEKAGLDALKGVSREIRRAALEQNGDGDTSATDEETTNEKIQKLTSTAQEKIHNMESK LASEKKKDEFEAVPPKRRAKRGEAEKSVTATPPVKASNTFDFWFNLTSILELANRGAV TSTA_095680 MAALVQTIPQQNGTVTLLQTRPASSSTSQNYISAPSITQPHVGR GHSMSLSSYNGVTNPASSRNSVAPYAFTSTPGLLAANGNSNQQRHMAWSPQVRPDSHH SSTSLSQNNTPNHSSHFAAGSVSSSSGSSNSSARPSYISQDDSILSSRRRTTDMTPRP LSTINLSTQSNLLTLSTSISSTTPSPSSTPSPSTSTPSKPLPDRYRRVKRTATEDNTN QAAVPIPNRSSSYDLSATAVILPQPSRPTIITHTRTPSADDTRVGKQSHPDVAKRYRR RSWANMDAFSQESPLDSSLFAPLPDLKFNTPELSRPASSHSERSRPVEHSQSDIKTSV PSVDSKTSSNASHNPSPLSHQVTNSPLAKSESPAPSNPPSSTLNSPAAQRLADISKAS GKKPGKSRLRRAFSFGSAAELRKVSVQNSMGRREGEQSTNIGDELDPEQAAIAAQQEA NGLGNSIYSHQLGSTDNLSISSTASSASIMLRKMGRGMKKSTRSLVGLFRPKSVINES TDPVIIEPTAPQLSVVNIEAERKIVAVNPDPREQSGGGTVFPKVQPTKEKSKTTAVVN ERNLHDSNSRKSIVGGERERAEVLAAVKKGILKRSGSSSPVGKPVELAPPHIPDSPNS SAPSTPEEHSHRVGHRRTDTVKIEGEDYFMTQGKYGSESKTTPITPHPASKSIVFSPR IQFYETWPSVEYDRRGDTATCNKLTPLLAQQIKEELNTFKMEMEVHETSKIYTHFL TSTA_095690 MPHSVPVPFSGFQAIILCGPGVSLNTFTSNPEEFPKALIPIANR PMVWYPLDWCYRMGITNITLVTPPASQAPLEAALSQNPHLTSLPAPSPSVLAPSGLTL TTGTAELLRFSEVQSAIKSDFILLPCDLICDIPGEALLESWMVSQASLSGSGQEHISK HGGSIKFADVRGENAGRRGGLGVWYQTRDREESVKGEVADFVATAPLDQNEAPVVYPP VDGPGSIRYNLSKLVYAMPMDSLKEKMEDDKGLLIRNSLVNKHARVKLLTTYRDAHIY VFPYWVKELARRNDKFQSISEDLVGWWAKAEWQKGLGEKLGFREIFEEAANSADNASH DGDEVEDEVDLRAMSTTKASTGEIDEANFTNGFARPRLASRVNTAASEADFDSAVAAR NKQSKLAIPPIFAYIHSSLPSAPLVRRVDSSALLLSVSLKLAKLESIEEIGRPNSSPF AHTAKVAYPAGIAQRCTVTRQDCLLAENVTVEEKCVIKESVIGANCHIASGARLTRCV VMAGAVIGPRSVLTGCVIGHRSKIGRECNLKECEVQDGNAVPDETDAKNEKFMVFEGL DTEDGDGEGMSMDEDAMGDGGKRFDEGGTDLGF TSTA_095700 MAKEIEVDPSTTALALENDAYDSEDDSDFQLDEADVADDDSGLS SSGDEDEADNIRPTKKRKIDTSHRRGPKKRKGDDENQMELDSGDEATILKAKSKKQKK KGAEDGEEEELEIELSENEGGGFVKTRAMRMKMQEDRKPLAKIDGATIDVDALWAKMN APNTDSGLLPSQKPAPPDELVQEPSKDQEIEKLSTDGAAHDKLPSENMIKIKRTYKFA GEIHTEEKLVPRDSAEAKLYLAETTGEKSIDSADTSQGETIIIKLRRPLRKISRFDPN PSGVIKKSWEKQAVRAVSSEADELKGPKLNTVEKSRLDWAQYVDEAGIKDELNVHSKA KEGYLGRMDFLNRVDAIREEERRNIRLKGL TSTA_095710 MSRTLGVLALSAAVKVALAGTLQTCSSSSPLSCSSSSTKGTCCY EAPGGLLLQTQFWDYSPSIGPSDSWGIHGLWPDNCDGSYDENCDSSREYNDITSLIQN AGKTDLLDYMNTYWQSNDESSESFWEHEWATHGTCVNTIDPSCYSDYSTGDEAVDFFQ QVVDLFKTLDTFSALSNAGITPDDSQTYYLSDIEDAASAIHGGKVPYFGCSKGALSSV YYYFYLSGNAINGTYSPVDSPNSSNCPSSGIKYPPKSS TSTA_095720 MGQHDETTPLLTSPHQNINNDSGTTRQRTTRSLLWSPIQRRVMI AGGLLLLSINFGAFMAQAPQLQIFEDIICKNYMQSIDPSLLNDTIDVCKSPAVQKELA LVTGWKNTFDILPSIAMALPYGILADRIGRRPVMIMSFASLICSDTWAKIVCWFNLPL RLTWLAGLFQIPGGGNLVATTMVMTVVADVFSAGERNTAIFRLTAMTTVAEIVATPLS AMMLESDPWIPFILGTVIMISSSLFVFLMPETLGQMNVNSGIQEDTFERSPEDSEETS QLLPTKNDTIAEIVLGKTQEFILSSKFLWTSPRILISIVAVFAGSMDRSSWYLLIQYV STKFRWSIAEASYLISVRGCMTLATLLVILPILSSFLSRTLHYAPVTKDLYIARFSAA SGVLGYLMVSIASSAPILLMGTLFMSFSIPFVYSVISVATSFVPSQNQIATLYAAMSV SISIGGVVASPMFAGLYAVGMQLGVEWSGLPFAVGSGLLLLTLVPILYIKVQTQLEDI S TSTA_095730 MAIPATDIEIDTALESFDYPTPDSEDMRSEYNMADRLTIDVECP PLGTSTCSSFSPMDSPTPTPTSLYSQGSLASPGWHERHGYSHGLEAPRNSTPLRSSFR LADITAGDGVTSQYDNVDAYERIPLFDYISGYTDNVEQFWVPQDMSKSFDQATNFPCP PQMVQPYPQMTRNYYRHNQAIYLPESASNPCLSRPIFQQNDRLPNSMSMTNMAQWMPS ADMMQPQTITPAQAFPQPMTPPSSYAGFPGSANTLRTHTPVTPVRSCSMGSGADTPMT RLSGGPSDHTDEEWISPGLRESLRHRQPQRQLPKKTLKKSLSKQSLKLEHLPSIIKQV QFRCKEPGCKGRFKRQEHLKRHMKSHSKEKPHVCWVPGCNRAFSRSDNLNAHYTKTHS KRGGRNRYVATLDETSPDYDPEFRGQLTPDGRPIYGSKLDDPIPMDNDMDAESWEE TSTA_095740 MDKVEGLPLSQIWNTLKMLQKLQVLLAMTRLQKQWMNVSFSHYG SFFYATDVKPLTGNHYARDGKTISDSTFAIGPTTERDWFGASRSLLDIEKGPYERIKQ DSDDAVAGTEPVVEAKETMGDLWPDKGFIEHEHYDDCKAALREVKAQILEQLADNDKK RAEYKRYWPFE TSTA_095750 MEKKLCESVQKVDQITELKRKWNLSVSTALTTHSDSDDDIWKEY KEDMHRFGVPKNDIQTFSSALRAYIISLHRKGLLDEVEPSERNIDRQSGPYSMPKSTP KKDPETYKKEEIAIKNAYQSYLQNQNQKISALAREFNAPYRRLVARIHGKKSYHDRFA TNSLLSEAEERAVKAWIEQLDTIGTPPTNRMITGCANAILARANPHLDPPPTVGPNWV YGFLKRLPDDYERVEQKPIDPKRLSAQDLGVLQTWFDRLKIQLDTKKITPSNIWNFDE TGFRVGQGKGETVVTRFGQSRTRIASASSRESLTLIECVSASGKVIPPLIILAAKNHL EEWYQHLKDEDYLIAYSKAGYSNSELIFEWIHHFAITTKKYAGNSWRMLFMDNFEAHI TYDLYEYCISKKILVYTFPPNTTHILQPLDGVPFQNYKHFHGVEVNNQARAGGVVFDK YDFLYNLPTVRNQTFTSKIIRAGFRDRGLVPYNPEIVFEKIGAQEIIDTIPILQIWNG DEPDIPSSPTTQSMSPPLDAYKFSRHVKKIEKDLDEIKEKIKDITPNLERRVQRIMKS SLVNAHLQAQSKAHINQLLDLNRRKAKAKSRRQILNIGGVLSVRDANKRIDARKAEEI KKKKEDGGARSETADQTGKRNRFTNCSI TSTA_095760 MIVTLGISSANRGPGFDRPEMLLDLSELQKGDGSSCPRFGEQSF KVENLETLLTYNRVILRREIDCQHKLYQHRSLIGVLPSPAQWWPPQRIQNVQTVANEK SNVGKNYRHVKTACPLGGTVMAMRGDGISSVKHRGWRHNIGVYDYGEQTRPVLLCWRD GALLTDPDSRRKFPLRSHGEFYEFIPARCGRNPALDNAVSRLCAIYRDKRQQLSVSTS ETIRLYTSSLKSLRNCVQAEETRLEPETICSSLILQPDSKLLIQELGPESFDKPFERT MLESQRAFFLAQDMSRGQECFLSQPQ TSTA_095770 MATAISKPAASQPPKMKRPPPSFTQSNSVVNGIKQSPSTTSSPA LASKRLPGANQQTANGIATPMGNGALNRPVNRPRKDNQKPGDISTSRPQRATTRASVA EADRRVTKIPPEPFVKTSQYILKKYSKSPPSLILHLHPTHFRFDQQDGSFPYNSEMKV IIEHIRSQTIPHDMLEELLRANVKFYEGCLIVKVVDHKSVSAKTNKTTATSTDEKNTP FSIHNYNQHVTPSPYVPYPKQNQIKSEVNDLSKSDIPEKKHDPDSADSTTNNKPATEN GTAGTTNESGKQPEHIPRVFTTVLHPTPLSLQAELTYLSTTPHPHANANSAATKKQPT TAQPQNKSSGQPPTKRQKMLVDPEDLPKFEATLIRAVAPPVYLDPVDSFESAQELLRL MESPLHCARPPSPKRRKRTVAELAADEALAAEEERFMLIMDERLEPATSGAAAGAKIA VDDTAGAVPFEPRFSRFKTIENIRMQHEEKAKREHEKKLQQEQAKRQQQQEQERERRR LLEQRQEELAKEERRQQLAAQHAQAQLAAQQQQRLAQVSQGQMSSPVVRNQTPHATSS PVVGSGIVTQGGVPMSMTASTPRAGSPPRPPSTMQHAHPAMMGHPMAPSRSQQSHSRN GTPQMTQGTPAMSHATPIMRNVTPNQRMPHGSPPTTTLTQTPVMGNAMMGTPQMGAGM GLTPQQQQQLMLQQRQHQQMLAQQGLTPQQYAQLQAQQNIQNHHQQQVLNQQQQVQQN QMPQHQMPQQYYQAQLIRNQMQQMQMAQQKQNHQQQGGMQMTPQQQQQQQQQMFMAAA QANANQGQVPQTAQNARYQQMFKQRLQASRQEMQKRFQSQYGSPQQYPPPIAQQYFSG LENAARAWIQEVMRRENLQAQQRQQAAIIQQQQMMANGMGK TSTA_095780 MSFPQDRRRRAPNGMGFSSTGRRTFMGYWIPLAVTVGIATISVA AWVWSERQENKDDDEGRDYPDNFDGPPPPPGYEGGVPPGADIARSAGADARQDDGSVI ARMQGALRRTPSPQQIFDGASKRVAAGMAAAGAMVGGALSSITEERGDFEDHSRWSEE ADLRARGGAGVTSRGAPFAAAKAKKAVALVVSSVAISEDPEDITLSEHASILSHLPSH VDTDVARVFVLIYAPNLKHTIGLQSSTRPTPSVDSSFSNIAPEEAADAPGASGNEFTN LEPRSASDELESAGRLFKTLYTQAQALVDKESMIMPYSTLNGHLHILRHLSPDIVYIQ ESLAGPEGDSVKKLADWVRHVVVVVGDEGGRGGLIDSEDEHSALGGERREKWWQKEGV TGIGKHIDIVDSLKAGDDWRRRVSGHD TSTA_095790 MEREEIVESPRKRVKIDNPQNEDNEAVVTVPNVELSSPTQATRE VDVGITEFVSADIPGFEGILKKRYTDFLVNEILPSGEVLHLQNLNVPQSLQSRPVKDA PEQKSEEQITSDKTQSTPGSENLTEKPEFSLSDDDRAQLEELFGPEAAAKIIDLHARV LASPNQPPKKFGQVEAATVDDKDVRTKMHMTLRRIFNSLLESRTNAENVMIIGAAPNR HKKSARGFRGEPTQKPARSGWEERGGQYLHFTIYKENKDTMEVISFLARNLKMHPKGF QFAGTKDRRGVTVQRACVFKVVAERLAGLNKILRNAAVGDFEYNTHGMDLGDLEGNEF VITLRDCQFLDSAGQASKVSVSEAKELVGKAMFNLRERGYLNYYGMQRFGTFAIGTHE VGLKMLRGDFKGAVDALLHFSPQTLSAAQNTDNDQEGPLISSDDKARAEAIHIYRTTN NVNNALEKLPRKFSAETSIIRFLGRGNNDYFGALQSIPRNLRLMYVHAYQSFVWNIAA GERWRLYGDKVVEGDLIISNQERWQGTAAQDGVIDADGEVIVEAQAQDRANALDDVFI RARPLSAEEAASGKYTIFDVVLPLPGYDVVYPANQLTDFYKNFMASERGGGLDPFDMR RKWRDVSLSGSYRKILSRPGPVYSYNVKAYFQDDEQFVSTDLDRLKGLDTKKKDEDNA EAIDDSTDKKIAVVLKFQLGSSQYATMALRELMKSGGLKEYKPDFGSGR TSTA_095800 MTRPKHQPLLTSQATQSSLTIATNLLLRCEHFFRSLAFLEKSTS DPKSLLQQIQSLCSDFHETLFIIDQTLYKHRMEHGRAKHRKGEEEDDDDEGYRVLSEI NEQLTDYAFLVGKKIHPWDVPYVFLESTTTAKVLVERPAEFPPATWRNRELRGTTTPL AGFPLEGLGADCVNGLEMYHRLDLGDMWAN TSTA_095810 MSWDRPVHSFSYSNGEPQTPTRTPTSAAFAESSFQTPKFESSFY DPRVTWDTADPYASSPELLKTPQRFVFGTPSNGLQQHRQQDLDASFGQHPSPNATLKG PANTDTVKRRPSTKPTAASVFGEEGPGTINSVRSAATIQTPPPTRTSNRKTLHSDEQG YFGSSRKLSEVTQTQLETPSRIIASSPHLFANFQNSPDFFQFSNIDATSSPFFPQQKL FLDQDVGNVPLQVSNDPFADTRPSFMTTSAVSQVQALEVPPLPTIQGSLDLPDFGTDM SFGMGSTAPADAALFPTPFSASPRVPITKTEDPSLFLSSPARRFGPPQPMPQPMSASR PTKRQPYHHQTEEAKREQLRRTTSRSARQPLAGAFSDDEVNDEDEGFTPRAAPTLRPG LARSKTTTAISATQQSRQQSQQSSSSLASTSGIKKTPSKGRSSPVKAMRPSLPRASSA CVPTRSQSLILKIDKDGRAKTELQDAPGKVVSSGFTGLTDPISEMDIDGSITESETDS AEFSDSSAFHSTHHSFAFPASTLTKPKVSRTGSISRPNSKSSFASTISSSSASGRRSP WAESRPIRPQSAIVSSDWINTPQRFATITNASFTRQSSSTDSTLPDPDEDTGDAQHAL RQVLKGRGRNTIGRAPGSNVMKARMSGSQTMHNLRSSPPGIHSVFDLGSGDPTTSPTT LTDPDLATPGSDRHSNPSSGTRCVCKSLDNGGHLMIQCHWLHTKCVGLDRANLPPVYI CVYCSSTPMRGGRLRDPLAAMSQTASSPLARKSFRYR TSTA_095820 MPEGYIFVPKGDPYITRNCKSRTKEAGNTIYIVYDNKLNHQLGI RIPSAIHTSVLHSATQTAAHRALATHNRDIRTNLKARSLLQLTFPSIPPTCLSKILQH AFLKGSGRVGRISTRPDEKKATLAVEAHVRHEHTEYEKLMSEGGMNREEAREVVWKEV KTIRDGWAKGKVKEAEVEAIDLT TSTA_095830 MANSTLVSDLPPLPSYTLTPREPLIAPIPDNVLSLMLPVIAYWA VSMIFHVIDVYDWFPEYRLHTPAELLKRNHVSRADVVRDVILQQVIQTIAGLSVSYFD PPECTGKEAYDVAVWARRIRVFQTLVPSLFGLVGIDSVGLAKNLSGFPSLAAVLAGGV YPDLWQTLTLDNGVEVVAPAFAGWELALASFIYSLFIPGLQFLIAILIVDTWQYFWHR AMHLNRWLYVKFHSRHHRLYVPYAFGALYNHPVEGFLLDTAGAGVAFIVTGLTSRQAM WFFTMSTIKTVDDHCGYHFPWDPLQLITSNNSAYHDIHHQSWGIKTNFSQPFFIFWDR FMGTRWEGEVKMKYERARIAAEKQVERERLENNGEDIDRVLESEPGPLDAASEDFATQ EKTPFKIIPRATRSASRRAHGVNGSIRQK TSTA_095840 MVFWYRIPATEKLGSSDGADNGSSPRSGSMRYSRDAAPTVDRFS VRPTAIQVGILNLDADEQANLRRVLLLRDLYRTVDAIDDILNMDHELHTEQRTVDADW HFTSQRGGTRPDSARQPNEIDATCLQDTCEAI TSTA_095850 MIIYKDIITGDEIISDTFKIVEDGPVLWTADCRKYMKGSENFEL EGANPSAEGGDEEEGGEGGQVMVHDIEDQFRLVWLKTEEGMKPSKDAFKAHLKSYVKA VNNKLKEKGASEETIKEFQSGAAAAVKKILANYDNYDVLMNQSMDPNGMHVLIDFRED GVTPFATVWKHGLEEMKV TSTA_095860 MSENTFEARSSSSRNHVAGGRQITRNRASYSCHTCRRRKVKCDK IHPICGNCMKNGSECVYDTATPKKNGDVDVDDEYNQHGIKRRRQTLRSLGEEDDDSKM MLGEREQTGLRGGSQAIAAQLNKLTNMIENLSKAKGPLPVEEMSRQLQTISQDVDLQN SVGSRASISHASALNNKSISRDTSPRRMADSSGDEFPVPAGNATDPVDPVGLNLGHLS LEDGRSRYVGTTYWAYISDEINELNQLLREQHESHEVPSSNDAGNDVDAIMISADQPM TRNASYPTDNRRPRYRSSTGSHHLNRALLFSGESPTAFDKNIEAYMLEDMPTRRQSDI LYKGFMSGVHGISPVLHPPTILQSYYAFWDWYENSSYSGERCPDTTFIPLLYAIWHGG SVTISIHTIKAEFPNVSSRSELCDPLHEHVVTWLDRISFPRNPSLHGLVAFLITRTIL SREEEPLASSLFISTVLRVAQTMGLHRDPAKFGIKSSEAETRRRIWWHIIHMDGVVSM SSGLPPLVCDESYWDVRETSEVKDTLLGTPQAEQYSKLVESNLRPPDNPDDPTVCGGN SMVNVFYLCARGKYVMARAVRRIMKIQLGTKPVTGRDMEELRSILVDLQFQLNSLINR IPLAQHPNSVKSNASPSNSSLSAPQGRIEQGLLPDDGPGGCSEQYHTPVLVAFHKWAR ILLSLFLDKAFCVAYQPFLKNARSRIWPTARQGALRHCHGFMEKFIALATDPDFQPFQ WSWPGNHQPMHATMIMLIDLYERPNSPEALRSRAFIDKIFSISGPDGGVVGGEDGVTT ARPLKDGGREAWDMMRRLREKAWQKAGLNPKQLWTEQAQIQAGVGQNVKRTPTHRSSH ARRPVDTAKPLGTKPAGDAQLADFNRKFFEMTRNHVLPNPVVSSLRQESQSQAPRSPS ATMLPRSSNVPMPVPTPPQLTNIPSNMPSPSTGFIPSPSLDSQSLDATLALANLATGS VVASPTPLSNAQSFIQQQRQQQQQPQYRQSNQPSPNYPIMSTAATSPSSSSPTNTATT NFSLDSAQTTSGIAVATSPSAGAGNAPSAPTPPSMMDPNLSFDWDQWDAVFGQQIPMA DEIIELDPVAGGLGFGLFGNNDLGVDLFDHSSTNNQNNASNIGFGGGGGAAAGNEMAT TPVAGDVWRDFETWQYQ TSTA_095870 MSRQAQVEELSDSDPEEVAPSGDLPADHIISPSIMHPPPGNMPP PGMAARPQPRPPQEIPKHFHCLYAVYFDKTRTRTEGRQVSSKVAVENPLARDILDACQ QLGLRVGFEPEKLHPKDWANPGRVRVQLKDEDGNLLNPRVKNKHHLRNLVAQFLQAHP TDEKSPFRLRIHGLPMPDKLPDPPAAPRGWKIGKILPIHSPAYSGGGVSDNPFKDAMA EMEKLGGMPGMPGMPALPGMPPGLMGMGEPSGAGEPKKKKEKKKGKA TSTA_095880 MTPSAVLHRHLHQAPTLALSGEGSYISLEDGRRILDATGGAAVS CLGHNNEHVKQAMIDQINQLSYCHTAYFSTKPFEELASLLIESTGGKMSRVYIVGSGS EAMEAAMKLARQFYLEKRNPEPQRTKFIARNQSYHGITIGSLSMGGHKFRRELFEPIL LPNVSHVSPCNPYRNRKDSESDADYVARLVDELDAEFQRLGPETVCAFVAEPVVGAAM GCVPSVPGYFKAMKAVCEKYGALFILDEVMSGMGRCGTLHAWQQEDVVPDMQTIGKGL GGGYAPVAGLLIGDRVIKTLEESTGSFRHGHTYQGHPVSCAAALAVQKAIQKDNLVEN VREMGIVLEEELRKRLSDHPHVGDIRGKGLFWGIEFVRDKTNKEPFDPKSQLAFRIQD KGLEAKHSISLYGGQGTADGVSGDHILLAPPYNSTREEIVRIAELVQGVVEDVFGELD D TSTA_095890 MGRFASAEALDCMLAYYKVALKRFIDDIAVEAIESKLVMPLSDM LSPVTVFEMTPEMVNCIAGETKEYRSLQNS TSTA_095900 MIYSRFRGSEEALERTHNFRLATLTANFLQILREIVVISDWMAS IIRASLLEASRIVQFSRTAVPDNDLLHFTSNVLNQDELDIFEIVFNYMGSTFSLTRGD SVFQIEPHSVSVSGDSASNNMSSLTLIEISAAVVNHEVELVFSCNRHMTGLNSIRKWM VECVGLLTKASSFVGTTTSQPSHLLANDYYEACAVEKRACQTLGVDPSNVDSIYPTSP MQNAMLIAQASAPESYQAKLLLGIQSRDQFGISRFQKAWTMVVRDNPILRTVFVPRRT DVYDQVVLNNKIIDSRIAQVENSFPSNLARMTSSWKVLQPHNKLTVTYNGNYATCLLE INHSLIDHVSLQHLLCYLSEIYTGSIDTAFATSLVNYNLFIKHLVQHDSLASINYWRP TSTA_095910 MAYTEQSPLLGERSQEPYKKQSIWNATRICIICYIGALLFDSAQ ILRTMPRTKLFESIICQKYYASTNTIPEHMCKVNPIQEELVTTQTWLKGGESVCALLV ALPFGNIANTKGRSLVLTLAISGQILADAWIVAVCFFNYTLPLSLIYASPLLRSIGGG EMVMSAIIHALLVDVVAETNRAQAFFNMAVMTLIAELVAPAVGSLLVDWQGVYIPLLC AFPLQVANMVIFGLLPDTRPPKTLENENNCDPVEGRHSTEASDKAGFANWVKSLKRSC APFQIKAIWLVTVCYISTMLARDTIDLLVQYVSKRFEWSLAKANYLISAKALVSMALY LLLLPLLRRALAKECSISPPIIDLWVARGSSLFGVLGPILLGVASGPVLLVMSLVLFT FSLGYPFSIQSYGTSLVQPVEVAPFYSALAVLRIAATLLTSPLLAMAFKYGLNAGGAV SGLIFYIAGGLFLIAAVGSSLLR TSTA_095920 MSSSVSSIYTHYGQDPNNHPDQKEISSIVDKMHKLSLGRVALGS DGVLRSLSSENEVIDAVGLPPRLIKAFMDRFPYYEEIEARFHNSDGTLVPQEQWWAPD PSILPQPFTDEENDEARRHYEKNKDIIEEMQQKRKNGEIQGCGSDPEF TSTA_095930 MPSFSSTVLGLMAALSLAVPGVLATFANSSSDVRDAISSLKTDP DGYLHIADDGVARAFDANDTVTGYVALSNDQLMQLLAELPELWQNETDHLHSVFDGVD GRDANQTQLLNPPASLRPNRGAAQSQNDKRAPFLKRPARLHAAIFEVGVVVERPRLVQ NDDYQVATLGCDASCAAKRGVHKQAATFVHF TSTA_095940 KEYPPGSCQDIQVFLGFCNFYRRFIQGYSRIARPLTSLIKGSKD GKETGDFHKKWGSIQQEAFLELLSAFETRHHLEGSKYLVEVLMDYHNLQTFMKQSRLN GHQAR TSTA_095950 MLNKIHSPLPEPSTDLNAYELGELNGYYVVITCLPYSIYGMVAA ANVVSRMRATYPRLQYGLMVGIGGGVLGKNHDIRLGDVVVSKLAGEHSGVIEYDYGKA IGGGKLDLLSHMNQLEAKRMMGNFLFEFFYNHAGGEGTCEKCDKDRLVKRKPRETRTP FVHYGLIASEDQHNSMVYSLCFEMEAAGLMDDLLTLVIRGICDYCDSHKQKQWQGYAA LTATAYAKSLLSIIPISRPDVELMKSKNMRHWMVSLPRNLKFVGRLDEITKLEGLITL QDGTRRIAITGLGGVRKTQVDDHIEDSTLNSLFKSGLQSTFNPGPRAGPGYILGTDKN SCDIVLLKLARISRRHCFFTFDEKRRLILQDCSQNGTIVTYNGKGGEKRRDFKWILSG DRVPEDTENILLEFHNHLKFRIIVPKHETCPDLYAGHVDRFLQEGGRLVQGTYIAQTD VCKFGEHIGVDLRGVVRQAETWKSTTSASDISDISHQGDCLSKESEAWNSTTSASDIS DISHQGGRLSQEIGDDISSSCNGITSVPFLVILERLHKWTKLEANITKVRITNSLCSC PQGLCSASSTSSASHELNWVHGNKPPQKQADSYSCSRGTSSASSTEELYAGNSELSGT RDGTKFRSFQTR TSTA_095960 MARTSPPNATDDVTEIEDSPQELYRISQTRLDPGSVEALTPPSS PRVAPSNLITKEELRWLLAEVLRIQSAQPTVDGKDPISNEKTDGQHIARRIIRTSKVE YKTVNEVWDSAKYEYKIVNSTPVPDVHELDEYIFVIRKRSHEQTKELIVYIDTKSPGL RDIKSANLDADRPAIERDLLYHYLDELREYRKRFHSQEATSDDAMLHLSKLVQYLEET YAPVVEQLKLLLESQKITWDLLWALFKPEKQFLRLTRLPGCPDAFGTIIVREKQSEAM NFLKSTDAISIMTERSSVNPPRLCRPHIFTDSIFPHQIDYPVHVAGDI TSTA_095970 MAAKRKASAMAATIDDEPVDPSDELAFYCLGGGNEVGRSCHIIQ YKGKTVMLDAGMHPAKEGFAALPFFDEFDLSTVDILLLSHFHVDHSSALPYVLSKTNF KGRVLTTHATKAIYKWLIQDNVRVSNTSSSSDQRTTLYTEHDHLSTLPLIETIDFYTT HTINSIRVTPYPAGHVLGAAMFLVSIAGLNILFTGDYSREEDRHLIPAEVPRGIKIDV LITESTFGISSNPPRLEREAALMKSITGILNRGGRVLMPVFALGRAQELLLILEEYWE RHPEYQKVPIYYIGNMARRCMVVYQTYIGAMNDNIKRLFRQRMAEAEASGNKNVAAGP WDFRYVRSLRSLERFDDIGSCVMLASPGMLQTGTSRELLERWAPSERNGVVMTGYSVE GTMAKQLLNEPEQIPATMSKSAAGPGSRANPMADEDQKVMIPRRCTIDEISFAAHVDG VENRNFIEQVAAPVVILVHGEKHQMMRLKSKLLSLNAEKTNKVKVYTPANCEEIRIPF RKDKIAKVVGKLAEEAQPTEIEGGKLMAGVLVQNGFDLSLMAPEDLREYAGLTTTTIT CKQLLTLSSASMDLIKWALESAFGVIERIGSSEDKPLKKENGAYEAADEEIEYAQDEM YLVMGSVIVRYLARTREIELEWEGNMMNDGVADAVMAVLMTVESSPASVKQSCKNKHH HHEHKDEPEEKHIPTQGGMSSHERFAQLLMILEAQFGETMIPIEKPRLQSSKSSTQNG TTTASADKNTAEDEEEELSEGELAEAEADELSRLHGLGIPFPGVEIKIDKHVARVWLE DLEVDCSYTVLRDRVRVVLERAVETVASMWTEEFGGRSKLITTNGHGAGEKKLFEKKL AMIEA TSTA_095980 MAQAQPQQPPTQRSPQYPPNQPFSPPVSTPTPPVNAAIYSPPPK RPRLSPLPQSQSTYASPSLGASQLPQAHPTGLNNGFQVNGMSTPGLASVAPAPPGSMG PPSRPADKPTDASDLTDVLAQSGIDVREEEAYLTQSYAAPVVAPRPQAPGLNTSFTSA PSTPGIASANVSFDSKPPPTQEQQIVPAYSEDAPYNQPTYEETQAARRSQYHLQEPFL LTKVLEQKMQKRAYDLGVRLPTDGLYHPMPGSRQPIEVTGPDGSSIVRRGHTIINQEG APLVDILSLMSLCCEERLRGVIEYSASLSKSRRLHSHGAIPAEWQALAATTPKASGPI AGTPSKRPHAAIDNGPQSLVSKYLALSTEDMSAEQARAAKRAKRNADNIISEANGSRA PSIDVGSGAATPLSQVAMGLDKKGITKKEAKKQLDARATEAQQHQQSVETARKALSST LFGGSKKRTYSWLNKGSAVNTVSSPRPHSPALGGPGVSASGGNDTSERKRNASIAPTE ISRLGDWREDKSNGIQVRDILFMLEQDGRGSRHVQKAYSKDLQEERIA TSTA_095990 MSTPSTATATHPSHHPHYGYSHHQIGYQSNGAYPATNTPTGSAR LANSYAYPANTPTASTTSTMTTAQSHPLPPVPQSAPTPTNPTYNTTNNDSMSSGTSTR RRKPNWEEFYKNGLPKEVIIIDDSPPPDQTTGTRLAVTSTTTTTINGQPAGKRRRTGL ESAYDVSYHDRPSFSTNPQPYWENSSHNSLSTDRTTSLQTTAPTSLGSAGSVGTNNGV VYEDANVGQKRKRNTRTSTRQEAKRRELEAVSDAFLSYIPPPQPPIKAKEVPVPVIRD YSYGKHEKVDDDDGHYIVQPDTPLTDRYSIIRLLGQGTFGKVVEAYDKQRKMHCAVKI IRSVQKYRDASKIELRVLSTLASNDKTNRNKCIHLRDCFDFRNHICIVTDLLGQSVFD FLKSNSFVPFPSSQIQNFARQLFTSVAFLHDLNLIHTDLKPENILLVSNSYQTFTYNR TIPSSSHTTARNARHRRVLLDSEIRLIDFGSATFDDEYHSSVVSTRHYRAPEIILNLG WSFPCDIWSIGCILVEFFTGDALFQTHDNLEHLAMMESVIGSRIDPKMVKQVVQGRGN SSNQAAKYFNRNKLDYPNEETTRASRKYVKAMKQLHEFIPQNHAFNKQFLDLLQKIFV YDPKQRLTAKQALKHPWFKETIVDDGTEAVRLAKFHSRLA TSTA_096000 MADRLYQYSTASALMSGVASTGIPLSNLLSHGSHGLGTMVSING EVVILDSTTYHLQSSGAVRIVEPHEQLPFAMVTSFDDCKTRTRSTFSDLPSKQSILQN LQSLFHGLNNRFAFFLIPRIHLDTITARVVRGQQYPLQPLSELGEAQKVNTYEGVSGT IVGFWSPAYMDGISVSGLHMHFLSEDRSYGGHVLEMKSRSEFVFEAALLNEFDLELAD SEDFGNARLEIGGEALKKSRLADKSVIVPALYPICYGRMSSSLPGSRDLPRSQYDLST YWGRVRECAGISDPRMLFISSSGLENAKKLIISYKNGETKEMTPELWRAKQIVDSTLH PDTGNPVFLPFRMSSFVLSNLVVTAGMLTPGLQTTGTLLWQIANQSLNVAINSSNANK STPLTTSMLIKSYLLAVSASCSVALGLNAIVPRLKNISPNTKLILSRLVPFAAVSSAT ALNVLLMRSEEIRRGIDVYPVLSESEKKARESSGQPIESLGKSKIAAKIAVGETAISR VLNATPIMVLPPLILVRLEKMDWLKQRPRLVLPVNLGLILTTSIFALPLALGAFPSRQ AIGVKSLEEEFWDKGGDGGKVEFNRGM TSTA_096010 MSNRNPSHVIVESLSNAGVKIVFGIPGAKVDGIFDALSDHPTIK LIVCRHEQNAAFMAAAVGRLTGAPGVCLVTSGPGTSNLVTGLATATTEGDPVLAIAGT VSRLQAARHTHQSLDVNKVLEGVGVEDQVSEVIANAFRHARQFPQGATAVALPMDIIK STSVGVPPFPSLSFEAPGYGSSNTKLCKVAVDKLIAAKYPVILLGMRSSDPEIVASVR RMIKDHTLPVVETFQAAGAISEDLLHRYYGRVGLFRNQPGDKVLARADLIIAVGYDPY EYDAETWNVNNPATIHNIIHIDYTHSRVSQHYMPHVELLGNPADIVDELTASLQALKP NFWSGAEDTLENIRQEIARCEATATHTESLQDGAVQPTHFVYQLRHLLPKETIVAVDV GTVYIYMMRYFQTYSPRHLLCSNGQQTLGVGLPWAIAASLIQEPPCSRKVVSISGDGG FMFSSQELATAVLQKCNITHFIWNDSGYNMVEFQEEAKYGRSSGIKLGGIDFVKFAEA FDGARGFRINSTKEVKEVIKEALAFEGVAIVDVRIDYSRSHELMKDIIPKDYQ TSTA_096020 MSRLTRKDIKQLEDIEQLAKCFYANVKSPHSDCPELRDRIENLS RHLRASTGNIFHKKGGFQCNAHKGLSAEHTSKIFESLRTDLAEAVNTVKSFEFLFGPD ENTTLKRVANTQKNVSRWRDEANQCEACVLSRVATNPSLLRELRTLFLAALPIAPDRP IGRLPPIWGYIDQWIGLSGDKNVLHSISDQRAKIMRHAMYEWKLYETKRSAKGAARPN KHDHFHKSFFGRGHTKPAPQPSTPSTPVTQRARNPLISPTLSQTLSRNAYELSETDFL REFSPSKGFYRAPPGNNYPYSFIDMYSQNPERTPSIPPKQQHQSRPANPATTVSPRLS STWPSMSDLQSSQSQYSCTRAVSRRTPPPPPKPQPIPRTPERNKVLPPIPNVSPLSIP QDKSPPQNRARPSTSSSRSGVFGGWSSGEDVVGKKVKVKTADKKTRVVDVRATAVKGK HRPK TSTA_096030 MSLYYDASTVLTSSSNNQGGSFKSRIYNSKSLKASPAQIYALVT EASKWDILLKEVIENAGILSSEPKLTPLLCLLLTHDFLFSKNGIAAPASHPLRQAVDR HKVRLKAELTKARVRRNCASIQDLQSVILAEKRALFGHDELTESMHPRWVRINNVKST FSAQMETSFSNYQKVDQLSELKSANQIYIDAHIPDLVALAPGTVDFSSLNAYRNGEII LQDKASCFPAYLLLGDKESPWDGGDLVDGCAAPGNKTTHLSSLLTARQKVHTEKKSGK SKIISMDASAPRSKILQKMVSVAGADKLVTVLAGQDFLALDPEDERFESVTGLLLDPS CSGSGIIGRDDVPKLILPEQGKISKSSNRGTKRKRPTEDESTKQREAQETNNMDTERL VKLSNLQTHIVEHALTFPAATRVTYSTCSIHILENECVVERVLSSKIAKKRGWRIMRR DEQPRGLRKWRHRGIRSHNDPKSGDLTNVDLTEEEIDACLRCWPGDDECTGGFFVAGF IRDDALAKKLPDVEENGVQSPDQSRDVESDSEWEGFSD TSTA_096040 MAMAKVFGFTSIDHNDTYPAIAKACQQGKAVLITGASKGIGRAT AISYAKAGAQTIIIAARSNLDEVEKEILAAAKETGKRSPQILKLALNVTDEKNVAEAA AKVKETVGKVDILINNAGYLEEWIPISESDPSEWWKTWEINMKGVYLVTRAILPLVLQ SETKTIVNLSSVGAHMTANGASAYQTSKLAVIRFTEFIAAEYGEKGIVAFSIHPGGVF TELASKLPDYLRPKLVDTPELAGDSLAWLTQEKQEWLNGRYVSVNWDMPELIARKDEI VKEDKLKVRMVV TSTA_096050 MGRMMHRLYKTDRARDFDHETDRYVRMQQIYETIDRQKYQWVVV LVAGVGFFLDGYTLFASNIALPMIQYVYWHNETNSSRLTFINIATLAGTVVGQVAFGF LADKRGRKRMYGVELVLLIVATLGVTMASTGANNSMSIYAWLIWWRIVTGIAVGADYP LSAVITSEFAPTKHRARMLASVFFMQPLGQLSGNIVALIVVAATRNRVNADTVRAVDI MWRWVIGIGVIPGVVASLFRFAIPETPRYLLDIDNDPIKAEFDATTLFGEQQQAEFGS EFDAPWDGTTLPKSAASFTSYASGAGSASIDDGASIAPNTEYTLHPPTFQSHWRVVWN DVVYYFWKEGNWRTLLGTSIAWMLLDFGFYGIQLSNPQFLAKTWGTLDLHAPAPSWKT DDNSDESIYTMFLHTSVEAMAILNAGSVLGGLLLIAFAPKLNRVHLQKYGFLALASLF IAMGVMFITVHKEGPVAIVLYIIGQMLFNFGPNATTYMLPAELFPTRYRATCHGISAA SGKIGSILVEIFTVYYNIGSTSTKVSSTQRYGWILVVFSAAMIIGSVVTHFLIPSVQQ HNRRGSHGVGRFKRECSSFWFDEKEKTLESLALGRLGESSRYAVRRTRSIHRLPARRF TSTA_096060 MNTVASSQDFEDWPDWPLRDTRYMSYASTATEDSEASLLSISRF QPPPSPTTSISPTVSDKGKSSPPVDVVRVNLSPSVTISFVRKTRLFRMQYSYIDIVKE LNGTLKHLVLGGSNGSHSTFLHDFTGTRLPIPHLEYPRLSEDTPHRVSFLDEQTVQTA NTSFSTQLAYTFDHWDDCVRFQELLLSSKLVFIAGLAEAKSKGRGEECISQNLRLLRG RHERLTLLFFANSQRKERKRYVSVPLNCIDKIDVPKKTSKPVLLYLRPNFEILAEMKV LHFHFLDDDERKRFGEIISHYIER TSTA_096070 MKTTSNYSNVLPLLAFLWETASADNTLSRYKQPLPPNIQIADTK PKLRELQDANPGALVGPENGGWFLKYPDSGKVVAATSDNLTIDLDQRYMSFYHHLEEE GNHEEAADVLRELQENGADLQKLKADWNCGGSGEGMGLIPKYWADFPAAKL TSTA_096080 MASINSTLTLTRYKSPLPPNVIISGSKLGFAKLQHANLGALVGP ENGGYYLKYPEGKVVAVANDQLCSIIDKKFLSLIFHLEREGLHEEANETMEDLRKAGI DADRLKAEASDEIKSGTCGRDGDSDEDACDDFDGDACDDGQQPKAES TSTA_096090 MVTRRSHEKSRYGCSNCKRRRVKCDEKRPYCKNCTQRNGTCVYT APVPFAFASRSERSGTSSPKTVRSSSEANSSISNSISTLGATTGAAPLNLDQLELELQ WIMHTHKLFARNEETRRVWEMPVLQEALHTPFLMHGILAISALHRAHLRQHERRAEWL NIAITHKITALALFSEQLHHIHESNARAMMSFAGIAVVFSFASAVHCAGPDEGPSLNA FVDILILARGVQAVGSQAMEFLRHSNFAPLFDMTDPGATVPDDVLAALDYLDDLNAQY SQQGNHDGQSYECAIQIMKDLAPFTYAERTSLTVVGGWAIRSPPGFLEKLKKRESFAL VILAHFCAFLHIAAENWCIGSWGRLLLGEIVQVLDADWQRHIKWAVVQVLR TSTA_096100 MSFIFYYYTPSNAAAVIFIVLFGLSSLLHFYQLVQTRTWFMIPF LIGAIFETIGYVGRFLASSEAPDFTKGPYILQSALILIAPAFLAASIYMALGRIICML EAEKLFIIRVGWLTKTFVTGDVLSFLMQASGAGLMVSNSAGPSTGEHVIIGGLFVQII FFSLFTISAVVFQTRVAKTPSPRSIELKGLWTRHMIALYTASILILIRSVIRVVEYLQ GYSGYLMKHEAFIYVFDALLMFITVLILQYAHPSEVNCLIGRGHKYSENVLWTRKFVP APALELGWSGEV TSTA_096110 MSYKLYNYDPSSGVAIPAAALFGLATVIHIVQMIRTRSWYMIPF TIGGVFEAIGYLCRYINATETPNWQITPYIGQSLLILLAPALFAASIYMILGRLIVAV NASSNSIIRPSWLTKIFVIGDVLSFLMQCGGGGFLASAKTQDKVDMGEHMILGGLFVQ VFFFGFFIIVSIIFHRRMLASPMNYVGICHIPWARCMNVLYTVSCLIMIRSIYRVIEY VQGSGGYLQSKEAFVYVFDAALMCACCIVLVVLHPSKILAEQGKQYQKTEDLEMLTNR YEAGRNYDVLS TSTA_096120 MKFAIQPTSKTKLRLDIALYSLVALTFILIIVRVANKGTPSSRC LKPAVFLAYQIVTGHVDRFKRWRSIKVNKILTIIDTVFWFALFIITISGTSSSHSTIS RALGAIIIILALILCYVCIRIRKREEDGDTSVDTIALQSAWGK TSTA_096130 MDQVVPSLQQTAVPLLEQARPLTAVPGAIALAADGRSSSFRWPK YQLLLAGSRIPSLGFLMSFVSRLGREIRYPWGKCKAALMGLGTVSHVINDTQNNFTHD DYTIAWICALPLEMAAATTMLDEIHRPLPQHPTDPNAYTVGRLRSHNIVIACLPSGMY GTTSAATVLSHMLPTFPSLQFGLMVDIGGGVPSKKVDIRLGDVVVSMPTASSGGVG TSTA_096140 MAARGFAILGMAGQLARAILCQREPWERKVRSHEICCSVNDLHK HWTAGCVLFYQRQRATYAENTPGGRWNWVEKELQDFISRVLTVGTKRCPVLIFVDALD ECGTDAARSLLAYFKDLMKNVEREGEQLEEKIFAKAQGGFQWAVLVCNKVIKEKAHGT KETERHQMLKLFRWVLFAERPLSAQELRDALSIDEDTDCKARLRNHESWSDTLADFEL HVKHLSRVANFLLNDFLHRRDQKVSHTPVGDGHYQLSRSRLKYMTLDEVLEGAGLPRY RLWPIFPLVPYAIQFLFHHIRKVEQERIPQHDLLSIHTPLGRPFIGATALHAIVAFGS KSAFDDFLRRDAVQLDGTDTDGNTPLILAIREGHQSVALALLERTNEAEIQNLETSEQ GRACREGEEHQKYQLINVNAENKDGDTPLTIAVTENAGEVVLKSIEAGANLNYLGQSA ALVSCAIRSRDEILLKKLLELNFNLDGAVYCALLELENSGSALKVFLSELLKAGANAS RSPEFYRRVGREGEDEYESDGGIHDDHAISIASRRGQAAVANILLTHGISATTQNQYE ETPLLIALTENHEDTARLLLEMEPSAVELEDEDGRTALSVALEMEHLEMAICFIKKGK FSATSAGLRDALYRSINGDMLEVVKAILEKDRSTLEGKYSDNKTPLHLAA TSTA_096150 MECTDDLGQTPLLLAAQNGHDAVIDILLDKGANIEYTDKSGRTP LLLAAQNGHDAIVNILLDKGANIECTDEYGRTPLLLAAQNGHEAIFNTLLGKEPILTQ NGHEVVVNTLLDKGANIECADKSSQTPLLLAAQNGHETVVNTLLQKYANIECADGSGQ TPLLLAVRNKHSPLSLQLLGKGANPNSKDSVGLTPMFWASTHGQASVIRLLLKKGANL QPTDCIGWRPMSIAAKKGHTDVVKLLLERGAITEATDKFGQTALLLAIRHGHGEIVEQ FLDHNKKSAPKEPERPPEPKPSDTLSRPTKSSGNMLNACVLELDVILDEAYKQLRKED EKLIEAYENDLLDQLEQIEPGTDRDMRLRKLVEQRIHSIQNSQLEFTVGRKEIVVRDQ ARRVIHTMLGFKNFITTAEL TSTA_096160 MATYAPLPAQTITNPGQISIYGHPSPTNSNTNTPANSSPTSPHL STATILLPTQSRQIRPPKAPLYVPAVLRPTERPSRPQTGTAPTTRDPSPITPPRSVHG SLDSLNEDASPVDFQKNFQSRNTVSKVAEDVWKKGERLGEVTGVPTRDHWKADSASQT CDSPTCRSSFGIFTRRHHCRHCGHVFCSSHTPYVVPLDQNARFHPEGSASRACDQCYK AYQRWEESRAAGMSRIQQKLDARIDAQIEHEEQVDDAAEVPHRQQEFTVASSVPRDWN WSTF TSTA_096170 MTTTDQPYKFTTSFYPPQILTTATTNGDKNVLNTSNTQQPLTNQ IYNDALFIRNEVFVKEQGCSAEGEVDDDDGRSWGWVVYALPQAYQDQDQDLEKDEDGG LRKGTPVGVIRLVPPPHMSHSHLLHPTSSTEKVGYDYTHEPYIKITRVAILPSFRGKG ISHLLMRTVESWAQSNRDRIGEMYSLIAREDGKSERETKKWNGLIGLHAQVQVEGMYA RFGYETDSTMGRWDEEGIEHVELGEDREDREH TSTA_096180 MFLKSFLPWSAFLLLNVNAAAINVPDDDPLDGLIASILPINLEN DTTAHLTPLDKRQNFKNAQYKCHPDGPQWKVAEVGSIMAGVQHLVDPKLAQEKPSNGP NGCGRVSCSNNAGIWWCNTNAKSSLTIDSFTLVAAGAQYIVEKCGYWDYGTSRQVVSG WTYPDPNWAVVVAHASC TSTA_096190 MTTESYTPLDAPLFLTPHDPVFTDAQWDSLLSLCDVVIPALTTE KSTKSYQKRITTEEFESTLSSITANLKTPDAAQVARQFLEENVSSNPLFKEALRRTFS LYVPQEAKNGLSMILSALNTRAGSLLLTGSLTPVQNQSFDAREKVLNSWLTSRLPQLR QVARSVMLLSKRQWLVNSPTANQIIGFPRVPAYGKPAESFEYEFLQFPPGDGIETIET DVVIVGSGCGGGVAAKNLAEAGHRVIVVEKAYHYPSSYYPMTQSSAFAHMFEQGGNCI TDDGYMAVVAGATWGGGGTVNWGASLQTQNYVRQEWADTGLPFFTSSEFQNSMDRVCQ RMGVHAEYEQSFQNKITLEGSRKLGYNAQIIPTNSGNQPHYCGHCTLGCHSGGKQGPA VSWLVDAAKAGAVFVEGFNAGKVLFKNVNGKKVASGIQGVWTSRDTHLGTSGRDVTRR KVQITAKKVIVSCGSLQSPLLLMRSGIKSSHLGRHLHLHPVLMASAIFEEETRPWDGD CLTTLVTDFENLDGHGHGLKIENVTMVPSMYLTTFPWFDGLQYKKLAASMNHMAGFIT LTRDKDSGRVYPDPISGHPRVDYTISSFDRKHILEGLIAAAKIAYVSGATEFHTSYRD MPPFIRSKTPKTETEDDINNGVNDPEFQFWISEFRKRSPLVAEVGTAASAHQMGTCRM SNSPKNGVVDPDGQVWGTEGLYVADASVFPSASGVNPMVTNMAITDWNSRKLVKVLNR ERTFQEKNQQRLALIGYKIIKYQLLAEFRRRPMPSRQFHSKNRHGCIPCKRRRVKCNL ARPICRNCARRNKVCISSPGPTSTERNSTRQANMANSQSLIYLLLRNISYITTNDSFS TLRPLNPDLFFLERYFSHICPTLSSRPETQRNWAQAVTDPSQSSKQPHYLTHLQIAIS ELHFCLIDRDTAITLSERKLYQEQSFYHQNAALSILRPLLASAEEMRTRGLEEVTALF SAAWLIFLFNLTFPRGINSNKEWILNEILELSELSKGVVAVMLGASAGITSSNHSAIE GPVHCKDEDDETRDAKTRVMSGPLRPFFNHLLPWKHEDVPPSVRRQNLSPSAQALFGE IESAEFTTDDTYRKELYRLAIEELELTAVAMKAHTQHPSFIFMWLIGTKREFMELIKR RDEFALKILREYGLMLKTVDGYWWARGLERTTVDGVDSALKSLSGLQCKG TSTA_096200 MAGDKEKKRHGGDKEKTSRKKDRYEESLATSPVVDSLQPQKKRK RESKLEQSNRNGVEEEWYGDKEFEDVQSESQASAKGGVSRDRKGQKSRDDGSKGSIDT EPPRSSSRSDEKAPDLRFGDRTLKKTKKRKNEDQSEANGAQDPPSKVKKRKHEQVSTP RDEDERHDLKHAKLLKKFEKSIKAKRPVTENVQETSAFDTGTAIVAQGLEPLPQPEPV AETSEKPTYSTMPDWITNPVTKSPYDREDGQPVKFENISLDRTVVSKLEKHGYSEATP VQATVIPLLLDEQHRHRGDLCVSASTGSGKTLSYVLPINQSLQRESVARLRALVIVPT RELVKQAREAFEACGSNLRIGTAIGSVVLKDEQQKIIRWDSVYSPEKYNADQQRTMSE SDWAEFDLLKYRDEVVRAGDLAPQYIQVARPNIDVLISTPGRLVDHIRQTEGFSLRHL QWLVVDEADRLLNESFQEWVSVLMGALDKEKTANIGDSVLARIGRPIQSPYPRKVILS ATLTNDITKLNSLRLENPKLVAIGSRNMDSNEERVKHEAEQFVLPPSLMEHFVPVGDG FEKPIYLMKLLLMINKSKWNSLATPAYASVVGRKSNEAAEDSSSDSDSTSSSDDTSSS DEASDSESDSDSESSDASPDESESSSDSDSETSSIASETSPVASASNTGVTSVLIFTK STESAARLSHLLSLMNPSLESQIGTIVKSNNSSSSRKTLKDYRAGRISIIVATDRASR GIDLVGLGGVINYDMPTSLTTYVHRVGRTARAGKSGQAWTLVEHREGLWFQKVIVKSE NVVRSGNGVKAVRQEKNSKAMVAEYTAALEGLEKAVKG TSTA_096210 MVSDFFFPQPGGVESHIYQLSTKLIDRGHKVIIITHAYKGRTGI RYLTNGLKVYHVPFLVIYREATMPTLFSFFPIFRNIIVREQIQIVHGHASLSSFCCEA ILHARTMGLRTVFTDHSLFGFADAGSILTNKLLKFILSDVDHVVCVSHTCKENTVLRA SLDPLMVSVIPNAVVAENFRPLSYPSTDGANGSQAPRPTPRIIGPNDTITIVVISRLF YNKGIDLLIAAIPRILKSHPNVRFIIGGSGPKAIDLEQMLERNMLQDKVAMLGPVRHE EVRDVMVQGDIYLHPSLTEAFGTVLVEAASCGLYVVCTRVGGIPEVLPQHMTTFAKPE EDDLVQATGKAIAALRSNKVHTERFHDQVKMMYSWTDVARRTERVYNGISGAISELEF YGHYPGAGWDAVRGRTRSFALIDRLKRYYGCGIWAGKLFCMCVVIDYLLYVFLEMLWP RANIDIARSWPKKKVPHPDAAAATKGNMTRSTRDRLRAG TSTA_096220 MSTRNNQTVDATGHQPFHPNHPREDFTAEKWHKPGVLASETDKA DEFHAQTLPPGTAPAGSSYQPNPINEVPGQANNDDVLRAHGKERTYTNPLTTYPGATS KDVDNSFGKPAMSQTSNEQRHAGAEHHRKHHGAGLEGVGANAEPQQDRQKVDPRYNPG QRALDREEAVPGTRGGRGIAGAEELPPQTAESVARER TSTA_096230 MYHLAKSLYLYATSKEEYSVLLLGLDNAGKTTLLSQIKALYLPQ PDGAPPQNVGRTVPTVGQNVATIPLQDMYLKIWDVGGQTGIRGLWQSYYSSCHAIIFV VDSTDVGEGLEDFTSTQEDGGNGRLGECKQVLESVLQNADVAGVPILVLANKQDREDC VEVVRIKEGFVRQVFEGEKGAGVRDSRVLPVSALLGTGVREAVEWVQSRVKWNKEGRP PVMR TSTA_096240 MLPQTLLSILLTTVLSTTTIAMSLAPRDTIHASDMDRYNAVMST VKGSQFLHLYLKDNQVKLDIYEDPSNALVSQNSFAPSENAPKYFEQEEKREEALQNEE AEAVNKDNSAANKDTGNGQAA TSTA_096250 MSPPADVDVDTVIVGNGPSSMILSYILHGHIPYYTSDSNTPHPD SLLHAKLKDSPCLLRPDLDALTCHFGASLLSYSTQALPINVLLDTLLRPQGEVDDLGE TTNLKWIHIPHRAVSHIVVGNAPNPGGLWAMHTDDPVNISWDIGTLSYAGMLSLPGYT FADHYRKTTKGKELAPFTRPSRRELAEYLRAYPVQAGIADSFRDNTELDGIQRIDGGF YIPSHRIRCKHLVLASGIFSHLIQPPPLLAPLLELPRNGPSGFPLLVVGSGFTAADAI ISAPSGQHILHTFLWNPNDRPSPLKSCHQQAYPEYAGIYRLMKRAALSSSSRAGGHRP NPRRLASTSFLESRNWDHIYEGLPNCSIENVQLKEDHAIITLVLEDGTKLSRPVCGLV YGVGRRGSLNYLSSSLRAEVLHNANPSTDGVISAKTLRDKVIEDLEVAPDVFVIGSLT GDSLIRFAYGGCVYAAYSLISASGVCSGLCTPKQPAGTPTGGRHALLNGVDGHSMQQV TGSCRDECQESSQPRKRSWWSTIWSLLF TSTA_096260 MDFASLMAKEISKAKPSDPKEKPETNNKYQRRADIEAARIAAYN AEQERLQREREEKAALKRKHEEEEVERRRERDEKKRKLAEESRRKREAEEEAAERARR NRLGLPELPPRSTARSESGTPVPEDEDINDEELITKLREMNEPATLFGETHKSRLKRY RKLVQRSLTPQLSSGPIPTSLELVPEKEMKIPSKIPKDIDPDAKKFLFRQLASYFTML LEEWAIALAKRDTSVKESFAGRQAYNAMIQSRDNMRPLFKKFESGDIDHTVLEAIVEI VHCAQERRYVDANDGYLRLSIGKAAWPIGVTMVGIHERSAREKLHESDNKAHIMSDEV TRKYLQSIKRCLSFAQVRWPPDDQLQLMG TSTA_096270 MTINRETTVPFHLKLFFRQNAYHALTDFPIPTPTGPNSTSQLPP HLEIYTWQSCTLRELSSLLAGALPSQLPDPQAGTRLCFRLIYPDTRGAATEGRGRYLS KDIGSVILGRTGEQQRNAHDDQRTNGARRRFMEKDSFDQDDADKTLADARFVVGDYVD VAILPPLDDGSVAPPIQGGRGPPGGPIGSGMRAFRPPRENGGARRGPGGGGMGRGQPG NGLPSGDWKRGERLPEERGGWGRRRASVLDVAL TSTA_096280 MAGPSKSLVLDPALQKYYELNANRYKYFRWTPRNAWLTLVYAGI VPGIIGYIAYSTDGKYDLRGKRRGDTVVEW TSTA_096290 MASSDIKVTLYWLEQSRAHSILWILEALSIPYQLKTFKRGKDML APPELKAVHPLGKSPVITVERPEYSQPLVLAESGAIVEYLLNHFGGEEKVSCPKTEEW LRYRFYMHYVEGSLMPQMVTALIVDRVRNAPVPFFIKPIPRMVADKIQTSYLDRQFEV HFPFLESQLKSAPGTSEGVRGGLCGAKFNAADMLMSFPVMAATKRGLITQDKFPEIVA FAERLMKDPVYLSAVKKIEEVDGNFVASL TSTA_096300 MPPIRTGRTQNSSNQEGRNLIYSSSCTDIYDVPFTTLQRRLTGT QYRGEKRANGHILTQYEEESLLKWILDLDKRGLPPRPSLVQDMADLLLSQHGSKHVSE KWVYRFVDRHPEVKLRFSRRYNYERAKCEDIKIIQEHFNRVREVIQEYGILSEDIYNF DETGFAMGLCATAKVITGSDRYARPNLLQPGNREWVTAIEAVNSTGWALPSYMIFKAT TIISKAGLRLFHKIGDLILVKMAGQQMRLEYDGFKNTLSLIQQAVRRGDTGCLFLMAM EAI TSTA_096310 MAQSSEHAKSTTPSITSSSGPQRFDKIPDVDPKTGLHEKSPTTL KRQSEPCDITVSHGIIRQSSAVDTKKPLKAGAQKSSLPPEKVFSIQLGSELFRLSGAS IASDAPSYFSTFFEEQLQQTDQNGNIRTLYIDRDPVIFHEICRHLQGYHVRPRNGEEF VRLYTDAQFYSLPRLTSQLFESEIFFQIGDRDFQVPKDIFSAPGDSPNFFTLGFNIHF SAPPLLLPGLDKKGLLRPPSIVPPSVPGRSAEVFSQLIHLLRGYPVHIKDESHRAELL RDCRYLHLRGLEQKLIPHEISYNPERDCSEIMIRLEDIRQSGVQFVNDGSIVDGSTPA GWVYYARPFVDEKACELIVEIGGQGTIIDLESMRAEFRGLTNARVSSLVQVISNKLNL PNKAPLGLMMMAGGGTRSTATSPGHSPISEDRPKVSIGPDADIIIDSESYYVRPGGYT GFNLEKYNSNSTNAHSTSAGPPAKRKREEDNSSSNGNGNSGPQGRWMVHNGQWRLRVQ PDGISNQLEIIFTAVKLDVSTSQRARNRKRGFLS TSTA_096320 MHYPTYLGLKGQPLVYAVTFCCSIGFFLFGYDLGYMGGFTTSPE FLDLFGNPNASLLAFMVASYEVGCMFGALYQFLVGDRWGGRAVIVSIGAILQTSSFGL PQFLVGRLVAGFGLGMMTTVIPIWLSECTTPKSRGRMMAMQLSNLIMGLIIANWLDYG MSFHAGSVQWRFPCAFQCVFCLILFVFIPFLPESPRWLAAAGQTDRAKHALAALRGQH LDAEEVIEELGQIQYAIAIETEEVGSWSDVFKDGGISGFTRVAIGFSANFFQQLSGVN VMSSLGPYVFQNSIGMSRYDALLVSGGMQVFYFLSSIIPWFAIDSVGRRKLFMIGSLG MGVCMLLSAIFVGIGTQGLGYGAAVVLYLFQTFFTLGWQSNMWIYPSELLPLKLRLRG GAIAVLSQWLFTFVVVEITPPMITNIGYKSYIVFAIFNFVTIPVVYFCYPETSKLPLE AVDLLFADRNGQRPSIWRVVKDSKDPVFMENIKKELAERAEATVVNEGVVDAAKQATS MELEDVEA TSTA_096330 MTISELPSQTKTTANTGRSYIYSITLTSSQMESQFLQIKPQSVD YKNFMALVPGPYQLLTVWYEPQVKLPTVKDSLRFMHIIYLFFYSDITTVMIPCMTFAI LGAITNSALTFEPVSMFDLFYGCTQALLWLWGVLMVFDINNQNSPESIEEDRLNKPSR PIASGLISPEAASYLLKAMIAVTLGISYYNGVIQETLALFVLYWLYDNQGGNEDWFMR NLLAAGGYIFYALGSLAIISNHSETKAAKLWMIIFGLVILTTIHAQDFRDMEGDQLKG RTTLPLIMGSKTGRMTLAASLMFWSIFCPYYLGLPLYAYGLTAGFAGTTGLRFISKTD NTSDKKSFHLYCLWVAAMLQLPAMASA TSTA_096340 MAISNINTETIVYDVVIVGGGPVGLLLAYQLKRFGVSVCVFEQH HKETQDAYGRAIALFPRTLEQLDQLDLIEPMLQLGFACRTSVTYKDGERILPGRVWTF MENIRDTAYDFVLVLRQMFTEQILRKKLDKIGVPYHQGVECIDFRIDDGKSPDEYPVN SEFVDRATRKQFWLKSKYLVGADGGRSFVRRHAGIPFEGDSSDDQWIRIDGIVETDMP LNRSYGAIESKTHGNVLWAPLDHAATRIGYAYTEEIAAKYPDGVTQEVAEKEAIEAMK PFNVKFKEVHWWTLYKIGQRIAKSFFTQGRILLCGDAAHTHSSGAAQGLNTGIHDAVN LGWKLALQIRGIIKPNVLETYSTERMSAVQKLIDYDKDISMLMSYKWPAWYKGDPKAD PYLVLGEIFEKAASFNTGLGISYHENDLNQSLVIALNVVPGSRPPDVDLSMPGTGRSV RFQDITRNIAKFWVVVFTGNVLSTRTSLQGLRKSLETTQKLNSNEAVSWITISAVVGC SPYETLGMEPFGDTFYDASNLAHQKYGFGLEQGGIVILRPDGLIGTGGPVEGQLVKDY FAKILVST TSTA_096350 MAEMDKKQPSLSESLGAPVETSVEKGIVSGGNDNDLLAQLGYKQ ELRRHYSTTQVFAIAFSIMALLPSIASTLSFSIPAGPVGMTWADLASAMPTAGGLYFW THYFANEKWRNPLSFVVGYSNTLGLVGGLCSIDYGFALMLLSLVSIARDGEWSASRPV IYGTYVATVCVHGLMATFMGRIMNRIQTICIVLNVGLVVATVIALPIGNKHNGVPINS GSYVFGDVENLTTWPAGWAFVLAWLSPIWTIGAFDSCVHMSEEATHAARAVPIGIILS IGLCGLLGFLSLAVMAACMDKNLTNILGSAFGQPMAQIYYDSLGKSGALGFMAVVASV QFFMGLSILIAASRQTWAFSRDGALPFSDFFRHVSKRIQYQPIRTVWGSAFIAILIGL LTLINAAASNALFSLAVAGNDLAWGVPILCRLIWGDKTGKFRPGEFYTGWLSKPIAIV AVAYLFFAIILSMFPTGGPDPTADNMNYTIVINSFLWVGAALYYFLFAKKWYTGPKST VA TSTA_096360 MYLSRLLALFLVGFLIYRSIKARVRKRQIRQKEAQLGCLPPPVF KLTNGLGLPIKKESIQATNEDRNPQYIMEAMDSISPDCHTVRVPIFDYEIFVTRDPEN MRAIFSTQAKDFDISYFRQLSWLPMIGKGIFTTRGWDWKHSRSRLRPQFARDIVSDVD REQRHVMALMKRLPSDAMSKWTEKVDLQPLFFNFTLDTASEFLYGLSVHSQQGTKEGI EMAAHFHNAKMAVHRRIELDKFYWLINTSKFRKACNSLHKWVDGIVAARLAQLKQQQE KSGYGDDEPKQQRFVLLDELAKYTQDLNELRGETLNVLVAGRDTTGSLLGWVFYFLVR HPEVYAKLREIVIDTFGSHPTRTKIDFNILRNCAYLQHVMKEALRIATVIPMNERVAL RDTTLPVGGGEDRMGKVFIPAGTQILIPTYAMQHRRDLWGPDVEEFKPERWESKKQPG WEFIPFGAGDRKCLGQQFAFTETGYVIVRFCQRFDRMENMEEGDGRIRLHHAIENRSG TGVQARLHEADYE TSTA_096370 MEVFFVDDSSSKHREKARSFVAKNAIRRRVKEQEAARIKSTWTV TVDRQRKDTQSRYHDNHDKTLGSSTHMPRPILVPLIKYDNVAVSNNSTSKPKGIWGVS NAICPNPGQAARSAFRTSNVASFIQSATNMFNKAKFGKFNSPEEQNKLHIMALTYRGE AMKMARKQLFISTTASSEDTSSSARIRQDLIVESHFLIILQLVLMDYIFFPAQARAHF AASREFIRSWAASSQDTSGAIRIPTFIHNHSITQIVVAFDNAHLGPDSLIWDRSDLPA LQKALHSFMTRLLEAGPSKTATMYKSRIDPESLVWRSLTKTPGVIPYDRGNYLSESQG QMASIMLICSVFMDYASSSGVPQQCLSDLESAMISLGDEALVSALNLAWMMGGGVGLP IENRRERLYATGGMLYVFRGQQHPLDDDSDLMNRLDNQCEFTEDEFTMSSPLPSGYRK LSERILIWEPRQTTQSGDEKSPSKTTDLSNDSSPEVILVFTWADAQSRHYAKYIEGYQ NLYPNAKIILTTATTLGTFFGGQKAAQYIVKDMVHKELAPLYEQSGDSSISGPRMLAH AFSNSGALNLEATWCLWKEAFGPHAPLPLTALVLDSTPGGLTYKTEFTRWTTGVSLGI EPVLPKPISWVIAAVIVTFLMVAPQVLGIEIMATRGPRGVNSARNIPRDSARLYIYST SDKLIHHKHVEAHAEIAREKGYSNLILERFDGSAHVSHLRLDPSRYWNAVWKVWNAAS RT TSTA_096380 MPKAIFLEPIDGKPGKPGQVYYPIIQKPVLPPTVKGSEIIIKLS AAALNHRDLFLRQHLYPGLSFDVPLGADGVGTVIEVGPNVQDKSWKGKRVILNPGTGW KDHPDGPEDPTGYKILGGTKFDSRGTLQEYVTVDESEVEEAPSYMSDAEAAALPLTGL TAWRALITKAGERNSTKGSAVLVTGIGGGVALMALQFAVRRGAYVYVTSSSQEKIDKA VELGAKGGVIYKEEGWEKKLLAQLPSGKLAFDAIIDGAGGDIIEKGVKLLKAGGVISV YGMTVSPKMSFVAQAFLKNIDVRGSTMGSRKEFKDMVDFVKANEIHPVVSRVLKTSLD DIAGINTLFDDMKTAKQFGKLVVQFGEATGDSKL TSTA_096390 MSSFQRSQNDLEDFNDQGSSFQENSFTSNYGQLPFMNPMYATSQ EDFGSPESDTLQYGPSHSYAGSATFEDAQSPGFDTPVSSGPEVVSFAPQRGSEGTQIT VRVILPFDLHSTARVFISFGSRQCECSFRLVDNQNSQLGYFFSTHAPNFAFTRSPSFD VPLQLVINNPSAGAPLVLQIGTFSYEQYLQAPVDDSRKRSFSAFPESASYRPAKRHSS HELEAKPVDHPTHTRSASYTSFAQTPVNTSAFPAPFDMENSPRLSMGGFSTASPSLQP PVPASSPMIPTWSPSVSVTDLSVVNTPSTVNMPIPQSQNPTLIRTSTLHHGHNLGASQ PFNPYAMYPTKAVLELNGELDSMALNWTPQEKAAKRRLVQFTRSQNGSTIHADFKPIT PEERTPNSICISCILWDGKDECFVTSVDTIYLLESLVAVRFTVEEKNRIRRNLEGFRP LTVSKTKADSEDFFKVIMGFPAPKPRNIEKDVKVFPWKILCHALKKIIGKYSASYSST AGALPGSLSASHSMSSDLGTESLRASSPQSIAESTASNAYPVNFSSATFAPSLMPVTS GLDSEFAVTLSGPTPGYPATMGAPYQFEPNFQPDQALMNQPSWDFTTSSQGEDFNYMN IPYTMG TSTA_096400 MEAIKATFAKCKREKRSALVTYVTAGYPTVEETIDVLLGLENGG ADIIELGLPFTDPIADGPTIQKANNQALQNGVTIPMVLDIVRSARQRGLQVPVLFMGY YNPLLQYGEERMLKDTKEAGANGFIMVDLPPEEAVRFRNLCTSTGLSYVPLIAPATSD SRMKLLCKIADSFIYVVSRMGVTGATGTLSTGLPDLLKRVHQYSGNIPAALGFGVSTR EHFLAVQELAEGVVIGSQIITVLGQAAEGQRAQKVEEYITSVTGRKLERDSQGKIVNE KTVLEVVEKKPTQEEEDLAQPTAVITEGEPGAGAGEPAPGAQPGLVDQLESLNGSGDP ADIPSRFGEFGGQYVPESLMDCLAELLKGFNEARNDPKFWEEFRSYYPYMGRPSKLHL ANRLTEHVGGANIYLKREDLNHTGSHKINNALGQVLLARRLGKKRIIAETGAGQHGVA TATVCAKFGLECVVYMGAEDVRRQALNVFRMRLLGASVVAVDAGTKTLRDAVNEAMRA WVVDLDTTHYIIGSAIGPHPFPTIVRTFQSVIGDETKEQMMELTGRLPDAVVACVGGG SNAVGMFYPFSNDPSVKLLGVEAGGDGVDTGRHAATLSGGSMGVLHGVRTYVLQDKNG QIQDTHSVSAGLDYPAVGPELSNWKESSRAKFIAATDAEAFKGFRLLTQLEGIIPALE SSHAVWGAVELAKTMKKGENIVLNLSGRGDKDVQSVAEELPRLGPQIGWDLRF TSTA_096410 MAPEFTDPRMTSIKPRIRYNTIGGVNGPLVILDNVKFPRYNEIV SLTLPDGTERSGQVLEARGNRAVVQVFEGTPGIDVKRTKVEFTGHSLKLGVSEDMLGR VFDGSGRAIDKGPKVLAEDYLDINGSPINPYSRVYPEEMISTGISAIDTMNSIARGQK IPIFSASGLPHNEIAAQICRQAGLVKPTKDVHDGHEDNFSIVFAAMGVNMETARFFTR DFEENGSMERVTLFLNLANDPTIERIITPRLALTTAEYYAYQLEKHVLVILTDLSAYC DALREVSAAREEVPGRRGYPGYMYTDLSTIYERAGRVEGRNGSITQIPILTMPNDDIT HPIPDLTGYITEGQIFIDRQLDNRGVYPPINVLPSLSRLMKSAIGEGRTRKDHGDVSN QLYAKYAIGRDAAAMKAVVGEEALSAEDKLSLEFLEKFERSFISQSPYESRSIHESLD IAWNLLRIYPKDLLNRIPKKVLDEFYARSGKAGRKTGSGGNKDTRDSSEPQQQQSTAA TESLI TSTA_096420 MFGKDKDQKRPLKMSLEQTLEQERKELLRNLDGLKGPRAPSRIA SNRGKTLIPLRNSSRQEKTESGRRASVSSPLASSSPWTNTLLSEWDDSIYEPSDDAEE PEPERRSSDSVTQLKREKEKKQKALEEREIDIDAGFLYGMQSSVPHIVLPQKHSAPAA LELSPRYRTPAQSQTQSHPHSQSQLQSNAVPSPKSRRFSLKKHRLSTSSMDNDAPDTV SEASTSPTLSKASLHDPDRSGRLTKDRTESADENSDSADSSDEEDDTSSEEEDLYDIR KGPVGPRGRSKSPRGRSKTKTGLSPGTSPARSPAPPGGWSGKRGPGPRPQGSPSVGGA LGLFNELQEEEELNGPIVTHRRANGRRTVLSPGPRRSGVHPHTSFDHNGSGLNTPVGS DEEADLSDIKQAQNLSIHLSSVDTSIPDRAIRTIIRGDFPRLQQEAEEGFRRQRKYLV ATDLSEESVYALEWTIGTILRDGDTLFAVYAVADENASGVDLNTGVQIGDGATAIRRT TDIVGKQTEKTAMKYQAASSTSLLPNALAAYFGGTDSKANSRTNSVDSRALSRSEQER LRAIEDISNTCVRLLRKTMLQVRVAVEVIHCKSPKHLLTEAIDGLEPTLVVLGSRGRS ALKGVLLGSFSNYLVTKSSVPVMVARKKLKKHTKNTRRIRLSNNLTTPRGLAGAKID TSTA_096430 MSQDEDLAGEPPASINPYEVLDVDEKATADDIKSAYRKKALRHH PDKAAAEEKEEAKEKFQQIAFAYAILSDERRRRRYDLTGNTSESLDLEDDDFNWTEFY KEQFSGMVDVSAIEKIKKEYQNSAGERKDLLEAFEQYKGDLDRIYEVVMLSSVLEDDE RFRAIIDKAIADGEVKAWKKYTEESESKRQKRLKRAQAEAEEAEEAAKELEEKGTAKK GKKSKPTKEDDNALAGLIQQRQKTRAANFFDDLEAKYAPKSAGKGKKRSAVDEPPEEA FAAVGARKSSNRGKGKRSKA TSTA_096440 MTSSESSHLKYLRECLKLASKSPPRPTNFRVGAILILRPDTPDD TSSDDKILSTGYTMELPGNTHAEQCCLSNYAATHGVYEEQVADAFPSQGERKGRKILL YVTMEPCGIRLSGNTPCVHRIIQTRKRNDDSNNNNGIDKVYFGVKEPGTFVGESQGCK MLTEAGIEWEFVGGLENEILAVATAGHDKGNQKQEAEERGSNIEAISQEERERQAQLP KNPKKRMMDAGVSRGAARVSQLINSTLRRDCSSRK TSTA_096450 MAENAYSSSTTTNTAPRETKKRAHYRDDTDKNIRSRKYEQDNEI EKEAEELLFYPAFCFPASPTHFTWVKMSIADIHHLQSRKGFEGQNIYFYKNHPIQFVC VAGYIVSRQEYERRTLLTIDDSSGTILEVVCLKAPVKNSAPTETPTTTETTNVTSTTR TPIDISTLQIGTAVKLKGTLSQKFFNQSDNPIMQLILERFWLLANTTAEVKFWSERSR YLIDVLSQPWHLTRDQIESLRQQALSQERKVIRDRRHKQERQKRHDEKEEKYRRRILK KWEVEERLRADEDERVRVDNRRLERWLALKREGK TSTA_096460 MAYNSYYDPSGPPGPYEPPRTQDTNEQIYTSPTSYQPPYPSQNA SEQIQMPQPRMPSPSYRNSSPTPNNPPYGHVGNDIPSAIPNPAGNNNNPNFLSPELIS QVTATVIQQLKAYGLEGSQGQQQPPPPPPPPMQQPPLQQQPPVQQPPQAQSVPPAPFS APPAPESTYYPQPTYSAQPAETRGRATMSVPPERRETQPKTSPDNRSGSVLERNTVPA RTSTDNMSTLEKIWGKMFEDGQPTPRLGQLLRGIAVHLIEDYPPGNTIVVVPEKMQKY YADTKVPTDTYPWQDIFDDRTSSISRIYRDIEAEHHLVQDPQNLKERPDVPGLTPRGF EKWYTLMIQANPDREFARLQKTVLDMPINNPDDRKERFPKELPRRLFPSSPDKKILEK LEVSIITHCEVDLPRSPPEERSKSPPRKSKPRDVSPTRPPPATVERVPATVSGSKSNS QERDKTSTALDGDEEVTTARPIERERKPYTAQPGLGKKYEETAINLKTSETNKSTSGS STVRQEPYSRGATNTTGHGHAHHGSASSIKPGRRRSSSVGIKANGPGDYRHSEPDLSS YDPTYANVTSGGGHSTHHYKTSGVGSTALDLYDDDNDRLASYRDYERDDPRDYDAILE RERERERERRYHDHLGSGTGRSTWTDEDYYRGGGLLGGQGGPDYEYKYR TSTA_096470 MTSEDWTKEEGEDKDDISSVTEGPSETASTVPESEGGVPLPVPS IFSATSMSRRQLTPMDHEKLAGGAWLEQEDAKSTAPPSEAESAAVTRAASMAAKYSGW NENAVEAEKKMAPPALTRAVPAKGSEPERRIKGWAKITSADPERSARILKMSDPTRFD KTKEDETENPSGRTIIGAKDRSRLHKQIRTGGAESASPTI TSTA_096480 MAISHEYSLRGTSHSAMALEDRFEVIKEVGDGSFGSVVLARVRT AGSNVARRGTMVAIKTMKKTFESFAPCLELREVVFLRTLPHHTHIVPALDIFLDPLSK KLHICMEYMDGNLYQLMKARDHKYLEAKHVKSILYQIMSGLEHIHAHNFFHRDIKPEN ILVSTSAPNDSSTFSRYSNLVTPPSTPPTYTVKIADFGLARETHSKSPYTTYVSTRWY RAPEVLLRAGEYSAPVDIWAVGAMAVEIATLKPLFPGVNEVDQVWRICEIMGSPGNWY TKSGAKVGGGEWREGNKLAQKLGFTFPKMAPHSMSSILQGPHWPQSLSSFVTWCLMWD PRNRPTSAQALNHEYFADALDPFRPKSSASRLLGRKVSEKSIKPTTTEKTTELPTLSS KPSWFRRSLVLRSDSPAPLLEPEQPARPPAVSYNTVPEAQASKGRSVKRATWANGAPM PILPSIRPVSPLSNAVTAQANSSVAHGSDQTTTIRIEENGKSKKIGRQLSVNSNGNHY ADIHRQEAERALNGLAGGTNISAQKESFFSHLRKRARRLSGRNQAPAGVSAANYDIEA NAGCSPWPARSSVVLDSGVIDSKTSDFSDVDKTVQGLKYHMSAAANPPPQMTSIPNQT PSKRQSVPQVPMRSMTESPTPTVGGPISGRTRRALQMTSHPVHRYETPEEEDELLDEV LHSADKAARRLAQHYDSESTSSLYKEDHRLSHLLNESTRTLNPYPTPSPSAKRDGVSF GDMENTPIRQGDVSKRSEDTSSRQWPTPPYGEQEWASSVAKSILSGSTYR TSTA_096490 MPLAQQTSASQTPLISPAELSYLYNSLSSPSGAIRPDGRSPTQF RFLTAETGILPGTIGSARIGFADGSEAIVGVKAELERTLATDALDLRQLQELEQGVSN DYGDEDEEGGGGGAGAGVVQGQSEWVQMSIEIPGFRDDDALPVFLSEMMRESLVGSSG VGGNDDDKMVGGLKGRLVINRRWHWRLYIDVLLLSPPQSYPLPLLSLTTHLALLSTRL PKLKSQGEEDPFFEDDWNLSEYIYPRPSTTQNSNQRQARPPVTLLVISTGQNVIFDPT REEIAVADAVLAVSIGREQDIDNTDANLKLLSIRTIDPPSRLTQHGVPDSENAATLSA LTTGTAAVAGTHAETRFVGTDEEVPGVWKPRRGGVSRSVISKIVKMVLAKGGVGDEVM GGLESVEVS TSTA_096500 MKGPITSNIPRFLLPRGIQSRTLRIYRNNFSTTTKPWAEGPDKA RVLPQPDKFRPPSHPARRVMQTRNGQKVVREPFNYPGRPLTAKEKEEQKTKRYPNMFP AEGTVMFKFLTSRWIHVWIAMGVLTSLATFTFTENFKRTSQFAHLLPPWSGLLTSPIS TVSRTISVWKMHVQHNSMIARENRHKRVEDAEKRRQYRIAHGLEEPEKVASPVVEDDQ SPIAPAELVDAAEAVEKRPAKKWLGIW TSTA_096510 MGKEAAKFAPGDASKGANLFKTRCAQCHTVEAGGANKIGPNLHG LFGRSTGSVEGYSYTDANKQAGVTWDENTLFDYLENPKKFIPGTKMAFGGLKKAKDRN DLITYLKEETSK TSTA_096520 MDDEMDALFDDHPSLSASLEDFAASNRRSPLLDLPSQHSGFRSE ESDDGRSVPQERWSPPALRRPDSLAGSHWYRHSPYDHMHMKPTLQPHSAPQSREPSPQ YEDASEMPPPPSPGKDQTDLLDISLAANVPLPPGTDSPLKGRSVSPEPKDRLLRFQSE EPHSNYVRFKVSAEVAQREPIQAAWNAIRLPFDRICATLFNSKISTIATLFVAFVGMI FLRSLSIPSTAPTVPNLMKLCAFARNFEPLIHYSENGIQHINSLQETGIAVWDLGESV RGSNMTSAPIIVTQLDELSDSLKTLSLELTRFFSNVDSDIDSILLVMDWVRRELTVIN SQPPSSLPSVMIDNIHNMISQVVNLEHGAGEAIDSTPSSSSTSNHIPGSPTLLGTVVT TVFGPTSAHRTRTALMRTFTEFLNVLEESINSELTHSNALVALFESIDRQFLNLQRVV VRESDTQEREEGEMLSSLWTRVLGPNAALVRKYEKNRKLLADVRRRTVNNKHQLMDHQ ARLLNLKVNLETLRRKLVSPLVRQKNDSFMSAGIAIDTTNSVLGAIEGQIESVEDTYE YLRGLREAQKAKLMDLVYGASSTRVTDKYLGLEETTDD TSTA_096530 MDYEMDIEPAGPQVTVREAEPYRVDFKLSNVDLTLANALRRTMI AEVPTVAIDIVEVEVNTSVIPDEFLAHRLGLIPLNSKHCDNDMEYNRDCTCESWCSRC SVRLTLHARCNQADDVMKVYSRDLVVTGDRANEWVGNPVITDPEGKGPLIAKLIKGQE LKLTCIARKGIAKEHAKWSPTSAVGFEYDPHNNLKHLDYWYEEDPAKEWPISENGKWE TPNPPDQPFDYDAQPHTFYVDVESIGNLEPDAIVQQGILTLQTKLATIIDTLTNPNGP GAQVNGLGGGDDEDMGGLGGGVRSPDAYEPPEIDGALGGGFTAYGQGANGGNRSAWGG GMTPYGATPYGATNYGGGF TSTA_096540 MSRSLRSTKGCWTCRLRRKKCDERHPVCQLCHTLAIPCYGYGPK PDWMNGGDTEKSQIQSLKQTVRYTSRQRDRARPQPLRSQSQTVPPSNAPESVLGPKYA PGAAYTRMEQGYLSRSDASSLQKETAQASDTQTNPMLLMGDLSLSDTRLLMHFVDHVY PLQLPISQSSAIQNDRNWLLPIIMHIRPFYHSVLGLAALHQRLLTSQSNDESQQLRAL KYAQKHHSLCLQDLQVAIQLLGPYKVKGAECPRGGVGVLASVIQLVLLEMCIDETDNW QVHLNAAIGMVAGVHGVRQRTEIDGDPVAMLHYGWENFEDELYPEHPLLQQQSSMNAL IAITIYLDVVSCVTMGKPPRLLKIVHDITDVTPYTQEIRNMFGQEYWVILLIGEIAAL HQPILVPVSEDDSVGNCCNSAPHAKLRSTLQGWIRKNAESVEKLQCSEEYTLSASTSI RVTTYLYSLAGIIYFHLTTAGVDDSSGEIRTCVKAFIKSFSLTRHTSTPQNLMWPVYI TASVAVGDERPFFRTILSTSASYFSIGSCSRVLKRLEDIWEGRSVSGSRLFSTDLWCP NLLLI TSTA_096550 MGIVIYGASKATCTQRILATFIEKGVTDYELKTINLMQGEHKKP EYLAKHPFGVIPFMEDGNFSLHESRAISYYIAAKYANQGVKLLPNPTDLKGMATFEKW ASVERDNFDNYALPIAIEKVFKPIRGASPDPKLVEHYEKGLLPKLDVFDGILAKQKYM GGDEFSLVDIYYLPYTQKLFDAGYGHFITDRPNVKAWWERVSGRESWQKVLAM TSTA_096560 MHGDFSYSMGGSIDVYCHVMDNEDGWKDVKDPAERKRLQNRLRQ RAWRKLLSSRDRRLSAQGLLHTDCSPFTLGHKRLSLILSCERKKAIGHMNESTINYSP WKGYGMLINSDQHQLLAKSTSGPLHHPTHRIPEIGAVPKISNRPKLIPPLLSYTKYTT FDAPPPHIVLPLSPDHCLITLVQYNVIRAMLFNVAILSLLDYLPKGCPNTLSIPQLGT IPAQDVPPDLQYTALQQTTPHSYWISVIPFPQLRDNLILLAGTYDEYEFCCDLGLGIY EGFDDVERRGFLVWGQAWHGHGWEISEGFIRKWGFLLKGCSELIESTNYWRELRGEDR LIVEI TSTA_096570 MISLIKRIYTALNPPAVEKKSDAIRFGILGAAKIAPMALVTPAK SHPEVIVQAISARDRARAEEFAKKHGIPDVRDSYQDILDDPNIDAIFIPLPNSLHFEW AVRAIRAGKHVLLEKPSVSNSKEAEILFSLPELSQPNAPVLLEAFHNRFYPAVHLFRS FINPSEVVHVHTDSMIPSLLTAKGNIEFNYSLSGGSMMMVGTYNFAILRLIFADEPEE CLSCETTIFGDGIHDKCDYHFEARFRFPNGGIGEATTTMRGPILWKPSEARVTHKEVE IQDKTLSASQEKLMTREVTIHGFLQSVIWHRIDVRDCYVIRNKTDRQPVKKWIESKSY KAYSFKEAGGDFADFPGEGWWMSYRYQLEEFVNRVKGRRTQYWISREDSIKQMKMIDM AYEKSGLGLRPTSSFR TSTA_096580 MAASNRSMESRVGRTNQRYGTKGERLVAGIVPLSADKTKVLMIQ SAGPGGWVLPKGGWELDEPTAQQAAQREAWEEAGVICTVQRDLGVIPDMRPATLLTTS APKASYQFFEAIVSREEAQWPEMHKRKRQWVTYAQAASALVNRPELLEALNRSSLRR TSTA_096590 MSTVVDAETARNLSLFRPLGEYFSPASQSESLQPPRAASTGLGV RLHPGSRASVLQSSFCLHADWLRIGYQRNSCGYCKSPDGSASYYASSVSVRPEHYEIL VNRGWRRSGTLYYKQNLQRSCCPHYTLRLDVSEYKPRRDQRKAINRWNKYVLGQEYIR KAAMLAPKSREEKRQRKKHFDIVRAVHEAEYSNLRRPIDPKTKRPIEPAHKFEVSIEG DSISQRKYEVFLEYQQTIHQESTDRWKNADFKRFLCSGLKRNTPKEGSGEKRLGSWHQ CYRLDGRLIAVAVLDLLPEGVSSVYLYYDPEFGDWELGKLSALREIAFALEEGYKYYY MGYYIHTCQKMRYKALYRPQYVLDPESMTWDPLDGELTGKLNKRKYVSLSRDRARGLS ASENNETEAEKEDELPELVNEESLSLFEINMPGVLTAEEVLTQFDLDHWLLLVHGTFV HMEDLVGWESAKITDSQSVKGIVGELVAVLGLEVASMSGCVLFD TSTA_096600 MTVAIASTSLQQSHARIRKFSERNNKMASVEASSNQSSPRPKPA LTLDLSNLPPLSRPSPPSNTLIITELHNLLVFQPASLTSIREKIISIAPLNSFSPLPS FRRIVCSFLSVDDAVKVRQGLESSKTVIDECGLRARIYFGEPTPIIENTEEAKRKKLL EAPHSQKMFFISPPPSPPHGWVVRNEEPPNKEVHASDLADALAKLGTQQQQQQQQQQT TSDSDPLSPVSVVSSAENNQRETTPGVTRSNGSWPSSAGGAGGRSRSSTLIYHPNDHG GSPNLPAVMVEDTSIGEDDDAEDDDLMLMGGGGKILAHTARPPVELMH TSTA_096610 MKDQEIDHQNDKSRDLQSSLSNALKLNTLLFKIRDDELPMACCQ LAHEVKCIELGVLRTADLFASHLFPLAELKSSIKIHPDLDDIVRKSIKRVNILSSMPG PALRAMIFHFIRDHILYSETWTAHHIEGYMLRAYQRTDQRSVAGKHFENFHRLAPIQM LEHDSEFKTSFLDAYNEELQSHIMRLLDSLFDPIQLDKKAKTFTGN TSTA_096620 MVLLPDSPSRLYVTFGLNNIVARDTRVEVRLAFLLERRHTNRIG QAKTTAADDSSHRSNSPSERLKNHVWPALKKGISTGLSEGSKMGRKRNDSQPSNAAVP SVSGQPKQIKKSASQSLSERREIALAELGIIPMMAGQEPRMDSPTIPGRLPVHGRSNS APGSAANQTPFERSIQEPISEPLILSSQTNHDSRRVPAKSEEPENRPRSQSADESVTS PASKNQPPTVPEEDDKPPAVPPKSPRLIYRPVAPLSNEIGGSLTRSNSAANGLRPRAA TAMGIRPRRHIAELHRRNESTPTTTTMTSRCQQSKPNQRMAKLKHEAGESAIQCQDRT KRRRSLRVHRTSKHVEKTNFAQLPVGFNLPDAKSQFTSSDVEKLREQAKTQAEKFKVL QYHEVKALSKELRSLDIRVDYLRNTSKALRAGRNHLHERVIAHLRSSRSANNSRENIL GQEEALAQLDKSIDEWITKLEQVDNRRARVRQMLLEHIAASLVLSATYDTQGETRKQL DSPIIKDECEVEVAMDALDIELDDVASVTRESIKIYADYGVYGDGDMATLLADIERQM ETMKDSRRPPSFAEYNIVA TSTA_096630 MPPILNKNKKDLAEQEGRILLAISDLQNERILRVAQAARIYKIP RTTLQKRLSGTQQRSQIRANNHKLTQFEEESLVKWIHDLDKRGLPPRHSLVREMANYL LSQHGNQQVGEKWVYNLVKRRPEIESKFSRKYNYERAKCEDPKIIQEYFDRIREVILE YGILPEDIYNFDETGFAMGLCETAKVITGSDRYARPKLLQPGDREWVTAIEAVNSTGW ALPSYIIFKAKKYMRLGWFEDLPANWIINISDNGWTTDKIGLEWLKLHFIPLTSDRSI GKYRMLILDGHGSHLTAEFDRICTENNIIPVCMPPHSSHLLQPLDVGCFAVLKRHYGQ LVEQRMRLGFNHIDKFDFLTAFPMARTMAYKAQNVRNSFASTGLVPFNPNRVYQQLTV RLKTPTPPPSRSSDTQSSCLQTPQDPRPFKRQMTTIKKQVSQHIKNPSEDFYKAITQM SKAYEMRKEIHDLRAAHGKEKKKRQKSKKQISLERGVIREEAQALVQGQIEASQAVTT APAEPELPVSHPPVRRQFRCSGCGIEGHKITTCPNRTSN TSTA_096640 MLQRTILRQRRAVFSSINRATIPSSPLRQSSPFQQLALKQSAFR FTPRLYSTENGTKQEDAKKENGEGSEKPAESPEDALKKELEVKDKEIVDLKDKYLRSV ADFRNLQERTRRDMDNARSFAIQKFAVDLLESIDNFDRALSVVPAEKLNNDQSETNKD LQELHQGLKMTENILLSTLKKHGLERFDPSETADGKPQKFDPKLHEATFMAKAEGREN GDIMFVQSKGYSLNGRVLRAS TSTA_096650 MRLLATGRAIRLHHSRWSINRANGQGVCALFTIPAANHPGFRYS SSSQGPEQKSSQSTAATLNSATTSSALGAESQGTIIVEGKSYPTDQWTNATPSILSHI SKGLYTRENHPLYITRKLIESQFPGPTYGNYTEKNPVVTTAQNFDVLGFPLDHPGRSR TDTYYVNEKTVLRTHTSAHQAEYFRRMAEENAKEDGYTVAADVYRRDAIDRSHYPVFH QMEGARLWKRTPGMTASESAARILEDVKKLPTHDVEVEDPNPVTHPERNPLQADYHSQ EEVDAVVAHLKRNLELLVVRIFSEASKASQDSGSPPEPLKIRWIEGYFPFTSPSYELE VFWQGDWLEVVGCGVIKQELLNNSGVPDRIGWAFGLGLERIAMLLFEIPDIRLFWSQD SRFLSQFRNDKIVRFQPFSKHPECYKDVAFWLSSAATSGTGASAAGGAISFHDNDMME IVRECAKDIVEDVKLVDEFVHPKTGRKSLCYRINYRSLEHTLTNKEVNVVHEQVRQTL VESRPGERTMGTSVKTTELMPATTEQQQQPKKVVKKIHYPFWFGGSASCFAAAVTHPL DLARAPNAPTSMLGTFVHVAKNNGVLGLYSGLSAAILRQMTYSTTRFGVYEELKSHFT DPNSSPKMLSLLWMGCLSGFLGGIAGNFADLINVRMQNDAALPPHKRRNYKHAIDGVV RMTREEGFASLFRGVWPNSTRAVLMTASQLVSYDIFKRICTDKLGMPDSLSTHFTASI SAGFVATTVCSPVDVIKTRVMSAHHTDTKAGLMHLLRDIYRKEGVSWMFRGWVPAFVR LGPHTIATFLFLEEHKKLYRKIKDL TSTA_096660 MSSPTSRSSSPLVTPAESSDASIQIDNSLKRDILKSMVQVAVRQ TRAYQESLAGTYQASAFLVNKQFGLFFTAAHAVNGPCEGYIISHNDERCDFKVKYRDP EHDYAILQCPPEDLEQLDLECLTISPEKAIVGSHVYLIGNDSGEKINILRGTISRLDT PSPRTFDSNVELIQASAAGKGGCSGGPLLTLSGEAIGICVSGHNNSHLDWFFPLQHPV RVLNDIVGKRLVSRGTIHTVWKRVPFYECRQLGLSREKQAEINTDRNGLLVAAIVIPE TEAYDFLQVNDILLSVNGRPMVLFTQLEELLDANVGQKIQISVVRFGQELTFELTVHD LLTIVPTRILNDSGAAFHNVPYRTAVCWKVPLRGMFLASSSINFSLGDETSSYIISSI NNHSTPNVIEGERVLCKLKDRERVFVRYTHATTNTRPQTTHITIDRHWSQMTLTNYNP DTEQWEYSSIETSESTANNEPVQSTTTVMDTNTVKMPWLYQVKRRFVQVTWIAPYLNL DEIAETRLESFGFILSNGLIFAAKTTCPHDLCDLYIDIDGREILGELVHMDDRQNWVL IRYNSANTSTQSLDAVQLATETPQELETVTFVGMDGQDVFHTTNAIITGSSIADFVSP IQHAEAIDVVQLDSEWAHFCLSGVVLNNLHQVAGFWLVMKNGGRYLLPTTGIASVISE ILAGGQSRARGRFNFRVDVILPKNARVMGVSDEHIECGIRANGAQHRFFSVRRATREV AEYIRHGDVLLSINSKRITQYLDFDALEDTDKETAELLIVRDGKEMVVDFPLKLATDV STDRVTCILGMVFQRPYTDIKYITQHFPSELIFTCAVAGSPGQQASLPYNNFIVGVNG KRIHDRVSFLIEIKQVPDETDFTIQSEDWNGKEYSDIVRKTRKAFGSSEFVKKNGKWK KIPLNLEDFAG TSTA_096670 MAYQLVSLYDHTNFFNQFTFFTASDPTHGYVQYVDANTAQASGL AQMVNTNQIYLGVDNVTILNYPNGSIVTDSDDSASIAKAGRKSVRLESRQTFDQGLLI ADFAHIPNSICGTWPAYWIYDYGEDPYGEVDIIEGVNDQVGDDISLHTSARCNLTADP QSETGTNVRTDCSLATNYIDGCGVSGPSNTYGDPFNAQGGGVWALWLDKDDLAVWMFP RTAIPDDIIKGQELTPKNWGKPLLHFKSHLGCRVSEQWKNQTIIFNIDFCGENASGSN WNESASSSAPQSCADSTKYSSCEAYVAANPRVFSNSYFLINSVKLYQSRIPLAAHENS SNGAVGARGSPGYIALWLMLAWSLVAHWVFHLS TSTA_096680 MSSTIPEADPDEPVETKPFKFVTGYDARFPYQNQTKHCWQNYVD YHKCILAKGEDFRPCKQFYLAYRSLCPKSWTDRWDDQREAGNFPARLDQ TSTA_096690 MFPTRALAMRPTRPVMAAPKEDMSAHTISQRLRLIKKIPPELIP LGIVLGVAVGAAIYSSTHKLFADKTLRLSRNKPEDRSHH TSTA_096700 MSETTRLKSTVYVGGLDQAVTIQTLTEAFLPFGEIVDVSLPKPD LPSSTDNHRGFGYVEFEIPQDAKEAIDNMDQSELYGRIIKVAAAKPQRDANEGLGSKT AIWEQEGYLAKYAVSEEDRQAAEEARLAANSRPEDPMQGLEGLDVAGPRPE TSTA_096710 MVRLREIPRTATFAWSPGAQTPLLATGTRAGAVDFDFSNETNLE LWDLGLDKENAGELQPLVKVPTDSGFHDLAWSDHYDNKRGIVAGALDDGSLKLWDADK LLNGTSDALVASPQKHSGAVKSLQFNPRHSNLLATGGVKGELFITDLNNVENPFRLGN TAARADDIECLDWNKKVAHILVTGTNAGFVTVWDVKTKKESLTLNNYGRKPVSAVAWD PEKPTKLVTSIPLESDPVILVWDLRNSHAPERVLRGHESGVLSLSWCAQDPELLLSSG KDNRTICWNPQTGENYGELPIVTNWTFQTRWNPHNPNFFATASFDGKITVQTLQNTSK EAAKAAATQNQALDGEDFFARAQTQPQATTFSLPKTPKWLERPVSASFGFGGRVISVG LTESGSRTSKVRITPFQVDSTVGSAAESFESALKEGNLKSIVQSRIDSASTEEEKADW KVIENLLSENPRKNLAAYLGFSDDVNDVAEEVSKLDIKEETNGELSPASADAKKKHKR IQSIFDTSGESDNFLTDLAASKGARTNNPFSIYTGSESEADKAITKALFLGQFERALD IALQEDRLSDAFMIAVCGGDKCVEKVQEVYFSKQTGGPNYVRLLASIVGKNLWDVVHN ADLSNWKEIMATLCTFADEKEFPDLCEALGDRLEESAQANSEKSIRKDASFCYLAGSK LEKVVTIWLEEFKEGEKEGIDQGQEGSSFSVHVRALQAFIEKVTIFRQVTNYQDADRQ KDADWKLSVLYDKYIEYADVAASHGRLDVAERYLGLVPDKYDEAEAARNRIRLATRKA PAVAAKAAAQIPQARTSSLPQPNIYQPPQPTFSPAPPVSNPYAPTNTPPPAAPAQQAN PYASFGTGPYQPTGGYQPVQATKPPVAPPPQQYGPGHGGVPPLPRGYNQSPSVPQVST YTTRTDLPAWNDLPEGFGAPKQTSRRGTPAAVASPFPGQQPSVVSPGSPPIGPPVGGQ RAPPPPPKGSAPPRITSPPVGPPRPSSVSSNPPAPFNAYAPPATAQSPPTVPGLAMPP PIPRGPSPYNAPPSGPPPTNRYAPSPAAQAPQQSRPPPSGPYAPPQQAAAPSPYAPVA GAAPPQAGPPPTGPPGGPPPAAGSRPGTAQSQTQKKAAPPPPKYPPGDRSHIPPNAAP IYEILSNDMQRVKSRAPASFKAQVDDAERRLNILFDHLNNEDLLKPNTIADMVELAKA IQARDYDTAKNIHLDILTNRTDECGNWMVGVKRLISMSRATP TSTA_096720 MSDNSSKSAIPEWQRKATSTSSPADQTNDSADKPVETSSTEEEQ VTTPSDRSALLEQAKTFLADPEIRDATTKSKGLTNDEINSLLGVSRNEGAPTTPETET TTTNNQARVESDTASATAVASKPPSNSMPSPRDIPPIITYPEFLVNASAQSKPPLLSL RSVLDTLYGAAGLGATFYGASEFLVKPMLRSLGDARHELAETALTNLSTLNEKLEKNV SKIPVRSLSSEKFDADEDDETESITSDPTEVFHRDIATQTTPDLLNDSITTTPSTDAE ANPHKAIDSHKQTLAKITSHLQEFVSDHTTSGEIDDTMRNRVADLQSYLDGLKYSSYN TYINSNNLYNTSYNYPMGDTTNKSKEEDAIAAFRAEIRGVKGALLSARNFPSGRPAGI AR TSTA_096730 MPSTRSLRSHAASGSSPDGQDANISTRSGRLTRGAAAATINPAT SVTVSRSTGASPGAKSIHLTVKMSSSKLRQVTNHRSSRATASTSSRHNIFTEDPVVHG KRSSRNNRNLKEISEDEEDDDLVEEDDEDVEEEAGDSEDAAGEEDELDADGDLDMDDE TPQPAVSRRNQRQQPATKSKPVKPVEEKEMELADDDDENEELSELESDAEGEPDDTML QDEEMGEAGEEEEEVDEEDEVIEEEEDDGLGSDDDSALDLTKLTKRQRGSLGTDFLQL PMEPQVKKHLTAEEHAMRRAEMARRRKNLSEKRNEEEKMDTINRLLKKQAPKRRGRVP VGELGGEATPDVAVEPEKPDVTVVRWISTSEGCRVAVPTEMLGTPASHPFGGLAVGAA SGNKLIEEV TSTA_096740 MLGKIALEEAFALPRFQERTRWWASLFAVDAEKHAFEITDITDQ RIKYMDEYGVGYTILSYTAPGVQDIWDAKEAHALAVEINDYIGPFLKQHPDRFGAFAT LSMHDPRQAADELRRTVTQYGFKGALVNDTQRSGPDGDDMIFYDGPEWDVFWSTVTEL DVPFYLHPRNPTGTIHDKLWAKRKWLIGPPLSFAQGVSLHLLGMVTNGVFDRHPNLQV IVGHLGEHLPFDLWRINHWFEDVKKPLGLKETCKKTIREYFERNIWITTSGHFSTPTL QYCINEVGADRILFSIDYPFESFKDGCVWYDRDVELNQADYRKIGKENARRLFKIDDY KDADA TSTA_096750 MVLTFADHFSGSLSDGNLSILTSQQDQCLIERGEKAEEKVSEWK RTGTIAGSRARLISFIFRELISHQSMPRPKVRPEDRQRSSKACLPCQVSKIRCDSQTP CTSCLRRDRTSACIYVESHRRRRPRTHRTHELRFAPFNHRAAGSPGSPRLRTSNEFAT RNPEGNDDAEATQSVSEAPSVQSPECTESRLLLSSKGEKVYVGETSSLSFLQFLRGIM KQYMGPSAFTENGPLNIMLEADTNVNRNIAFEESLATKQELIQTYFQVCSGFFDLFDK DDIMRLLQIETSSSGRRINKEETAVLYLIIAIGGQCRGSNTSDFPYATKYSSMGQQYA FEGMLRDPSINMLRAFLLMAFYMLGACHRNAAYMYLGVASKAAAALGLHIGMQARGLS RDESKLRWRTYKSLRVFDLVVSFLLGRTTSSVPSNYNDLSWKEHSDSHSVAVSAAYNG SVLLEEIVQRLKKVNNSFDVPTAEGFLQRLRQWIQGLPRDFRQIPFDDEQEITSEVRE TAIGNIHVSCIYYFAIILTTRSFLISHLMSRLKESSIITPDAISPLTGRAAEQKTSPQ LAHVCISAATYMATMCEKAMVSDLLLRNMCIMKAWAFAAGLILGFSLFAYDDVESRTE TESAFQSARHVLRYLANLSPQAMQYHEILTSFAEAIVKHRQQTSSARQRIADRYIDCV LDIGMTTSMSSIHHRRGRSTDRGEYPDTCEQLPQASAQMRSPIPEAGLVFNGNIERVE ESDSDIPAGVDLDGFSVLPFDDAGSFSIDYEPFGLLLDGI TSTA_096760 MISKTESATWQPLSIAVVGGGIGGLAAGISLRRAGHAVTIYERA DYAGEVGASISCAANGTRWLHEWGVNVEMGDPVILRKLINRDWETGEATNVYDLADYE EKWGHVYNMFHRQYMHAMLMDSALGEAGEGTPVKLVVNHKCVNIDSESGLITFENGNT AQHDVVIGADGIGSVVRGLIDIHQEKKPSSSSCLHTNVTTEEAVALGLIDYSKNSAIE YWGGHGTPNKIVLSPCNGGKLLSYYCFFPREKGDYATQKWDEEATVEELLAPYPDLDR NVFKHLSIGKDIRPWRLWVHQPYEWWQKGVVCLMGDAAHPMMPDQSQGACMAIEDAAC LGLVFSKEHFRGDIRKALEVYEEIRKPRATRVQVASQRARENIYERIGFSSNTNNEKY TVQNESNKLTIEEMNSYDMKEHAASVFGQRAKI TSTA_096770 MPIAYRTILTINNHLGSDLYDADPRIAIGSVDGVLPDYIRTGTQ QTVFISAPGSFAGSKGSIIYITHNSKKDREEKLAFDFKCVEGEADYVRFSSTMPNQLR ALVDPYEATDHPLYGFEDLWMLRKSLRSSAWVDGRCPV TSTA_096780 METWRNRGFVPDSDEDEDFESQESRKGYAEQRNSVLDDNDDVGF QPAGSGGNNKNGGQDKEDREQDHHSQSVELEKYVEQRNAIWENGDSVGSQLSGSDVNV KDHEEDKNIRAQEQHSQSVKSHLNDADTTRRADSKTTTEKSSILDALLDGDDFTSLSD DELQVVSVVSERPTPGHALGFADIQNDVIFSDDEDLSSISPPPSTLGSTQSSQRVRRA NEEQVPEPEHSETTRTINRVDDTVFEAMPTTISLSDTHTEDQNEADQPIRRSFRARKE IQLHPYQLEDAKYKTLFRNAGIKPVRVTIEDAAPRDLQEESQESIFGAPEPPSSPASV FSFPQSSPVPCNSPASIRRRRTPKARTQLTPEPAQAHSQKRRKLFHDSHGKKRKEQAS SISVVIEQLDIPVVNKTTQSTQFPPSPPCSGSLSSVTYVGKDGFRFPRGFIPPTAIST TTTQPISIDDEPMINDEIPSDVFINADDHVLLPQSGKEDEPVDRISFQGSDEEDEGEE TEPAVPDVEVKQYQRKIKGVLPASWLTLDLRNKIEKPGHSSSERHQRSHSTHAKPQKG VARTVSRPRSTALQSPTPRSTFAFLQESLGSDDDSSNIDISPAKNIRKPPVDLRNFYD LTNDIDDDIPEDNRIDEMFPPVPRLSAGQRKGHLGKTTTFKRKQVSYKNNQTPKSRPP RQARLDHPPRPPKRKVQKPRIPKLSILDAPDVISRPRKEQPQFLRVATRQARSRKDQG RSSPSRKVFNMATREETKETNGALWDWKRGAMKQAKLSVASTPRSTRQPLQNLSTNTP NPGVVQDSSTEAPPRFLEELDLASENVSSAHSATGRPAKRQVISATTNRSRLVRRNVI NRNHAITSLRRDAVRPAEFEVAGTEPSDSPYAFSASLAALHRTDRKRTFTLDRFIAAI GPSEQESAQPSRPVNRTISDLPANLNSARKKVSRKRVPTRAEISDIDPMPQITASCVP SKNIFAGSVDDSTGSSYTLYGFRNFRVFSVDFNILPIRKGTFFHDSTFIGEGGLSRSL NINARNMDQDSGVAVIESGDQVFRWGAWTEEISSELGIIFDKIKNSTREHDSLSGTSL NSDPFTSLTSVIGWITDKLHFIDPVDRVTFIERVELLTCDLRNHIATANHADQHGWKV HLRLANLTAILSNQLRQVAAHESVNSSKRESTLNLVKTISHQLLSFVFSHHGLQNIRQ LYQKNQNAKFHESGIRDGFPAVEAYVILLQILDSSEEFRGWWNELLLSSFVENRVSSS NIEHLEEVWEMIFTTLPLNEIDKLGLGHPGLRFCDKRDNWPIVQALVSKVLDAYIVDP VQPVHYINYCRVVFQRCLLLMVSWGWRHCKGILGILFDFYAKNMMYNLKTEQSFGSPS FLESLDTNPTIEIEHGDSSFHLFLKIIASGLRYLSQMHDKKTMRNIAWRLLPNHGRAY PKEKSLNHEDLDALRNHHDLLCTLYWGVPDGCRPRPETIRNLIDPATSHLETCNLSIR SWRRLVHFKLSTNEEDTGLKPFADWYKSFTSEVVRQHQLAKTEVEAQAKDQMQFSKQD VELTVSHNQRRIEALISSTIAAMDSSIQSSRTFSQACLLAESVPIAKLFELFDPANSR VNPIISETLQLVITFLTKDSPPINTTTPTINDDSQDYGDWSVIEEVYDDSITEPVVQP VVPSTAFLYLQEVIQPCVSELLSNCFGEDRSPEDSLLLKLTEAWSCLAQASVRSGLQH WDSYLNPYSRNSWTTLRMTPQTKKFGPQFLANCIERDSRFFFDCRLQILTMWASSLVE RTSALKFQHRLTEVLLNVDQTSLTRNLPFYRGADGRYHVTLSELTERRISLISSLLSN MREHLQALENSGSPSSKAIDEYREIVDALMTSMKTNYQELGQSGRAVQGQYVEFVHSI ISLLQQHAQSICRLDKFFTDPATFPLPSNDPGYFVAKLKSYGVRLPEAKAAKELVNFM QVLCERAALDGRQNSLVDQLSESMGDTYECGNTKTPTLRSFLFHCLFPAYMECALSNA AAWILVLPIMKAVSRASRDLLYDIDINDSDCIKSVNSMFGAILQAVRQIMHIFLDHAE YTKEPRALLVLTSCVGIMRAMVPVLDYIRRNHHSDNCNTFILETLCRYTTVIVERLYD PFTMVDLDGDTTPSSEPVDSIQLNSLFLESRTFAIREIKTSLRNWSKHGERYFILRGR DSREINCDIITSRDVVDIHYAKSSFVEVANAFLRRWESFDQMDVQSPSDNNFEKSTAY ELLGDGFNDLIL TSTA_096790 MRRFSTVFKKKDESKAKENGQISEKANGKRHSKTISTSQPPPEP EDHSKARGEVSAIFERYAQVIHASRRPLPTQSGDGTYLEHGHDHSTSLFSDLRSLGFK DYGTLVEVMKNKASGEYVDDKTMLMERIIQLVSGLPSNSKNRAELTNAFLDELWDSLP HPPLSFVGPKFEYRSADGSWNNPTIPWLGAANTEYSRSIAPLTIQPGGLPDAGLVFDS IMAREQFTPHPNKVSSVFFAWASLIIHDIFQTDYRNPHISQTSAYLDLSILYGDNQED QNQIRTFKDGKLKPDSFSEPRLLAFPAMCNVLMVMLNRFHNKVVEQLALINENGRFNK PRPGLSPEQTEAAWKKYDEDLFQTGRLITCGLYINITLYDYLRTIVNLNRTNSTWCLD PRTRMQGTHATPAGLGNQCSVEFNLAYRWHSATSAKDEKWTEEVYREMFGKPAEEISM VELLMGLHKYEQSIDKDPSKRTFANLQRQADGTFKDDDLVQIMTSAVEDVAGSFGARN VPKVMRSIEILGIEQARKWNVGSLNEFRKFFNLKPYETFEEINSDPYVADQLRHLYEH PDYVELYPGIVAEEAKEPMAPGVGIAPTYTISRAVLSDAVALVRGDRFYTTDQTPRNL TNWGWQESGHDLNINQGCVFYKLAFRAFPNHFKSDSIYAHYPMTIPEENKVIMKNLGR EADYSWDRPIFNAPRVELKTYQAAKAVLDDTRNFRIAWGDASASVFGEKTGFDYMLSG DTPFHNQQRELLERALYQEGWAFRLKEFYEQITLRLLHDKSYTLAGTKQVDITRDVGN LASVHFAAHVFGLPLKTKGNPRGTFTEHELYMANAVIFQAVFFDYDLMRSYPLRQAAR AVAKKIGEMVELNVKSLGSNGIISHFIDGLRKQDNPLSEYSENVVKKLLDSGLNAHEV TWTQILPAVVSMVPKLGQGFTQVLDFYLSDDGKAYLPEISRLAKLNTPESDEALTRYV LEALRLNGTYGAYREAQRDVTIDDGGEEIHVNKGSKVFASFISASCDPVVFPEPEKVL LDRPLDSYAHYGVGPHSCLGQDASCIALASMLRVVGRLDNLRRARGTQGLLKKVPREG GSYHYLREDGGSFTPFPATFKVEWDSELPALKNRGTW TSTA_096800 MEPTIGPQQAPKTFVLCFDGTGNKFSGDESDSNVLKIFRMLDRS RGTQFHYYQPGIGTYVTSTSLSSTGTIHRIRSAYLKAKDSAIGSSFDQHVMGGYKFLM RYYVPGDDIYFFGFSRGSYIARFLAEMLDYIGLLEAGNEELIRFAWKTFAKWQQRRGQ TEQDQEEKKKLFNYMKAFRETFSRPISRIRFMGLFDTVNSVPRFESAWMQRSKFPYTA RSSALVIRHAVGIDERRAKFRQDLISESKPWHATHRRHDHYLRDHMHRLHLNREKPDE VPKITLNNDGNGVDLEKVEQEEEETIPHRGREDGESVYRTPRGSSAGSTQNINRYRAP SPLSNRKMSQSNLGIPDIHVPVDDCASTHSDVTSLQIPHELGEDDEQEQDIREVWFPG GHADIGGGWKLEKGESWALSHAPLVWMVQEAQKAGLNFDTRKMKQFECCEEYVENYSP IHVYDQEPDWCLDESHSASANGIQISTCKLNETEDDRNTSRALHRFLNALEHSSTKGI LHDCLEYGAGLPWSSVLSWRIMEYLPFRRMDLQPDGSWKPIRWPLPCGEVRDIPAGAE IHVSAIRRLKADSKYRPGNLIIGGGGRGKRRAPEEYGIGEWEIYRDEGDPVRETYIRK KAGKKAEENQHP TSTA_096810 MTESFVTPEQIRLPPSPTRSPLPYPNEDLLSVPSLFTTSMPPIR NRSPLSRTHARSRSLASPFPTQMTRAHSSPGLDSRGRYVYPPSIARPPSPMEHSIRRQ SPLRVADDMRTLNLSSLNISETISEHAELELPSPSPSTESQPTYSQFSPSYQNTNPRP SRRSSSSSLHSNLSSITINTTSSPGSVHSSPVMVATKFNESYPGLSFSSASSMPSTPT SLRSRSPSISSLETIPDIPDAEADAEAEAIEMEETARLKSAADATDADCLRRRSTLEN SMSGNARGYGARNDKRKRWSVCGAERRQDLDLETIWED TSTA_096820 MHCEEISALKEGLRESIPVPGSSDYSISTMAETESSVIIVGGGL AGLVAATELSRRGVHAIIVDQENEANLGGQAFWSLGGLFCVNSASQRRLGIKDSRELA MQDWFNSARFDRDTDLWPRKWAEAFVSFATDELENYAKSFGMSFASVGWAERGSGNAN GHGNSVPRFHVTWGAGPAVVEAFEKPVREAAKKKLVEFRFRHRVDQIIVDEHTGAAVG VRGQILEPSETLRGVASSRKAVDSFELFGAKVLITSGGIGGNVDLVKKNWPVDRLGPQ IPKSFVIGVPAYVDGRMIEIAEEAGAHIINSDRMWHYTEGLNNWNSIWPQHGIRIIPG PSSLWLDATGKRLPPFLYPGCDTLATLKHICATGYDYTWFILNRSIVTKEFALSGSEQ NPDLTSKSIWKTLQQRLFGWSPTPPVQAFLDHGVDFVVESSLPDLVHRMNELAEEKGG PSLDLEQIKREIESRDNQVENKYSKDAQIMLIRNAQNYWPERLSRLSKLHKILDPGHG PLIAVRLNLLTRKTLGGLETNLDANVLRPDGTAFPNLYAAGEVAGFGGGGVHGYSSLE GTFLGGCIFSGRTAGVKIAESMKG TSTA_096830 MECKANQLAQLASWFQYSSSQRHKSTLHPAAVGRKLPLSARKYQ IALMGKTPHVGIIGAGISGLRCADILAQNGAKVTILEARDRIGGRITQVEVGGNLVDL GANWIHGTEGNPIDQISRISNTTTCEWDGRETIYDTTGKLLDEATTRKLAEWMWTTVD EGFEFSTKNKDSIPASMSLYDFCCKQLEQTNFTAEEKAACKEFSKFWGAYVGEPVERQ SMKFFCLEECIEGTNLFVASTYKNILEHISKSALKHTDLHLNSPVVQIQAANRETNTD RHITVVTEAGKKYHFDDVIVTCPLGWLKKNKSVFSPSLPLRLSSAIDNISYGRLEKIY VTFPHAFWHIPTERKSISGTGIKVANGPDFVADSDNDKYPPAFTQWLEPKYVEAPSKE GSWNMQCVSLAALPPNCAHPTLLFYIYGPCSAYVVNNIKDMDESSTEYYNFLDNFVKP FYSSLPGYSGSSESCNPTAFRASRWISDDYAGNGSYANFQVGLETGDKDIEAMRLGMG PDRGVWFAGEHTAPFVGLGTTTGAYWSGERAAGQICDLYSLGQLGLGVRKDDSLPTGT PGVMTGNTMFHKGNTTALRATTVLATVEGQ TSTA_096840 MAIVSTLGSCLPLLALFAQLVPVMATVTPVVSCSETTTQFFKNP SFEDGVAGDWSITNVYPDYNIVKAGTSDAKMTAEDGQWYLQTYGYTITGLEIGKTYTG SYYIDVSLTYHTAGCWNYAYLDEASSAHQIYHSLITIQQSTSSWKKQSFTFTPTSTTH QVIFALDCGSSYHGDMWYIGWDDFEITGPTSVCSTSYSTAPTPTPTPTPRSSSPVVTA SLSSSSAVVSASPSVVASASSSAVVSASPSIVASASSSAVASASSSAAASASSSAVAS ASSSAVALASSSAVVSASSSAVISASQSSSAAVRPSSRPTRPCTRKPTGSSSAVVRPS SSARISIPSSRPTRPCTRKPTGSSSTAVRPSSSVHVSIPSSSPTRPCTRKPSGSSSGA VRPSSSSHISLPSPQSTRSCSRTPGSTSAVPASSLPATTKSSGVSGPSSITTTASSSS GTSSASGITNDGSVTTSSAVSTQISGEPGAGGFTTSTVFSTRTATITACPTTVTNCPA SQRTSYITTEIIIVSTTVCPVTAVPTSTSGANPGTGSSSGSGSNIGSGTGSNSGSGSG SGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSTSSSGAGTGSG SSSSSGSSSSTGSGSGTNSGSSSGADSGSGSGSSTSSNSGTASGSGSGSGSGPGSGAA GAGAGAKSSSKAISAASSTGLATSTSSVKSGASSTTTAKSPVYTGASASRSSFFSGPV AVIACGFAIVPLLT TSTA_096850 MISADMIPITASSSSPSQSLTSSSPANLHYAFLVHSQKTLTQNL PPRVDNKLLARQKRRRTSPEDHAILEAEYKKNPKPDKAARASIVSRVSLGEKEVQIWF QNRRQNDRRKSKPLEPHELVGPRASDGISDDSPSNHDISSPHDGEQATPELSEGIISR NDDALADPLHNNELDDLEADTQPGYINPETSFSSINSANFGEEMEQSREIVGLGIQVN GKKRRLSETEVNQRKNDRQEKVKSPPSLRISLSSNGEALLRKQGESTPSPPKARTAVR ISLSSDGEALIRTQDEPSPSKNRLRLIPGRVSRQTGLRRSVSAINFGSPGATPLIRDG NGMKPFGRSRDARMWESYCDNDARSALSAPISSQPSSGARTPGLYRSGSHRSLARHSF SKPNLSSPAIGTASQLDVAEPSREKRRKLSRTVSSLGRLESVHQTSIVTHTSTSSLKS TNKAGKEGVERLDLEAGDSDKENWVPGTYRRASPRRHQRAKSHTQRPVLKENGIRRNT VVDDLALTKQGRLYRGPRGTKGASQTTATLDAEVAAFMTKTGSSSREEDLDCIQGLLS LSQGAWQ TSTA_096860 MIKIALAGTSGLAQYIAHYISTQTYHQFFFLSRNPNPALTARGW QVLPVDYNNQSDVRYKLVGVDTVISTISGQAQLSLIDAAAEVHVRRFVPSEFEGSPLQ RPEIDPLDRGQKFALARLREKQQLGMEFTVFSCGVFYERFYPGGMAALQLGAGTHISG EGQYILEIRRQTASIPYHHSGDGVYVCMTSAEDVARYIVAALDLPNWPNEFLMAAERM KIDDIVAMAEVMSG TSTA_096870 MATGYAQMIQAWTQGRPASKHERDTQTQGNSNESVKILLGVVLG VAVFFILSMMIALYCHRRNRRMVEDPNCKISLNRLQKLESVAPTRSLEEWWPTVKESL GLSQGLENHFVCVVCFDEVERTQEIHELKCLHVFHKECLEKWYLRSHYTCPMCHRVFF EERDRFISSANMNFAIFT TSTA_096880 MYLQETTTTRRNGKPQSCEPCRKSKLKCDHTRPVCGRCAARNMT QSCFYHPSPMTKKPIHDPTRGNTLYNYRIEKLASPKSPSGSSPALSGRLAPISSEASP SLEVSTSPGYLGSTSFSAVLSEHQKEIPADADTASGTATILTRVEPDPDRAQSAFRML ALLYNLKFFDSVINRLYADKCYRVLPPYIVDKALYETRQVYDGFEPAHIESRIRDFAL QIFRNSSRPMPRSKTMTVDQYIDTFTGHNTRWETIALILAQAGVGLLSLTEQDPLFTD FRLGGDAKSNAEVKEKLVLQVSDATTNCLMFCDHAASSNEILAFAQHADVIMKTQQYG DSSYQAWRRLSDLAATVYASGLHQVDGPADENCPLFLKQIRRGCFAAAFHTDKCVATF VGRPPLINYRYCSLTLPYDVDDDVLFSAGDVEKEVLSRVDVNGWDKNGVARRPGLYRI RLQLAICREEILELALGESYQRDMPRKAEQILERAQKAWRECPPHLRYDCLSPEDLAS PSYDGFQVVFVLYVDYLHSHFLLHRAVVKHTNVGHVPLFDTARQLLSTVLRICNDREA KLDRSKQASWVMLYYGLPSACVLAFEILRQNQSPGPHPVILPRSEIIRNLSVFVSCLS WVASPSPSHGNYAACKEVEKKLSRILDQILEPLPTVAPHEDLSFVENVASGLEPYLDW NQFNSYDFNSDYFSL TSTA_096890 MARSTIRVAIVGGGMAGGSLLHAFIPHLHLDVHIFESASAFRQA GMAIGVARNALDTLDLLGAVPVRHVRFMLAQGEGIGNMIDQANDDDG TSTA_096900 MASTQLKTVVVFGATGIQGGSVVKTLLEDPRAAQQFKVRAVTRD PAKPAAKALLERGAEVVKADLEDKESLKSALTGAYALFLVTNFFDKMDAALEEQQGKN VADLAKELNIEHFIWSSLPYISKISGNKYNAATHFDHKAAVDDYIKSLSLPYTVVRLA IYGSEIVSNFITPLPVNPPSYGLIFPGNASERTVIPIINPAADLGKFIKGILLSPETT VGRSFNLAEKLYTLGEVTEILRRQGLKVSLQCIDMATFKAGLAAKGLPESFRVALQHV LEYTLEFGFFNGESIDQGLELLTDPLTSFEDFVKGDSKFEQLLQEQ TSTA_096910 MARHGRLSKGCQTCRKRKIKCDQELPTCGQCKKSGWACPRYADV VERMFQHHCKSHDNKQSKKGNSSTDLVLVENPKASPFSVSQMVDNLAMQFFFAEHVFR ESGVARGHFEFLPVYTSDSDANKTLSASLKAATLAAYAHKFRYPILVRKSRIYYDLAL KSLQVDLLSPAVATKSSTIVTMMLLGTFETLNCENINMLSSTDAHMSGAMKCISLQVG QILKSHHGIQLFLQTSWCRLVTCILRSSPVPEEFIQTRRHAAKFLATDDPAWKLSEIM EKVAKFRAEVKLGTVCKTIDIVGVALGLDQELSVLAENMPFEWRFQRIPAEQDPGRVF DSYYHVYSDLWISCIWNFIRTSRLVLLKEIRRQHCPAPDVVIPVFSTFNCESNYGQLH VIMESLASEICATIPQFCDDLKGRYIEVATTKTDVPTTASVYHLFWPLLNAAQTTSLD NRRDWIINRCRDIGHTTGIQQCFALADFLETQEDIDSWVERQDEEHLSSLNISG TSTA_096920 MLANFAREISGKEPGKNWASRWLKAHSDKVISRYSTGLDSDRKK ADSAYKYALYFELIGRKIQQYNLGPEQIYNMDEKGFMLGVSTKQKRIFTRRKYEQGGY KQHLQDGNREWITTIGCICANGTALAPSLIYMAKSGFIQDSWLQDYDPQTQRCFFAAS ESGWTNNDIGYRWLVDVFDKETKSQASRGWRLLILDGHGSHVTMKFIEYCDSNRILLA IFPAHATHTLQPLDVALFSPLSNAYTKQLDDFIRDSQGFTRLTKRDFFRLFWASWNEV FISKNINSAFRTTGLYPFDPEIVINKFNKKITSRPSSSESGASIIPPEDWRRLEKLVK TVVNNIYDEKAVQLRETVSHLSTQLILLQNENQGLKRALINAKKPKNKKQPLLLGLPS EQDGGALFMSPTKVQQARDIISQKNDEAAQKQAHKDDKKLQQQLKKQAREAEKVKRAQ IRQEKREQREQEAAEKQRLKDEQELAKLADLQLQNDVISTPKASKRPTKQISRQAKPR VQPEAHVEDNEVVVTTNRRGRAIRPPARRVIPQAVRDGTRDLVKQGLYRPRRGLIKRR DRQSEEYDFTNWLLSYLYAHLQKRTHNRDSICFVKNPK TSTA_096930 MMATNEIQQELAYVLVTELLAYQFAMPVRWSETQRVLFDDVQAI RIIEYGPSATLSNMARRTLDNFNAGYDSANGKRRTLLSISKNQDDIHYENGPFKEIDL EDLPHESIPTQSQASVTPEVPAMKVAHAKVVPDAPISAIELLKALVAHTLKKSVSEIN AEDSVTSLSKGRSTIQNEIIGDLLEEFGSLPEGSENLSLREVAATIQPSFDGTLGKSS RKRIERMIASKMPGSFSMSAARSYLSAKWRLGPCRQDAVLLHALTKQPESRLNKTEEA ERFFHDVTLAYTEETGLTSFVSDTNTASVTDNAVRLDPKLLEDLRNEQRELKRNISAL LNENNDQNNENTNDSLDRWQHQLQQKVDSYEAELGEEFCEGIQPAFRAEQARSYDSAW NWAHVNLLTDYYKLVTTEPAVRTTINEINSFLQKYWQSRSNHRLEQVKVYLLKRLSAR LADKGQLTRDIKASLQSAIDTQILYQPGNRAVMDWLVNESYHHETNESRKISIKASQN NIHEGSPLIRILSKKQGSWAPHKILTLYMNIKGPVQFSSKGIFVGKNILITGAGPLSI GMAMLPSLLQGGARVVVTTSRSMPDAGPIYQKIFASHGAIGSQLVVLPCNQASRQDIG NLIKYIYDPVSGLGWDLDAVIPFAAISEKGIEIDAIGSFSELAHRAMLINVLRLMGAV KAAKAAQHIHTRPAQVLLPLSPNLGTFGKDGLYSESKVGLTAVLNKWSSESWSDYLSV CGATIGWTRGTGLMADNDWLATEVAKLGITTFSTEQMADYMLKLMTYTVASVCQTEPL IADISGGMDGESKLAEYVTNIRQSTRQMEDINRALAHELTLDSAATQPKRLAAIPSTS LKERVNLELGFPRLPEYTSELRSLNERLQGMVDLDTVVVVVGFAELGPWGNSRTRWEM EVAHELSIQGCIELAWMTGLIKYSKDTAGWVDVSSGASIQDVDMKAKYERHILENTGL RFITPSPLDHPSRDKKQVLQEIILQNDMRPFVASHDNAMEFVREQGDKVTVRPISGSN EYSITLRKGAVIMIPKATVYDRIVGGQIPTGWDPQVYGLPADICDSVDRCTLWSLVCA AEAFLSAGITDVYDLYKTLHISDLANCIGSGMGGGRSLQKLFVQRYLDRPVQNDILAE TFINTASAWLNMLLIGSAGPTRTPVGACATALESLNQGYDLILSGAARICLVGGYDDM TQATSDEFGAMKATNNSVDDLSRGHAPHEMSRPCASSRCGFVESEGCGMQVITSAKLA LELGLPIRSIIAHVQTASDGIGRSVPAPGKGILTAVSETTTVPPPLLDINYRRRLLQH SLDTIREHHASLPDENSENGTALWIKREEREARRRFGNKFYDDDARISPLRGALAVWG LVADDIAVVSMHGTSTQMNEKNEVEVLHRQLDQIGRSPGNSALAVCQKYLTGHPKGPA AAWMLNGCLQILDSGIVPGNKNADNIDSALAKWEHLVFPNESIHVGEVNAFTVTSFGF GQKGAQALGVHPKFLFGTLDHAQYQEYCRLRQGRHSRAQQQFQNAFYGGRMVMIKDKP PYRSNQQLKAYMDPSGILG TSTA_096940 MGEDMSAHEQIESSHSEMQPSIYITYDELEYAIDTPPLLFPRLN RLRSSFISRLNNREYKPGSVIELAVQFLEFVAQEVQRHDASLDRYGLGVALGQFVSDF LKQDGLHSIVAGLENKNRILRSYYRASEIMGPPYEIEQSALLRHAEEGKARLYLIYGG QGNMENYFDELRIMYDMYSPLIKQFLVKMIRHLNRISSIAINSKSLLARGFDVVSWLD YPDSQPNVGYLISTPISFPLIGMIQLLHYMVTCRVMGIHPGDFNDRVHGASGHSQGIV TAVAISASTDWESFEMQAKKALSVLFWIGVRSQEAYPLSSISPQATADSREHGEGSPS PALSVHGLSREVLEKRIDSVNQYLPVHNHIHISLVNSRTNIVVTGPPSALHNFSIQLR RTTIEAGKTSSAAHGDVRAQFLPMSAPFHSPYLSNAVETICTDLQTIKWSGNQLRYPV YSTFTGEDVRKNIKTDDEIVPSLVSMICEKPVFWERAVAMPEATHILDFGPGGLSGIG GLTSRIKDGTGTRVILLSALNGTNNQVGYGAEIFARNVKYNMSWAAKFAPQLRRTSDG RKVVMTKMAALTGLPPILVAGMTPTTVPWDFVKHAMNAGYEMELAGGGYINELDMTEA ITKIMENTPSGRGIILNLIYASPKAIAWQIPLVRKLCSQGFPIKGLTIGAGVPSLEVA NEYIRTLGIRQIGFKPASKDSILEVVRIAEANPDFPIILQWTGGRGGGHHSSSDFHQP ILSLYSRIRSCENIVLVAGSGFGGSDDTFPYLSGKWSIAYGRPPMPFDGILFGSRCMV AREAHTSPAVKQVIVETPGVPDQEWQETCQKDGGAGGVISVRSEMGQPIHKLATRGVL LWAEMDKRIFSLPRAKRLDELQKKDVHDYIIRRLHDDFQKPWFGRTASGKLVDLSDMT YGEVIQRLVELMFIKHQRRWIHRSLTQLTLDFIHHVEQRLRDSNKRTRLISKEEGADR NPYLIIQEMYELYPEAARQLMNTQDCHLFLQLCKRPGQKPVPFVPALDDDFEFWFKKD SLWQSEDIDAVIDQDVGRVCVLQGPVAVKHSTTAEESIQDILDNIHNGLIENLETKTP GVSENNLLMFQGMDKYSTYNHMVCEGVNVTRTHNSMTLAIPLTASVPNRKEWFELLAG TENGTWRRAFFKSDFFIQNRKFAPNPAHRILAPAPGVTLEIHHPHKPHKTHLAVTEYC GGNNRMTVTIGPISEDNAIAMNLFHHDTATGKPISLPLRFTYHPNISYAPIHEIMDSR NDSIRKFYYNVWLGEKPPVPFNMPLTSKFESSWVTITTHAVREFVHAIGNTADLYVDR PGEQCFVPMDFAIVAGWKALTKPLLRVIDGDLLSLVHLSNSFRMAPGAPPFKVGDSVR ATTEITAMVNQESGKLVEISGSVASKDGASIMHITSQFLYRAVHLDYEDTFQRTVEKP IKVNLETQTDVAILKAKEWFQLDRTDMELVGKTVIFRLETMKTFDGGISFSSVKTVGS VDMQLADSTGASSRIASVNYVAGPSYSNPVLDYLRRVGSETNEIVYLERPIPIGEPNE SPFIITAPNSNVIYSMVSGDYNPIHTSRAFAEYVGLPGSIVHGMQTSGAIRTHVENWM AEGDNHQKVAMMREFSVHFVGMVLPGDRIEVRLNHVGMASGCKVIQIEATKATTGERV LMGQALVRQPVSAYIFTGQGSQEQGMGMDLYAKSPVARKVWDRADRHLRNTYGFSILD IVKHNPKELTIHFGGARGKEIRQTYMSMTNGSQKSDPLFKDITIDTPSYTYRSPSGLL NATQFTQPALTVMQMAAFADSRARGVIQSENVFAGHSLGEYSALASVAEVTPIETLSS IVFYRGLCMQAAVDRDEEGRSNYSMCAVNPSRICAGFSEQTLQNLVGQITDITGSFLE VVNLNVHEMQYVCAGDLRALDILIEVTNRIKTMAIDCNAETSGRLEEEIRSVALETDK KRRPLQLSRGAACVPLVGIDVPFHSSYLRTRIDPFRSFLLQNLDRKNIDPNKLVGKFI PNVTARPFELSQEYFDYVYQLTRSSRIAKILGDWNKYSKELAFSNDFSYNHGTLGGVL VNDCVEHDNWKN TSTA_096950 MAELQRSTTDAGNIAILPKNNKEMNSYDLDGKSHEDIPVANHNV KTSADTFTNNDQTQIGDRYSIYSRREKLLILSGSASAAIFSSLSVQIYLPALNMVADS FNVSSTKINFTVTSYMFLQGIVPMVIGGFSDRIGRRPAFMTCFVIYFAANIGLALTRS YAMLMGLRCLQATGIAATQTLAQAVLSDLITSGERGGYIVLITLPGVIGTTVGPLGGG ALAQNLGWRSIFWFLTIAAGICLCLLFIFFPETNRRLVGDGSINPPTLYQTPWQLIKV RCGGNHAQHPQDHRSKDDPTQRSQGFVPSSIFASFILLKNPEFTCLLIYGSIMYATIY AYATALPSQMADICLMYLPNIGGTLVAAAFMGKVMNWNYARHVRKMGLPPVDRSRQMD LSDFPIERARLEIAIPLILATAIITVGWGWALDARTGVAVPCVLLFAIGITYISVINV FNALISDYYRKTAATAVATNWFVRCMVGAAMSAAILPLINVVGPGWAYTIVAALLIIF SPLVFITMWRGLKWRQERAQRRAINQDDLRI TSTA_096960 MKWPGLVLAAAILQAMCSSAAAVNASTSDVSQADDLADYSREDV ASNILYAHISFMVLGWVGVLPIYVMLNIARSSLRYPVHITYLGLHGIGMILGLAYKSR TLDLYPGSSHGKLGWALTVLILTHFIVGVLRSFTRHDRFDRRRDIGHELAPLTTPEHI EATNSSSRDTSRGLWTSDCSTEETAFIEPTSCSRRWPSVSQPRFSIRLLGISHDIAAR FFLVFGFSAICTGIVTMTAIFVRDHIFNGLAHFIKGGVFFWFGILTLGRWAGCFSAQG WAWNLQPLGLKRNSITMETIECFLILIYGITNVFLEHLSAWGQAWSPMDIEHVAVSLL FIGGGLCGLMVNSKPFHAIDVPDYRDVRLHDDSLLGSENIGFRVPINPIPAMTIFLLG FILAGHHQSNTESTVMHNQVGAHSLGQLYIWLTRLGRSFPCRSLRDSLHHLPLAVHIS SRVTVSIKATFRTNLCLLSDVWGDLAHGEYAQNKDTVQAMTDHHFPAVSVAILTMSMT AMIMAWSLFALTVRRWAAAREKRWERKSSQA TSTA_096970 MVGIPLSRGCQPCRRRKVKCDEKRPACLRCTISNLVCPGYPIRL KFIDEGPRFKGNPCTPQSSPEQSLPLSLPGSSSENLSFKLCQTFRPDLPVVKSVATLS KDTFVTELPRHVGLSLACDLAMRALCLAHDTILNPENPVLVQQSRIQYSQALTELQLC VADLERATTMGTICAAMLLSMFELLCADFGASIRHAGGASRLIEASGPHQFKDPFAYR MLHTLQGPIVVECILYRRRCFLDAPLWRDVCRRIQSPFNTLFSRLSRLPNILCALREL ESISTGHSRERTSLSDQIVALRNDLQKWRDAPGHAELFCTTTCPSTEFFEFNLNYTSR NAAELLCTYAAMMILLNSALLVLSHSKIPLYKLQNLMLAKQICQSYEYSRVCSPVGSL AMDFAFRVAYVVPDASQKKWIVEKMNEMASPLGGPRHTDVEETELESCFDYLKC TSTA_096980 MSSTDTDSHYKFYHYYPSLAGATVMAVVFGLMTAWQLFLIAKHR TWYFIPLVIGGIFEIVGYAARGVSNTQAPNPTLAPYVIQTLLLLVAPALFAATIYMIL GRVILSVDGESLSLIKKKWLTKIFVTSDVISFIVQLGGGGLMASSDASQAQMGSHIVL AGLLLQIIIFGFFVVVALVFHLRMCATPTYQAHNPSLPWKKSLYVLYITCTFIMIRSI VRVAEFVEGFEGTIILHEVYLYVFDAVPMAGVMFIYCIWYPSHYSRQAPKAILDREIE DSNLELEFRGGEMTSDGH TSTA_096990 MPPKRQPMHPTDRRIPYNCLYQTPPEVRPLTRLNASGNTMARKG PGTDGPLQTALLESTSAATTRASEEQKIFSPIAAFLNKHRSQTTGLAPHLLRALTALS DDLALVAQRHFSAYISGISTTSILPALSPSPPPTPNSLPPSPPPSRPLSGLEQSTYAT ITQYAPVKLTPTTHSKAHIKKPMPLVKQPLPDNQLFVRLPADHAARKMEAYAIYSSLQ SQLNSNSLALKEVQATKTGFALCPSSPEALLTLEAQKEIISAFFVNCQIERSSQWVSQ YSLIPVNPTLLSSEISKTTGLKPISISETTTSAANPDTLSSSCYAFLALLPMLVTSLR EQQLSNAHDTRNGIMHDPVPAPLNAGSPWSLISALPDAYTAIDYILLISQNASCALKA TLNILKLNKQRSGNPVLLTLQKLELKEDVALSSLLAHKKHLWH TSTA_097000 MAQVEESVLQAGNAAPGSDEIPTYILKVAWPLIKDKQRTEALGG TEYGMDLYTLQSISKATVWGSPTKIYK TSTA_097010 MIESRKRKVWALQILNPKLSVETVEVVQLAVGEIHSAALTHDNQ ILTWGVNDEGTLGRDTTVESKKTPIDATSDNGEEDDEDDDEVELNLKEATPLPVDSSH FPDGTVFTQLTATDSATFALTSKGLVYGWGTFRDNNGTTRFSPKSTIQRAPALIPDVK DVIKLVPGAQHVWALISNGTFFSWGNNEQNQLGRCMISRIHEFPWLGSNNFGQTGIFQ SAGQSDAVIPYPTPVPSLGKGLGIVSVIGGKDHSLALNEQGQWLAWGRIDNKALGIIA KDIPTSDVIFDTYKKPRILKVPTPISGVVGKVVFAAAGTDHSFAITQDGRAYSWGFNA QHQVGHGNEEKDEVEQPTQLKNKHVSGKMLVSAAAGDQFSIVVGLHGPQTNGVH TSTA_097020 MTTLSSKFIISAISQHLLLKPKISIMPSFLITGASRGLGYAWVK HLSTDSNNIVIGLVRNKPATEERLAADNITNVHLVTADITDFSALQEAASLVSGITSG RLDVLINNAALVSSPISRFGSVTELSPEVLEKEFLETFKTNVIGVAHTINAFLPLIRK GDLKKVVTVSSGLADQDIVVRFGLSTSTPYSVAKAGVNMLMAKYHTAVGEAEGITFMA ISPGVVQTRKPEQTPDHIKGRQIKESKFREYAPDWKGPVTAEESVKLQYDVVQKATVD TYGGSFVSQYGNKQWL TSTA_097030 MATAATLPSHGTTGFLGVFGGTKDDKPAYIDFPNKVANINPPAN ISIVAEDIRYAQPKPSFKTHGYEFLERKSVCTSEELAKGKTDPNINKYLYDVYMPEVA NILKEVTGGTEVIPNEFRLRNQVQDGGDIVANKVGWGNVNVVHVDRDPSNAEVRLGTS LGEERAKELLAKYNRWASVNLWRPIGETVQKWPLCMVNTTKVPNWDYETHMTKVRNIG DPAEKERGEKTHETVLLPPTSETPYFYQYASQMTPDECLIFTSFHTDASKVVPHGAFW DNSSPEAAPPRRSIEARCWVFWDEKDLYISFCQ TSTA_097040 MATDILYRTTTGALNVWGGTKDGKDGYIHTLAVDYKNRSSNLIP PYEIKIKAHDIRQSQPRPTFKETGFELTSHITTMTPKDFLAHETPEGKDRLNNVYFEE CRRLVMEITGCATAVYTSYRVRDDFDTSVRAVPKMDQKTKVKADGPRPLAHVDRDYTT ALHALKLAVGEERAEGLVNSSKRWAQVNVWRPFGNVCQRWPLAFLMHGDIPDWDYDKY CGRIYNFNDPRVESRGEKSYDTLLKEDPRYVYYYASNQMPDEAWVFSAFDSNPSMCIP HSAFWDDNTPLDAPPRATIEVRFMAFWPKEEAEQYGQ TSTA_097050 MAIKPVKIAQDCLLVLDSRTAQSYQIPIKDNAILGSDIGNIVGL ADPDFEEEEGEVNSHTNGNSNGHVKSTANGTAVRRKQRGLSVLDPGLENIAIMKSSIT FIDGQRGIIKFRDMMIEDLFRDYVYEDVMHLLIWDEIPTEAKKQDTRIIMGSAGVPTE AVRKVIESFPREAESYHMILAGMAAFASGDTIIATARHQQKPVFHGNLSQADPALLRT IQYMATTTALVYCHKHNLEFTNPDPSQSLVENLVMMMGFHDKEYEGKPKPDARIVECL NKLWILYADFEMTASTAAVLQAGSALSDPVSCAISGIVAGHGPLHGGAIELAYESFGK IGSPENVKPYIAAVKAQKARLFGYGHRVLKNRDPRGALMKEIMGGKLAEDFARNPMLQ IALTMDRVAREDPYFIERNLHVNVDLYGSFPYIALGFHKDAITAVTILSRFAGPLAHW RESLTQPIKLWRPRQVYESNVQIPM TSTA_097060 MERRWTIVAGKYLKPTQKWTSLVSYLYINPIGPEARDLPLGGLC PSRDRWEAPGGGRPGLKGQNLQNLNSAFTNVKTGAKITPKLYKEEEELIAKALSACQH KKKTNFSKLSREYGVSREKLSRRWHGLPSRSTRPPTRHLLSLDQEKALILLIEYLDNI GAPPTNQQIEESANYLLGKDFRGPGEPPRAGKNWVHDFIKRLPKQYVRIVQKPQEKER TVAEHYGEVERWFIDLELAIQQYKIRPQNLWNFDETGFIVGQGKDEAVVTAYPKTSKR VSSLSSRESITVIEGINAEGKIIPPLLILKGKVHLEEWPGAFPDWRLLLMDNHTTHLT IQFVQYCEIWHIRPFRFPPPSTHFLQLLDGVPFQKYKHVHGRVVNKIARLGGFDLDKN DLFEELRDIRIKTFTTRTIRHGWRERGIWPLNPRLILDMMLQPDKAFEALVAEGDALK IYGEADDTIPSSPTTKSISPPSTAVKLRRYVNKIEKSIDSIKDILDEVSSGLSRRIKV VNQGSLTLAELGDLHRESFAKVRDTSTRKNQKTTKRQVKSSGALYVKDANRLIKRRHD GDLLKIYKSHAVGVPQPTEEAASIEPQNSGFFFDTQGNK TSTA_097070 MARKGPGTDGPLQTALLESTSAATTRASEGQKIFSPIAVFLDKY RSQTTGLAPHLLRALTALSNDLASVAQQHFNTYISIKSTPTTHSKASIKKPMPLVKQP LPNNRLFSRLNSNSAALKEV TSTA_097080 MKWWQDFDPAGKSREDLEDYVVYKLVQYANSERKGWGRFRFPSV ELRKKTVLEWYNKDFYRWTDKHWDSVDPFFEELMNNGADYAFIPRVNSLPNSPYLGDW INQLIWAYKDIYESFSRPWTPLVSRAPDPLVSRAPDPLVSRALDPPISCAPYSPVSHA LDPQISRALDPPVARAATTESTVNLVAHTAADSLVPIVPSAAESPIVDSHVPEASGST KSLAPETYIDNMGPQVRKTLKSVKTLTPEISLELPLPLCLRGTFKPLILRPYTWIPVQ DRYADTTSSRGKVRKDVSPQEQYVAQRALGAYIASVSQPEASFDLSFAAQITNPEKDN IKSLNKRLQWQLDNAERRLRFVRLDLDSLRLVIFADSFFANNKDFSSQIGFVIVLADG ANNANIVHWSSIKCKRVTRSVLASELYAMVHGFDSAASIKSTTTQLLHLTKPLPLVFC TDSKSLYECLVKLGTTQEKRLMIDLMCLRQSYERQEIAEIKWIDGESNPADAMTKSKP CRALQALIDTNKLNINVDGWVERSTTPPTKATPIPSLCQSCTARRPIPIS TSTA_097090 MSSSERFIVDDVPLGGDLGLDSNNSLLDFEESLNASLLPYADYM VFSDAADQLVPPITSGYPGTDSLQPALSGNGLTTDLGSIVIANNMLNELESGTVDSLD ALIPSYHESKDPGTEAKEGSVNPSHLMPLLESGGAILNDPYNCKGTTYLADPYKNALS FSQVTLMSVCIHNAHFLGVTIQAFFGDDYLTLCSPFYRPVSASDDPTALLASVSRPST SRFLQPTLSQVLFPHHPIFDLIPIPAFRERAIMLAATSPSLLNPLELKQDIINGCFIC WGTKYSPNHSCKGHTYRAYARIIWFLVHT TSTA_097100 MDKMTKFSKLTTVLFSEITTGLSRLKLLENFTLQRGLIVALVLT TTHLIIASRLRYARMRYLMRKYPFKTRESFRFMTDQEAFEIQKHILQLEFPFTAVKSF QFALFRTYGIPTISSLLARTTEFSNPATAFKRYADTGVLIGQFMAFEPSSERAHEAIA RTRFLHANYRKTGHILEDDMLYTLSLFALEPIRFVETYEWRKLSDLEKCAIGTYWKSL GDALDISYACLPSGSEMTDAKALERKRGFQDGLHWLEEIRVWSHAYEVQNMKPHQKNK QVADKTTDVLIYVLPGFLKLVGTQFVSFIMDDRLRAAIMYDPPYPLFATLFRAILAIR KYFLRYIALPRPYFLRYDLFDYSPDKDGLNYVKIWDAMPFYVKPTIWNRWGPSAWVKR LQGLPLPGDDGDKYFPQGFNTLDVGPRHFQGKGRTQMEQYRSQLVKERRGGCPFH TSTA_097110 MSPYSRPRAFLKSIRSVTCGAAPLDKGLQARLQEMLQEGAPFNQ VWKTTETSCLATMFPYPEKDDTCSVGRLLPNLETKPIDDNRNENSSYGVRGEICLKGM TIFPGYFGNPKANAESFDKDGCFKTGDYIIDQKKELINVRGFWVAPRELEAVLLSHPQ IVDAAVIGVPLPRGDGELRMFEEVHIYDSPHHSWEYRDVDGTTYQTLTDMKAFKERLG YEMTFMERRRLLDILFQSIQDKSRVLFSKKVSKVSTAESFATITAADGSQVRCDFVAG ADGNRTTDASMAYRMHFLN TSTA_097120 MAVLEEGMAGNWDAERASQAMESAACFVNQLRELEKQFTTPTIE AGISLENVRTCLKKYTNKRKTRTRPILKTANMACQCLLKTGPTSKGHVTKLPSMTGEE FICPKSLDLCLAEKLENWPWDSDRVKFYEKPVDRMN TSTA_097130 MKVIYGIVAGALLALPATAAPKPITRRTITTSLLDKLDFFAQYS AAAYCSANLDTTGIALACSAGNCPEVEAADTTILYNFDSSSSFGDATGYVAVDNTNGY IIVSFRGSSDFSNWVANLDIPLIDASSICSGCKVHEGFWDTWATVASNVEATVESALS TYPGYTLVTTGHSLGGALAAIAASVFRASGYTVELYNYGQPRIGNLALADFITSENAG SNYRVTHTDDIVPKLPPKLLGYDHFSPEYWVTSDNNVAVTDSDVVEVQGVDSTAGNDG TSGDSTSAHSWYFVAISACS TSTA_097140 MVNVFSTCAVFLALTTSVIAKPVAEPAITPAPKLLDKRATSCTF SESNGASSASESQKSCSTIILSNVAVPSGQTLDLSDLPDHTTVVFEGETTWSYQEWDG PLLQISGNGITVEGASGAYLNPDGARWWDGKGSNGGKKKPKFFSAHDLTSSSITNLLI QNTPVQAVSINGCNGLNITDMTIDNSAGDTEGGHNTDGFDIGSSTNVVITGANVYNQD DCVAVNSGTDITFSGGVCSGGHGLSIGSVGGRSDNTVETVKFENSEVKNSVNGIRIKA TEGDTGTITGVTYSQIILQDISGYGILIEQNYNGSDLNGGTPSSGIPITELTVEHISG SGAVESGGYNVVIACGKGACSNWTWEDVVVTGGKDYGSCSNVPSVASCSA TSTA_097150 MSTTGWIQIDNAAGSMWEDWESAQKFRLDTIQYVQYCLGLAPSN QEYSESTNPIIRAFDVIGFAIRDSCSLSQRKMVYDEMNEFMKQSEQEQRLRLNNDSLP SVDEFWSYRLGASAVFICLAINEYSYGDMDLPTAVFEDPDMKEIWQLTNIIISAVNDM LSLKKEIARDAIDSVIPIMYVQLGSVEAAMDKTVEFVVSMIQKFDEAEARLLTRYCSD ENLQKQLWRFVDGAKYYCTGNLIWR TSTA_097160 MDTATIISDLSPVSLGVAIVSALVGALLYGIGLVIYRLTLHPLA KFPGPKVAAATKWYEFYFDCIKSPGGQFLWETYRMHEKYGPIVRINPDELQVADPDWY DTLNAAGGAIRHKYPPAANLVGTPLGVFGTIDHHVHRIRKAPVASYFGRGSVIQSQSV LRSGVEELSDRFHQLYVSGDTINLRATLLAFATDLLAIYGFGGSLGISDSEEKVMEFM HTMNAVAVVTPFFKQFPDLLPWLFMLKPWMVKLIYPAVLPMLKLHRVRIPPNVWRWKD ADMSEEKPEALTQYHPSDIFDGILNSNLPEHEKSVDRLSQDAFLAITAGSDPIARTVA IGTYYLLVSPDNMKLLQDELDTVMPDRTKLPELLKLETLPFLVAVVKETMRIVGLAAS RLPLISETPMQYKEWVIPARTPVGMSIHQVMHDKKYFPDPDTFRPRRWLEKREDGVQL EKYYVPFGRGTRMCVGQHFAQAEIYLVMAAIFRRFNLQLVDTDFKRDIETVRDCFLTE ARLESQGIRIKVVGERP TSTA_097170 MATEETGAFDIIIVGGGLAGCVVASRLRQRKPALSVLVIEAGDD LSENPHIYSPHKTALLLGSDADWKYMSAPQTNLNGRSVYYQAGKGLSGSAAVNMGGWV RGDAQEYDQWADLVSDRRWSYSGLLPYFKRTENYHNRHGDREQHGFDGPIHTSSVSSS GRQYPLRETTRQAWCNVGLKVIADANNGRPQGVAELVSNWRDGRRQLTSEVYPQDGVK IVTNALVQRVILDSSQTATGVELADGRTYSVRSGGEVIISAGALRTPQILLLSGIGDS EQLSKHKIPALVHLPDVGRNFHDHLMVFRYWKLREPEKGVAFGSPLFKGPNFEKGVPP EDWLVTTTVSSTGLQSALAKDENRSDQVDQSLADRPHSHLELTTIYAAFGGELIGLNI PVDGSAIMNFYMGCLPTSRGSITLKSVDPGDAPIIDPNFYATEADQFVMRAGWRLLSR LMLETPEGNDLVAEEIVPEGHRSLESDAPDNLIDARIRMGGMTASHFAGTASMGSVVD GSLKVRDTQGLRVVDASVIPIPLASHYQVAVYAIAEQAVDIILQENPSL TSTA_097180 MATATIPGSNAMTPNPTVNNVNPIAVKQIIASVVLPVLAVIATG MRFWARRIIRVTPSVEDWLIVVGLIWTIGYAVVNILLVTIGGVGWSSTVLVESEQLNR VTIELKLTLAGQVVYALALGCIKASICLQLIRLFWIDKLFRYLGFVLLALSIGWALQT MLIGFLICRPISHNWNTNSPGTCGDLHAAYISVGIVDAVTDGLIFLLPIPKIGSLQLP NRTKLATSAIFALGLLTIASGIVRTVQVAHLQFDPNDTGAEVDLCVWSVVEPSVGIIV ACMIVMRPLFLRFGEKFLSWGPWTTRRSNPMNSYDSSKIVHTDQSISRNHQFVKLGPK SDAIPLNSMGSSHDVTMYVRASDDHASDKSHYQTISASKKQKPVNVV TSTA_097190 MRSSSLITTASLLSTVVGAINAANSTNTTSIKKNAALLGFDYDY IVIGGGTAGLVMASRLSETARVAVIEAGGYYEVDNGNYSTVPGLALASPFLSTAEDYP ANPKMDWGFLSASQPHASDRIIHYPQGKTLGGSSALNTMAYHRGTKGAYQLWADLVAD QSYTFSNLLKYFQKSSHFTAPNLTKRNTPNATVQYDATAFDNALGGPLQVSYSNYVDT TATWMARALQSIGLPESTVGFNSGVLSGFGAWITMTIDPVNATRSSSQTSYLEHVANH KNLDVLSHTNVTRILIDPFSKTAFGVEIVRQNGAVNFLVANEEVILSAGAFGSPRLLM LSGIGPADVLKEHRIPVFSNLPGVGQNLWDQVLVPVETGVNTPSGAQVEANPVTNAEA INEYLKDAAGPYSSPGAYIAFEKIPQELRSNFSSEAQSALAWFPSDWPEVEYVGGSTV NSDGVSQGVCTAVLVAPLSRGNVTIVSSNFADQPVIDMGWFSHPADREVAVAAIKRCR EALASKEVASVVTGPEVVPGASIQTDDEILAFAEAVATPIFHAAGTCAMGKKGDPNAV VDTQGRVFGVNSLRVVDSSIFPIAIPGHPQSSVYMLAEKIADDIKSSTCS TSTA_097200 MSDRPSPPNGKSPTGKLYAISDIHVAFAANREAWANLAPHPNDG LVLCGDIGETVEHLQLAFSTAKKHFNTIWWCPGNHELYTFAKGSSGGVRGVNKYQQCV EIARSYDVLTPEDDFVLWEGRGGPAVIAPIFTLYDYSFRPDDVTLENAVLWAREMNIE ATDEFLLHPDPYSSRQEWCKALVQKFERKLEVTKIQFPGVPLVIANHWPLREDLVNLK FIPRFSIWCGTKLTEDWHRRFNAKVVISGHLHIRRTDWKDGCRFEEVSLGYPQQWKEC IEMGIGVNELLREILPGPEPPKESEQVPPQWRRYG TSTA_097210 MTTLQIYQSIWSLRRPSRTRPRDKPMTVLCLGMPRTGTESIKAA LEELGYPTYHGFEPARNPGDCVVWCDLMERKESGRALPLTAKDFDQVLGLVQAVSDTP SICFADELIEAYPDAKIILNHRLNIDAWQDSIASVVEGNKSFIGWFKRVLVLFSPELF WLNQHVNRIDLDGLWNRNIVKNSLTTYKAHYAHLSKVAPPERTLKWSVHDGWAPLCEF LGKPIPNTPFPHKNTRDEQHRVLTETLMPYARKGLLLAAGYGGS TSTA_097220 MDENKGTVILKSSNEWRRWLEQLRTKATKERVWDYVNPSPLRTH EVEPAPEKPVKPPFPDSIMPNQNEDPEVEKLALMRFQMELQLYEQHYQRYKDEKARYE KHQERLDAVRSYIFDTVELGHHPRIRMKQTVLEMTQELREEFALQPEQEHELINERYR DLLTPKRGMKPKDWISKWENLLLDMQLTDFNEIPEKRMSRDFIRSSAFIAPKFAESWT TTLIELDTGLEVLHRKIGLDSVPGIRDMIKIFEQWVKAQRNVMDPTRRDASFAMLGGK SDQPEKEEEQKGTQQSNQQTNHQSRSQRKGQSRNRERTCLCGAKHNFEDCPYVNEGKR SKDWKEDEDITRKFKDVERSNTSLAKALKAVKGKLKPTNSTNKKESDDGKKDNEPERS NFVYDEDEVQISIGPRFERSSMAIQVQTIATATDSDKDLKDAVILDNGTTTNIFNDLR RLRNMGNEERICLVGNGSVKMYGPGETIIYPTNPISRQAKKGILVKEAWYVPGMHTNI ISQGMAEEYRLFFNGLTRRLVTKKQDICRLKKEGRLYLIEWDENRKPRSSLGNDLALS SFERKVLKDPGNVWHKRLGHISEQAVEKLQEATEGALVTSPRALGRNEEGFKEKCEIC ELSSAKRQISRVAIPHPTRPFQKVFVDIIVMSLARNGDVYALHLFDPFTKYHALATTP TKSVNFDLQWLIEDVKRTFHVVIEVIHCDGESAINGNDFKDWCKSKRKTLVTTVPNTP EQNGPSERAGGLITTRTRSAIQEANLPTGLWPYVMQAMVYIINRTPTKAIGYKTPYEM AYGKKPYIGNLYLLGSKAYVRINTKKSEKMEPRAQIGYLVGYESHNIWLIWTEGPRGT KVIRARDVFFDETKKYDPEHPFAREIIRNGVTKITESLDIPNLEDFNEERVVESVDEY MNLQQSSSMKFPLEIPVLASGNSQTVTTQQHIPESMEIDDQPVNEPTQSVVIHSDSHL IPSPSASSSTESMQGHQMSTSKELLLENRISEQNQDDKMEIDDVRGQQDNQLVRFDDT KNEVTLYGDESQFGESGRVTGEDSGEEEAQQDEGAERENMALTAGTTTSPSGQIPQIG NEQTPLLQLTNEESRNPDVTLPELLLSTTPQQRSAPKASEIGADLSEGNIVTGPRRRI PSKRARSPEIATSKAERKRHRAFFARMKLLQESSAYKAFLAAAEKLDGYEPLHEDIPP EPRNWIGVKRHRFARQFEEAGRTEMESLKRKGTFEVVDRPEGKQILPLTWVFKYKFDK FGKIAKFKARICVRGDLQKGMDLETRAATLAARIFRMMMALAAVFDLEIVQLDAVNAF VNSDLDEEVYVYFPDGFRIPGKVIRLRKALYGLRQSPRLWQKELTGTLLELGFSQIPD EECLFVKDGVFLLFFVDDILIFYDRKNRQSLFEEIVKKLTSKYEIRQMEKFEWFLNMR IVRDRKQRKIWICQDSYITKIAKKFGLTQNNTKTPISIDLQPSESEAMNEDIHLYQEL VGSAMYAAVMTRPDVAKPVNELAKFTTNPSKDHIRQIKRVIEYLYNTRFLAIEFSPPE NSDSDVAICASDASFGDNADRTSSEAYIFSLYGGPVDWRATKQRLVTTSTTEAELRAA TEAAMKLYVWKRVFKAIGFKQIEVQCGRLHIEWVPTKEMIADGLTKVLKGQQFLDWRK HQGLTDIAHLVQE TSTA_097320 MAYKAENVQNGFKATGLVPYNPDHVYEKLTVQLRTPTPPPSRSS NSQSSCQQTPQNPRQFNRQTATIKKRINDRTIGPFEVVDQAINRLSKAYEMSRNELLI IQKEVHDLRAANEKEKKKRKRSRAQISHEGSLTAQEAQELIGSRNEASQPIPTAPVES EPQTSQPRLRAPPKCSGARFPEKVIGWVS TSTA_097330 MRYLVTGSNGWPNICRMCFQIITTRIEDFGSNCLFIDGRYQEAE VLYRKLMTIYQEKAGPQGRSTLRSMANLASTYRNQGRWNEAEKLEVQVMEASKIVLGA EHPSTLTSMANLASTYRNQGRWNEAEKLEVQVMETRKTVQGAEHPSTLTSIANLASTY RNQGRWNEAEKLEVQVMETRKTVQGAEHPDTLTSIANLASTYRNQGRWNEAEKLEVQV METSKTVQGAEHPDTLTSMANLASTYRNQGRWNEAEKLEVQVMETRKTVQGAEHPSTL TSMANLASTYWNQGRWNEAEKLDVQVMETRKTVLGAEHPSTLTSMANLASTYWNQGRW NEAEKLEVQVMETSKIVLGAEHPSTLTSMANLASTYRNQGRWNEAEKLEVQVIETSKT VLGAKHPDTLTSMANLASTYRNQGRWNEAEKLEVQVMETSKTMLGAEHPDTLTSMANL ASTYRYQGRWNEAEKLDVQVMETSKIVQGAEHPDTLTSMANLASTYRNQGRWNEAEKL EVQVMETSKTVLGAEHPDTLARMNNLALTWKSQGKLQNALALMKQCSDLRNRVLGPSH PHSKSSSRALSDWMVEYNA TSTA_097340 MSVTLPSVVLVPGAWTAPKAYHKLICALEAKTFKTVCEAVQPLV DAGQEVIMLMHSYGGIAGTSGIRNFTRKDRQARNLPGGVVSLIYAAGFMLSEGQTIRT VVQAVNLPGRSSLVKFSIDNSTWFPIDPIWLLYHDLAPDDQEEQVKLLKWGNAAILTG QTTYAAWKDVPTLYIRSLKDRWLPPEFQDFCLQNAADVGAPVRVAALDSGHSPYINFA AELAQMTFEAAIDAQAHLS TSTA_097350 MAGQSGNDTDQAMTEKKTLDATVKAKIKEYTNTAFKQDFDKWTL NNFNTVSVIELGKLVDLLQTNGVYVTNDEQDDEAKKLYTLLSTEKYHPWTKEEVIRHL RRGNTIELKVLND TSTA_097360 MYSDNQKYSGNEDSYRSKLRIFNDYCERLSLPKNAYKLVFPTML KGQALDFYYDNKEIWEASDRDPVEGIRAYFEGPEYHRTVLDKWSGISLQNTVDENPEK TLKATRTHPACQLATLKQQDIVPGLTRDLQSGVSQYKDMIKATNGHRTANPSTNTYFT DRRYYNQQSRSPSQGHSPRQHSNQRGRKKCFRINQYIADYEGTGDDNNEELPEELLLA ADDLILTDNYESGPTHDALSTLFTATFFIMHKDNNTNHGLSITMELANRSASHWIASL FLKPDLETDSYKTNEVTLKVLTPKSSHVYLNEGRYSSESFKGIIINTGAVQLSTAGYG QYLAYKRIVRNIDINTTTAGTATVQFGPSDPYQSIGSIDVPTPISTIWFYILITTTPF LMLLYELDRLKLYFNNTCNLLVNKKMGKTTPVIRQFGHPFLVWDYSYHTHLLTSFDYN PCLLTNTELCRLHRRFGHPSTNRLRRVLTRAGHETNKEAIEYIRKFCYHCQIYDKSPG RFRFTLHEDVDFNHSIIIDIMYLDGDPVLHIVDKATHFNAAA TSTA_097370 MVEYDSSAPTITQRAAALKKAMTEVQKLYAERQSCVYSYVRVWR EGNTSYTGEWKGPYKLLSVESEMCIIQFPDEPKQFHITVVRLYYKAPDENNQDTNSEH TNEEPEAPLGTNSIPSIPQDDEPDTSTSQARPAQRPQRNWQLLARY TSTA_097380 MTISTYNLCLLHCIDQSQGFGIIDMQTDDTLILADNTFANYEEN EIKQANILCKPREKLTPSNPLKFNGGLITEDAQGITLTQERTYDIKSLNKRLQWQLDN AERGLRFVRLDLDLLCLVIFADLSFANNKDFSSQIGFVIVLVDAVNNENIVHWSLIKC KRVIRSVLASKLYAMVHGFDSAASIKSTTTQLLHLTKPLPLVICTDSKSLYECLVKLG TTQEKRLMIDLMYLRQSYERQEIAEIKWIDGESNPANAMTKSKPCHALQALIDTNKLN INVDG TSTA_097390 MGCTKEQAVANFMAFQCTIPSSDIVIFSDGSRLVDRRAGGGYIG LQAHYQFLCSSLSYGHGKEVFNAEAEAALAGAQAAIDLSKKSLNLSAPLQLPSHFAKG FLTLKADLSKSDGSLDTPKSLRTKRLISLPKRELPQSLLLLTNSHTPC TSTA_097400 MARKGPGTDGPLQTALLESTSAAITRASEGQKIFSPIAVFLDKH RSQTTGLAPHLLRALTALSDDLALVAQQHFNTYISALKEVQATKTGFALCPSSPEALL ALKA TSTA_097410 MESKNENKTSNKTGTSKGPKEFATGKNKKGEQICFTCGNTEHLA NYYKKDDKRDTEKKKPSVRIIKIASRKKGHERMAEQTWDLSDSLENNHISKGTPSYGS QLETYGTKRKGLTRPHRPLIELQRTLHYSLENKHWFRLPAGDDPIEHYVRVELVKVFQ KQLIKGPKVYALIEYNPLFNKTSGIDSKDSLPSNLKEYADVFSPQNAEKLALNCEGVN LAIKIQEGQELPYSPLYLLSQAELEVLQCYLQENLKKGFIRPSKSPAASLILFVPKKD AAFQGYINQALRGLVDDFCIVYLDDILIFSKTKEEHTEHLRLVYEWLRTAKLYTKPLK CQFYQNEMEFLGFIINDQGVKIDPERV TSTA_097420 MGRPLNMTPILETHGASLEKLSIHEFEHDCRYATGNSTWVRSVL SVSEVEQIVLSAPNLKELTLDVYRSSNKWPNSMLKVLSKFPHLARLTMNFNLEDPSMS KYAERCLVDERARDKYSIIHGLMEPQLNYTTAGEIFHTIRQYQADTKLRNVTISAGDF RRRVGGGLRFIPHYEWNKPVRYDCWMEENMIKCTGQHDIHFDDKVFL TSTA_097430 MDSQLGIAYCVPTEGCHHVPAARNQSKAGTIFSKLWCRVALCLR GDKEGTGRTTSSRATVATLARDEESSSRGLANCRGLRNTERVFSVSHSLLPLEFHQPG LSTLPASTLHCGSTDLADMSLLTRFILDTSQKMSLLPTRVVMWQRVIPEIATKREYLM HLLLALAGMHAQYEYYASTLVDSNPTDYRNGAWFVGNPSADVDLYRMIGHHQQGLEGV QEAICHVSSATAEEVCCGSILITAFAFGSLSISGYNNSIEPGLSHEDESPSTEWIRLC RGLIGVIQEHWTTLKRGRLRSMLSYNHVNDDWKMYPPLEPLSSSPRLIQGSRVLSIFA QGSSKALSMLRTFSTTLFSSSAASSELSLSPYRTTEDSQLDTTDDYDADYGITIDNLE DIYMRILYVLHFTESERSCSASLDIQIDLEDAAVTAWPQMISDAFISSLDPKCPLGIA NGFSFTILAHFYLTLILLEDLWYLNRGIRKEIQKIARLVSTATEGVEA TSTA_097440 MDEKKTTVILKTSDDWRKWMEQLRTKATKERVWEYVNPSTINTG DLEPAPTKPIEPIQPSKPDLSGDEDIAIKQFKLMNWQADMAAHDSQRRIYEHNKARYE KHIERMTNVKNYILDTVELGHQSEIRQMEDIKEIIRTLKRRFALTEQRENDLLLSRER SLLNPKRTQRPKEWAEKWRTLVLDMKLANFYELSDTRLARDFIQSTAEIAPKFHDIWS TRILEYDMGLDTSGLTEIPDINEIIGTFDKWVEANNKLESSHRRDIAMATLNGKSDQP EDNKKSQMRSKSKDKTCLCGQKHQFEDCPYVNPAKRPKDWESDISIEEKFKNLEKKDT PYANALKRVKKGLEKKKKERDSDTSKKTDKDSERSNFMYDSDEIACAVRLDTALLASN DDLTNKVIMDNGTTTHIFNDRRRLRNLGNESRWLLVGNTRIKMTGPGETIVYPTQPIS EKVKRKGIIVRDAWYVEGFHTNIVSQGIMEDHGIYYDTRKRLLEKNSQELCHIRREGN LYLIEWDENKPARSSLSVDFAFNSMEKNILKDPMNVWHKRFGHVSSRAIEKLQEATEG AIVMSVPSRNNEGFKIKCETCELTTAKRQISRVAMPLPTRPFQKIFVDIIVMNLARNF DRYILHAVDPLTKFHVLVTTTTKSVNFDLERLIEDIEHTFKCVIETIHVDGESSINGN DFKDYCKRKRKTLVTTVPDTPEQNGLSEKAGDIIATRARSMIIEANLPEGLWPEAARA AVHIMNRTPTKSLNYKTPYESVYGKKPYVGNLFLFGSKTYVRIDTKKSHKVAPRAQIG YLVGYEAHNIWLIWTTGPRGTKVIRARDVVFDETKRYDPEHPFAREIIRDGVTTITES LEISNLEDIDEDNQVFDSVDDDMRLQRWQPASIRFSPARGSNECSNQPDTETPVQIEA PITGIGNIEAQNDEHIYDPDVQMEDAQEIFQDIRTENRGDSNIVESGGVKEDEVVVRK EETDRSYTGESGITKNPEEQIPQIGNRDLPTPPLTNQSAPNTPLRNVTGSEKEITPPP TPSNNNNAPKAAEISADLSERNIVTGPRVRIASKRARSPATDNSESSKNVRKKQRAAF ARMKLFQESSLAKSFMAAMEKTDNLHESELPPEPKNWTGVLRHKYKKEFIEAAKTEFD TLKKKGTFKFVPKPYDKQVLPLTWVFKYKFDKFGKISKFKARICVRGDLQYDNNLETR AATLAARIFRMMISLAAVFDLEIAQYDAVNAFVNSNLDEEVYTEFPNGFKIPGMAIQL KKALYGLRRSPRLWQKEFTKTLMKTLGFEQIPDEECLLVKNGIFLLFFVDDILIFYDK ATKQAEFEKIEKTLMATYELRKMDKFEWFLNMRILRDREQRKIWICQDSYITKIAEKF GLTHGLVKTPISVDIKPFDGEATNQDIHHYQEMVGSVMYAAVMTRIDIAKAVNELAKH ATNPSPIHIQQIKRVIQYLFNTRFLAIEYSPLRKSESDVVVCASDASFGDNIDRTSSE GYLVQLYNGPVDWKATKQRYVTTSTTEAELRAATEAAKRLYIWKRVFEAIGFKPEHEL SIQCDNTQTIRLLTSPEPNFHTSLRHIDIYHHWLRQEIQSKRLHIQWVDTKRMVADGL TKLLKGQIFVNWRKHQGLVDIAHLLQE TSTA_097450 MYASPNAVLRFVTSKLLGLRHVVQLTGLRFTNRRFVFTLVALAI IAAKLIHIYSHATALTTSDLKKWGYSFFTQDVLLLILHSPPRGSRFLRLIAYSISSLF IGFVTTLNIINICFFVVNGSEIHWRNVGVAGDAAGRALVLSGLVSSLIVTGVLAILSW AFQDFVFAAVGLTTDIITWPVAYITRGRFCRTKRHSPNDQYYQITQSDTESASKTENF LERKPIGFAWIHFMLYAVVAIALLAQIILSLVRPHQRSLTFMSWTPALLPFVDFEKMA LNLENIYPHYNFGINHEWDNRSALRKPIPLPWLPDYPLDGFEDWYKDELHYDALADSF KISNLEEALLPQLGDLSDVPIRHVVIIVLESTRKDVLPIKDSGIIVERLRDTWDNNKL PDDVLERLKTLTPVAKFLTGDYDDHFDRPAGEKQKKRGGISFNSAYTASTLTMKSLTG SLCGVNPMVADFNAEYQHHIYQPCLPHILGVLHMLGHNKDEGRYEFGGYKWASTFMQS VTLAYDNFAALMKKVGFPSEGLIDKDYLIKNTAKFGKVDLPDINYFGFEETPIEDYIC DEFEKAKKNDGRVFLTHITSTTHHPYNMPENETYVPLGKGLGDLSHYINAIGYGDRWL GRILDTIDDLDVANETLLIFVGDHGLSIPENDILASYYNPHRANNHVPLVLSHPKLPP ITIDDAVSTPQILPRILDLLVETGSLSVSATNAARDLLGNYEGQSLLRPVRKASSKSS TAEVAYWQFTIINPGSTMLGIRDARFKSWRIVVPLVGNQEWQYVDTDRRDVEAVVGFE FSEFQQKVKESYGQDRARWVEEATFVAWWFVNENSKRWRYSLYEP TSTA_097460 MSKVIYEYGWIQAAKRAEVPTEGISFLVRNIVIAQTGNEDKVQS KADELSHLRFVSESVRNPLLHAQVFYLQLPASLVRILVYFCSLGKALYVSMIDSRDSS NDFGFAFYHYDPSIGAAIIFILLFIGTTGYHIVQMFTTSTWFFIPFVIGGIFEIIGYI GRAMSSNQSPNWTLGPYLIQTLFLLLAPALLAASIYMFLGRIILVLQAENLALLKKKW LTKLFVTGDVLSFMLQGAGGGIQSSGTLASMKNGEHLIVVGLVVQILFFAFFLVTATH FYWKIKKYPMPRSCSPDIPWRKHLNILYLTSFLIMVRSLFRLIEYIQGNNGFLLHHEM YLYVFDALLMFLAMVIFNIVHPQEIGRLLRSAANHEMSRLHDEEQPKPYERYP TSTA_097470 MAPRRRTARKELDPCMRARICELHTSARWGYKRIHKAHPEIPIS TIRNTIKKEHQRVNQRSLPRSGQPSKLSSEQKENLVQLTKENPHIKFYELQESVDMRC SKTTIRRAFRNLHMRKWLQRDRPEILPQNAEKRLQWAQRYAHFTPTDWQRVIWSDEST VERGKGGQLIWTWNDPSEQLVEHDVREIRTGKSIKKMFWAAFQYNIRTSLVPLTSDGS SRGGGISATVIRQTYMDQLPELLENGDIFMQDNAPVHTAHIIRDLLQEMQVEVMIWPP YSPDLNHIENLWAIMKTIVRQDHPELENAPDNDTTLCALIQAGIEAWESIGERGGGEV RVVVSDMGGANTTGKALYVDTVIRAGPYTEICSSTINIENLA TSTA_097480 MTLWYRQSGADYQTAVWAYYRYFPSEVAAIIFTALFAIATFVHL YKLLRHRTWFFIPFIIGGFFEWVGYIGRILSSNESPDWTLGPYIQQTLLLLLAPALFA ASIYMMLGRIVTLLDAEQHCIFRKKWLTKFFVCGDILSFTVQAAGGGVMASGTISAVH NGEKIVISGLAIQILFFGFFVITCALFHRRINKFPTEHSLELGSSWRKHLYILYAANI LILIRSVFRLIEYAMGNDGYLLRHEVFLYVFDGVLMLTTMILFAVFHPSGLISRRGKG IELNRNV TSTA_097490 MTHSSKFMLALGRGGLDSMRGGGKKKEDQSSAEPSFQSDPSNPG AWDDDLDPPPDIDWDEPPVYELVGSGNRASTTVVAQGNLLSAKRLSISAPAQPKPYTI PNIINSKSPRLNIVIQIVGSRGDVQPFLALGRELQIEGHRVRIATHDVFRDFVTEAGF EFFPIGGDPSSLMAYMVKNPGIVPKFETIMSGEISRKRRMVYRMLEGCWRSCIEPDPE NNIPFVAEAIIANPPSFAHVHCAQAMGIPVHLMFTMPWSPTRAFPHPLANIERTDADP MTTNYLSYGLVELLTWQGLSSVINGWRRKSLGLDPVPTLTGPSIAEALQIPFTYCWSP AFVAKPQDWGNYIDVCGFFFREEPAYTPEDELRDFLSSGPPPVYIGFGSIVMDDAEKM TAILLGAVRTCGVRAIISRGWSKLGSSQQDPNVMFLGDCPHEWLFKHVSCVVHHGGAG TTACGLLNGRPTTIVPFFGDQAFWGQMIAAAQAGPSPIHYKSLNENNLAEAIRYCLMP KARNAAATISRKMKADNGVRAASRSFHCNLPVEALRCDLLPDEPAVWLYTKKKKTLKL SDKAAFILTENNKIEAKHFKLYQPKPIIIENQRWDPVSAGSSVLLGMLFDFTVGFSQV FTGPAKVFQSKEEGGGKKEAAVTFGKGFGKMAGVLPKATLVDFPLAITEGLHHLPRLY GDEVRNHGQVKDWKSGCGVAGKTFGYGFVDGLFGTVTKPYEGAREGGWAGFGKGLGKG AMGLVTGPGAGMFGLFAYPFLGMYKSISTSSLSPSQRKILLARQVYGSYMARQREYAA QDGGQEWDEATQNIVLEAFERRVKRG TSTA_097500 MATPEPTSPPRATSAAALEPSDSRQNSARLNRDERIRVLTLRDA GFTYLQISQQLQISYRQVQYTCQSQQATPKKARGNSPKLSEAEVDHIIEWITSSKRTR QLNLSIGKHALARALKKRGYTRCKALNKPPLSDKHKQVRLAWALEHLNWTTEQWNRIL WSDETWVTSGFHTRIWVTRKAGEELEETCIRSSPARKRGWMFWATFHGNNKGPCLFWE KEWGTINSERYCQRVIPIIDGYICLLRDDIWLQFMQDGAPGHASKETLEELHSRGIYP IYWPAFSPDLNPIEAVWNWMKDWIQEQYPDDEQLSYDRLREIVRAAWDALPEQFLKEL IDSMHARCQAVIDARGGHTKY TSTA_097510 MDHQKEAWIISGPSLLCPESVVEIEKNCKCKLNIVIQVVGSRGD VQPFIAFGNELQRWTPCVTSYAQCVRKLRQKVRVGILHSTHCVQASSIPVHLMFTMPW SSTESFPHSLASMSGGNADESLKNYVPYGVVDWLTWQVSLCFLLSSREFFDIVGLQY TSTA_097520 MAQFDPLSRADCEVQCIPPVLENKEIGLLVELRTSSASPEHIPT AANQRAWLTQAWRWITTPKGFFIAIYGLNIVAWGGMLFLLICNAAPAMCHPSCNDLYS SRRIWIEITSQVLNGLFCVTGFGLAPWRFRDLYWWCCWRLAWRDREKSLASITRLASI HRAWYRLPIVDCDCEQNKSATTEALDLSINGGRAPATATWKMDLVIWCNMWNTIFQGC LAGCMWGMNRFNRPSWTTGLFVALACVVAGIAGHMVFRETKRRAKSTEMKTADSTVAE KGENVV TSTA_097530 MATFLRDPTVLQASVIAIQEPWRNEYDDTTHQPARLTHQLLYPK AVDGERARVALYVNKQIDPAKWTHTAISLDYQILHLRHLRGEQSYDLYIHNIYNEPKS PTLNLLDRELARLGHSQTIEHLVLGDMIVHHPAWGGPGTAIDAEGTELLEIADRHELE LATEEGIVTWERGQSQSTIDLTFLSMSLFNRLILIECADTVQHDSDHWPIRTQVDIQT PVNKPPKRRDWKATDIKSLTEILERDLVVPDLTNASKSRIKLTTVAFSSTIRHAIDKT VPWAQPSEWSNSDLTPKCKEAVWRAYLRAQNRKKRLISKSLRLGHRRRVQQATEKGPQ GLWRLAKWARTRRGLYKSRVTPTLISTDGRTAESVEVKTALLSESFFPAIPEADLSDI DNAIYPEQLSFPEIPRHEIEHVIRSMPPNKAPGVPIVLDTLYQIYNACIRTSYNPSHF QRSITVVLRKAGQDRDYHTPQAYRPVVMGVALLNTLSKFLKAIIARRISYAMEAEGLL VKGHLGGRKGISTDHAIQIILDRMRRAWGEGFEVVSMLLLDVSGAYDNAHHLRLLHNM RKRRLGHFVPWVAAFLTGRSTRIKIPKGMSGTISTPTGIPQGSPISPILYLIYNSDLI EDCADEADHVSTSGWVDDVGMMAAGHSENETITKLQCASATADQWALRHASIFDKKKY QLIHFMNPRSGLAPNSQPITLQDGTQKEASGCLHAPPGTLAGEWESRETYVQPPWQEP PHVIINKRKKAVTVHNRMAKKNTRVIVYTNGSGYQGYISTSMVIPQLRRQMTECIDTE DTSTIYAAEGCGIKFGLGTLLRFVEDDKRLQRVAIFSDSRSALQSIQNPNMASGQTYI RDCINLYWECKNNNIDVVLHWIPGHEGIPENEAADQAAKRAAMMGARRQAVPSNIKNW TMLGHCSKAPDTTRSKGCLRKDLG TSTA_097540 MIQHDRMFRALYQTLPAVDMIPNTDNRKSRIHQRNNVVLQSTMS FLEINSGELNSDAREDLLSQFTNYNGKRNRRWHPDNDLIHEEATETQPNEGPFVDLVE SISSRSSPDPLK TSTA_097550 MRFLHLYSLCLALGIGQILAKTQPAISLTARNTTQLQDIVTWDE NSILVHGERVLLLSGEFHPFRLPSPDLWLDIFQKVRALGFSAVSFYLDWALLEGEPGH IRIDGVFKLDKFFSSAEEAGIYLIARPGPYINAEVSGGGFPGWLQRVDGPLRGTNPGF LEAITPYISAVGKIIARAQITNGGPVILLQPENEYTYCVNEPGYLQMNNMTVTSLDTS CLEKEYMQYVEEQYRKAGIVVPFIVNDAFPAGDFAPGTGVGEVDIYSFDFYPLGWNTA PLDPSNWSTLNDPRPYYNYTVHENQSPDTPFSISEYQGGSPDAWGGVGVDSTAAHIGP EFGRIFYKINYGYRAAIHNLYMIFGGTNWGNLGHPGGYTSYDVGAAIKEDRQVTREKY SELKLQAAFLQASPAYLLSSPHNGSYGTYTDVDTLSTTRLSTNHTNFFIVRHGNLSSE ESTSYKLRIPTSRGNLTIPQLGGSLSLNGRDSKIHVADYDVGGINLVYSTAEVFSWKK SGSKSVLVLYGGFNETHELAVPTALGLPSKCEEGGLNVKRVSSVGTIIQWSVESTRRV VHFNDRLEIHLLSRNDAFNYWVLDLKRPAPLNRYASSERSNNSVIVKAGYLLRTADVA HSVLQLKGDINATTEIELIAGPPSIFSIYFNGKKVPTTVSNGRLSGNVTYTKTDLKLP DLASCKWHSLDSLPEITPGYDDSKWITCNHTRSVNPRNITTPTSLYASDYGFNGGSLL YRGSFVANGSEESLYLLTEAGYAYAHGVWINSTYLGSWEGSAADMFYNQTFSLGELQS GETYLITVLIDHMGNDENFPANKPIMKDPRGILDFDLHGRSKESVSWKIAGNIGGEHY SDVSRGPLNEGSIYAERQGYHLPGTPISKWEVRSPLNETSEPGVKFYATSFDLSIPLG YDVPISVVFTNTTSNDSSPASFRSEMFINGWQFGKYVNNVGPQVRYPVPEGILNYNGT NYFALTIWSTSSSAFSLAGLQLQADAVIQSGYQKPSLVQGQRYAKRLNAY TSTA_097560 MEKRWSACLQTLEGHDDLVNLVTFSHDSKFLASASDDHTVKILE GHNLPVSSVTFSYDSKFLASASYDCIIKMWDSSTGQCLQTLEGHNSLVRSVTFSHDSK FLASALDDQTVKVWDPSTGQCLQTLKGHNYRIRLVIFSHDSKFFASASHGHTKTLEGH NFPVSSVTFSHDSKFLASASHDCTIKMWDSGTGQCLQTFNGHNGSVYSVTFSHDSKLL ASASCNCTIKMWDSSTGQYLQTLDGHNGSVNFVTFSHDSKFLATASYDHTVKMRDTRT GRCLQTLNIGKAASMESFNITNSYLEFNDGTYMDYLKLGASAMVTTGVSPTFYCRVTI DNMCWLPFRTSASIYL TSTA_097570 MDAMRDTDLIVLKSLSGKTTIITGGANGIGAETVRFLNAQGANI VIADLERTRPSAETLIQSLQYPQCALFVGMNILVWREIKALFAECTKHFGKVDIVVAN AGIMESVPLFDIGDVDEEGELRESAEGFRVIDVNIKGTINTLRLALHFIARNQPASAN GSKGTVVLVTSTSGYFGSTGVGAYIVSKHGITGLLRASQQVGRELGVRINGVAPFFTA TTTFQALADQWKKSGLKSNTLENVATTIALTCVREETGKCYMVAGGKWIEVEDSRQSL IPQWLGQETAQLLESAGKLF TSTA_097580 MENQKAHVVIVPASFAPSLLYSKFVQHLCKYDLDVTIVDLPSKA PNGVSKAERHAVQKPGGIVQLVYISSPVPEVGGSIMTIMEGNIPPFMKVEGGYLISDT DGCANVNFSDLPYTNAIQHARHMKAHSAESFSSPLHHAGYLRIPVTYIICEHDISVPP DFQRSVVDMITTKASREVTTLVCNSGHFPNVSFPAELANLINSVVIRGSGESLQGVRY TI TSTA_097590 MGFLRPPSIPHIMPKNIAIVGAGPSGLTLAALLQHHSIPFTIYE REKSTSSRFQGGSLDLHPDSGQRALFEAGLQQQFNKYARYFDQDYRFGDKHAVTWLNH QATPEADGRPEIDRAMLRKILIESLDPMHIKWDHCVSAVVPMDDGRVKIVFDSQPEPV VTDLLVGADGTWSRVRPLLTTWKPEYTGLTVIDCRISDLNKRFPEIGQFVGRGTYFCL SEGSGIFMQRNGDGSLRVYPCLRVEETWATSDPSFDWNDQKKMTELLINSFFSTWDPR LQDVIRNVDSSMTPRAQYRMPLGLRYDHRPGLTLIGDSLHVMSWFAGEGANLAMLDAL DLFHELRAHPEDLDFAVRNYESTVVASGRADVTNEMSQDLLVKAMSDDSPRAYIEGMA KAMDDWFADGKLLDGMDREALFAK TSTA_097600 MHASELHPLTISVLSSFGSESSPRAREILAGLVRYLHAFCRDVN LTTEELHIAIEVLNRSGQMSNPERNETLLIADCLGVEAFVDAQTQKALEKDNGKTTNS CVLGPFYTADPPRYLNGDSIIQKYLGGEVTFFHGRILDADTNLPIAGASLNVWQCAVN GLYDQQDPSQPSGNMRGMFTSDGDGNYAFYCIKPIPYPVPYDGPAGDILKLMDRHPYR AAHIHFMVTSDSHHRLNTQVFPEDDSYLDSDSVYAVKSDLLLQFKPYRSDLPQGKGSE GTYVKWEVNWDFKIKRQNS TSTA_097610 MTGNEGQSQQGQQGTPTTSTISHLSSTTATSSLVKTQYSGLTKF ANTKLDFASIERLSRKDLYDVWIENIEGDLFSKGKALLNILNRDLARPDEDSAHFDIW HQLSATICTWMKNQVSNDLNQEIRMINGTIRYADEYAFAVKKLCKGDTNENIGWSQTA HILALHRDKFTTPEAFIIGIRNAYFNLLSTNLEMGAYLPTVLIMDGLHSELGSWVTTY EKDIPPLCDIQPEDFQHLVSKAIVAAKRYQEYHSNTTLRLPQSNASNVPQNNKGNGNR NNGSNKMRHEPAPGTDIDKHVISLRALPSKQGKCGYCSSPTHDATNCYYLMDEPPESW NPRRNNLWNYSKAKKARSGLKKSKDSTDQKPTPVPLASTSLYNSAFSTAQIPVVDTSE STTRRGPWIAPSTTTTCIESYLSHLKDDPQPYNDQGSWVADSGAANNIAGDNATFLKY REYGPGDVKPTFTCSNGTIGTAQGEGIALMRLDSGNDTYTDIALKVQHVPGLSFNLWA TEPSKKDHGI TSTA_097620 MTDDSIVGHTHTIFQVPIVYTVDVRDELITAHTNLAAVSPILQH RRLAHLGHKRTKLAEKLGIVEPSDDQHEFCEACRLGKARQIISRANMPKATKVGGIIY VDVQHIKPTAHDGSNYATFILDDYLRMPEARFHINKDGASKALIDYCKEFHNSAGYWP VLIAKDLGREFNAFNNWAKNLGILLRHSPPRTKEPNGAIERLQFFIVQISRVMIIDAG LPPNLWPFAIDTAIYIHSRLPLVDRKEAPIQIWRRGLSLPNPTPYLEHLRVWGCKAYV YIPIENRVKAEKVKPKALIGRLVGYVGDHGHVYRIWYPDTGKTVVTRDVTFWEGDEDG TLDIVNDVQSMPKAGWGKPISIKVFDANTSSNRSERLLLTGEPSHEDIFTILGQDQET TFNYHENQYAQRRLVTLSPSPENLALDTPIESVESDHKPTSDIQDIQIHDSPPENNDK DLSPDQMQLLQETAATQLAEPQRLEPRRSSRSTKGQRSTASFEEEQTTQAEQERLHRA QKKVKFAIETKVNDDPNESTNPNALIAVAATGPTKRKWEVQIPTNHTQALKATEADLW FAAKTEQVKKLKDNKTWNLVEMPKDGIRILPVRTTSYSSTKPTSSERTYTRYLVAKGY LLGNLQVMKKDHGTIDSKLRDLGFLPLAEDPCIYFNKSRGIYILLYVDDTLIAAKDID AIHWLLNELDAEYGVKRIGHPKKFLGCNLHYNPTSQVISMFQEPYVKEILNRFDMDRC SGRKAPIEPGSKLTFTGESPDPGFLEIYQKIVGSLNWLEFKTRPDICFAVRFLQWKQK EPIKDDMERTKGVLRYLRAHSNLGLTLNKDPKRGLQLFTDSSFADHPDGKSSQGWCLF WAGCPIAWDTAKQTCVAPSSTAAEYMCFGDGMKHALYAKRILVAFGLMKEDDKITLYM DSNNAMDALKKRSAPAVRWLANRYHFVRDILEKGEVVVERVDTDDNVADGFTKPKNPV KFAEFLKQLGLEPIDIKVDEE TSTA_097630 MSTLSNEPVPAPHQNISSNELSLALRLHGVLKEAVTEMIDFFCN TITPPAGPLDIFIEPAKSGLDPMQALLRWVIETQQPFTVVEHPTWKELLKSLNANCPI KTADTLQNRVQSEYSS TSTA_097640 MGDENWTLHEDVLLFKDQVFVPDEGDLRARLLDKIHCQPSTAHP GKNKIKQLVQARYYWITWNKDVERYVDNLDHAQTDGQTEIANQYLSQRLRLYVNHFQD DWSEWLPIINFAAASLPQDSTGLSPFMIEKGFQPRMSFDWRKPDLPRKFTANEKNARV WVKRFQEIWDFARGNLEIA TSTA_097650 RGEQFEILSDYMALRYFMTTKRLTARQARWCELYRPGKKNTLAD ALTWRESTSVDCKKRCMQLMLPKKCLGPSPVKDLLSVVEEEEDREDNNEPPNQGSVEL SPMDTTIDVISRVIAANTRSPE TSTA_097660 MKDQEDLPLFPIRRNPEACKLVELNIAMIRGNGFHRLVQQKKSQ IFFTSLYEIDKIVEDKKIPEEKLEEEEIRKKLPAYLKDFVDVFSKHESDKLPPHRPYD YKIELEKPNELSYNPLYKISRDELEAAREYIIDNLNKGFLEPSSSPFAAPIIMASKPG GGLRFCYPLPLINEVFERLSRARIFTKLDIRQGFHRICMDPDSEKYTTFQYRYSTYKY KVMPFGLTNGPATFQQFMNDVFMDCLDRFLIAFVDDLLIYTIHKCEFSVERTKFLGFI VTKDSIEVNQEKIKAITEWKAPTTIFGIQSFLGFCGFYWKFIRGYS TSTA_097670 MKFQDFLTEFTHEAQESKTDIQSWKEDLYSKLSFELQLTKTANR LEAISTVESKVRCRSMNMNSSASSNASKSIRLTPAPSAAGSSLSNKDGKKGEWVDDAA YALLIKEGKCFKCRQTGHIGRDCLNNDKLAVKKSSDLKKLEKVDEASSANSNSESENE TSTA_097680 MPRVSQLPKEVLANLREDCLLSYMEEFDKADPEVIFEKTYTEEE FVKEAEENPYYLFAKVLRRQQHLLQLYEEAAAAHNEIVENLDAPGRVDTNQNQNQKEE LTQLKKELKEARREAKRNANDIVELQGERDHGQSGSEGGTPRPTFILKSTKLPKEAKL SDSVNLTFKSWLIDMEGTLESNADHYPNAKDRILFVKKIYESQAAKHLMPCLRKNSPH PFIDADDMFDHLKTIFEDVN TSTA_097690 MLPSTLVAADFTAFQRTIPSSDIVIFSDGSRLIDGRAGGGYIGF QAHHQFLRSSLSYGHGKEVFNTEAEAALAGAQASIAYPTAQFATNLWICLDNLEVATR LLSPSTGSSQEVFESFRTLAAAWPLRERLPHTKSGSIQIRWVPGHTKIPENEAADLTA KEGAISIPPSLYKSSYASLKRYAKTQSLSAAQTRWQTVAP TSTA_097700 MLKEVDGRHISPQDYFPREPQFWLYYGTGPGAIVQGWPSQGGIY MLEVSSRVEIDFLELDPFNNTLRPTNSDPEWRQKENKHCDLMRRLGPTWWENEDLLDQ SQISVTPDERVNDYIQVGWTSDGGVWVWKTTRKDTSEGGGAQLQNARTMQERCMMIEK LGGTFYADPKDCPFLDLQ TSTA_097710 MVRSRGSHAEFENRPRGLPNLRDSMVGLREAHGRHILENGPRGS LEKNGVGFREAPVKTVAATLTMQRGELNPWRFALLVGTRHRQYEMNTVKFDHSATYRL LLKVTVK TSTA_097720 MFRLLGPLTGWPSRRHSVRGGVGPVDRMAESPRSSDGFFLGSII AKSLEVSIKQTFSYVLFLSTSTSLKSIMAITRVFVAGATGTQGGALARHLRAKNIEVH ALARDIASQKAQDLVSIGVKFWVGDFNNKSALTIAITGCDALFLNFMPDLTNLSAEEQ WAQSILDAGKEAGAQHVVFSSVYGVDQTDKMRWFDEKAAVAEIYASKHSIEKIVKASG YATWTVLRPGYFMANCVQPFVQFMLPGLAETGELITALRSDTVLPLTDTKTIGLFSSA AIMQPERFNGKTISYLDELLTLSEFIERLSQAVGRELKITYLSDDEVEAQRETNPILG GQLVTRDMAQFANLDQVKSLEVPLGTFEEYLKREREAVNATYNKSS TSTA_097730 MRGTGADDYVSPDGVGYLYGNSHNPPYWEPVGLEIFNGGVIRKA FHLVDFNGDGKCDLWLVDGDSGAAEVWINMWNSTAMNWNKRGVVTGE TSTA_097740 MPDGTRIDGSSFKWNPLEGARYQGADRGAYTWFNVCPGTGDNAL DDQDPSIDSNLPAYSRNGPVIWPTPHNYISYGDSYAAGIGGHCGWIRDEFDESLEGDD CCRCEESYPFQLQSAGPQMQGATLYFPACSGAIINDMENSNENGRRSQMGNDLHFADA ALNCLFWYDEGKCTSALAYAANKLNDASFRLALAEVYSNIILDSYGQRAPTAQMGFLL IVTGYI TSTA_097750 MAPLRIAIIGAGPAGLTLARLLQVKGIPCTVYDLDANPHSRDQG GTVDLHRRGGQMVIEEAGLLEEFKKLARPEGEATKLVKYDGMVVIDENVQKVERTEEH KDRPEIDRLRLRQLLLGSLTEGNIVWGKKLLGVEKSKKVDGKFDLRFDGSVEEAFDIV VGADGAWSKVRPFLTDKNPFYSGITAIELWAMDVDIQHQWLSQYVGQGTMFMFDEGRA IICQRNGNNSIRTYAAVRQQETWLNDCGIDWSAPEAKTRLIEGYFADCADDLKRVILD SNDNLIPRPMYMLPVDIKWEPKAGVTLIGDAAHLMTPFAGVGVNIAMVDALDLSRAIT SCVDDDKENIGAAMSAFEKEMFRRSQMFANKTWKNMQSHFSATGIDERAALIH TSTA_097760 MKVVRYFLAFQRFSKPENYNSKKRIKPQERARKDDKKLQQKREE RVQDAAGKQRQKLEEKLTKQADLQLQKDILATPNPRTSRTNPNSRKLKRKQSSEVEEE VIDEVIATNLTFPHPSLISLPLEQSPGWLAPQGARDVERAESGKLDVEKNGHHVETRW KFVEEESRVAAQYRGKKRYLLEGAAWDVTPRIKQSEPDSSRYAEVEEEYLVGDQSFQV SISRLPASQAENQCNILLHILTDPDGQRAFPIRSHGEFYQFIPSRLGRNPALDNAVSC LCAIYEDTRQQLLGSPPSTIRLYSRSLKSLRQCVQAAETRLEPETICSSLILQLCELM ISSDNGRWNNLSSGSKLLIQELGPECFDQPFERAMLESQRAFFLVQDMSRRQRCFLSK PQWRELPKQRGNGLRDHKLASLRWRSELCDLLLDVPELLCECGFLLNIRQADNVPDFG DQPGCQGLFDRVVEAYNSLEQWYGEELAPALPLADLEGYREYPEVLSAIVDCVSASVL VQLQRFGFELFSLFDITAPANGLPFSNEIFIERHTTSLNAFEFVKKFPMAAKPLKFGL EQILSPGEIFRYTAQSSIYLETSPVAEI TSTA_097770 MSRKGPGTDGPLQTALLESTSAATTRASEGQKIFSPIAAFLDKH RSQTTGLAPHLLRALTALSDDLASVAQRHFSAYISGISTTSILPALSPSPSPTPNFLP PSPPPSRPLSGLEQSTYATITQYAPVKSTPTTHSKAHVKKPMPLVKQPLPDNQLFVRL PADHAARKMEAYAIYSSLHSALKEVQTIKTGFALCPSSPEAILTLETQKEIISAFFVN CQIERSSGWVSYQVTNVPRKVG TSTA_097780 MGRTKEQVAADFTAFQCIIPSLDIVIFSDGSRLIDGRAGEATLA GAQAAITYPTAQFATNLWICLDNLEVVTRLLSPSIGSSQEVFESFRTLTATWPLRERL PHTKSGSIQIRWVPGHAKIPENEAADLAAKEGAASTPPAPHKSLYASLKRHAKTQSLS AAQSQWEKVAPQSY TSTA_097790 MQDGGLGHANKDAIEELQTRDIYPIFWPAFSPDLNPIEALCDWM KDWIQGQYPEEETLSYDQLREVVRASWDVLPEQFLKDLIDSMQARCQAVIDAAGPTMA TPTPRTILITGATGKQGSAVIDALLAADDSDKILSIIAVTRDTTSRSAQALARRPNVC VVAGDLADPDSIFDQATVNGNPVWGVFGVQINSPEEEKQGKALVAASVARGVQHFVYA SGDRGGTEKSEIDPTFVKNFAAKFNIEKHLQKMAATSPQGMTYSILRPVTFFENMTAD IHGKGFARMWEQMGPNKALQLISTKDIGYVAAQAFIHPDKYRNVAMTLVGDELTQPEA GVIFQEVLGFSMPMAPCPIGSAVKFFKKDTVGDMFRWFEENGYGGDVVQCRKEFPGLM DYRTWLVERSSFVQRP TSTA_097800 MESFQKNFSVENIPNLTGKVVIVTGGATGIGKEAVKQLLKHNAK VYVASRSKGKFEQLCNHLESIDPHMTAGLHFLELNLSDARSCISAAKQFSELEERLDV LIANAALSVVPETLSSDGIEIQFGTNYFGHFVFTHNLLDLIQRTSEQYDEARIVVVAS HAHVMYKPVQPDKIDFEGLRTEGSKTIQSLAEVQASLQRYARSKLANILFARHLHTHF QETGYTNILVNCLNPGTVGAAPGTDSAALPPVFKFLNSSIVRLMSIPPEDGALTILLL ATDPEIKDKSLSGRYFDVGPLAGKFYYGYSWDATDSKLSDLAKDKKLSERLWDWSVRT QASIDTTS TSTA_097810 MPPIRKKDPLKSAQIEGKIQLAISDLKNGRISNIREATRIYDIP CTTLRDRLKGIEYKGEKRANHHKLTQSEEDSLVKWVLDLDRRGLPPRHSLVREMVNYL LQQHGKSQVGKNWVTNLIKRRPEIDSKFARKYNYERAKCEDPKIIQEHFDRVRAAISE YGILPEDIYNFDETGFAMGLCASAKVITGSDRYAQPKLLQPGNREWVTAIEATNSTGW FEDLPDDWRINISDNGWTTDQIGLEWLKTHFIPNINDRTMGKYRMLILDGHGSHLTPE FDRTCTENNIIPICMPPHSSHLLQPLDVGCFAVLKRYYGQVVEQRMRLGFNHIDKMDF LTAFPQARTVAYKAQSIQNSFEATGLVPFNPDRVLQYLNIQLKTPTPPPSRSSNTASS CLQTPQNIRQFVRQSTTINKHINERTGNQNQEINQAVIRLSKAYEILANDTLLVRKEN HDLRAANEKEKQKRQKSKKQISIEHGITSEEAQALVQGQVEASQAVTAAPVEPELPAS QAVVRRQFRCSGCGVEGHKINRCPNRTTN TSTA_097820 MPPIRNKNKKDLAEQEGRILLAISDLQNGRILRVAQAARIYSIS RATLRDRLNGTQQRSQCEEESLVRWILDLDKRGLPPRHSLVREMADYLLLQRGNQYVG ESWVYNLVKRRPEIESNFSRKYSYERAKCEDPKIIQGYFNRVREVILEYGILQEDIYN FDETGFAMELCATQRS TSTA_097830 MLDSGELDIASLCWLIPSGIAVYWVYIAVFNIFWHPLAAFPGPP IAAISTLYKAYIDLVSKSSFVHTLEKLHAQYGDVVRVGPNEIHFQSPSAYLEIYNVSN RWDKEETLYHSFGEDRSSFGFLTYDEAKERKNALSRLFSQKAIADAQALVLEKVVQLC DSFEELGPRPADLFYAYRCMSVDVITYLCFGNTVNAIEAPGYEAPIIKAMDASLPVFV GFKHSSLLKGAIMNCPPNVSKVVSPATSGLVDLQQILKAQIKDLSKNPERLRNLPHST TIYHELLRPEAYSSKTVPSADSLYEESQALLFGGADTTGTTLMHGSFYVLTAPTVYRR LKEELISAWPVLEDAPDLCELQKLPYLTAVLKESLRMSPGVASPLPRVVPASGARIGK VFVPGGTIVEMSGHFVHRNEAIFPNPHGFIPDRWLGDEGKDLDKWLVAFSKGPRSCLG INLAWAELYLCFAYVFRKFELEIDPSSPKELKWRDCFLPEYHGPHLKARLTKVAG TSTA_097840 MRMDDDTMPIIMAPIGMPWVQQLEPFTATNILSRGPNDERAMDH LDLGHHLYSLMLQGELYLVPISKNPQNVLDVGTGTASGQCHDFASLHPSAKVIGTDLS PIQPSLVPPNLQFEIDDCCDEWVYAANSFDFIHVRGLYGCVADWDKFYKESMRHLKPG GYMEQVEISVVPKSEDGSVDGTIFEKWGVVSLQAGDAFGKTLRIVDEAKDRMIAAGFV DVVERRFKLPIGPWPKDRHLKELGLYNRLYWEEGIQGWTMMLLTSILKWKREEVELYL MEVRQGLRNPKYHAYHDCTVVYGRKAPEIPEEE TSTA_097850 MSDALSDPAMNFEMLVSRLRARAAVVQGKELASQYLTQAAQTRI IDKPHVKDIDARDVIIIIPANKERCRAREQFNDYQRIDSRCSTSDRTYHAFVMDFEKG YIIESDSEENNIEEEDNIEDDATVYFIINKLQDHSFIHWISGYHDDIDQEGFHHKLEV RELDQSERKDGLLEPTSQFVLEHHEGKIFQGILPDTGAAKVSTVGRRQLAALQRSYLE ITVNRTRGDVWVLIIRKQGHPWFFLDKKQALVTFLTEIEIRRLHYWFGHPAVNYLHKL LKRAGHNDIDYNNLAEIEKFYHHC TSTA_097860 MVVKIWDITQVYTQVKTKLERLIIANLPIEMQDKYLLDLLLLVE GPLYGIPEAGVHWFRTYQAYHLNKLNMETSTYDPCLLISKLGDNEFGLMGIGEQAALQ EASFKAKLKTRLSETKPLEFNSARITLQNGIVNLQQKGQAAKI TSTA_097870 MSEVLSKLTTKFETLVSRLRAKAAIMQKKKAARSTLEKPVEGIA RHLRDHSNVKTVKIMALDNEVTANVGYRHAEGKANASDKTYRAFLMDFEAGYNITLDS EDEEETNNNDKDEVTAYFMAFLHWITGEETEQDNPSTIASQFVIDQHDSKIFHGILPD TGAARVLMVGRRQLTALQKIYPGIMVDKSRAGEHSIRFGQGDSVDSEGAVTIKTPIGN IDFHVMNTPTPFLLCITDMDRHEAYLDNTTNCLVKGDLRVPIVQK TSTA_097880 MEARMMGITCHQVPVEAHSSIGKVERYHAPLHRAFNIISTELST SVDKDIVLQMAVKAVNNTMGPDGIVLTVYDVTVDLGNGAVAFRAIREGKIVTEGALFE LSSVAEIDGLIANGTFKIVHQDNVNLRDLCIFNSRLVNEIKGKNEIPYEKSRLVIQGY NDAGKAGILTQALTIQRASQRLLISLIPTLLSMDMVVEIRDITQAYTQAKTKFIPEAG VHWFGTYHEHYKVKIDMETSMYNPCLLVTKPGAKSFGLMSMQTDDTLIIITEKFARGE EQALQEAGFKAKPKTQLSITLASTIKMITDRLNLPIIPVVVCTDSYSLYECLVKLGTT KEKRLMINLMALRQSYEKWEIDEICWIHGDDNPVDAFTKANPNKALWDFIDKNKLTIC VEGFVERTK TSTA_097890 MAPTDYTDFDPNSKDRKTIEPCVITRTKIYTDLYDDDLWFTFKD DFGDWTTDNLCKATVPVLGNYVTYCEPMVYMYQRVDTQEEINDAANVTIQNNAQFVQE DTPSPPSTNLHGMVTRMRASAGGLQDMIPHAETAPPTPTPPAPQAPLVQVATPTQTAT WQGTGYVPAIRDQERMYSQVGQFAPLYVNSIAQLRKVYTTDSTKYGDNEDSFDLAHNI FLDLCRQMGLHTAEARNQAFSVMLKGLALDYYYTWKDQWERMGIDPAVAVKNHFENDE HLRKVQTDWDAINLYTVIVKYPEKSTTECLEMMFRDIQKLYHKLRPELRNEVIWHAKL ISATRTHPACHAATGNPASTIPGLMQSLRGSVSQFEDTKRAAQQHFAGTYNTDPYDVP RTNMTERRFFNNNLRYQPQNRSRFTRKPSRHFRGPRNDKKTCYICKKPGHLSYNHSDE EREAHKREWNKNRSGSYQQFMAEIEGWEYDPESIEELASSGAYFEDDSTHRQPSARYR DDDFEAYINNKEITQPRADFDEVLEQTRFTDSRKQEVDGLLERGVFHFVHENEVPKGE RIFNSRFVDEMKNSGTDKAFEKSRLVVQAYNDEGKDFILTESPTIQRCSQRLILCLTA CMVTHSLWLRDVVQAYIHSQTYLNRDIFVRPPLELAILLSPGTLLKVVKPLYGIPESG NHWFNTYHSHHTEKLQIETSTYDPCLLHCIDPSNGFGIVGMQTDDTLILADNAFANRE EKKIKAAKIQCKPRERLSPTNPLKFNSGLISETAQGIMLSQERTCKLIQIVQEQHANM TSSRGKIRKNASPKEQYVSQRALGAYIASLTQPEATFDYAFAAQSTNPQKEDIKYLNK RLQWQIDNPYRGLKFVKLNINTIKLYAFVDAAFANNKDLSSQIGFVIVLADASNNANI VHWSSVKCKRITRSVLASELYAMVNGFDFAASIKATVTQILHLENPLPLVICTDSKSL YDCLVKLGTTQEKRLMINLMCLHQSYKRQEITEVKWIDGNSNPADAMTKNKACNALQI LVDTNKLHITVDGWVERSTTTPQNRAIKANSVAFANPQ TSTA_097900 MPRLKHPEDALSYGGHSLTARKIVSSSWRSKSHAKMPPRSREEF NIAIICALPLEGDAVEALFDERYDELGHIYSKHMGDANSYVTGRINNHNVVLAYMPGM GNRRSAGVARGLHVSFTGIKLTLLVGICGGVPYASDGMEIVLGDIIIGDSVIEYDFGR QYPHGFERKSSNKEALGSPNQQIQSFLNRLRTRRMKDQVQRQTAQYLKILQEMEGNEW NYPGVSQDRLFRASYRHKHYKQQYTIECICADCHSSSDPVCEQALKGDCKKVGCGGEV IQRSRLNTDSPRPLIHIGTIASANSVLKSGEHRDQLAEKEGVIGFEMEAAGVWDILPC VIIKGVCDYADSHKNKAWQNYAAATAASCTKTFLEYWITGLQQERLPFERDEKFVGRE DITMSIDKAVQDGKGRTSKRAALVGLGGVGKSQIAIEYTYRIRESAPSTWIFWVHGSN PTRFEQGYRNIASVAKVPGFDDPEANILQLVTKWLCDETNGSWLMVLDNADDNDTFFK TSGENVPLVDYLPCVLHGSILITSRNQIVARNIVGPRGQVIPVKPMSTHDAITLLRTR IEVDQSCVSEAKLLVEALECIPLAIAQAGAYISNRSPRMTVSSYLELFQQSETNQEHL LNYDEAHDLRRDRSVRYPVITTWQISFDQIHHIYPKATDLLALMSMFDRQGIPEELVS EGMDRLQFEDAIALLISFSLIQVEIGGRLFELHRLVQLSVRQWLKKQGRLHQLVKQSL RVMKAVFPSGDYQTSASCHMLLPHFKETIYFTKKLDDNDQLNIASIASRCGWHLYLMG KYEEAEVMHRRAVTMHEKVLGAEHPDTLVSVSHLGLVLRRQVKYKEAEAMHRRAVTYH EKMLGAEHPNTLASISHLGLVLESQGKYKEADTMHQQALDGREKVLGAEHPDTLTSVS YLGSVLQSQGEYEKAEAMHRRALAGREKVLGAEHPDTLISISLLGSVLQSQGQYEEAE AMHRQALASREKVLGAEHPSTLASISHLGLVLHSQGKYKEADTMHQQALAGREKVLGA EHPDTLASISHLGSVLHSQGEYEEAEAMHRQALASREKVLGAEHPETLASISHLGSVL QSQGQYEEAEAMHRRALASREKVLGTEHPDTLASISHLSSVLSRQGKCEEAKAMHRRA LERNHWLSA TSTA_097910 MATSSSHVDNYDASKFWIASARNFRTSARLHLQHFLFQNTIGYL LEPIIEESLVASSRPLKVADLACGNGIWLTELHSHFAKNNISAQRDGFDINPVNFPDA AYLPDSVSIKQLDILSKPLPADLIGIYDVVHIRAFVSVIPDGDLTPILSVASELLKPG GFLRWEETRGDKWAQGINNEWVDVLDTRLGQFGFQDARLLSQEKRKQDYKGWTEDYLM VWEECAAFFLPKSQALDAPLTREVWTEMFANSVKETEQGVAVHQGKVVTVVGRRPQ TSTA_097920 MPPIRNKNRKNLDEQEGRILLAISDLQNGRIQRVAQAARIYEIP RTTLQDRLNGIQQRSLVRANSHKLTQYEEESLVKWVLDLDRRGLPPRHSLVREMANYI LSQHGKPQVGKNWITKLIKRRPEIDSKFARKYNYERAKCEDPKIIQEHFDRVQAAISE YGILPEDIFNFDETGFAMGLCATAKVITGSDRYAQPKLLQPGNREWVTAIEATNSTGW AVPSYVIFKAKKNVREGWFDDLPDDWRINISDNGWTTDQIGLEWLKTHFIPYINGRTV GKYRMLILDGHGSHLTPEFDHICTENNIIPVCMPPHSSHLLQPLDVGCFAVLKRHYGQ LVEQRMRLGFNHIDKMDFLTAFPQARTVAYKAQTIWNSFAATGLVPFNPDRVIQQLNI RLKTPTPPPSRSSNTASSCLQTPQNIRQFIRQSTTINKRINERTESNQNQEINQAVVR LSKAYEMIANDVLLVRKENYDLRAAHEKEKQKRQKSKKQISIEQAVTKEEVQALVQGQ VEASHAVTTTPAEPELPASQAVVRRQYRCSELTNALVVLVWCRFGGELQMTFYYEGYI IFKNWPGPSTHVSEWLDTILRTNPVTTLYARGGYETQLSKALIGFMENDLLEPEIHYI RSLLNANSVDEIIRGEPLLVNCGYATGRPEIYRLGPYGYDRNKAICMIRCVRGSVRFC LYEKSHAGPIEGTLRPKQQELHEGMVLFMLSDMCLSWPTESNGLFVLQTFSCERQQLR IQRDDIPVKVAPFHATPLIRELEGSLERP TSTA_097930 MEVIADDQGAPGARSFLDFVEKNQHSLHFLDRDRAYLITEVDPT LANQVSWPQRNADVADMFLFMLKSHWISGRYYEEYPDSDFTELWEASRYSSSHAESAC NRGGNGNSGSIEEHIFCLQTTEGSKIRANWAILRPISD TSTA_097940 MDVRVWLFACLQMATRATISFSHFFPTLVQQIRFKDNTTVLLLT YPPYVVTFVWAICWAWVADSHQIRSMPGGVSQCCAILETILLIAVPGFWARYAFTFLA SCGTFGVYATTYAWLSSAIIQPPVKRAAAIGLANICANIASLAQEDLDSADGAEVNTS TEKVMSKVKPTAVKKAASLIEKMQSGEDENQDLLRKMCHYLESTYREIKSLKETLSK TSTA_097950 MQCGVTEIDALSRIAAGLIVRVDYAMDRLLSLLPRTAPLQIQVP NIATTKIEPPRPEDIAIQKALDEVLEYHRVHWPKNTTKNYEPKQKEWKAWCKKMGFKE GGRYLPGDYVDEGKLLLFIKEEVASRPPRRGQRLKAERKRKRTAAVEVLSEGPPSKRK REKISVPSMAFEELPIESDDDEACSELVLMYNTVRSYCSAINKLWAHQTLLGLHNAAR PQRFTERGLATIRDGYVASQIPNLTRKIYSQCPYLMKGQKAKAGVLLLDSTAASWTRR LYSEAVIKSSKVTHAGRVSGARLAELNGVSEDQICWGGRWNADQMTDCYLTTLPRSFM RGIADFDPDWSSSYYLPRETVCPPPVLLKQYKAFELDIHMAVTTAMEEDPHSIAIQKA IPAVNDRLSTINDFISGTFTYQFVPRGQIVPPPITGAVFSPTAPIQLPVTALEKTSQA PQYRMSRNTTTIPELWKEWTVGLNGQLSIKRLDELYGSG TSTA_097960 MAVTKVLITGATGYIGGSVLATLLTSINPKIRGISISALVRKQE QAAILKENGVNGIIFSGLDDTDFVRKVASDHDFVINTANAFHFRAAEAIILGLADRKK QTGKDVYLIHTSGTSSLGDFPITGSYTETRVLSDKDDIYTYLRHREDLEPYQQRTTDL VVFEKGEAASIKTYILMSPTIYGLGSGLFNRTSIQIDAIMRAARRDGFTSVIGSGAAE WDHVHVEDLVQLYELVLARLLDGDNLPSNKKGIYFNETGHHSWRYLSERISRDGNALG YLTSDEVREVSLKEWITRSRKALSPRTVELGFASRSRTRADLARELGWKPTKTEKDFK DSFMDEWKVIAKEG TSTA_097970 MIVKSRHQEHRSYGGDAATLLDWVFYHNVLYKFSIRHWLQRTME QEIIANREMVISQAIFNPNRHIIQSTLGCSLELLDLLGQAVDLVRQDRKDPELLTKSH QYAIDSLEQRLRAVDQQLCSGINDEEYHTVGSRKHYTAIAQLFQFAILIYLDRVVRGS PISSPASQTAAEQSFSILRDLGVCERPFPMFILSLQAESDSDRILMLRSLQRTRKERT LGNLDWTEQMIRRIWAQQDLHGTEGVDALFVINSVISANSAPPSFT TSTA_097980 MARSSIRRNSRLILNALQGFASPVSVIVSRNGLHFEPYIISIFG NHSGVLAPGRSTPTGGDSRTERWQVGHTIILSHAAVVQIYTTEFQQSQTGEISIVLNG QFYEPWDLNSDMHIEAAQRRLEFYIGWFGDPIFLGQDCPESMKSRLGDRLPRFTTEEL ELLCKNWAGIWNALASGCACWFPQAVELGLETLPRAYRYN TSTA_097990 MSAPITVSYSGRSRLGINKPDVPSDPSIITDSPDKKFPCGLMNK TTCPNNDLFAVNPASPKSVALYGHAQIVGDPEPASDAKSDTQRFSSKRRLGDETDLEL PCKRSSSDSLTAKDHLCTLYSYFSEASLHERLDFLSWLFRSELSESLLASSVELPFTR VKTADQCAESARTQHCRPPATPNGRRVTSTRSINGKGWEPDEINFLVQLKEAGLPWSV IANRFREQFPGRSIGSIQTLKVTVVIRLTVAEARVLAFERPEAAGQRYLVANSAYSYQ QICDIIREKFPELQGSTPKDTLGEPFPPVYRLDTSKSHVSPENYYSAPRHGFMKNFLA ASSASKPTGIIDRFIRPHRENTRQPGFWKLANGGSDDKAGLEDQIESQSVEGLRTFEY ATSQSSSLTPNDSASQIQSSTLINPFLEPPKPKRQQKETSWHRILDFQEIEDPDTGEN LASIVYKVLCELDIKAKLISITSDNASNNLEMAEILHNLLKANYEQGNTQQIMRYQGE GSFICYLIHILNLIVKEFLAVLKASDITEDFQIIEDLENNPSLAQSQKVQEREGKFKD FDADVANAAKSAMRKYDKYYTLMDDSCDILYITMLLDPRFKKLVLEHELWDEAQDIIT AMQEQLEIQYPITHKPKFFIASEEPGPSVTLQNPHKTIVCKGIDCWIMLRDTDRS TSTA_098000 MTALQWASLQGHHRTRLLDKEADVNVQGGKYGNALLAAVQTGYL EFVQRLLDKGANANSTGIIRGNVPRATPDGGDQTC TSTA_098010 MNTTSNIPPNGVGALLFTPLFAVNAVFVSVFAVLLFVQLILTVF FWRVYGYAIGMVDGLLLELLGYVAKVLLFHNRENKNGYIMYIIGLTLGPTFLSSSLYL TIVVLQRHYPEARFAYVRPRLFATLFILGDFICLCLIGVGGSIAAIYADKPIGVDLMI GGLSTQVLFTAIFCTLVAIMHRRIRKSADAEAGNWFMLVNVVGASVAAACLFIRSCWR VAELSKGFNGPLASDEGVFIALDSIPIIIMSILLTMLHPEFWFSKYYVRVRSRCNPTV LGALDIPALRMSQRFFVAVRN TSTA_098020 MRLKRGIERESCDFCYRRKIKCDRASRATQGLVTCSQCALRQVQ CRLDDSDDIRIQRRRRTGTPGRTLGSPKSRPSQRRQVLRGEQQTPGANPVEDESDTSY NTLGSSQPVDSLSPPPPPFSVDIESSFTSPAEEEINASMSLQDETTNFLFLDSALWLS ADSVSFLDQVFMRDCELPLEDNQLPLVVDQNQSTSATEGYADGRSKTTPTSVSQFDHG MLGAPWLNSNLDSDSFEEALHAYFDFAALCLPILLEDAFWEDYRAGRCSFALLFAIAC RGIPFTNVVNKWEVQQQLAHQFREAFFETQNWATNQGSIRLDDLEALALMVNFEYDNT QNASAQTHLGHLFLTHDSLVLMTLQSRIQSHSFHASASATALARANERRTLLFWHVYG LDAFHSLDNKSISRIQEDDVDLTESLPRHEAGSYLDAMLTLSVIARKSLKTLCGASAR RRGVKMDDIQYVYHQLDHWRNTSCPPHLQRQRAKGSNRVFMSGKKRAGKHALLHAAVL WLLELNCYMQIESCVTQYVGGEMITCRVGYETLRAVFDIVDISVWAKQDKNQDNELGQ KSLVDLAPQMVRNICAGACFWICLRGKATFDGEVLNVIQFHTIPGRRNREDMNLRKTT MENYLRAAKLLREAVATAISHKDTEQVLTHIDKPIALLEEDIHTLN TSTA_098030 MSSLLLGPVLPRPSGLGIGIMNPILVDLPTTLHQTFQQGHSDFG DFMGNTSSVSITAMSTEDSEETPFFNFHFSSPFLNETAGSTTNITEDSVYRIGSISKL FTVYALLLNFGREHWDNPVTDYIPQLREAVAAQRGIGNPVDHVDWENITLGAMASQLS GIGRDYANGDLASQNFPWQQAGLPSLPMEDIPSCAGNTSLPPCNLQEYFQGFIQRHPV FSPETTPVYSNAAYRILGYVLETLSGTSYESLLRSSVLEPLNLSQSSTHRPESNGSWV IPNGDSGWFQDTGDEAPTAGMYSSSRDLAAFGQAILANKQLSALDTRRWMKPNSHTSS LVFSVGSPWEIWRAKTDITQGRVLDLYAKSGSIGKYNSLLILVPDYGVTVSILTAGPS SGSTITIAAELVMQKLLPALEMTSHQQACRKLCGTYTAADPKLNSSLVIVADDKNPGL LIKRWISRSVDMYATAQAFADETGGGQLTAIRLQQTNLESMSWGKRRPHSQETRVSAY RAVFETHDPGVTRTKPRIFGPDAHQWSAIDSLMYGEVAADDFVFYLDDQGFATAIEPR VLRETFHRGL TSTA_098040 MIDYAKELLDLEYPTGVRLSPDAMSVVYSAKPKWKSTEEVSSIW VGNTQSHKSTHSEYIAFLSDRGNLGNSCALYLCRLDGQRETKALTPPTNKKSISKFEF SPDGKHIAFLAAAEKEQNEVVDVWNQGWDYANLHLIDIESGSTRVLAPEDVHVVDFSW SEDGSQMAYVTHRSPDIESGWLHGATISTVEIAAIGVGARTLCHVPREVSDLTWIRSD IYFIAYSTPTDINTSRSVYSVNLLDKDKSVTKVAHGVDDCAAGLRKVGGDLLVHVQRG MEDQLRLLNSTILYREKKCILSFDATVDVDGKIGLVFVQGSVNRPPEVFWTSPAGDLA QLSNHGKVWTKEYNTCTFIECQTLDGTEKLEGMFLGPANATEKSVPTIVLIHGGPYWR VTDSFNFLDHFFMPQLLHKGFGILIPNYRGSSGRGERFARYSSGGMGIYDEPDIVAMT QYVITQKLADPLRLIVAGKSQGGFLSYLLSVRNGTHGLGWRFQGAIACAGVTDWDTMS MSSDIGYAQADLAGGAPWNIHKSDVRTRAGSAIWEFRDAARERRIPPMLLLHGNEDKR VPISQAVGFRRALDEVGLPFQFAVYRGEGHFFQKRKSAEDLMERIVRFVTKTLA TSTA_098050 MEGRQKAAPATEPDRSSSLHEGNGSIDPVKRGYMTAGQWRRSGD TSTQKDTLKYYLDLARLAERGKISAVFLADWYVGSDVYDGSLDTCLNRGQQVEHLDPV PIISAMASVTQSVSFAVTMSATYIAPFVLARQMITLDHLTGGRCAWNIVTNHTKGNTL AFGQDDILPHDERYVMVDEYMDVVYKLWESSWVPNSVVWDREKGIGFNANKIKLIEHR GKYFKVTARNQVHPTTRIPLVLRISRNIRNSVLLAQSSDLMKSCSNSSSSNTGLGSGP RVLGIASCSGRVGAGICRYKGPVKGQPLIVQL TSTA_098060 MPPIRNKNEKNLAEQEGRILLAISDLKNGKISSVYQAAIIYNIP RTTLYDRLNGIQQRSIIRANGHKLSQFEEESLVKWVLDLDKRGLPPRHSLVREMANYL LSQRGNQQVGEKWVYNLIQRRPEIESKFSRKYNYERAKCEDPKLIQEYFDRVREVISK YGILPEDIYNFDETGFAMGLCATAKVITGSDRYARPKLLQPGNREWVTAIEATNSTGW ALPSYIIFKAKKYTRLGWFEDLPDDWRINISDNGWTTDKIGLEWLKTHFIPLTNGRAM GNYRMLILDGHGSHLTAEFDRTCTENNIIPVCMPPHSSHLLQPLDVGCFAVLKRHYGQ LVEQRMRLGFNHIDKLDFLTAFPKARTMAYKAQTVRNSFTATGLVPFNPDRVYQQLTV RLKTPTPPPSRSSDTQSSCLQTPQNARQFKRQRTTTKKRISRHTRSSSEAIGEVFTRA SKAYEMSINQLTIAQKELHDLRAAHEKEKQKRQKSKKQISHDQGITREEAQALVQGQI EASQAVTTAPAEPELPVSHPPVRRQFRCRIEI TSTA_098070 MRPLKETQVLQTDLNTDTLSVDQKKADDEVLERLGYKPVLKRSY NYFHNFATNFAALYFIGGVRVTFSTGIAAGGNLAYWTSFLVTCFFTFITASVIAEICS SLPLAGSIYLWAAEAGGPRFGRLLGFIVAWWSTTAWTTFCASNTQGAVNYMLSEIVVF NLDFPSDPEDVKFRAVQWICTEVLLATAAIWNLLPPRYFKWIFSLSTAFVLLDFALNM IWLPVATSRTIGFRSAHDAFLTTYNGTGAPEGWNWCLSYLATAGILIGFDASGHVAEE TKNAATSAARSIFWGTVVSGVGGFAVVILFLFCAPDTDTLFSFGGTQPFVPLYAVILG NGGHIFMNVVCVLALWFNTAIAILAASRLVFAVARDGVLPFSSWTARVVNGQPRNAVI VVWVISSIITCTILPSSVAFTSLVSAAGVPSAAAYGLISFGRLFFTPKNFPKPAWSLG RLSKPFQAISVIWNGWVVAVLYSPYIFPVSASTLNYAPVIMAIVTIFALISWWIIPAE KWLPSKSIEHMLHADDMEQNSDSA TSTA_098080 MTLWYNLLVLAGTIACFRFLWVSFNANIPSAGPLASYSRFLWLF PREFRGSITQDLPDLHENLGPLIRISPNEISYYSLSTYEIVHGAGSKFRKDPKAYGAF VQGHHPALFSIIDSNEHSKRRRLMGQLYSRSKISNLEGLMTQHVSRWIKCLGTKHSKI DLGPACRALEADIVSEFSFGMAIGAINAWNDGKELAMKAVNDEMATWMPLLVHMPVLF DILSSLRSILKWTTGYQVPHNRHILDFRNWAEKAWSRALLEENPFHPNLIHTLTKSGL PPKTALSEAKENLGPGTDTTSATLAHIIWALAHNIEFQEQLHQDLKTIEFSTSMSSLE AVPKLRACVKEGIRWTGAAAVMLPRVVPQGGVELHGKFIPEGVILSSSPIWYLRDSIA FPNPTEYNPYRWLTPDGCENSKNQLRDDFYIPFSRGSNTCIGAQSAEASAKVHRSYRN NKWLDTCVASIKKRMGGCCPDRSFGHYADYEVKQTSMERTGCNWLPGGLNDINYFTTI DNHCLVICRVLNPSSFPLSFFSRSSQSIVLVISRRAWWLGDLSTPTCELLHGQLGVVF TMCTLRLPQGLQMFFDR TSTA_098090 MSISNDSTMSHELPSRVPGTVHLVDIDHSMRTRHANGNGDIVLD PTPSSDPNDPLNWSPRRKLLSLICQNLYTWFTGISVSTVYSVLVPLSKASGVSTDTLN QGTGYMFLFLGWSLLFWQPFSLRYGKRLTFLLSIAGGIGTSIWSAYVTSNGEWIAKCI LQGFFIAPIEALPEICVTDLYFTHERGTYMGIYALTLAGSNYFAPVICGFIAEYQGWR WVFYWPAIFLGAVFVFLALFMEETTYNRSKSTVTQVDGLSRPELSVGEKTAVATPTPR MAEDGYIDSQPKSFVAKMSIGRTNPGQNMFKHAIQSLRFLGWPVIFYAGFSYGCYLIW FNILNATASIILSGAPYHFGSSMVGLSYLSCCIGVIIASIVSGRLSDWLTIKLARRNN GIMEAEHRLWPFAICVITVPSSLILWGVGAQHGVHWFGLIFGMGVLAFTSTMGITLSV NYMIDSYHDISTDAIVTVILVRNTMSFAIGYGITPWLTNLGYQNCFISAAFIGMAVSL VFLVMVKYGKSLRVRSASKYWAMVNRDKSTEDN TSTA_098100 MTFVQFMRRATDNVTGSIDRGTLAGVMGGSGAGKSTFVNVLMGK TSNIGGVVAVNNSPSKVGRYKKVIGHVPEETFSPRIDPLREHYFHGIEKMLILKPTPS LSLTAWNYRMCEIHKSEVLRRQSQWWTTKSVSIGMELAAVHMAIFLDEPTSGLDATSA SSMIRTLKVLARLGIAVIFIIHQPWSEVFDLFDSLFLVEMDRLFTKVLKPRPNSILRM WVSTL TSTA_098120 MQFTKVAAATFFFFSSIYAAPLQTRASCIETCGSTCYWQSDIDA ALNQGYSLLQSGETDNNYPHQYRDDEGFDFPVSGPYYEYPILSSYKVYTGGSPGADRV IFNSDGDFAGLITHTGSSNYDGFVQCNAA TSTA_098130 MEASVNRRNPKLRSACNRCYELKERCERATTSVYCARCRRLGLA CSTARPVRVSRSAHDEKAVSRLSSGKCRRQQQHQPTIDSCLEVLSDQRPEERELLQFL LGQAGSLDHYVVCPSFQIEQRQSLATQLRVASPLVKDAYLACASTLKQLQSGIVTNKD VNISIAYISKAMCVLRSLPTLRSEDTVLWHILGSMLAFSIYSAIGVGVSEICRFCLGT TSSFLDTKVLGAPNDPWQNLLVLLETTDCLVYRRKPTVRIQVPALGTVDRHLGLSLPL LPHYHDLCAISSTLLHTTEVNTLACLQKQIDDIQSIVEPWQPYNLDQLVDQFDSAEIV HLLAQAKAYRLGVLLIGHRLRYTFGQEDAQADIWSNEIMMELDMAYRVTKRPIRFVTL PFMIAALEVRDQDIRAKTLQRVDDCVEQYSPLLQKTAKTFLTRIWCERDLNLTSPQLA RVVNGAPGTTRFLMRKRSRLYALLLL TSTA_098140 MHLSAPTEALDMDRFSPIKPHIDYVGIAGITQSYLCRFIYEAHV PCDPRRARIGRPRVNPKISSSTPFWTAAILNPDNNRKAPVEQLGYPVHAHCWILVDRV IGFGLVEANLKIFPRLIKLFWTENVKSWCRESWKYVVHGRDSDNGLDPGNWKLRENPY TLPAW TSTA_098150 MAITLRSVLLVSAVICAVSAKTQKDPGSAAAPKGHNSLTVGILG DFGWSGWSPANTYFCNEVAPVLTAKNITIPRELQNDCDAGDRAAAQNASAAQVSTASY IKNICTMKNCSAFISVGDNFYDSGVGFTTTGVNRFQETWVDMYSQGIYENATWYQCLG NHDIVYGQAGVDFETKIAPLYDDRWYFGTEGLPYYTYDIVGQDWTATFVVVDSDCFIE KYQKSSSVYQNGYTTSCYKEKQTQVDFVTQAFAKSTADWKFLQIHHGFLSSSTNYTEL WPLVSVAEKHNGIVLNGHDHCAAHYVGNKTNFVLSGAAGYPEAGDCNNGVSLGSFVKF LGADAAGAGNGFVTLDISSKELVFEYYIRDMEYEGGDLYPVKDDTKPSYSFTVKDKAK TSTA_098160 MASRLVFDPMAALAVAPLVSSTCTLWYALDQHHFLRVFTSPTNR PKSDFILPTYFKEFFSAGLPRVIGLLGLTFWTSIGNYYWRYDSLVASHSLRWYIAGAA LSASHLLFVPLVAPRIRAIVEDDPSKGPPTSVLDEWLNIHFLRTWTVDLAAWACLAVA VASNPHTLVSMGLQLIE TSTA_098170 MRAHRAARRAGEEVPFAQDLDAYLEQQMEQDIPPSVQGQASQVP STPDPLTALLTDVSSTPDPLTFIGGDPISPTLRRTTTGDSSIPFEDQHKFCVGCQQDI PISAFYDDKSCEHPHCNPCRAISTGLTETDPSYVPKSSNVRSDNASLTSPSAQKPEQD PSYTPGDLDSLLRPALTETDWDYVKSFHKSLDQQRLEYCQRCRERWFNLRLNSQGICG RCVRADKNKDVHLFGAGNNMYPGNMPDLPELSQTEEMLIARVHVSVQVRRVRGQQYKY SGHVVNFLRDTARVYDTLPLLPRDLEIILLRPANADADPRLQRQYVNDFRVRKEHIIK WLAFLRISHPGYRDIEISQQVIDILPQDSSVADQIINETTEPIEIDASGISEEIELPE HSVVPDLIAQEDEMTAIRQQLRPQLPQQRHIEMPPFRSTPIAEFTRTQPLLSWAFPTL FPRGEAEFILPRQRSVRFDDYVKHLMKFDDGRFARHPRFRYVVFNTMMRQQANKKAGF FVKQRIAGGQEVTAEQLRAAFEEDTPEGEALVNSISRRSGMLRGTRPFWTNKLQQLKA MVHNIGPAHLFLTLSAADLHWDDLMWHMPRYQEWLQGTASERIQISRQNLRDTPHIVA NWFHIRFATFRKEILDKKFKVVDHWYRYEWQGRGSVHVHSLYWLDGAPPSEIARLSES LRQAFTDFWSGYISALNPQPGVMVNVGNERSPLQLAFSDQHKTVQYLSQISNSV TSTA_098180 MMNHLIGERDWSAQEVLHFLLDLPLQASSRVTITMDCRPETDQP ANFVNVEDQDDEPVRRGLSSLEKYKRRATPQRVINYFPIYDAEKQPEDFARVKLMLYH PFRAIENALDFEGISFTSYMDAYAYCQLSCSHEGDHYGEKLVQPESTHEESDNENDLT IPDSWEALARQLPNRDDATRVEDPDLLGERTIDREMDWSTHIGTHDYIQTDFWALMKE DFPRPSIVDSSASPESLETQQRHLYDLVIHHYKQHLAGQNPSQLLVNVDGRAGTGKSH VLMLITSDLE TSTA_098190 MADLTSENLQALQANLHGVKWLIINEKSMIGLKQLYWVNLRLQQ IFPTPESESAPPFGGLNVILTGDFYQLPPVAQRPLYYNKKLDFIEEIYGHSLYKKFDI TIELNVIRRQDDTDVDAIKFKEALDHLREDELQLADWKLLCTRVKAVVPHVVESFKNA LRIYSKKAEVHDFNHSRLRDIGNPVICIIATHQGLNAEKASSDEAGNLHAELDLSIGC RIMLLENIWTDYGLVNGAFGTVLDVVWEAGTTNPRQTPLLLLLVHFDSYDGPDCCLVD NKTAVPIFQSRRDFSTSNITCSRTQFPIIVAYAMTVHKAQGITVDQAVLNITNRDFAL GLTYVALSRVKKLSGVLFEEGFDYERFVRKKPHPTMVMRKEDAQRRLEQHIDYVPLPD ALVARSSAQIFQASLPIRTSSPQRASSLVPHASSQTPPVDDEIA TSTA_098200 MITQMILKGRNPLHSNLDYVYIVDRDAGALIIPLWKVENKSLRP AAIRIDLSKFYETSGLIIMTSLEQSSYVSDDQTSRKSMTPSESLVYGTLTLNFGPPTP MNELQEQFFTDFVFICWRHPHFQIFDTVGQQFSTLMLPDFRALTRILTRLLEEALDSY RTVATVFAARFSSEIPKRNKLYRFYSIFSGFLHSSAVLLHLYTLFRRHHCAKLWIFYI MLREAWRFKLGRSVLFQLLHLAAFGIYWSY TSTA_098210 MSLSNPSDWDRTAAEYAQIPIQGPMYIPCTRMLEAMNNARSFST ASTTLDIGSGPGTVATLLFKNYGKHIPQTAKLIATDFSQGMVEAARMRKELEANSEDV HSAGCWARLELDVMDAQNLEKIATGSVSHITGSMVYFMLPDHKKGLSEAHRVLSDDGV FACTSWAKVGWMEFLTQAACKASKKTAPSVALPTIWKTTEGIKGEMEAAGFRGVHTEY VEALWHIPEPKKAVVAFTRSSNPGTEMIIKGLSEEELAVCRDEWVKLVEENGNVCKGI AVLGLGRK TSTA_098220 MTMPCLRVKIFIVVCVALFLSQKWWLPLGHTLFNLVALSSRWRQ ASVQSFISKDRDDFDVTFASYPVNQSTAGSGYQDLIPPILHHIHLGPHEPRPEWMGAR DECIRYHPNWKAYIWDDDSAEKLVNEEFPHLSDMWKDYRYPVERVDALRYMVLQTYGG VVLDFDLACKRSLGPLRRFEFVAPAAHPTGFSIGFMMASQGNEFVRDLVDNLTRYNHV WFYLPYVAVMFSTGCHYASTIFTLQENRNPLRILGGITGSPRLHMLNGFVDTPLFRHL GSSSWHNFDAALINWIGHLRSGSIIISLIFVASLLLTVYVTRLCLRRRRCSAARDKAM GDRFDSERGFSCIKEA TSTA_098230 MAKSKILAVATHLGRRAYRHKLSLISLCFVIFFIIPIFLYTLLG TILANDPRLVPHAIRNARNVLLITAHPDDESLFFSPSILHHSDMPHVNRHLLVLSSGN FNGLGEHRRTETKASCAALGIKDDNCVVLDNKDLQDNPRQWWDDSIIEKILADYIKKW NIDLIITFDHYGVSGHVNHRSVSRGVKKFCDEHDHMPPVYANRSKFLLRKYSSLFDLI PTSLPFTWRILEALVSPVPKGYETAVSITEKPVPPPEGGDKYGDKALLVTDWRQYRQG RTAFQQHASQYSWDRVLYLIVSRYMWFNDLKRL TSTA_098240 MNSHSLYTRDPDLSRFRFLRYNQIGHGELICWIGISMGAATGVC FVTRNPGVVKRLVVADTITSSPDSAGVPDLFVGRAEIARKEEDAIENLTETTLERWFS QQWRDSNPAEVERMRQLMRTTSRGGFIACCNALSHASFDLRPLLRNVGSSVEASSLIA GEQDANIPETMNVMRQEISAGSTGPQQEHLIIIKGAGHVPVVDGSSQFKHEVLNFLAE GSPKV TSTA_098250 MGHGHEVFDAKLVGVATALEWALERQLLGPIWVFLDAQNAIDRL KSTRPGPGQALVLRAHRAAEKLASRGQPVTIQWVPGHSGVEGNEQADQAAKRAASKHT AHGFEHLFLAYIKRRHRDGCVYKMPRGWSLDPLAGKAPKRLASRYYQLKTGHAPIGTY LHRIGRRESLECQACKEPHETVRHVLFECRGRRTGRKVLYRVLKKAGVPLPTAAEESP WARLFAEPRATQGLLQFVAEANLFHDKEQTAREAEISDVWGWDTLEEGGLGVTLEDE TSTA_098260 MSKSIAGSLEKVRSLAVSAVYTNSSPIAILHDLIFSALENVIQE HAILGVIPVGEDMPNPHFARLPQFDLQNVVTFVEREKSWDPDTEIDPELDEILEREHN QSFKQRYGELPFWRLVILHTRSQSDAGSLPQFTACFVVHHAIGDGLSGIAFHRSFLSA LGRSDHAGASRKSPGDNNPYLVTPARKDLIPCLEALHPLPISILYILGTIWRELVPRW SGTVWTAKDITDDPDMKRSRFKSYSISASKTSKIIEISRGKNTTVTGVIEAILVYTVF NLLPKNYTILKVAGPISLRPILPSNLVDNNSIGTWSNSYVQEHNRPISDSAAKRYSYI WDEAVKVRTTIKGELAKEGRNVRTGLLKFVGDSHKFFQKNIGRPRGESIELSNLGVFS VPDGVESSGWKVCKVLFSQAGNVVGSPLEVTLATGPNGRLNVGFSWLEGIVKGTWVEM VMNEFKGAIEELVIIRS TSTA_098270 MAGVLDSLSPKLLEDGRVLGVTIIAILAITILLRRISQRFSSDY QFWKSQPWAGIRSELFSGTRAYFRSFFTVRDMVENGYHSYSTSRKAFVLPVFGGKPWI VLPKSSAKDLISRSDSELSSDDIHIEQLQVNYTLGPKGVHLMRVPLQFDVLRRQLTRK LPLLTGAVYDELDKGFKQYWGTDTTNWVEVEAFPTCLKLVTRAANRVFAGEEICRNED FLEHSKQYTQGTVFASMALRLIPKVLRPLASPILLLHNNQHFRVCARICVPVVQERIR NTKAKQTDESFEWEPPVDALQWLVEECINTEDPKELDPVLITRRLLLLNMVAIHTTSI SITHAILNVNTFEHSEQVIASLREECNRLLGENNGVWTKAALNDALRLDSTIKESMRC DDLEPFSTGRMVVHPNGIDIETSTESSLHVPHGVTLCLPSHGIHRDPEYYYSPLEFRP FRFSEPREVFLKSQKELPREQSSASDVQGSIKQTALDLKTTALVTTSDTYLAFGHGRH ACPGRFFAAQEMKLMLAYVLQNYDIEKLSEKPPSTMLMGTNVPNEKAKIRVKRRA TSTA_098280 MGDSGSSKPSEWTQVNGSHTSDEMISNEAGSVEPIAIVGVSCRL PGGASSPSKLWDLLEAGKSAWGPFPSNRFSHSGFHSPFGLKNGTTNTNGGHFLEDDIA AFDAAFFGIRPMEATAIDPQQRLLLEVAYEAFENAGITSEQVWGSNTGVYVGQWTSDY NEVLSRDTNFPAFYQTTGTGPAISSNRLSYYFNMKGPSFTVDTGCSASMVALHSAVQS LRTGETDRSFVAGVNLTLDPQRYTYQSQLKMFSKEGKSFPFDERANGYGRGEGCTGVV LQTLSSALKEGKPIRAIIRNSVLNQDGRTPGISVPSGLAQSAAIRKAYAQAGINLLDV DYVEAHGTGTKVGDPIEAKAIADALSSSRDEENPLLIGSVKGNVGHLESAAGLTGLLK GLLMLEKCLVPPQVNFERANTTIPLKQLKLRVSTYDEQLPLRDLRRISINCFGYGGTN AHVILDSVDSFFAPPSPLTGRIKAPSKPEKSARSRIFILSAETEKSVQVSAQKMAEYL KSKSGYTVNADIWLNNLAYTLGRRSLFGHRAGVVASAVDELIVQLEDLSQQAVPRRDT KGQRRIGFVFSGQGAQYAGMGKELLGNFPAFSNSLERAGKCLTDIGCSWDLISELLKP AEETRVDEPEVAQPLSTAIQLALADTLAELGIFPSFVAGHSSGEIAAAYCAKAISFDD AMTVSYHRGRLASTLRKRVSDHPGGMLAVGESPEVVAAKIKEIGEAQTRLRIACFNSP SSVTVSGDDDAILLLQEVLSGADIFNRKLRTGGAAYHSHQMDLIESEYRKALDGIKGG KTDVSIVMVSSLTGEDIGDTVIDKDYWVQNLVSPVKFTEATRKLCQTWSGQKRLDLLL ELGPHFQLGGPISQTLRTLRGDSAKIPYTGTLKRGTNAETTIFSALRTLFLEGFPVKL DLVNNGFDSTTANKLVTDVPSYSFDHSRTFWHESRISKAYRYRKNIPHDLLGTMGGDY NSVEPRWRKFIRLDDLPWLRGHIVQGQVVFPAAGYLAMAIQAMKEQILDENPDASIKN YKLRNVSFGMALVLSEGIENLELNFSLRPQVHSARKSSKTWNEFRVFTVTADNSWTEH CRGLVHVELASEGDMNRVISAQDQDLHAQLLQFGKYINPKKLYFLAKDLGLDWVSPFD NVIDVKTTNGAAISTVKPSDQDATPYLIHPAVLDACLFHSLYAVLIFEDNFKETVVPT FIKTLNVAGNLGDLSTKNLTCFARRTTGQLTYDIGIFDKEVEPATVFLQASTVTATRL PGLVPVEEGSRDLIHSIEWVTYMQSLTKNQIKRFKEGLEKVDSVMDRNNRLDALAMSY IQQALKEVSPEEVGEGHLKHWYAWMRTHAYDSFDTTVLAEAMMDETIGGQALRRLGPH LPDILRGNAHPLSFMREDGLLEKVYLEERCIRCYDQIAAYCAEFGRQAPDMKILEIGA GTASVTLPLLEKIKSDDRVLASRYDFTDISAGFFPAAKERLAEYENVVNYQVLNAEND PVEQGFSANSYDIVVACNVIHATSNIETVLKNVRSLLRPGGKFILMEITLNQLYYNMF YGAFSGWWSGYDEGRTMSPLLSIPQWKDKLYKTGFEQTEPMFNDYERKDGGTISVFVA HANETHKSEAVNSAVDIVGLSPDDNVAGRLSKTLKELLSNQDILPTNLQALGQSDTVS IFLPEVCDLLAGSLDEEQWKSLKERITTSRAALFITQARLSESTRPDGTVINGFLRSL RMEHHEIRLISLEISAEGTVDSVADVIAEVLCSPSFDLDAVAGDVESEYRERDGQLYV PRVIAQREMSKFVHSSLGKSQPTGSSFLGHNRVLTAELAIPGLLETVRWRDDPEAIGP LDPDHIKIRLGAASINFKDVLIASGQLEGINQMQNDCSGTVVEVGANMRNRYKPGDRV CALYSRSYTNYPIVHGDCCHLIPDTLSIEEAASLPIVWITVYYSIIDMGKLKKGESVL IHSGAGAVGQAAIMLAKYLGAKIFVTVGSDAKKEFLMEKFGIPEDHFFSSRNTSFYEE IMQITGGHGVDVVLNSLSGEMFRESTNVVAPFGRFVEIGRKDLMDDALMPMEFLLKNV TFSYVDMALVIAKAKTLAQRVLHDVIELIIAGAISPVSIMTMPISKLEDAFRLIQAGK HIGKVILTVSEDQRVKIIPPRPELAKLKQDATYIVVGGLGGLGKQIVKWMAERGAKNI VTFSRSGTLNEQTLALIDSVKELGTTVYVKKCDVGDMDQLRRVMAELKDNLPPIRGIV QSAMILEDSVFDEMTFKHWLDAVNPKVEGTWNLHKALPSNLDFFIMLSSAVAVAGNVG QSNYSAACAFQDALAHYRTSRGLRAHSINVGAIVEIGYVSENPEVAASLRRQGMGTTS VYEFLAHLDQVISNPIACQPGHCQTSIGLVPSGDELGLGESVWMEDMKFTHVRRQGSS QIQISGATSDVITELRSVGTPEDAVEVICQAILIQLSKVTALPAERLSRGESLDTYGV DSLVAVELRNWIGAYLQANVPLLVLRGTGSIQELAEMSPKSPGSWRIP TSTA_098290 MVFKSPSWVPSLPCPIPDDLPVGDFVLSGYQSLRSEKSRESSLI CGITGKQYSIQTISGQVDLVARSLSNELGWKPNEGESWDKVLAIYSFNSVEYLILCWA VHRLNGIVLTIHTGSSTKEIESHLTRSKCKAIFVSPSLHKTCIEVTNLLGISQECVYT IAVPGELVSSVDGHKSFEQLLAAGSDLAPMEPLKWEKGQGKEQAAYLAATSGTSGLQK LAKIGHYNIIANILQTATYDSGFTPNQPESGILFLPLSHTYALEVSHMMLWRGDAIVL QPNFDMMKMLKAVSTFKLERLYLVPAIIAALIKNPFLFQMFDVSSVKTIISGSAPFDR NLGEMVKNVKPDWKILTGYGLTESSIIATFTSAHDQMFGSSGSLLPEVQLRLIDEDGA DIDVHEKAGEVLLKGPNIISGYLSDSEASATLIDSEGWLHTGDVGLIRLSPQQNEHLV IVDRLRDMIKVKGMQVSPADIEAALLLHPAVRDVAVIGIKDELSGERAKAFIVRFPDV MKDSSEEQLKESIREHVEKNLSELHWLHDRITFLAEIPKNQSGKILKVKLRAMERGE TSTA_098300 MFGDNPVIIQQERLQVSVPLFLIHDGGGTITSYYSFGNLGRDLY GIYNPRFQDNEKWTGGIGEMAKEYVKMIKSVSPGNKIILGGWSLGGLIALEMSHILAN DFTVDVVGLIMIDIIYPPAITAANLQPDIRDMNLSRDIPTKQRQKIIKHIKEATASAK DYKLPTWANESRSDSSLDSISMASPPPTILLQAKEPVPSRKGSPIPHMLCWDMYEADL VKIVYEIPGHHFSIFDTINIDEFTTSLREACTLLENELIG TSTA_098310 MNFDSLPDLLGAIDQQASKRSFTEIKEAENFLRDIYADLLKKNG SQIDSNRSFLGLGGDSLLAVFVIARLRENGFMVEVADILLGGSIAQLSQKLIEPPSNK RKLTNENNESIISSTGPGTPSLFEPLDGSCIGSESVFSLSEELETLLLPTLQQITTSP LEDIEAIVPCSLLQERTLLGQATSPVAYQCSFTVRVKLPIDYDMQAVAALWSIIVSQR SILRTVFIDSVTRPGHFDQVILRHIEPNVRLIDATGSTDTIDMGSRQPLIPEKFRAPH CLHITKIARKEFFLKLDISHSLIDGHSAEVLLKDMSSLMFEQEIRREILSYKDYVEYL QRVEEEELASQYWKNYILNAQETHVPMLKDQEPMHDLQTLRFTFGITSNINKFCELHK VTIANICQVAWGLVLRYYTGLESVSFSYVTSTREAPLNGIMDAIGPYINTLLCAMKLD SQKVSDVLSRVNREYLQNLKYQNEFAKSFSARQRGNTVMSFRRNLSQEPKAMMGLECD IIDTFSPTDYDLSLNIQASQNQLDVSMDYWSSKMDAHYADALLKTFREAIYCVIAKPF ADVESLDLLPREHRSRIIETNGIIPESVQSCVHELVDRKVHEQPQAQAVDSWDGTLTY FELNHLAKALASHLVDQGVRPEEMAAVCMDKSKWAVIAMLAILYAGGTVVPLGVQHPV SRITDILIDSEAILILVDTQQAIRLADVKKPKIVVDEKLFHSIAELATQQPLQSKAEP GNAAWVIYTSGSTGQPKGVLLEHAALCSSMIAHGAVFDMGAHARVFQFAAYTFDAAIQ ENFATLLHGGCICIPSEDERMNNLTQAIISRNADYIGLTSSTASLILPNELPHVKQLV LFGEPVKASVVEAWLGYAKILNGYGPTECSIFSSVSKPFEDVRSQISNIGFVTIGNFW VVNSTDMNRLCPIGCPGELLIEGPLLARGYVNDPVKTNNAFIIDPTFIGELNLGSGRR MYRTGDIVQQNSDGSLTYLGRRDTQVKIRGQRLDVGEVEYWISKLLSDVTTPIVDVIK PRNELVAVVGFAKESPYRSGLHGFQLLPPSEMLRDAFQRLREQLLQKLPSYMVPNLYV PLADMPLTLSTKTDRRAVLHLISSLKSSETRSYMTGADLKANPITEMERTLQSLWAEV LGVIPTDIGRNDSFLEIGGDSISAIRMVDAAQKKYGLRMTVANILLHSRLKDLAALLT SDQMDMDTELEHDTAPFELWDSGTDDNLLHERLADIASRCRISTGHIEDVYPCTPLQE GLMAITMHQPTAYVSRRIFAMSKDIDSDRLQQAWQTMSDIAPVLRTRIIVDQSGKSVQ VLSRAPSTLEWHLGYDLSAYIDKDQRRGIVYGEPLVRFGFISETSGDRYLVWTAHHSA YDGWSAGLMYKHVSSIYHGQEVPQTVPYTRFLRYLSQGDVNKTAEFWRNELEGDVSGN FPPLPTSNYQPKPRRRLSYELRGSSPASLDVGRSTVLRAAWSLTIAQYMGQSDVVFAV TLSGRSAPVRNIAELIAPTITTIPVRISIDPGMGIRDFLMKLQSKAVDTIPFEHTGLH NIRQLVPDIAGALEINNIFVVQPTSEKEQSTGFPGLVSREDSISMDAFHSYPLVVECT LPASQSQSVVVEVTYDDGVISEAEVVRIIRQFDHIVSQINQTAAMANHSISSIDMLNA YDLKQLREMNTHIPPATEDVVQNLILKSIQKRPKATAIEAWDGVFTYEELDRHAKRMS SYLMSIGVVPDMLVGMCMDKSKWASVAVLAVLYAGGAVMPLGVQHPLPRIATILSDSC CAIILSDKQQRARLEGMTTHVIEVEQMLQSPTEPSEQSICTTVRPEHAGWVIYTSGST GNPKGVILQHKALCSGIKGHSARFKFDTSTRQFQFGAHTFDITIQEICSTLINGGVVC VPSEHQRMNELSATIAAMRVNFLGLTSTSASLIDPRDTPTVKTLTLFGEAVKSSVVET WLPYAEVINVYGPSECTIHSVCSPAIKDKKDSLNIGYPLNGAVWVVDPTDYHCLCPIG APGELLIEGPALARGYLNDPEKTKAAFVEDAAFVEKFGSPVGARRIYRTGDLVRQNTD GSLTYLGRRDTQVKIRGQRVDVAEIEYWIAKALEGDVLTVIVDLLASTNDRENFLLIA MMDFVEGSKYLQHETLDNSVLLSPSDVFRRDFQNLRDFLSTKLPAYMIPSTYVPLLQV PKTVTGKTDRRSALALLKAMDLFFIMQYTGDHVPKEMPTTTTGKYIQLLWAKVFNVQT EEIGLQDSFMQLGGDSIMAIRLVEAGRKFNYHLTVADIFEHPKLEDMIKLVENRSLSI PEDLSLEPFQLWKNSSVKNKALIAVQCAVHVDQIEDIYPCTPLQEGLMAITMRQPNTY ISRRVFELAGEVDIDRFCAAWQVMYDAAQILRTRIVIEQHGRALQVVVKEPISWLQGT NLDDYLKRDRTVGIEPGVPLVRYGLVDDEHKGKRYFIWTAHHSVYDGWSMQLLYRDVA SIYLSGTSPYDMPYARFIQYTNNIDPVLAGPYWRNQLFGDEVLAQFPLLPAAQYQPKP RRSLQHRMQSVAVKPRHDISASNLMRAAWALTVMQFTGVDDVLFGVTLSGRTAPVTGI TEMIAPTLTTVPVRIKLDRTKPVHYFLESVQRQAVEMIPYEHTGIQRIRELVPELAHQ LELNHIFLTQRVEESEKILDFPGLVSRDEEESEAFHSQALIFECRLGKESAPHAVVDV KFDDVVISEMQVSRLVRQFDHIVGQLSSVDSIFHIKDLNMLNDFDARLLTELNGSAIP LANSCAHDLISGVAHSQPNTIAIDAWDGSFTYHELETLAQALGQRLRSLGVEPDAMVG VCMNKSKWAAVAMLAILHSGGGVLPLGVQHPLSRIKDILVDTAASIVLTDHQQARRLH NLASSTIVIDELLFQDLDVPAGQKVLSNVKPHNIAWVIYTSGSTGTPKGVLLEHRSLS SSIQGHGPAFGLNKDTRMFQFAAYTFDVSIQETLSTLIYGGCVCIPSEEQRMGALTET INHFKVNLLGLTSSTASLLRPAEIPTVRKLVLFGEAVKPSVVEAWSSIGVLSSYGPSE CSMHSTCSEPLTSRQEASNIGRPFSGNIWIADPKDYNRLVPVGAPGEILIEGSLLARG YLNDPIKTQASFITDPDFVQYLGLEPGPRMYRTGDIARQNEDDTQIKVRGQRLDVGEV EYWITRLNCNIGTAVVDLVCPIDAPTEQMLVAAIDFKGSSLVTALPGPEVSILPPSEE YYGTFRDLRESLKQKLPSYMVPTAFVPLFKVPLNASGKTDRRAVKNLLIARSLQELMS YTSMKSAPQEVNTEAEKTLRSLWAEVLQMDEIMIGSNDDFFALGADSILAMRLMSAGN SRGIKLDIKNVFRNPTLENMALSMEQDSPTPATSSEHEKFSLVSSSEVRSLVASLNAL GSAVSENNIIDILPATDSQAFSVAGALTQSQVEVHYFKIDGDCPYNSDHLRRVCYDLC NSIEAFRTVYMFSGDKLVQLILDTYMNQIQVLEVDEPLDAATNRLHESQNGPLKLGHS LIKVTILSRKGTMKHRILFHMSHAIFDGTSFPIIWQAFQGLYAGQSAILTTPFSRYLY SFASNATEKSFDYWRNRLRGSTMPQLSATRSVQDQCPRAMQFVPVKKLPIGKTAISGM TNAIVVKAAWAFVLGHLVNTTDIVFGDTVSGRNVPNATTFDNVVGCCATHVPLRIDLQ SSHTAKDLLMVVQDQHFDRMPFEALGFRSIIKDCTEWLPSTRFTSIVNHRHANPTSIT LGENNYSVETWIPDAGKMANLYDIAVVSEEIEGHLELTLGCAEGIMSSMETESILDLL CMAVGFLSSTPELPLNELEASFTSTFKLLQGPIPQLNTGSSQAGQVSHLHSGLQVSNI FEIWKSIFGQKRGAVNSSLSCSPFYDLGGDLVDAARFVSTVQQHGINITLDDILNYPS LGRLVDYLA TSTA_098320 MSHNSAQRAIRQCPENGVLAYTTTWYYPAESPNFTICSRCYTDK IGHTPFASQFRSSMLPSDPNRHCLWNTKRVESLLGYGLSNNTWEPIRQYMIHRPKIQH CTGPRTPISLSSTTGRYRWYRPLNSEIEGFVCCEGCYEDLVSATSFRDRFILDSNVVN HGNQATCDMCVPFIKKCLLEHAPTQNWTTFVEWTSARLKIPSCKDIQGKPCSSTLWYM PLPPIHNLVICGECYHDGADLTPLASSFSQIQIPPNRRHEVWECANSIKVLAMMVTWN EACDKKNLQIWQNAARIVPTLPPCTKEGIRNVKWYTLSGCPNFAICGRCFIGIAQTYG MDRFFQQTNGPTNGSVFVCDFHPEIPRSHSYYGKFDEAIALQDFSIFHNFVARVSCLP VCPKDSPYRNRRWYCGDDATICESCYEEAIRGTSLAYTLTLQERPGECICDCYTPRMR GLWHKACAENDIQSFNAALRQRMQVYQATVPRMRQILAITKMRANTQATLFMSSIMLQ GANNIVSASASHHPYQYGNAQLGWWDTPVGAQGAAQFQQAVSMNIAPTGDMAEMTQLG AIWKQYE TSTA_098330 MEAHTLYHAASLALYVEILDLQIVAGATQILGRTFMHAAKEEFE ALKKKGTFDFVLKPQNKQILTPTWVFKYKFDKYGKLTKFKARICVRGDLQQPNDLEKR AATLAAQNFRMMMAIAAIFNLKII TSTA_098340 MWQYLGCSSTTTDKEPPRGLPCIIISLRKRRAIFSKRWIFLIHS RRFTQQGDFLSYAVAKLSFFFVQDCDGSINGYHNICRYHAFPIVQARSVSTSILSCKY HGWSYGLRGNLSKAPRFETVSEFEESQHGLFPIYVHTDKIGSSGQVFKQASQTESMSK HGCKILTLLRTTHLITTIVENGLGCELEGGDRELQLSAIIAPLLIHSSVKCWNFLDIV SNPLRDIWNITF TSTA_098350 MDHSRDPCPWVALSDFGGAFSMGAIGGAVWHGVKGFRNSPYGER RIGAITAIKARAPVLGGNFGTWGGLFSIYDCSIKGIRKKEDPYNAIMAGFLTGGSLAI RGGYKAARNSAIMCAVFLAVIEGVGIGFQRMMADNTKLELPPPPPSDQKAFA TSTA_098360 MANKWLKLWLEAIEKRVNFRVRVIEMAFVLDLIVAGIAVVQVSL TVMVRGSATTTFLGAGLTGVINFALNMNFLIQNWTVPETNIQAIWPIKVFCEDTPSEE TESTIEPPLDWPSAGKASFQNVYASYVAGAIDVLEDITFDVEPSQKIGICGRTGSSIL SALSKILQISRGSITVDGLELKWISPDALRERLLALPQNPVLVPGKIRENVDPRGTFT DAECISFLEKVGLWEFLEQQGGLDAPANEQTLSVGQSQLLVLARALAHPSSVMMMNEI TSSLDAKSDLVIRDIIRENFQNNTIISVAHKLEHLLECDKVVLLVTGVFRSTMILSAV GERGLAFQSFIL TSTA_098370 MSMFPDPRRIVTGHDDEGRSIVVADSTIRCEPTPVKCNFAVLYE THQFPASNNEWIDPITTRTTDLSNKDGVVLRVVDFPPNTETIYHRTVSLDFGILHEGE ITCHLDDGVRIDMKPGDVCVQRGTIHGWTNYTDKPARVYFILTAAEPVTINGQELGSE GFKRDEVESGGH TSTA_098380 MNEGSKKLSHSMLCQQLGYTTKDLVYMAHLLVPFGGLRDIKLEP GETVVICPATGGFSGAGVQIAAAMGCKVIAMGRDEKELARLKEFEENRLPSAAVEAVK ITHDEKKDTAHCCRYIAERAGWRWPYWVSLYAAGVVTVGNILLNTETNSIILMRRKAV RLRKELNRPELQFVFNSKDEIDMSMTTVLSRGIVRPVRMLFGTPILLLLATYMSFVFG LLYLLFTTLTSLYISTYH TSTA_098390 MPAMIDTNLRVTRRRRRSARSCNECRRRKVKCDRQVPCSRCLTT RKHCVYNNEVLSGVSSGNTIPTSYTVHIAGPQVTPQSTVEPALAPSRDDSRAEADGVD TISIPRYTHQNMTPGANALPKDISSVSRRHDDHINELETRLRTLEHLLSRNRSSLDVD RTSNSGPSTIRESEYASNSVLHGTKTTLNKSRLFGRTHWTNDVYEVHILTSQRSRAQF KRINDYMKIETPEPSDNERIKSLKSEIHMLLQKCKLLAKGIKTFRPTRCLSLSEPALP TKVFADAMAHLYMSRFESAFRILHIPSFWAEYDNYWRHPATAPDVTKYKVHLVISIGC SLCQEPYGSDQVYSAACQWVYASQAWLSAPMEKDRIGISGLQVQCLLILARQCLSVSG DLIWVSMGTLLRTAMQMGLHRDPSHLANMSVLDTEIRRRLWATIMEMNVQASLDAGMQ PIVSLQDFDTKPPSNINDTDIDDRTEEIFPRPHATSTDTSLQIFLSECLQPRFDILHI MNGVNSEMTQEEAITLTSEIIKSCNHCRDLIRHGPGNEGKVFRHNFADLLIRRFLLSL HRPWASRAHVSPLFYYSRKISYDSASTLLSPPKDENFTRLLLRGSGMFKNRIIHVSLA LASELLIEIQEKGSNPVAQPPWDYRAILVAAVQEAQWQSAQRMKFGETNVRLHMKLSV VLSQAESLLPGQSLQERMIESAKDSLEISYATIQAHLGLPQSPVYSGGTMNLEGNQMT FSPLLDIDDILQATEFALDGGHGSSSSIF TSTA_098400 MQRALPIANMIDGYTLMHKIVRNDAIKSDPPEVYNWRAFALAAA ACFGGTLFGMDTGIIGGVLTLPDFKKEFGLDKLDTVARANLSANLVTVVQAGAFAGAL SALPFAEKLGRKKSLLIVAVIAFIGGLLQAFAYGHLACFYIGRFVEGLGLGGATMLAP TYVSENAPRGIRGLLIGFYQLFETMGAMIAFFIDYGSLLHIPGHASWMVPLAIQSLPP ILIFGSILLCPESPRWLASQDNWEETTRVLVKVRHLPSDHPYIQQELLELRTQLEEER RSAGGKGFWALQKECWLIPGNRNRALLSIGLMVCQQWTGTNAINYYAPMIFTDLGITG ESNSLLATGVYGIIKMVSCGIFITFLADTLGRRWSLVWTAFAMWTFMFYLGFYVRFDP PKTGEPISSAGYAALVMVYLFAAAFQFGWGPVCWIYVSEIPSNRLRGLNVSLAAASQW LFNLVVARVTPVMLVSVGGKTGYGTYFIYGSFCFTMGCLAFFLPETKGISLERMDELF GVADFSAIDNLELGKKEKAIETAHIEN TSTA_098410 MRNPFALSGAIGDDTPREIFGYRIYLLALSATWASAMYGYDSAF IGGTLNLPSFQTSFGLTAATTTNLSSNIVSTFQAGAFFGAILGFFLAERLGRKLVIII FGVVFITGVILQLIGHLGLLYAGRALTGVTIGCTSMIIPIYISECSPAQIRGRMVGMF EIMLQIALVFGFWVNYGVQKNISGKTPKQWRIPVGVQLIPAGLLLIFMPFMIESPRWL VSKNRNELALKNLAWVRNLPEDHPYLQREMADIQAAVEHELQIVHGSRSAFQMLRECG APGIRNRVLISVMLMLLQNLTGINAINYYSPTIFKSIGFTGTSVQLLATGVYGIVKMV TTVVFMVFIVDRLGRRPALLVGAVGAAVAMFYLAIYSELSGSFKHIPPKDSGSNAAVA MIYIYAIFYGFSWNGIPWIIASEILPNRVRTLGMMFSVCMQWLAQFVVVYSLPHMVKS ITFGTFYFFGTCTLVALAFAYLFVPETKSVPLEEMDFLFGEDVSVFAVAAKRHYYEFK QTGLTVSEIHNTEKGGETQYIEKV TSTA_098420 MTTMKLPQPIPDRLKDKIALITGAAGNIGFETARWFLSEGAKVA LIDIQATALNDALKKLEDSFPSTEITERILTIQADVTDQPDVKRFVTQTAEHFGGLDI AFFCAGISYSSTSILDTDDELWDKIIRVNTRSAFLGIKHAGSVMRDSGKGGSIILASS IAGLRATPGLCAYSSAKYALRGLCQTAAAELGQYQIRVNTVHPCGVNTPMFQATWPPE KMQQMLSTVPLGRWAEVEDVAALVSFLASGDSQFLTGGALKVDGGIVSS TSTA_098430 MENPLAIATVALGQHPYHSLPSKIKAAAQHGFSAVEIVYNDLAS YADSRLPKVTVQDAAEEIAALCTAYNVAILSLNPFRNFEGHSSPLSKRLEAARHWIEV AQLLKAHTGDENVIIPELQHLADMTAAIAPGLKISYEAVAWGAYVSTWQDSLRVVQLV GRDNFGLCLDSFHVVARLWGDITTESGKQEQPGKNVDDILQQSLDKFVATCPLDKIFY IQLSDGEKYWPPLSPQHRFYDANYPSLLIWSRNTRPFPLETEYGSYMPVAEVARAWLV GKRWKGYVSLEAFDWRMRDEENGPEDNARRGMESWKKLLAELKNT TSTA_098440 MATKTRYTDRLIPQISLHDFDSRIDEITSELIHAAENVGFFTVI DHGLSNDEIESMFSNSQRFFDLPDEVKATVPWSSKNVGWEKNAQVRPSTGMPDTKESY QLQFGENMNDVWIDESHLPGFKTTSLEFMYRVQGISEKLMRCFARGLAFPEDFFIKAH DVSRPNSQTVCRLLHYFALPEESDGKVYHRAGAHADWDFLTLLFQKAGQSGLEICPGR EVVTEFGIGDLWTKIEPKTGEIAPSEPGDYYGDRYSIAFFNQPFSDATIQGPLKKYPM VTGQQFTANAMKRNFAALQEKLKSIEAAA TSTA_098450 MVNAPSPSYDNRVTESAVLEESQNRELLALVKSLTAKVNSLESA LRQPQVTLSERMSSEPPPLSLSANTPVISRDIGGNGDETTESTTQSTSEVEDAATILE FLAWGRCKDPKYHGLINTTHGNLTPYSPKDIDMEKQIPFFPSKIAIYSYLQSLLPSRS HLYTLVKYHCDYLLWYHGSFHTIVFQRSVDDLFDKGNGQIEPTNTGLQWTGLLFAIIL GSLTCAPRTLVLSWGFNDDEREELSHRWFQAMNLCLQYSDYMSSHSIFSVQAIATVTH SAHMLGQSNSQSVLLASAVRIAQSMGLHRLGHDEEKTEPHDLINRELGRRVWCQLCTQ DWFSIPFTESYLINQTSFDTTKPRNCDDRDMITKPDDIPTITNYSRYFYEVAFLMPRV QDAIVSSNTLYTRYEKVLEYDQQLRVLATTRLPHYLQNVPLDPSWPCYVPWARRCLAI STSHKVIMIHRKFLGISFVNPMFSRTRRTCVAAARTIIKELKEAKSDGGPILWIHHAP DILMNEQIILCLDMIYSLESSPECDEHRRLVQDGLHMLSVCETNMIAKRGIPLLKAML ANEKRIREKTLHEVEGSDHGDLSTRNDRRNLDISEIIRNFYQQDHLHFSAAMGRLNQN ADWFDAQNQSSWPPTESKADVDRDTIMPPTGHQSIYGFEFPDNFEEVFALAANYIT TSTA_098460 MEPSKKKVATSERPDAQSSSSVTENVSSSRWEYLKHYFTSRDGW IGDYDYMYLITPNIWPLNRKYKDFETPFYGLNDHVPIFLTIILGLQHALTLVGSVVSP PLAIAGGAFYLDSEQTQYLVSTAFITTGIATALQVTRLHVMKTPFFIGTGLLSVVGPT FDILNIAFNYTNMRYADGTCPVDETGAKLPCPEAWGAMLGTMLCTVWVQILMSLVPPK ILNRIFPKIVTGSLLVLVGVYLIGNGMENWGGSSNCNGGTGFYALCPNTAAPNPLPWG DPKLIGLGFSVFITIIFVEIFGSPLMRSASVIFGLAVGCIISGAAGYWSRNEIDAAPV GTFLWVHTFKLSVDRALVLPLMIMFVCEAVSCMPDILATAELSGVDIDGTEFNSRIQG GILCDGLGSLISALGTGLPMVSQAGNNGVISLTGCASRRAGWCASAFLILMGIFGKFG AGFGSMPPSVLGGMQVFLYSTIAIAGIRVLSLVEFTRRNRFILTAALGIGFIDIVSPT WFSKILDYNGSNVNLRGFEQGLNLIVETPFIIAAVIGVVLNLALPEEKGATKNTHMLP WQ TSTA_098470 MAADENTILFHSATIITVNNSREVIRNGYIYIINDRIASIGKGY PSNLLPGDTEIIDCKNKIIIPGLINTHAHLVQSLLRGLAEDLPLHNWLCDAIWPLEAV YADDDGYNAAKLTIAEMLKTGTTCFLDPMLTYRAGFERVCDVVGEMGIRGCLGKLVKF IETNRQLSITDPRDKDLIAMSIPALVEAHTAHNGSYDNRLQVWAAAGTPRGAPKYAFQ ELGDACSEHGISITMHCAEAPRDLEIYRGTYGCSPMEFVEATHLCSAATAAKPRNLVL AHMVNLDLERDIPILASTNTTVAHNPSSNLKLASGIAPVPSMLAHDQYVNVSLGTDGA PCSNHYDMFQEMHLVSILHKGVHNDASLVPAETALEMATINGAKALGLENDIGSLEVG KKADLVILDPYGRGNIGVAPWNPDDDDGEDFNGVTSVTTVVHGCTGRDVYITVVNGRI VVRNGQLADGGCLKEMEIIRKAQSAAKGILRRCNMNRVESQRVGVS TSTA_098480 MFNDALMVGSPNFNDRRLKPGLLTVEEAKKMPPTTFGITGYDPL RDEGLLYAKFLTEQGAPTNVHVFRALPHAFRMLGDKLSASSGWD TSTA_098490 MKTSTALICLGLMASAVIAAPHRLQARGPGGEVVVYWGQNAAAA SENNDLSTYCTTDSGIDIVVLSFLYEYGNGNTIPAGVIGNDCSISTSGQGTNCDALAS QIATCQSNGVKVILSLGGAVGAYSLTSQAEAETIGQNLWDAYGKSSGGSIPRPFGSTF VNGWDFDIEANSGNQYYQYMISKLRSNFASDSSNTYYITGAPQCPIPEPNMGEIIQNA QFDYLWVQFYNNGYCSYPNTLNYADWVSYVSGTPSANAKIFIGVPASELGSTGTQSGA VYYQSPSVLASTVASFDTSSNWGGIMMWDAAFSDANVINGCNYAQQAHSILKTGSPCG GSGTTPPSTTTTPTQTTSTATATVTATGVPVPQWGQCGGEGYTGSTVCATPYTCVKES QYWSSCQ TSTA_098500 MRAANHVFRYCRSGPKRVKRWGSSSVGTPLLPPPFTAGKISPLK SRPIASNTNYYESPISLYFSPKIMAPRRRTARKELDPCMRARICELHTSARWGYKRIH KAHPEIPISTIRNTIKKEHQRVNQRSLPRSGQPSKLSSEQKENLVQLTKENPHIKFYE LQESVDMRCSKTTIRRAFRNLHMRKWLQRDRPEILPQNAEKRLQWAQRYAHFTPTDWQ RVIWSDESTVERGKGGQLIWTWNDPSEQLVEHDVREIRTGKSIKKMFWAAFQYNIRTS LVPLTSDGSSRGGGISATVIRQTYMDQLPELLENGDIFMQDNAPVYTAHIIRDLLQEM QVEVMIWPPYSPDLNPIENLWAIMKTIIRQDHPELENAPDNDTTLCALIQAGIEAWES IGERVLQNLSDSMPHRVQAVLNADGWYTKY TSTA_098510 MYLDGEPVLHVVDSVTSFQAAKFLKSLSAKDTWEAIYITWIDTY LGPPDVISHDAGTNFAANEFKVERYHALLCRAFNIISAEMGSTISKDVVLQMAVKAIN DIAGPDGIVPTVLVFRVYPRLTLDSPPSALMIRRAQAMKKVMAELRKAVAERKVNDAL NTRNSPIITETLNLPLGANVKVWREGKGWTGPYKLILVNGHDVTVDLGNGAVAFRAIE TDDGIHVPEPPVTPPPPRHRGRPRGSKNKQKADVNVYLSKKEKGDLELALKLRREGKI VIEGAPFELSSVAEINGLIANRTFKIVHRDDVNLRDLHIFNSRLVNEIKGKNEIPYEK LRLVIQGYNDAGKARILTQAPTIQQASQRLLISLIPTLLSIDMIVEICDITQAYTQAK TKLQRIIVANLPKEMRGKYPLDSLLLVEGALYGIPKAGVHWFGTYHEHYKVKIDMETS TYDLCLLVTKPGAESFSLVGMGEEQALQEVGFKAKPKIQLLQDTPLEFNGARIILEQD NVFMQQKGQATKIEPLQEKDRSENDYLALNKRLIWQAENPKRGLRFIPLDLTKAKIMI FTDGSFANNRDLTSQIGFLIAMVSSKCKRVTRSVLASEIYGLTTGFNYGITLASTIKM ITDHLNLPTIPVVVCTDSYSLYECLVKLGTTKEKRLIIDLIALRQLYEKREIDEIR TSTA_098520 MDFKASYNITSDSEDEEEAEDNDEDEATVYFMVGQLQDQVEIFH GILPDTGAARVSTVGRRQLTALQKIYPGIIVDKSRAGEYSIRFGQGDSVDSEGAITIK TPIGNVDFHVMNTPTPFLLCIANMDHHEAYLDNTTNCLVKGDLRVLIVWK TSTA_098530 MANWLYDAVPGDDEKKLSTYTIRVMYEAASGLQVDFEMFQWFHD FFEDWKIEDFNHLDKTIRTKLKDFLLWRGVYTATTYIKESRIVNDTHMVPSIEEEDEG IYKETPSKVPNQVIQDHVQSPLRLVQDISRFTPETGANAILVMTPSHSQVKISVIPTS SVLLQARSLDPYTKVPPNEYGRQPVDSQLAMKFTKAWDKTKNYSGELYDILDDKV TSTA_098540 MIDTASKQAEVAAQQLPSGKRDDALRYLEEHNGISSAEVDAVNL KALRTKVDWHIVPLMFLCYFFQFIDKVIINYAAVMGISAELKLVGNDFTNASTSFFIA YLIAEVPNTLLLQKIPAGKWLGFNVIVWGIAVAATAGVKGYTTLVVARVFLGLFEATI GPSLMIISSQYYTKKEQAPRFSFWYLGLGVAQIIGGLISFGFQHVHHASISGWRIMFI VLGIVTSIVGAAVFFLIPDTPMKARFLTEVEKVALLRHVSENKTGVWNNKFNYEEIIE AIFDVQVWLLTLMVVLQSVSSGVVTTYSSTLIRNMGFSPKNAALLNMPSGFVSIVSTL AVGIGIRRADHRWAWVATCSIPGIIGGGLMSFLPKSNKAGCLIGIYLVNAIVAPLPVI YHWTAANCAGYTKRAFCSALVAGSFSIGNIIGPQTFQARDAPDYHPAKIAVLATQAAA GVLAVVLFGYYIWENKRRDARSASEPVVDTSSEDYKAEAWSGMTDKQNRSFRYVY TSTA_098550 MGWVYNASPEVESMSKYPAIIAVCIILTLLMALTVSTRIAVRWQ QHRTGPDDYIMAVAMLFSIVYSTLCIVQTRYGLGLPLSVRPRQNLSTYTKVNFAGRPF YQFGIGLFKLALCVSYLRLLSGTSKKFYRSIIIAIAAISTLGHLAWTLVLILNCHPVS KSWTPTEAGHCLPFGPTNYGMAGFSIGCDITTILVPIPMLLDLKVRPAQRAGIIALFS LGLFTTICSILRLTQIRVIAYGDGNSTMLVLWGTIEFNVGNIITSVPYLAPLFRRWVS DFGYRIGYGSRSHTIHTTENYIMKSYGKNSVKSSVNHDPTSTISKRAVIRTPSEELIL GAGDEPGPREIIRTTEYKVVVDEDKTIKDYRN TSTA_098560 MATETSSPILIVGAGISGLSLAQYLRKSGIPFRIFDRDASIVSR TGGWGLTFHWGLPALKELLPDELYERLRECNVNPNAQDAGKYRFLDLKTGESLHAATF PKTGRLRVIRSKLRTLLATGIDIEWSKKCVDISDNQDGVSISFEDGTTANGWLLVASD GANSRIRQCLHPSAFENNPVPVRLLGVTVSYTPEEVASALAIDPYFFQGTHSDSNIYL FFSFLNSPYNTGNDTGNYICQVIISWAESKSITTPESNQERIALIKRITSDWEPHLRS LIEIIPDDAEATTIHLADWFPTTNREHERVILMGDAAHTMTMFRGEGGNNATTDAYDF VKRIGPLIEEKNQHREVPWEKFKQALDEYDRDVLTRGRLCVINARQACLDAHDFSLVT EDSPLVSRRGK TSTA_098570 MASDKFRIAIIGSGPIGKLLACSAEPHPRIELTQYEADTLPLRP SFGYGIGPQTFRAAKVLNPEFGQRFYEESFKDIIWMRWWHSGEEDRLIANVDMPEGKV FGRLGREELMHLLDDSLPGGMSKDEIQYGKRLVDVRKTGPQQLELVFEDGSTDKANAV WAADGVNSFCRKLVQGEVYRPPSYTGMLAYRGKVDAGRVTELVGEAFSKETYCFIGVK GWHVLIFPIEHGKFVNIAAFCVEPEEKRFRRDEKVTLEQLLSYYPGRGSKIDTLLKLV HSDTHGGCQRLNITHIQKLETLVNPELCMTLFGDAANAMTPHMAGSMSCGFIGCTTFL HEEWNPLIRSGSLPEDAPNEQIADALVQASQAYEEKHLPLAQKLVNMSAEQGPMWSGG ITDVKTLKERPLFLWSSADDKN TSTA_098580 MHKKESILLESTNSECIAGYYDYSTVHSITDSALVSRVAFNITD EEGEDTPVDLPMTCVLGRYDLNTDYNGLTDEQVENESKKLIMNGPIKAYLQWKFSYLR TSTA_098590 MNLSNKFIKYDVDTRWNSTFRMLSDALKSRTQLEKFIHYEIDFP PFSQKDWTRLSQIHTVLSKFNEFTLFILERKPQISLIVPIYYELYDLLHDAAERKEDF ADIEEDVASAVRESIKKYMKYYTLMDISNTYYTALILDPRVKGDLLLYELDNEETGRK ILQVLHGNLHENYPDTID TSTA_098600 MVGLLYLFSCFAVAMTSALAQGVLGAYNVDPSSVSISGFSSGGF MTVQLGVAYSDVFNVGFGVFAGGPYDCARNQNAFNNCMFDNTPSIDIPTANMKSWSGV EIAHVSNLRSRRIYMQTGSADEVIGPNVMRKLKAQLDQFTNSKELTYVTTTDAAHTFP ADFGGLGDNPCDTSEPPYLSNCNYDGAGAVLQWLYDDLNPRNTGSLSGRVIPFAQSGS YGAPGMDTTAYLYMPASCEDSSTICKLHVALHGCTQAYGFIGDKYINNTGYNMWAGKS TSTA_098610 MVNQIMRSKRNMKYSLNSSVRSQSCNTGNRTAFLSNELILTRGT SAYDTFSTFNFSAPSFILSLSPNQQSLDASVSLSHYLHSIMPENAVTKMIFTQANYLQ TPFHPAVFAQRLSTSHSPVQDALKRFVEIAMKYVTSHDKLMENFDGKDMVPTRLFRSV PVCECWLALQQFNTSFAYERSREGKSAVERLSRVHISLLGRIIERNMLLQSSDKDLRL VCELTRAIDSDLKQSANILSSEPWIIPNLSSSATQKDILKNSATLTVQMHHYYLSLLL HQPILLRGNVHHASAYRGLDPKAWIASVKFLLAHINRHQVSVHDHLEQQRLQDIDIVY TSTA_098620 MAAATYARISGSSANRGSGHGVPFLPLFGPMLQPRRLSRRKRSI FTEILSSSTFYLRGCVFIGARGFSRSPNSSPEYCRRAIEKSLKRLGLPYIDLYYIHPL DKVTPIEKIIQAMVEPKKNEGKIKHLGISECSAESLRRAHAVHPITAIQIEYSLLCRE VESPQIRLLETARELKVAVVCYCPLGNAFLTGRYHTIEDVSGPGDSRSILPWFYPDNL KTNLVILDKITETANAKGITPSRLALAWLLAQGDDIFPIPGTTSPKSLDENLASISVD IAMEEEKLLRDLASYVVGERFQAKTGYSYGDTPLLKKD TSTA_098630 MPRELSTSVVDAVKNTPTNEQLAQRLAKVELHVEKTQKEVSQAS REITTTKSNTNRLVEAICHPTSPGTRTAKNSPSFSHVTTSSESYVQAWGRKVPSNPPT VSSVGLSSGGSLPSTPYPSQEDLEVYLEHTDPNILNPIRRFPDKVVEKANLAIRSTQD TTIAHRRIAAARILPSGDIILLLGTVDDVDQLTRKKDWIRAFGNEARIRKRTWGVVVH GVNTNINPKQPQFITMLTLENAPVFAQLLASMNVTHTGWLLSEYKIKEQKLTNAHLVV IFDDERIANFAIQRGLIIKGRQHNVSIYDKAANLQQCFKCQMYKHIARHCQRQICCAY CAGSHDTGDCPTPKEKEYAKCANCTAENVHIKDPAKRLNTKHFAYARECPIRATCLAE AHQRRTYGPQYHTPVIRPGNSQPGAISPNDPTPAEAANTERSPRAPARTATTRRSANS RSKSAAAARKQVAERSEPEPISPTSGDPTNRSSKKPMRAQWDKDLVIDADPNPEPKTG PETQIKYTYNTRARQNTKPPPGTPVLQSDIAPLEISHVQAVRTVRRSKSVHTIPDDDS SEDKLTQPSIHEAPQDPIEPAQEADTLMTTNLEDSTWANNQ TSTA_098640 MHLFGESEVQNYNILAIQESYINKHTDPLTTYSLALKGSFHILL QPTPKEEYKKRPRVCFYVNRGLDPATWEVQYHNRDLSTLTLHTAAHGTIHIHNVYNPG VNSNEESVISALQTAMAPRAQHIVLGDFNRHHPLWAGPRYRHVDEEATKLINLMDEHG LEQLLPPGTITYERVNAKSTIDLVWASHNLANRVVSCDTKPEWWYGADHVPISTQFDL TAIRVPPLVRKQWNATDWDLFLKLMDIYNWHPRELNDNEAINEAIRYLVEAINQAAEQ ATPTKQISIYSRAGYTPEMAKLKHHAWEDYAEARKEMKRRTNELARDLHRQRIEQAIE SIDGFWRIARWVRNRGKPRATFTPTLHYNNTSYTAPKEKAALFREVLHPEPPEADLSD IGPQYRYPKPYTMPPITLDEVRTAVTNVKPDKAPGPDGIPNLVLQRLLPTIKAYLSTT VILRKPGKPDYSDPKAYRPIALLSTIGKALESVLARRLSYLVEQYNLLPKQHIGGRRG RSCELAIHLLLEETHSAWREGSRVASGLALDAAGAFDNVNHIRLIHDLRKRQVPDDLI DWIESFLSNRRTSITLLEGNMGEFLVNTGIPQGSPLSPILFLFFNADLIEQILAECPD VIVLGYIDDIFIMTYGTSAAANCHTLTKVH TSTA_098650 MVPKPSGRLDVPLIIKGVEIKPTDSIKYLGVYLDTHLTGEVHVQ EMRKKAAKLVAGLSSIAGSTWGTPLDQALHQISGAFKHTSRQALEVCLHVPPAELTLA KLAEEACLRIMTSPLRSTLYQIRGQAHCNDPYTSPLHRLETAIDHKLGSDTSQCIETI YPFVVPPWWEPPEARIDDTREEAIKAIEAISGTDTTIQFFTDGSGFDNGIGAAVYSSI GQAYKPWVQATKGSQATRKQTAGKVGCSRGDTAYTGERPHSQDQRTQSDYTTRRTNVV YSKSVNYPYGSVSPEATCRLCKEVEGTVGACQPWTASLSDNQSTNEDGVAAARGITTG LEFSVNPTTNR TSTA_098660 MATLSKVPTRAFGKHGPNLSRLGLGLMNNSGVFNLPPPDAERFV LLDHAYNRGETFWDTADEYGNSEYLLGKWFDANPEKRNDIFLSTEFGIRHVNDSLSPN SLID TSTA_098670 MPELTTLRLGLQLRNGSQFGISFQAGGMSSSTCMNSRIAIIGAG LSGLALALALHKNGFTNITIYELRPASLDIGGAIMLSPNALRILDALDVYYQLRLRSY EFDTDNAMTPVDTFEFGSASKYGYQALRVYRYELIEVLHNLTQEKDGIFINYDKKFAR ITSETSKEVTWSFTDDTQASTSFLIGADGIHSQVRKYLYPDLVPRFTKGIGVTAAVPT AQLQLPEGLSTPLTFMNNDHGAFVITKQLHDGSEVLIGKQRRFTDNLDKDGWADLLNN KQWCIDFLRQGASDFPPVVSNAVSNISKERINLWPFYIVPKLNTWVSERGNVVILGDA AHAIPPTAGQGVKQAFEDVYTFAGVLGALEKQHTESGHTSRRNEIFKRWQIGRQARID KVLELNAMIDKRRLPNQDSYEIQKGEFDLNWLYNIDFERTISEWAV TSTA_098680 MTSERKRTFTRRSRAGCRTCRLRHIKCDETPIACKNCVSDGWKC DGYDEIRLSHPGRGKRSSNSNNVNKSAIATIRTEPSLSIRRIETALPGTNSEERRGFA YFQCWTVPKMSGFFDTQLWHSLVLPMSYSERAVTHAVVALSALHEDIEARGVPLSRAE LTNRQQRFALEQYTRALSALNARRHSNDPKLQEVILTCCLLFVVFELLRGQYNDAFVH LHQGLSLVKEYIESCLSETMLRLHLQSAFFGVDVSDPYQLTKSEYDDNQDFDNIMDAR QHLDRLFQQICCYIAAVEQLPSEGGGESSSMTRLEVKKMQQSLRIELTSYLHRLNKFE SRARGLSDTRCQRAIDLVRLHHRTFSLMLETYIDSEDIIYDLHVNEFGEAVLLAEGIA NSFWEENIPSNYSRPTLLLDMGILPPLIYICLKCPSVVIRRQALQIIREWPHREGPWD SNLIAIMANLVLQLEIEMEFQRLCGNTATTISSASDITYISSLSRIMNVNISLDADQR SAILKYRTKECGPNEPPFERHILLDEFDWS TSTA_098690 MGDTKTRKTEAIEAKAQPLAGKKSRRTLSCLSCQKRKLKCDRVK PCRACCMRGVPAECDYGTTKHDRGFIAQSDLIEELRAKLKKLEQKLAQHDEAVSQGTM VATSSDPDSSAVKVKSTTVKANNRSAWEVLSALNLRKALAESPTPDRLLMDVFVGEVI NAFAPDPLNIHGRVFDLRSAAERRIFSPVLAAGFDATALIFVGQRDQNQKFLRAGNIQ YNRALRLLQKAVNDPQQCTSTDALTMVVLVTVIEAVNQSSPGAIFKHQLGGLELLRLR TPYRHRTGLDQSLYIDLRMFWVTTAIANRKPTFLSSEEWLTLPWTKTGPQKDILHHLL DVAVDIPAFLCKHDTFKTSLSKGNLSQSELVSAHDEIQTTATILDQRLQLWHSVIAIH YQHGYMTEEPAEDFLRDSDAPRFYSRNVSCGDIDQPPVLIYPDLLLATTLSLYRALRL VIVGSDNDGLFSVLSSSERYQLAVDICRSMPYYLKTVSGYLVSRLMFVLRVSFDTFSE GMVEREYIEQLFVYIGEKYHLRVFSNQCSESATAGYAALTRADVTECYRLKITCGPQH FHPD TSTA_098700 MNGEKTLMLITDRFSGFIWDFYMTSHKSVEILETLKWFFEYLKK HHDVSLIKIEMDNEIFLHRPEVKEWLITKHVTIEPSMANNQGQNGAAERSGGVIKDKA RAMRNGAKLPTDLWSEIYRASIYLYNRTPKFMYNWKTPYDRFHTYVALQNGICIQDQK PQLAHLKVYGCKAYALTSEYQLKKNRLQRFNPRAWVGYLVGYNSTNVYRIWNPLTGRI VRARDVIFNEDEVFSGDIQDIKDDLLHVSVEELTILLNKIDIRVQSGEVEDNANFGDE MEDLVFDRNRHNDERTTTTGSVTSSGFEDSSQLDSDYPSGPSLTPGEGLLEGINKYAY PTPPDTPPSALLAASITIVHENDLNLRQSSEHAAGTSTGGVRPRGSLVQEATTKEGPR GALDGVGTVRSRGSRAEFGDRPRGSPNLRDSMVGLREAHGRHILEDRPRGSLEKNGVG FREAPVKTVAAALTTQRGELNPWRFAFLAGTRHRQYEVNTVKFDHVSLQRRLRSGRRL QSVLVRDLPPAPKSHREVEKHPLGWLFEEAERDHLKSHDPSGSWTTVPIGKAKGKQIL DCMWVYVYKQDKKGRLVKCKARLVVRGDQEKRDDTRDMYAATLAARSFRTFMAIAARF NLELKQYDAVNAFVNAILDEEIFMRMAPGYRESGKIYRLNKALYGLRRSPLLWQKELT STLIELGFKTVPHELCCMLKSGIILFFYVDDIVVAYKKSHQPEADSVMNQLRAKYKIS GGGDLEWFLGMRVIRDRSNKVIWLSQATYIDKIAKLADTQQTDDTPMAREELLPYKGM ATYKSNHQYQRKVGSIMYAAHHQAADKVLCYLERHRAYALRLGGGEDYSVSTDASFAD NTLDRKSSQAYVMTLFGGTIGWQANKQDTVTTSTTEAELLALAQGVKEGKYVLRLLLE LEIRFRTPTLHVFCDNQQTLGLLEKDAPRLRTKLRHVDIHNHWVRQEVQKGDIQVHYM PTKDMIANGLTKALSKQEHQKFLNQIGVEDIDSYLTPQQKNMENPDIEELLSLNDVPD NL TSTA_098710 SCKSTCYIRMEKDLAALSINEYPLKHSALLDSGSSIHVFNEIER FVNFRKATAGDFLWAGTHQVPVLGYGEVDIEIHGLGGRLQILRLYNVAYCKDFVANLV SFQQLRKHGIWWDTRRGFNCLRNEKNQVLAYIKEREGQFVLEHIANDHPLVKMAFIIR RHRFNSWTRWHARLGHPGPQAIEHLATMIKGVRVTGLSKGPTTV TSTA_098720 MLSNVATEYKLNSPEDWDDWQNKLLNRARANDLLPILQGTERPI KKPVRPKIQDYLPESQNTTQNRVGAAQGTRQNSAQSTQTTTTEDTTDDRTEQRVRNDF NLRLNNYKMEFSEWEVQRRDIDKIFEWMKRTVCHSYLKTCVHVTHSWKKAYNNLKMQR RIRDDYNQHMKPFRGTPRDPEAWLIKWEEIMLQGKKKSMSFAQDTEDWASRFLEAIRP LDEAWVTSFEHSIDPKIDDKSLTYKDLSNGFRRLIPRLRTKRQSRIIKGSFATHLSKS DDSEEENEESLQRGRAVERGQRRSQSQSFEGGQRSGFAPDKRGGPKPTCPACGGMHFI DFCFYIFGYKAPQEFEPRLWLQQRADRWLNSNALLAKENRDKLLLKGKNDLALEVDPK SDENL TSTA_098730 MAETVSLCLSYITESSDESLRSLDSSVTADDAINILKATKTKDG HVEAVDVSSLPYRSPFQWIEILSSMYAGKQEKTPKMDMTALEREPKKTKSVSSSRSFE RGESSGTHTSTHKSTEAPSEVIAAGDMDRKAENHMRSSQSNPHEASCPDLGPGDAFEL DVLIKRAFRLSVTDADGSEEFTRLKVAETLYTNKGKEPIRPTAAENPSISAKVDQSVG GNFQTIKRKHPLRSALKKSKPSIGIISERQPSLSSAQSDHSESRTMVQFQSHEAHEQV GANQHNMEKIQTDETGKSDYDGRDDEDSEDSRFEEEDQQHEDKELLDQLDALLKSGPK SAITVRPYTNLTTGRDVFLFNHGIVIPQRITGKLAYGVAIPGKIFRQKKNRYSSTFAY LIALSKANLDVLDIGIGDIDLIRSLILDDAEEAYRKTVYGENTWIGIMKERKRRYDPD TRSWMSIFYPSQWRRHGLVLLEGQNPPENSCKFRMVTLCGKVLYQWYVRCDQRRAFGP RNIIVKISCGEDDKCEKFLDWEPEHGPFIHSDSVKCWTEIDPDREGVGLPDQFYPFMK FWIIEGPPKDEPPPGCMKINCVTRCGLVKSRIRLLCARHISKNPKCIGGEFTMLINRG CALRDEIDRCDEKTVCEGYLAWLDARLGLPLEELSVKKANDLDRLHTTLVAAYNRETR RMGSFLKHKDSEKKWLDVIYNHVDYYEMLFKWGLRSIECPEGLSVVRADDSVLKG TSTA_098740 MSERRKASRPPSCAPLQLTLKKPRISCSFRFFCPQESCSRAGEA VKALTTLPEVYFPQTMILQPSLQRSPTTFAELFINWLDLQGLVLISDIDCPTHERGNM LDLSFASSPLALAGAKASIASHLDATSDHQPLITTVPWDQRYKETAQKLRFDTLDYIS FLSLLTSNLAGTESSAATEEDLNALAEKLTSAIQGAYKGSAKRTMTQGIKQL TSTA_098750 MARKGPGTDGPLQTALLESTSAATTRASEGQKIFSPIAVFLDKY CSQTIGLAPHLLRALTALSNDLASVAQQYFNTYISDISMTSILPALSPSPSSSPTPNL LPPSPPPSRPPSGLNQSTYMTITQYALVKSTPTTHPKASIKKPMPLVKQPLPNIWLFL EKWKPTLSTLAYDLN TSTA_098760 MLLETILAVFLAVGIAHCQTPPGFEPSTSHKLGVKFSKKVAVHL GNKLDKDDTQTIPHLKVKSLTDDAKNAYCLQTTIFSSHYMVFMIDLDVPQNDTTVPFL HWYQPDLNLDPKSGRLFLPKSSHLSNAEYYPPSPPPGPPHRYVELLFGQPPHYKLPSQ FEKYLDKKSSARAGFDMKEFIKAANLKRPIAGNWFLVQKVEDENEEL TSTA_098770 MSTITRIRPQSLVNNALKQTKPTLDAHRYQGGNFHAYMTSKLST YDTERSYSLFQKTLQDPLRTSPSSLAGKSILVTGSNTGLGFEAALKSVDLGAKHVILG VRNVEKGEHAKQRILQRATSMKAQPSDTTKDGNPDDQTISVRKLDMSDYNSIHSFVKE VGKKDGRLDVAILNAGVFGVKYETAGNYGWENDLQVNVLSTALLSLLLLQAKIIKPEV GVLEFVASRRMQAVQLTEEEKKSHNLLETFNRKQNFNASRQYQVSKLLLMAFYQSLAS RSAKLTEGSPIITAVCPGFCQSDLSRGHQGFAADVLRAVLNTFVLRRAEEGARTLVSG ALGTKERHGKFWFDDGLHDIALPDSVGDAQGFADRIWEEVVHALQRDTNAPLV TSTA_098780 MYSKTAFVYFLAAVAEARFGQEQGNGAIAAIQALSNLGQPGQAA TLAGGSIQFLLAAANPCGKLIQADKIVSQLGTSDAAIAAARGLVAAEQNFNPFVVSIP SICSDPTLPTTAALRGVVPLIDPAVGGQDIENANSKTSVTTPFDATGLSVAQVMVAHG FSNFTAVAQDGTKVAASALGTSGASTGAASSAAVAVAASSSTVVNACSGPTTLATAVS SAAVATATPSAASAAAGTCTGSGSTLTCSAPAAGARAVSDPVTGTFGGFVASTIAGLD FGLCVPTMKFEAGLDGRSTSESTFQAIDPLINKGQEEAKNPLIIANRIHDQLTNVCGA NAAAKSAAAAAQQKLASLGTKDASTADAWNTALGFAGTNTNPDNAPKAGLVGHT TSTA_098790 MCALQCNLQAPQCHHCKRRGEECSFQIKNSDDGAINKADMQDLE DTQGYHDGDNGLRSVSSPISRNQPEPLRLSPTRWPTLDIEQSRLRWHYNTFAANSLAL LQEDPRRVKLIWKGEVSQKAEYDPWLNHAAMAISALHICHLEPPDAKNYYTAAYKNYR NAVVAFPKAVKGMDPQNSVAILSFSLLILMFQLGISCVPGAGPMSDAYPAVDAYNAIS ALRGTWSLIDQLGPHLAKSNLSPLFVHRRHLRFEVLDESHEKMIEFLTHLNDTVEYGQ PNTQSRSESDHQTCAQAITVLRFWFSVMAAKLPTTWLLIIWWPAGVSPEFLLLLKNKD PMALIIFCHWCAGIHRIPAKWYLEGWAKRMLRAMIVLLGPEWTFALRWPIDEVFQDED EGI TSTA_098800 MPPIRNKNKKDLAEQEGRILLAISDLQNGRILRVAQAARIYSIP RATLQDRLNGTQQRSQVRANSHKLTQCEEELLVEWILDLGKRGLPPRHSLVREMADYL LSQRGNRRVGENWVYNLVKRRPEIESKFSRKYNYERAKCEDPKIIQEYFDRVREVILE DGILPEDIYNFDETGFAMGLCATAKVITGSDRYARPKLLQPGNREWVTAIEAVNSIGW ALPSYIIFKAKKYTRLGWFEDLPDDWKINISDNGWTTDKIGSEWLKTHFIPLIDGRTL GKYRMLILDGHGSHLTAEFDRTCTENNIIPVCMPPHSSHLLQPLDVGCFAVLKREYGR LIEQRMRLGFNHIDKIDFLTSFPKARTMAYKAQTVRNSFMATGLVPFNPDRVYQQLTR QMTTTKKRISRHTRSSSEAIGEVFTRASKAYEMSINKLTIAQKELHDLRAAHEKEKQK RRRSKQQISHEQGITREEAQALVQGRVEASQAVTTAPAEPELPVCHPPVRRQFRCSGC GVAGHKITGCPNRIRN TSTA_098810 MTSTCWTCRLRRKKCDRVRPVCTTCSRLRIDCDYSRVKPEWMDG SEKQADRARAIQAQIRQGASYVDDKAFAVQLQHRTQNPRLRTKQMIFCPLCILTRSFH VCFHATNPKHWRAAVTNQAVFHAAISLSAYYFTLVLARDATHTLRTPCEQHVWDTWNG HMDMSMRLIREDLSETNASRRQTDVVHGTRVLDGIVHLLIFEASMATSEDWNIHLTAA LSQLEDIFRVHGFMDGRYHLQSILVSMERPSIFKGVHLGFRVWNADQTSFLFSTAVLI YTDIVASVLLRRVPKLRHCHNALIADSSVSTTQTDQLLHLENYFGCYGWAFILIGEIA ALDAWKLANMADGRIYPEELTVQGRQMEAKLYCGLENIQSKPLSHEPARNTNRSEQHI LITKLWLHAGLIYLSTVISGGQITDPPIRANVHTALNLIKTLTSNYNIRCPMWPLCVV GSMAQDDEIPELRRIMSELPPLRSFGANKEVVKIIETAWDRRGQPGKNSWNLATHFQK QFSVKPLVVGNPTVTMVVVAVAGGSGNVGRTIVETLREIGKHEVIVLGRKAISNTANV KFIVVDYGDVETITTALIQHNVHTVICTISVADEISSKSQINLIKAAGQSSSVKRFIA SGWGALPNKKSPVYAFQETANNELRKTKLEWTRFSNGFFLDYYGSPNVKTHLPTITFA VDIASREAAIPGTGNEPIALTYSSDVAKFASAFLSLPKWEEITYCYGEKTTWNEFIKA AEDITGSRFRVTYDPVEKLAKGEFTELPPHAKELAASPFPEEIARALLSILGLWAAEG YFNIPVEQSLNQKFPNIKPMTVREMLLLGQGLN TSTA_098820 MLLQLVRFTLLPSLTLAAPAVAQLRQHVSNAGASRQYLSYMMST QSASLPKKRHEICWIILWHKNFTVANKPAVTGLDDIIQGTATTMLYDFDDSQLEQLTR GIEAPICEFAFIRLSPVAPLSDPGLRTSMHKTYTDCYKMQGFISGQWAYSIDANHPDG IPLSDNTLLKPDDRRLALYFLGWESIELHQDACLTPLFAEEIDKLQPWFYPGSGAWYN TFRQYD TSTA_098830 MDMSDFKSLRRWGDLPRKISHCSRMTYMVFLAFCGLFLVSLSWV LLRPPTLDSSSLENTTGKVPAAAPTVAHLPTPTPELSSVTIHQSDEGTSHVDHSATPL VKPDGVRIVGVVFYGRRDRSSLLECYLRKNLASFGGWLDEVIWAANTEDADDLSYGEH IAGTADEYTFLPIKNENKDDGYLNIYTHAFTEPDTIYVKMDDDIVFIDQSAIPRVVMT LINNPRALLVSSNVVNNPALGWVHYHMGAVHPYVPEVRPDSDNLATKENGVWRASDLP SWSGAEWEMPELDHFFSLFGVNDRANVPVHRWIPTRDLSLLYKTPVAKSEYNAFGNNL LFWPLAAQAHYSLLQNIEEKRLGRYFMVHGSDEEAVSTWDMRGSRISINFMVIKGKDI IDNLPNLKGDDENQLTVELPASLNRAVLVETTSVVAHFSFGPQKNLAKTDILARYHNY ANQNICPNSILEPEILLATDKMPGVDA TSTA_098830 MDMSDFKSLRRWGDLPRKISHCSRMTYMVFLAFCGLFLVSLSWV LLRPPTLDSSSLENTTGKVPAAAPTVAHLPTPTPELSSVTIHQSDEGTSHVDHSATPL VKPDGVRIVGVVFYGRRDRSSLLECYLRKNLASFGGWLDEVIWAANTEDADDLSYGEH IAGTADEYTFLPIKNENKDDGYLNIYTHAFTEPDTIYVKMDDDIVFIDQSAIPRVVMT LINNPRALLVSSNVVNNPALGWVHYHMGAVHPYVPEVRPDSDNLATKENGVWRASDLP SWSGAEWEMPELDHFFSLFGVNDRANVPVHRWIPTRDLSLLYKTPVAKSEYNAFGNNL LFWPLAAQAHYSLLQNIEEKRLGRYFMVHGSDEEAGMMKISLLWNYQQA TSTA_098840 MADTSWRTLRAEVEIPMRIGWEDKVCVEVSSDGDMSVYDVRGVL MGCKEMKIHFMAFGNAKNNGPRLTHVYRDEAWIRDRDVLAGCGLDRSVMAEPFTKELE INLCKIDVQKIQQQLKCVSYVLVVSRFLNDVEIEEPRR TSTA_098850 MLFEQSILGILPAGAFLIAFPAALYPLTKSRPRTEHNLIRVLKT VVATLFVAIQVVLLVLWALHKNIQTKASIPLAAINLLVAIQLVALSWIEDVRSVKPSS LLNTYLLFTLLFDLVQVRTLWLQGIQTTSIPAAYTAAVALKTVFLLLEMLGKRRYLKP AYRDLPPESTSGVINRSVMWWINDLLWRGFRSLLTLQDLYSLDEDLNSAELGDKVQSI WHRREKPERSFEFPWKMCQAMRWSLASTVFPRLCLIGFTFSQPFLISAILKWVQQEDT NKNEGYGLIGATFLVYLGLAISTILYNHKLYRFITMFRGATVSLIYRHALLLQDNDLP DRSTAITLMGSDVDRISACLVNLNECWARSIEVVIGIIILAYQIGWVCVMPIAVVVVS SLGCIQVSKRIGGRQRIWIDAVQERIAITSSILTDMKSVRMMGLSWHFTKVIQGKRVT ETHRMAGFRWSIVWQNAIQNLPWAMAPSLTFTIYAVLGNSMDPSRVFTSLSVITLLTN PAAKLFSAIPSTAASLGCFHRIQAFLTMQPNCSNPPAVNLSPTGSFETEKISKKFAVS MHGVHISPAMGTDFILRDVNIRILSKSLVVLCGPVASGKTTLLRMILGKSYHQNGSIF VAHDRVGYCSQVPWLPNTTIREAICGITDTDKRDGQHMDIQFYRTALQACALDDDIEL LPQGDDTRIGSGSSAVLSGGQMHRVALARAIYSRSEMVLLDDILSALDPKTVRIITQR VFGKTGLFRKLGTTVVLVTRETALFSQADIVYTVSNGSVRKKQSDEQALDTEQPSSGN DVNEGKAQDREAALKRDAAQAAKDDRLHDLKRATGDWDIYKYYFQSIGWCKLSIFLTF VIVNVFCSTFSQIWLKWWADRGGDQRALFVSVYFVLAIFNSIGNGGYVWAMMVLISPS TARKLHYTLLRTVMRAPQSYLSATDNGIILNRFSQDMTLVEGQLAISLLITASNIFTS IATAALIATGSSYMAVTIPFLMIAVFILQHFYLRTSRQLRLLDLETKSPLYSHFLESV NGLTTIQAFGWQREFVEKNHRLLDNSQRPYYLLYCIQRWLSLALDLIVTSEAVILVCM AVTIRSSSSAGLLGVSLNNVLSFSNSLSSLISGWTQLEISLGSIARVKNFETQVTPEE IGNEKNEPSPNWPERGAISFHGVNASHSPGSAALQGISFDIQPGQKIGICGRTGSGKS SLLGTLLGILEVEEGRIFADRVDLNSIPRETIREKLVTIPQFPLVVSASSVRSNADPE GLQTDAKIIAALQRVRLWDKVKGQGGLDATSIISSLSRGEQQLFAVARALLKVQVKDS KIILLDEATSSIDPEAEQMVMQILREEPFASRTILMVTHSLTTILGCDIILVMEEGRI VEMGCPSDLLQKPNSNLSLLRGTGSHD TSTA_098860 MSVPPSSLNRATSVPASQNTAPVITFRYGYLKYHTFNKRALVYD DAGNFIGDHHWPGSHDTQDGDELELDKGVLIQVTECMETTQTDISVLFDKRKARQESP QQKTPAPPQLPSSGIPSASYTRQSPLARLARPFNPLKPLNDVLGYSERAY TSTA_098870 MTDTYEISIFDSSDDDISLAAAEEVGLLASQQSHRRTSSIDHFV TYTRRTVSDLLSDLDFIHNALSIALLAVLWHMFSLAISVYNKWMFSGDIISFPFPLFM TSLHQAVQFCLSALFLYLVPSLRPQRNNTNNSTLPSPAVLPGADLQKGGSMSIKRLYL IHLIPGGVATALDMGLGNMSLRFSSLTFMTACKSSTLVFILLFAFLFGLERPSARLAL IIAVMTAGEVMMVLGEVTFSLPGFALVTGSAFFSGFRWALSQLLILKHPATSNPVSML FHLSPVVFITLIGISISVEDPNEIIDALYALSETCGSSATAISLLLLPGCLAFCMVLS QFALLQRSSVVTLSVCGILKEVVIIGVAGMVFGDKLTSVNICGVVAIMASVIAYNYMK IKAARKPVREKWVGEKYS TSTA_098870 MTDTYEISIFDSSDDDISLAAAEEVGLLASQQSHRRTSSIDHFV TYTRRTVSDLLSDLDFIHNALSIALLAVLWHMFSLAISVYNKWMFSGDIISFPFPLFM TSLHQAVQFCLSALFLYLVPSLRPQRNNTNNSTLPSPAVLPGADLQKGGSMSIKRLYL IHLIPGGVATALDMGLGNMSLRFSSLTFMTACKSSTLVFILLFAFLFGLERPSARLAL IIAVMTAGEVMMVLGEVTFSLPGFALVTGSAFFSGFRWALSQLLILKHPATSNPVSML FHLSPVVFITLIGISISVEDPNEIIDALYALSETCGSSATAISLLLLPGCLAFCMVLS QFALLQRSSVVTLSVCGILKEVVIIGVAGMVFGDKLTSVNICGVVAIMASVIAYNYMK IKAARKPVREKWVGEKYS TSTA_098880 MFSCLLCSGVGTGDRRPNKELSDLNSSAHASRFRGRFYKMEQND PFIFGPEASIDMETLYATHYSDAYRSNNPHEMSSSSYLDIPETRLSDDAVTDWSNLQF SLNGISSPSSQSSAAQDASIFYETFLSPHQDQQVSNLTMAIEGIIHTEAGTVFDATPV PDELPIHPSYVEQKPAARMTKQSLRALKDWKIEHGDAAKPTKHQLHRLQRRANLSAEQ IMNWFNHTKRRTENLIAGHSQPQLETLGPLQQEVSSNNAIQRPLTPAVRDIIHTSTTP LGDMDPMKRWENSPPEHEAALFSDIAKALAHSPLPSAKVRAQLQAKSPHSASRADSTS TRSSINDIHRNLSASSLDSDIPGSIAWSDHSATSAQSNRSGSGLEYRRRRARKRRTNP LSRGVNSLQNHNVPKIFQCTFCTDSFKTKYDWSRHEKSLHLALESWTCSPFGSVIRDD TTQETQCVYCNKPSPTEDHISTHRYLACDGRPESERTYYRKDHLRQHLRLVHSCRFVP SMEKWKHTPEFVRSRCGFCDEILTTWQVRIDHLAGHFRAGSSMAEWSGGWGFEPHISR LVENGIPPFLIHQERNTVAPFSGSISLLKKHRINNATVMQMDENKPRRYINQYSYPAN GEMSWYDSFETQLATYINTTIETEGRIPSDKEIQDQGRRFVYDEDDPWHQTIAENAMW LEYFKERHGFVRGDT TSTA_098890 MSPPAIIAPSILSADFAQLGSECATTIERGADWLHVDIMDGHFV PNMTFGAPVVTKIRSHVAKPATPGGKGTFDCHMMIAEPQKWVSEFKSAGCDLYCFHYE AAISSTAAKDPANSSKTTAQTSPRELIRYIHESGMKAGIAIKPDTKVDVLWDILENEE EIERPDMVLVMTVHPGFGGQKFMASELPKVTALRQRYPDLNIEVDGGLGLGTIDQAAD AGANVIVAGSAVFGAKDPAEVIAKLRETVEKRRS TSTA_098900 MADTTLYLYTSLTAGSSHIITATSRLETILKANKIPFQAIDVAT DDAARRLWGRYSKGRKLPGLVKYKTIIGDLEQIEEWNEYGELKAEIGAIKDPNDFSSD SQKKEVEVPKPAPVATTTNKSSEPSAPRVQIQNPPVNENKEDQRTLALRLAGEEAAAK AKDNARSKLGSKPATTEADGKAPTSGPETKGTESAVVLRQKDEEEKKPNESFTSDNRE KTTTKDSVSHSTPTSSPAGRRSSVVPEIIPPSQLKRPSLADEVAAVSSANFHADNAEL LGLVGHHRGSIISATTEDEQEKVRKDIRASISEAPADGDIDALRKTAVEREGDTIFED EEESEEKEEKSVVPAAREEKQEDTKNQDPMDASKAGVSVAD TSTA_098910 MKSPHPGDFNQPSEPVFRNIERQSPTSLSREDPHTYNRDERGRS RVAHEAEGKPRRKPQASKSRSRSSGSASRRLGTNSRPRSKMRRESKGRPDYRPWLTSR SEVRELTEKRRLTHRFGWEV TSTA_098920 MASQDYYQQPPPQAYVPPGTDEKEDEAMLIVITATTKAATLLNN PALTLLLHRATLNRAMLPNLPKDINKVTHNHNTNSKIQTVSSAAVVIVVV TSTA_098930 MAVSTADDDLFTRAVSGYREAFWDRHQHLSEAERNLAWTQRLSQ FITAGSSTSSIPSKTGPPPDTSADTLGKRTRQGPRTVSEVSFSAKRRATAQVDIWQSA ESSASPVKRGTIATSSVPQSINTKLGMVRSQSQQIPSPPRPIQDTRRQSAESALFRQN MSNVNVHEYSPSEYTAQCLEDVSGQGTPSFALPVSDSINLQSQQFQHTPQPQYLGLTQ MTDLTVQAAEMSRSTTTDSISGGLDMFRFESSAGANLEHVPDLGLSVSSDFLNLQSAA DVGFPISPIGNMAHVPIGSSDSSLYFSSSAPNPVSFSQSSLIPSSASLEMKASFSAES NASGVSMTPQSKTVIPEHVQHVQRLIAPKVPSTTKHSAVGKSKDQHRMIRISSEDGTS KEVAAIPKAPFQRAPRPKTYCHLCSDQPEGFHGEHELRRHIERVHSVVRKVWVCIDIS PDKTFLANCKACRNGKRYGANYNAAAHLRRTHFNPCQRGRGGRGKDSEKRGGKGGGNL PPMDVLKHWMEQREEVVLENASYLDEPADPAALPANIPAPIPATVPVTTVSMSSATKA EYAEPDMSTTTAAEFDTAVFTWDPSAVAMGNEYMNYYMSNTQPLVVGEDPYLVQTAV TSTA_098940 MSQTPRLPLRITPPSRTRTTTDYKLAIMLGISRSTATTPWLEPS LLILFSAEPELQKIEDAVKLFGRHDPNANGLKLVYDWLRDDSKNGKWALVLDDVDDTT FLLNRPDKIQGGHENSRVDRPLGKYLPRSPNGFILIISRSSEVALKLVETQDIIIKLR KQGKKQDDSQDIADLVAALEFIPLAIVQAAAYICDPDRDWSARQYLHELQKSDYSKIR LLNSEKASFVESGRLRILLSGHGKCPLIVSAKGDAQQLTYYHRTVPAWKLTASQPPRE RDSLIEWAAVLHRAVGVEAEKLSVKAMETRKTCLGLDNEDTLSSMEIGGIIYLYKGQL KEGQEVFTQVINIMSIGVWEARTMERSRRVIYGRRGRRRWKEAEELGTLVMEASTRVL GPEHPTTLICIANLAAMYGGLGQRRGRRKEAEDLSIQVVEASKRILGSEHSDAMARRA NLALISWNQGRWKDAMKLDILVPGIYVQGDGKVTKELGARVKMTQGKRTCNVIGYIRG PQRAGPWPIRSFI TSTA_098950 MDPFSITVGAIGITEAAISSIHHLCDLIGSLADAKETVQDIAIM LEGVQRPLAALEELQIPNQAMYTEAKSDLEKTGIAEAVNKCGQACADFTKKFQQWTKH SSNTHLSLRDRLSVGVWNKERIRTFRTQIQSCRAIVQFAIESTQLLIQLRSEYTSKTD RKELKTRLRSLEKAVQEHISFTKGRRNEALERKEELQENLEDEEDGGAQRTLAMKEVE EQSRLLKADETASSVVSSQLRAGLSDHSGVRVRSFGIQMAKAAKG TSTA_098960 MELDTDVLIIGAGMSGLAFAVQLIHQYGYRNFEIVEKAEHIGGT WFVNSYPGCGVDVAAHYYSYSFSSNPNWSRKYPLQPEILDYFQSVANKYKIEKHTRFY SIVKSAYWDQSLGTWLVTVEDLKSSEIYQRRCKILVSAVGILSVPNKCEIKGVERFQG RLFHTAEWDHSFDWKDKELVVIGNGCSASQVVPALSEGYTAAKKVTQFARQAQWVFER PNPEYSNFFKWVMKWIPLSMRVYRAVQNYYAELDFLSFRTLSGAGIREMYANTQGAYI RRTAPEKYHEFLIPKTEVGCKRRVMDSGYLECLNRDNVELIYKDPIDEIVENGVLTKS GRIVKADAIVLANGFQVQKPLLALNLFGEGGVSVAEHWDKFSEGTASAYFGTCLSQFP NFFIMMGPNTASGHGCVTYTTECQVNFTLRVIKPVLNALKAQRSILPVLGKKADIVKV KPKAEQEDIDKVQDSAKELVWSSGCTSWALDMRTNRNTTMYPDFQYKYWLRSIFIPWK DFEFSKSSQFSDSSTVKFIGTGSWIAVSVGIVAAVATFWRQI TSTA_098970 MNSQSLSVNLPTTKAIVVVELKKAAVRDVLMPIVRDGWVLVKIK AIGINPTDWKHIAYGAADVGCRVGCEYAGIVEEVGSKVTNLKKGDRITGWIHGSNRAN HESGAFAEYAIAKASVQRKIPDNLSFEEAATLGVAIMTVGQGMYKRLNLPVPTEPAKE PFPILIYGGSTATGMAGIQFAKLSGLTVITTCSPHNFDLVTFLGADAVFDYKSPTCAS DIKKLTGNRLKYAWDCTGDGAGICAAAMCDSEPGVYGTIMPSDYDLLKKTNPMVHGQE FMRGYDTMGEYYCWLGQTPITPDPEEMKFYQFFLELTQPLLENGSIKPVNFVVNKTGS GLEGALKGLEEMQAGNVSGVKLIYTL TSTA_098980 MSAPVWLITGTSNGLGLVLALRVLRAGHRVVASVRNKTKAAAAV QQIEQAGGSVIEMDMTESQASISSKVQAIGRIDYLVNNAGYSILAPCETITEKDATLQ LATNFFGPLYTLQAALPAMRAQRSGTIVNVSSVAAQDPLPACSLYSASKAALEAASES LAKEVAPHNIRVLIVEPGNFRTNFVSALAQASPDPTTVPPHYDDPVGNVIRKFLTVHG KQMGDPEKGADRIFEACTGTGMAGHLTGNVSRLVLGSDAHTRMKKSSEKFVHELSLQE ETAASTAFE TSTA_098990 MSSRLALRFLCALSVFTPLTTAIRPLGSSFGIPGRNATYDYIVV GGGNAGLTVATRLAEQQSGSVAVVEAGTFYEISNGNLSQVPGLDDNYISKRPDDWHPL IDWGYVTSPQQSALDVEMHYARGKCLGGCSARNYMIYQRGSKGTYQTWADLVGDDSYT LDNLLPYFEKSICFTPPNMELRFSNSTPLYNISVMGNCTGPLSLSYSNYAFSFASWSI EGLQAMGIPQIDGFQSGELLGSSYVVSTIDAKTMTRDSSETSFLQRALKYPNYTVYTL TMAKRILFGPDKKATGVVVDTQGDTYVLTAAKEVIVSAGVFGSPQLLMVSGVGPAVTL KELGIPVVADLPGVGQNMQDHVYFGPSYKVLGQTTSALGNPELFTDASVKFQENASGM LTNPSNDVLGWEKLPEPIRSTLSADARHALEQYPTDWPEVEYLAIAAYLGYQNISGGS DPHDGFNYATMGVAIVMPQSRGNLTITSADNAVQPVINPNFFSNSIDMEVAIAGYRRV REFFNTTAVQPFLLDRQEAFPGLDVSTDEDLAQIIKETFLTIFHAACTCSMGKSDNPM AVVDAEARVYGVSDLRVVDASIFPILPPGHPMATVYALAEKIASTITGSE TSTA_099000 MFYTFVEALPYNPDRKQFLFVTLVAAVLVFLFFVQLRGSKVKSQ YPLVGFNLKNKLFTSVRQRWFWFKHGPELIHDSFKKFPDAIFTLPSLDRTSIVLPPRF LQEIRDLPGTIGNNSHATSDFFIGDWTTLDYEIFNHSTIDAIKTQYIAKIGQQIGPAS DEASYAFNKHFGRYEDWTPIVAQPRILQLVSQMVARTIVGPDICRDPEWVPAVITYAQ NVFMAAVAFKLVPDMARPFAGLFTPYLYRIHRARRTIRRLVRPAIEQTMAWRRENPES WTAHTKDDTVTTLEWLVETSEPKDATVPMIAHRLTGVSFGAAHTTSNTITNAILDLAN DFDHWAPPLRQEIGSVLGENSITSLSNSDLSKMWKLDSFLKESQRFHPPSKLSVNRKM MKDHTLFSGDVLPKDAHVSFAGVPMSMSEEFLKNAEEFDGFRFERLRRNPETDHNGLQ FTSSYAGSLHFGHGRYMCPGRFMGSLISKLMVIELLRRYDLKLQEGGRPKNIMFFDMD IPDPKYEILFRDRKA TSTA_099010 MTIIKRQSLVLEKAREALKQAERLVNYLEENGHEEPNFSSTSPP HPENNEYDSIRIDLSQTAQDLMLLANGPLQWIRTFCCCHHDLAAWQVALRFKFFTIVP LDRPISVKEMATHAKMDEDRLRRVIKFLTTQRCFQELDDDKFEHTSLSAYIARNKDIE QCFAFEADEMFEASSLTATSIEKLPYNSVAEYSAFNLRFGTSPYKWYAENPERGARFA SAMAGLVQSIDTTELRDRFPWGKLGNKKVVDVGGGSGHISIYLANEFPELSFVVQDVN TVMLEEGPKRADFPRVKDRVLFMQYSFYDPQPITDAGLFFLRQVIHNYPDDVCVKIFK SFIPALEKCDRGTSLLINDMVLPPPNTEPKVEEHHLRQIDIHMLNGYAAKQRTLREFQ HLLKQADPRLEVIKVHGKGIMGLLEVKFQQ TSTA_099020 MEHTMPVSDPPLSACPEPIAIVGMGCRWPGGVENSSQLWDLLKE KRDGWSEFSKDRINVDGFYHPHGQRPGSMYTRGGHLLQGDSRDFDHSFFGITTTEAMA MDPSQRKLLEVTYEAVENAGEPLEKFFGSRTGVFVGNFNNEHQIMQYRDPDHTLPYAV TGGGPTILSNRINYVFNLSGPSLVVDTACSASMYALHLAVMALRGGDCDAAVVAGANV ILGPDNQLFTTKLGAVSPTSRCHTFDASADGYSRAEGFGAIYLKRLSDAIASEDPIRA VVRGTSFNANGKTGGISHPSAEGQEAVIRQAYKAAGGLHPDLTGYAECHGTGTPVGDP IEVSAIGRVFSPGRKDNPLLIGSIKPNLGHSEAASAMSQIMKAVLAMEHGEIPPTIHI KNFNPAIDFETARAKVVTEMTPWPTNRLRRVSINSFGYGGANAHCILDHPSVVIPGYE LRGLPYAYEKMSISSPLRTVQSNGEKNGNGHWNRHNNGRLNNHSNGCANGHPNGHANG HSNSHAEHNGHDTYNGRLPSFTWCQPAKIRQIEQAGARKFILLTMSAHDEAALKMKLS AVPELLKQYYIADLLYTLACRKSSFSRRAFAIADSQTVLDELDSNLVRTGKAPSSPPQ RIGFVFTGQGAQWPEMGAKLLNEFSVFRSAIQYLDLVLSKLQRRPSWSIEGALLEPTA SSRIHEPAFSQTVCTAVQIALVSLLKQWDVHPAATVGHSSGEMAAAYAAGRLKASEAI VLAYFRGQVVSCNQRKGLMMAVGLGLAEVVSFMEGFESGVKIAAINSPESVTLSGEPE QIQQLNRKLEDKRIFARVLKTGNNAYHSHHMAALGPSYEELVTQGLKEVSLIIENEPS NPVVRWVSSVTMKEVEGSVLPSYWRRNLESPVLFSSAVEKLAETNPMDLLIEVGPHPA LSGPLKQIRSRLESVGSSLPPCLATLQRGENDVISMLMLAGNLFINNVAIDIVAVNAT ETMVDGGLTLSHGFPCIDMPPYKYTYPETPIHFENRFNKEYRTRKHPRHDILGTRVPG GSRTHPQWRNVLRLKDLPWLEDHKLLPHAVLPGAAYITMAIEAVSQLHYEAEDAAPIK CFKLRQVAINSALRVEDTEIGVETVLDMERLPLTNTAAMSRWYKFSVGSIIPDTDSWT QHCTGLISVSTTEASIDEGQRLLADPRSRSLDIARWHRSFYAAGLEYGPAFQGLSNLR AFRGSNLASANVALKPTADFANESKYTIHPATLDMCIQLALIACHAGQVENFKNAFVP IFADDVSIWVPESESDDKALGVASGRILGLRSMYARSQLYSSSGAPLLDMGELKCVSY DGESDASNSNVAREPYWRPVARVDIDTLMPVAATAMFPPKEVPPSSITALESLSAHIL ASIGEKLQTNIISDRSQNHNSFANWVKSWISSANGEDVQKLGKAERLAMIERLAENLI YIPEAKCLKALHDNSSKVLGGITNSMKVLLENNLLVELFASGIAVSGAYSQLQNIVDL LGHKNPQLRILEVGAGTAGATTAVLDTLISNSALKRVQEYVFTDFAGWSITEAQSRLI GHDEVVFKTLDILQDPVSQGFELHDFDLIIAADCISKLDSAETALRNIHSLLKHSGSL VLLEATRSTLTSEIFSRTLTGKWDQEQVIMKADKWDDILRRCGFSGVNISLQDYVGDQ QMTTVMLSKALEIKADTHSKELNPSDVYLVYRDSLPLLAESIVKILAEQGINTILTDL FSGHEIPPSSSVISLIDINGAILTCRDDAYFKALQAIIPQVSSMVWVAADLTTPSESS IMKGMLRSISAENVLSKYAFIELDHSYYTPQTRTAELIVQKLNELQTSKPSDVVDLEC MLQAGVFYVERLLPEKTFNEQFRLRHGPQDHVQERHLDTQGPIMARYRQPGVLSSLYF SSDQDFDKPLDNDWIEIKTDAIGLNMKDLAVATARFDLDKLSTEGAGVVTALGPKVTS VKLGDRVFGIIPGNMGNRLRSPASLVSKIPDGMSSDGAASMPVVYLTSIYALKHLARL ERGESVLIQSATGGLGMAAIQIAQSLGAEIYATVGTDDKVRVLVEEFGIPAHRIFNSR RLSSIDKVMKATSQRGIDVILSSAGGDSMHEMWRCIAPLGRFIDVGRTDVLGGGRLGL EVFKRNATFSSFDMGLIYRQDPGLVSKLMTEMIKLIHEGVIGPIKHLTTFCISQLDSA LSTFSKGLHIGKFVISFNNPAATLKIARPALRVTFDPNAAYLLVGCLGGLGRSLATWM VERGARHLVFLSRSGANNPEVVSFVEQLVAAGANPEIIRCDVCDKNALISTVGKTSNI RKVKGVIHAAMVEGDSFFNNATWPQIQSVLAPKVIGTINLHDATKNLPLEFFLMTSSI VGSVGTASQGAYTAANAFQDSFAKYRLSQSLPATSIGLGLILEVGSVSSSIGFQQMLQ RNATYGVSETEFLQLLEGALCESYKSSEQSLLSKLDPSSPAQVVTGLEPARFLSYLEG DRVNDLVWYNNARFQAVKQAILDRAQTLASARIDSSHGTSSITTQLQNASMPVEKLTI ARGAITTRIAELLGVAADDIDSGDSISRYGVDSLVAGELRNWLIKTFNMEITMLQLLN KNTRIEDLVQGAAGVDSKA TSTA_099030 MTTVPRYRRASVEDPMFAKLLCAKIDVRRISETILSELQVTQIP AGFSSDSNLRRVECKKVKCSWYKPSRTTWLNFGNEEIAKRVFNKFNSNSYRILDQTAH CNQPTRSGGLRNPYAWTLMLTDLSIAVTENDIRSAIRAPHDSPRNIELGKPTYNVDGE LASATVMSFLSRVGPIEWSQANTELEGKRAKAIARFYEEADAREAVASLHNVPLPFGK NMKLSAQLISTAKFKVATTIFSAVQTRIRTASQGWTRNHLSFKVYPCAFGFQYRVLKI EGPVAKDVAAAKETMDEILDGIIVMSHNEPCWSPSLSHNGIMLQKLKKIQRDYGVVII RNRRKVELRLYGSPEKCVGVELAIADMVSIESSTDHIINLNPEDFQWVCNSGFPLRIV RKRELNSETETTTEKEDCVECWTQAENPNFTRCGHVYCIDCFEGLCTAAGSGEKDFSI SCVGDKGQCQVVFSLEELQENVSSKAFEDILEASFASHVQRHPQTFRYCPKPGCDMIY RTSSTMKLNTCAKCFTMTCTSCHAGHEGKTCAEYKDEISGGYEALRKLKMELGIKDCP KCTTPLEKTEGCNHMMCRGCRAHLCWVCMEVFAESGPCYDHMTTKHGGIGLEHLNHI TSTA_099040 MPERRQRIPEEKWEKHKGDIVSHFLETDLKHVIEQMRQRHGFPR INIPERSEPGVFTSIIIPIFTNLSTDAFEGDKHKGNLAMSSFMETTLLRTNSKKEIAR NVTFTDRCYYTENASTPHGVLICSPPETMVFPQNRDLRIDNLPWLLFNQEVQSQTILY QTLSGHTTFMSGGSSDAFGRHTLLPAQDLILMSIYPSTSGFNNWTFDCNNMFDSLPGI GSACDFLQPQINESVEKYTNLASTPIDHELQSASLSALMQKDRYLVEESSVQCSTPSS RTPEGSLIPYPNVYQLFSLFIFMATNSFLSTEGVGKIYALTILNGMSLSTHHLTVYGI GGAFGPRYHSLLDNEIMQIVKVFCNLGVTPTVLREDIAPLNGVCGSLWAFQNIGILRL LLNYNADPDCLVSDDTCKATGDFGTVLQAAAARRHIQIAKALIEAGADIDLVSRVCVD NRCYFMNRGSGSLETPIQLAAEVTDTEMALLLLQSGASVNFRSMSTVLDTASRLTMFF RALRMTAGGQATQNENIRLVHQLLVSGAAVDSRYIHYDDTPHQIAARSSSMRAAFWST IVHASPANDNGRTAIQTAAESGNIAIVQMFLDMDADVNASPGWKIVRKNNIYLLKFLL QAGDDVNAFSLRYLNMTALPYAVKQSWLEGVQRLLNYYSDIPTLPLCETEAGRWDTCT SNGYLIRHLISRNAGNHYGEAIRNSLKWVDGIEYPPDIDLLRLLLDAARAPISGGTAI DAAAEHGHLDMLQLLLNAYGDREGLAVCSQTASFAEREGHIAMAVWLRAYVTS TSTA_099050 MPGYLSIQLSFELMRLIPTELVTVGTTQLLNFARELRTSGSDTV VEEDLAAIFGRGHISPEIEPATAYIGFDDIMKTPMACGGQSAAFQWSTYRERAENELW KLIPSYRYRPAYIHLSAAVITGAMDFLYLAQSLPEDRKFTIQDQSGCMTLVIWVHFIL GLSVVNSASDNIQVISEPLTMLKYIS TSTA_099060 MKAVVVQNKTPTLATDRAVPKLRDDYILVKPVAVALNPTDWKHA AFGLAADGGLLGCDFAGVVEEVGSKVTKQWKKGDRLAGVAHGGNLVQPEDGAFAEHIL AKGDIQIKIPDSLSFEDAASLTLGINTVMQGLFQKALKLNWPSDPVKDQTPVLIYGGS TATGALGIQFAKLAGYAVITTCSPRNFDYVKSLGADKTFDYNEPNVGAKIREYTQDNL KYAWDTISDENSAKICADALTSESGAKYGNILTAKSPREDVETVNTLMYTVFGEEFKF GAQPFPAIPEDFEYTKKFIALVEKLLAEGKLKAHRVKVGADGLKGVLAGLEDLKNGKV SGEKLVYRVEETP TSTA_099070 MDQWRWKNFTKPVLTEVSWDSRVFPCPSISSSSPYLAQSQLHFI TTTEQVEWDSNRIYFKRLCLSLKEHSTVAHIIPTEAPIEEETYHVTTQRTIIRYEVAK KLGYGASSTSWLCRDLQSPSSVDSEGVLTSSKSNNYVVLKVSTSLPGYPTATDRESRV YEHLAKVDSSHFGRSLIRELYDSFDHQGPGGTHRCLVLQPVNMTLLEMMRMDPRPFDL PLVKMNLKTDNLMPSLEDRSMLANFAATEYENPSPRKLIHQSRIIYTSQKFCRPIGGR NYGLLVICDFGDARISKKQESGPFVQPHIYRAPEVIFEIP TSTA_099080 MADSHHRQVATLSSPLRLARKPLLNTIMEANENSPGEQQSVRHE MTRESLHSIQIAMSFSPETARRISTPVDTLSSSSSSCSDEEWQQGLRPFDDLYDASDD DERNCPSLTDSRPSSLATMSIRSSTYSTNSRNRYPSLMIPTASLWPSLVNPHKSSPVP PTPPPKIPVSPAALSMLPGNVPAIHAPPSLDGSLSSDQISNISSPATPDLRPVRDHDW DNEIIRVRQDPTAPSSAGASSTDLTTDEERAIETSVDDWNPLLDSFPAIPAGFESHEP AVLSPDDQHNNPVSPRSESPELDGITLPPAAIATLQHIPLDSTPGPWSETSEKNDEMW QLATPPTNRQQRNSPPPDATPDSELSGYSFTNLSIPSPGGFFSSLDPKSRYTWSLPSV VNPPTTAVAARFYDLPWGLDQGEVVEQVVEVAVDQNIAEDQPTAVPITAIRIPSAQHK RSDSHDSSSPVVADVEELDRSGVPAYEYDEAYDDELKRQAVANLDRTSVWLAAQHSYL SALSETNPVNVVEANKKPEPLEVENRPVSSSGHSPPRKSVRFSEDVQELTMSPLPPPP EDMSKDSIYWRGFQFVLQQSRRRDVFLHSDIRFDAVQSVRLGFPQKHRAALLGKFELE APERPGYKGPFSQAPRKSVLAEVLAEQALYSNLEKEQMVLSQLYQSMWAVDASRFLNG GRLISRPAAKRLSTILKQMDNPQLGTIAPKRRLRVLDLGGQATCGWAWQLAHDYPDVK VYTVVGKNQEVNSHIKGPANHRRVSVPCLWKLPFRNNQFDVISARSLHALLKTERPAG EELDEYDLCLKECYRCLKPGGYLEFFTMDSSIARAGPLGTAASVEFTFNLKTRGYDPS PTRGFLSRLQRSNFADIKRAWLFLPMGIEPIKPEPMRETPNPRVKSQIDCEAIQGPVG STADVASMTGLLGGWMWEQWLLKIQMEMGREENDLLKDIGGVFDEGRKLNAGWTCLSG WAMKPTRRSTMMMMMMNRVFLPNLKIIILCAGFTEYMYLFLKLYAGHVTPEQTRATLS AARFRPLQPSETKQLQRLLSITIIASGIVL TSTA_099090 MDPLLILDLEKFQPRIMVAWPTVFGLTGYFAAVFETEDFLHNLK AAYPKTSIPDPDNPRTTTMMSDMVKIASTGQGSWSAIITTVIASIAVLASPTSGASSD SACNATTINSNTYWQPTAGTTWQIELLYKLNDTSVDVQVYDIDLFDTDADQISSLQAA GRKVICYFSAGSWENWRPDADQFNSADLGGDLDGWAGEKWLNISSDSVRKIMTARLNM ASQKGCDGVDPDNVDGYSNKENALDLTQEQSIGYVNFLAKEAHSRNMAIGLKNAGDII ESVLDNMQWSVNEQCVQYGECDKYTPFTDANKPVFHIEYPKGEDVNNDKDVTDAQKKK ACVFEGSEKFSTVIKNMDLDNWVQFC TSTA_099100 MRSVLGATSTEHSVGISLAPGQYLAGLHLGSSSYFSNTLLASKI VPTYGRQHGNVKAQEAEGCYCMRPLSEPEGLHQSNVMDINQKRPRSETVCTWASDSSR VPYASPGYIRQLEDRIRFLEGFNGQIQDSNNRSIGSASEQSIPRTPVRPVTALSTLPE PLMWETSVPWAESTGTDLRLSTPATLIVPPLTQSLPEALESTDSAFIPYRHSSNNTVI GAGHRQDPVAGSYSENFIRGLEKAITEKLGEASSVRDPGHISRQKVRQKDFGHPLPAR QQADILLSSYWNHVHVLYPILDKPHIEEDYGKICNQENSITDKKSFLCLLNSIFAISS RHIKLAGPDHEHLAATFCLRARELLDIESCSIRSVQSYLLLALYYQSIFVPRTCLMFA GLALRTAQVLELHLIEKSERESVSRTKNLLRKVWHGCVLVDREVSMMYDRACMIDQRT AAAIPLPLFDEEETQLLHPRSHTTQVQQTRAAEFYSVSLRLLDILHDILFHTHSSKSQ RCYDSLEHFPSFEANSSVLELEARLANWENKIPRYYKVGFHSPDNDMNGLLLRRAVIL RQRHLYVHLLLLRPMLQCIITSELRNNGVSVPAGSLLSHRVSLQCAIVCVKVAQEAID IIHVNEGTSSNETRDLSAWWYNAEFLYTSATVLIAASLSPSVVAEIPEDSIYGSFHKA IAVLRQYTTFDSSILRLTTALQILTSIIPKNYSQLRQASQRTQEPTSHNAYNAATFQY WCPVGSNHRQKSPQSDLERHMNSGNSSEDLSSQSLSNLELFFDLDDFTWLTKVPFYT TSTA_099110 METVLVVGATGNIGVAAILGALRAKRNVLAIVRNQVSADKLFQH VRSKDGITIVEADIMAEEGVQGVVEQVRAGKLPTFQHVYAAAGGAYGVTPLRDLSTSE LRKFMSINFESNFLAYSATIPYLLEQSSSTSFTLCTGSQGDIGARAAPAITQGPLYSM ANVACRDNENTNVRFNEVYLATRVEVDSVAEKTGAMKTSDFANVYNELLSRPDIKSSR ITVATKNDLKDLKYKKKIDH TSTA_099120 MAGTAVKKSTTTPASKRTPSFQTPKRGSSAVKKNGNTSILNFFK KADGPPQSQLKQPRLTQFGVTISRFDVAGNGRKSALVKGDSAGEGLFVEDMNRSWKKL VDIRDDTRTKKTSSPTDVPEASSIPAAEPKSEDTESKRFNESFSSVKRRKVNSLVVKG PFIDESDSEDEEEYTPFTDTDKSNHVQSSESSIERPPPLVRAATSNFETSAVADDFDD IEDDIEGDEFLGKAWMQDETSAFGISEGDPMDDGPSCPICQASLAGQSHADASLHVNA CLDTNQQPLSPKKERTPSGLTRQEKAAIARPAQQNPFAEKSTGSTSAFAKLMAGNAED SAWATAAANEVASRGKQAYERTCPFYKILPGFSITVDAFRYGSVEGCQAYFLSHFHSD HYGGLTASWSHGLIYCSKVTGNLVRQQLKVDPKYVVDLEFEKKTEVPNTKGVYVTMLY ANHCPGSSLFLFEKVMDTGRIHRVLHCGDFRACPAHVQHPLLKPDVVDVASGQSHQQR IDVCYLDTTYLNPKYAFPNQEDVITACADMCVRLSDEQGDRNEALEFHKRGKMDAMAN FLSTTKGKDFTPSSFTSDSSRGRLLVVIGTYSIGKERICLGIARALKCKIYAPPQKQR VCACLEDPELSSLLTDNPLEAQIHMQILFEIRAETLSDYLQSFKGHFSRVVGFRPTGW TYRPPGGRLLDNPPVANVLYSSNWKTPFSVSDLVPQRGSTKESSCFGVPYSEHSSFRE LTMFCCALRIGRIIPTVNVGSAKSREKMKAWIERWEAEKRKSGLFKVEGPTW TSTA_099130 MSNPSLVSLLITPYTILLLFVLYYLLPYLAKTHWLFIPAPFPAA YTNLWLLWQARRGRRFLAVNEAHKKYGKFVRIAPNHISIADDGAIQAVYGHGNGFLKS DYYDAFVSIRRGLFNTRDRTEHTRKRKTVSHTFSAKSIGQFEQYIHANIELFVKQLTK LSQTQRNPKTEYASIDALNWFNYLAFDIIGDLAFGAPFGMLEKGKDVAEVRKTPDSPP TYAPAIEVLNRRGEVSGTLGIIPGLKPYASYLPDSFFSKGLEAVENLAGIAVARVSER LKPEVMANNTRVDLLARLMEGRDETGAKLGREELTAEALTQLIAGSDTTSNTSCALLY WVLRTPGVMKKLQEVVDEALPERVKVPTYAMLKDVPYIQYVIQETMRIHSTSSLGLPR QIPVGNPPVEICGRTFLAGDVISVPSYTIHHSTEIWGPDAEAFVPERWAPERLTARQK AAFIPFSTGPRACVGRNVAEMELTCIVGAVFRNFEFVLEQEHDMETREGFLRKPLGLA VGIRRRAV TSTA_099140 MSYQNIPSVNEIKRAAAAGQRFTPEDVSSIAQAESELTGGGPVK GGPAATAHSLSSKQMNFDARLDELSHKPPSHITQEDARQLQSAEGRAFNAPPGVASVS AQVRSIADRNEALGLPAVADPGPVYVTKEEASEAQSVEAMHTGGMVPKGSYAAQMQSA ADKREAAINGVTWLPE TSTA_099140 MSYQNIPSVNEIKRAAAAGQRFTPEDVSSIAQAESELTGGGPVK GGPAATAHSLSSKQMNFDARLDELSHKPPSHITQEDARQLQSAEVRHGKDGSSSDEIR SVTVLGHCSQSKMSADYMHSLFHIMNRDIC TSTA_099140 MSYQNIPSVNEIKRAAAAGQRFTPEDVSSIAQAESELTGGGPVK GGPAATAHSLSSKQMNFDARLDELSHKPPSHITQEDARQLQSAEVRHGKDGSSSDEIR SVTVLGHCSQSKMSADYMHSLFHIMNRDIC TSTA_099150 MNRRSQITHITPLPSYVSRDAVLELLHDHSVMITLNPLVTHHGR TTPPPHALPDEHFSAWYEITDKIEYIPGTGFTGSVTYTACMHDLPTGLQTHIHAPAGL EIRGKWQLLGWLPGEQRLAPEIGIEQYDIPKEGLYLREDCDLRCNFFLTHFVKKNLYK SHELFVKRLLEMARERTQAPTVPRVRLDNGIEDVDVHVLSDSPPRPRQRAALEWQANG YSVSVSGGAQQSILSSSQRDQQTSSFSRRRGRSQSPGYAFVKPSLGSSKRYPSEGPRR RDRSDSHNSHLSDDDSPTGSMSLVRSFSRRWRERDILDV TSTA_099160 MSTTFSSLPIVDLAPLRKPEGLSDSDQVTLSTKLYNVFATTGFA YLVNTPLTFKHADVFDLALEFFNLPLEKKMNLAKRTFKPENLNTYRGYFPVQPNDASD NLKEGFEIGPPQAIHSMPANPASRFNLTEPNVWPDENTYPSRSRLEQLYIELQSLSST ILSLLASSLDKPADFFTPYLTDSLSTLRLLHYPPSPSNTTDTPIDIDTSTPPPEPSDP VKLSCTPHTDSGILTLLHQDSTGGLEVQDSSGKWVPAPYVPESVVVNIGDLMAKVSGG RFVATMHRVRAPPPSPFSMKQHGLHSEDEDGDAKFGRFSAPFFFEPGENCLVHSVDTD PSKGVLYGNHVLTKMEGFVEYKS TSTA_099170 MTQTTWQKVEIKIFQAKNNIPLEWRLPSSYLEVLQDACQSVLGI PHRCGILSEMEIHVTEAFDETALFEKPASRGLTAVEVTTAFCKRAAIAHQLTYCLTET FFDTALRRARELDDHLEATGKTTGPLHGLPISVKECYNIAVGFKPSASQVPYGNIGSA ARLEMARFLPCAGSLSHTVRDVELLLRVVLNSNAADLDDNALGVPWNATRQRPSLRAG VLPEDALYRLASSNAACSEKCSDQHFKADVIHLRGKDLAFRFFNMNPDRTALSHVKNG DEPFIPSLKSTYDLDKNAPEPQLLELYQLNVSKAKLLTRMRQVFVENKVDPVSYHSEG QMHLKTPNLSETFRTRRSEVEGAPCHIQLTGKPMRDEDLIQDALIVEKVLQG TSTA_099180 MHPFIRRLFATWRRKNHTPRSFSFNQRKMSSSTSAILYALTVSA SPTASIPDDAASKPHHGKGRFHNPWDSWVELAPLDIGGAMLKRAITGDLKWPDTTPPT VTVHKPNFLPSRETSKLRATWLGHACFYVEFPGGLRVLFDPVFEDRCSPFSWMGPKRY TKPPCDVSEIPVIDMVVISHNHYDHLSLPTVKAISKKHPNCHFFVPLGNASWFKEAGI DKVTELDWWDQRDLTLSPTKDDSEKVTEPDAPASKSAEIVGRISCLPCQHTSARGPFD RAKTLWASWGVESGGKKVYFGGDTGYRSVTELKDVQDDHAEEYSNLPVCPAFKQVGEL HGPFDLGLIPIGAYDPRWLMSPMHADPHDAVNIFQDTKCKNALGIHWGTWVLTEEDVL EPPRKLEEALKRNGLPEKGVFDVCDIGESREF TSTA_099190 MSQTDKPLVSARHKKACTECRQQKAKCDAYLNPDAPCSRCRKVG ARCVISDPFKREHKRKRMSQLEHEAEELRKRLNVSNNSTNNNRYKIDSPIGVLNGIPD LSHTPQSLGSSSTMGTSPQSVDPLSIHQGQGVVINARPAPVVVRPTQNDETTLARMVK GVRVEGNEIDDIFQLFFQDYAPFLPVLDPNTTPNAYFDQSTLLFWTVTFVASRNYTKN LTLFPTLADAVTEMILLSMGTNSAPVFKIQSFLLFLTWPPPRLEIFFPLSGWLLHIAM QNGLHIPMASHEFGRNVRVSRSEQSQANKAVLMMDMQRRSELWAYCVIVYQRACLSKG QPPRAMLDLVPDSGNKLCRQLSPVLALQLRCLDVVSKCSVNIFTTGVRNTSSEHERSM TALIRAHETQMYDLVGQLPPNHNTLYPTIARLQIQVFYLYKDLTGPYDICFTRLTDTA CSVIDHLRVLYDKPELYVCSPIFITNALVSASAILLRLLKSSMSSKIDAEKAKISFFA GISLLKHMVLDAKDLASKCAIALTQVWNSPKAFRKADGSEYATLRIRSRLVMSPVIEL ACWWREEFEMDDDDDAANDNTTNPAFGENEMQPNLTDTVNDLGTEFQFLNDEFLTDFE WAFDNEYLLPTEAYSDVAWLANATASANSLPPI TSTA_099200 MEEKVAEPTVAAATGVTVHDPEEALQHVKNIKKQHQWDPNLPTD IYDEIDEAMHADGTTTVGIATELMENSPYPEVRAAVPNVDEGGHSNTIRAWTIGLILA TIGSALNMLFSMRNPYIVIPSYVAQVVAYPIGKAWEKCLPNREYSLFGLKFNLNPGPF SKKEHAITVIMANATFNGGAAYATDVLLAQRAFYGQNFGWAFEIFMCISTQMLGFGIA GFFHRFLVTPAAMIWPATLINASLFNALHDHRRPDPSKTSGWTIGKYRLFLYTMVGSF VWYWFPGFIAPFLSAFAFVTWIKPNNAVINQLFGGWSGLSLIPITFDWTQISGFNFSP LIAPWFGIANTLIGMVLFFWIVTPAIHYTKLYYNQYLPISDGNSYDNTAQKYNVSRIL NPDYTFNLQKYQEYSPLFLSTTFMLCYGLSFATIIAVLVHTGLFHGKELWIRFKSVGK EEEDVHARLMSRFKTVPLWWYAGVTLIMMGMALGVIIGYPTHLSWWAFFVSLIICCVW FVPCGIVQATTNIQIGLNVITEFIIGYMQPGRPMAMMLFKTFGYISMYQGLFFLQDMK MGHYMKVPPRVTFAAQMISCLWCSIVQIAVMNWALGAISDVCSQTQINHFSCPNGRVF FNASVIWGVIGPQRIFSPGQMYSNMMWFWLAGAALPVMIYFGARMWPKSPIRYLNAPI IFGGSALIPPATPLNYLSWGIVGFIFNKWIRDRWRGWWMHYNYVFSAGLDVGLAISTI LIFVALSLWNHEMTDWWGVDVAGNTLDASYTAIQVTGVTFGPTSW TSTA_099210 MSRLINFPSELLILVYSFLDNIDDALHLARTCKFMYNVLDTPGR RLDIFSKIIMAINVDKIIKQNGDHHRYDLRLHATETICTNFRNEHYNTSDLPSISDRP LFPTEFLVPDTNTLYKKLDPDTVWSIISRWHALKLLFSLYFDSTIRPSYLRSVFWQRP DCQHSRWQKAVDYEIPLEPPSGQTCTTLRDMSSTDKAEAYTRFYRAITSHWLHINLTW LAGISKYPDRPSCDSTFSQIDSLWYNDRERATLIQKLEAIEVTDFIWGFLARKIFADP DQIDSWISSPGHLDNETILWDVPLDASSFARLVRIATFYLPPPHIIELLVDMWASPEP GGRLNKRDYIQKLGFFDTKHGVLEQDGEQVIVFSWFSTEIMFMIEWVGVDWTLKDKGI SLQLGMMSSVDDPLVYTQWRRYRVSRWIDDFRGKCILFPETEEEIIKRIEQNQPPSIQ PW TSTA_099220 MSLGHSFKRAGLFSLCLIATGTLVTAGPCDIYSSGGTPCVAAHS STRALYSSYSGPLYQVKRNSDSATTNISPVSAGGIANAAAQDSFCSGTTCLISIIYDQ SGHGNHLTAAPPGGFSGPGPNGYDNLANAAAAPVYLGGQKVYGVYIAPGDGYRNNAAN GTATGDGAEGLYAIFDGTHYNGGCCFDYGNAETSSRDTGAGHMEAIYFGNCNVWGTGS GNGPWIMADLENGLFSGASAGKNTGDPSISYRFVTAVVKGEANQWAIRGGNSQSGSLS TFYSGGRPSGYNPMHKEGAIILGIGGDNSHGAEGTFYEGVMTSGYPSDATENSVQANI VAAKYGTSASSGTTTTGTGTQPSSGSGQVHWGQCGGSGWTGPTSCEAPYACQTQNPYY AQFDGFPWETREYLGILSVALQRRVTL TSTA_099230 MDHLPLPEGVDCFIVAPYEAPEVEWYDNQDFVGFPERRGWAVDQ LFHGFDVTKDGNKAIQKTEEFFQTWLFFGLVIDILAMGDIIVTTEDFLKRKGTQKARI VDTSKLPPLLAQWHGNIKKTEEELRNVRLSSTWDKLNEKFETVKTVLGRFYAPNVEEQ NHVCQVMRDEISTTIIALAVSLRQAAISALSPSIDTSLAWPGACIHSKILANRLEHKW CLAEVSTILKELSIDGHYYTAAAPSPGARYLDGHYNCRPERCLHITNENLYMTRHADP PWHKDNCTASIEYGGNLSPDFVSTTEVTDGDWVHAVCSIIDKGAIPIAHWRKDVGQLS SLAYDDKIAAEENVPYVAISHVWADGMGNPTGNWLPKCQLDRITNFIENVTCSPREGV PPSKDIGFWMDTLCVPVQPENKERRKKAIASMRHVYTNARCVLVLDSWLQQISSTAPM LDIIIRLYQSNWLKRLWTHQEGFLPSAVYIQFSDRAMELDDIRTQSDAYDKLLVSKGV FLQFPATMNGKLVTEYLLFKELIQSTGRSEEDRWMTYGALGNSMSWRQTSRLADETIC LATLLGLNVEQFLDIPDKPDEEAAKQRMAIFLRQMKKFDSSIIFNNYQRLNIQGFRWA PKSLLNFRTADRGSGGGMGIAEIQEINSKLGLLVYCGGFLIDFSKITTSSNFQDCRSF AVQCHSSPWSWRDVDGKWLIVQSSTSNFSWSTSKRYAVLLSKSPKVGEPARGVVGLYS GLEARGDGDDGEVHLIDHQSMATVLVLDHLSPGIYELESSMFKWSTQWLVR TSTA_099240 MCEYFGTKHEQYQLYQLLMAEHGWAEPKSLELHSWCQAILNFPK NSRQYWPWFVKKKKDILNACTKIRHSEVYRQSQDAGAIFKSFEAGVGLARIHQDSAVV RYIRNLEADFQAIVDDISSQKQTEQAQLMQGAMERTKTQLEACCREAGEKLTDSVDIM SNEITSITDEMTNIDDFSEPDIGEMMLEAKGAETVPFADLPL TSTA_099250 MASGDKIDVLLYGLGAIGSFYAFILSRNPNVRLSVVARSNYDAV KSNGLIVDSQNHGKHTVIPEKVLKTPAEAGQTYDYIVCAHKAIDQDAAVKAIAPTVDE NKTTIVIIQNGVGNEEPFRNQWPKATIITCVTWTGATQTFPGYVNHTKSEDMQIGLFP SSLSPSSLTEQARLSTFSTLLTKGGTKFAVLEDMPVQRWEKVVWNAAWNSLTSATLLD THSWLASSPEAMPMTKRLMTEVIDVGRACGVTTLQYSLIDRLIEKILGMPPIGSSMRT DTVAGRPLEVDVILGYPYKKSKELGLSTPTLDAIYAVVTGVDYRLRNGVRI TSTA_099250 MASGDKIDVLLYGLGAIGSFYAFILSRNPNVRLSVVARSNYDAV KSNGLIVDSQNHGKHTVIPEKVLKTPAEAGQTYDYIVCAHKAIDQDAAVKAIAPTVDE NKTTIVIIQNGVGNEEPFRNQWPKATIITCVTWTGATQTFPGYVNHTKSEDMQIGLFP SSLSPSSLTEQARLSTFSTLLTKGGTKFAVLEDMPVQRWEKVVWNAAWNSLTSATLLD THSWLASSPEAMPMTKRLMTEVIDVGRACGVTTLQYSLIDRLIEKILGMPPIGSSMRT DTVAGRPLEVDVILGYPYKKSKELGLSTPTLDAIYAVVTGVDYRLRNGVRI TSTA_099260 MSQQNKGVQISGAEVAQHNNKDSCWIAVRGKVYDVTDFLEEHPG GARLLLKCAGRDATEDYDAIHPAQLIEESLPDSAFKGTVDPSELDRPKLADKSVRNAQ KEGKKDPDSPPPLSAMLNVRDFEKVAERHLAENSWAYYTAGADDEYSKAEAELAYRKV LFRPRILRNVGRVDTRTQILGQDVSLPIYISAVGIAKFAHPQGECTLAAAAGREGIAQ LVATRSSMSIESIMKARTGGPQQPIFFQLYMHKDAKISDATILKAVKAGVKGIWLTVD SPVTGKRERDERLKANVDVGEQNDKIGGKGKPVQGVAKTLSSTVSPYLDWDTISYIRK LTNLPLVIKGIQSVEDAILAHKHKVNGIVISNHGGRSQDTAQAPLLTLLEINKYAPQI ITDKKMQIFIDGGVRRGTDVVKALALGATAVGMGRPFLYSMSSGYGEAGTRRMIEIMR EEIEQNMALVGATKISELRRELLNTSRLERDLTTFIAKL TSTA_099270 MASRFQGLAILPLLGFAAASCISSGDQNTINNLFKSGGAGTVVQ ICAGTTISVTGTITFTADNQEISTSGYPTDETRAIIQPASGSDVSMLLSGYGYNGLRV KNIQFDGLRPSLGLVKDGGATIELGQNSNGIEISNIVSKNARAWSCLHLLQGGTDTPC TNVTISNNQIGPCGNEGLNSAGVSQWADGISFACRDSLIENNYVTGSTDGGIVLFGAP GTTVQGNTIVSSTTDAGFGAINMVDYLYDGSYANVLVTNNTITGEKLFNVGIAIGAYA WSFNDDASLQGPATITNNVFSGNIPFAIGVNGWTGGLTVTGNDVSNVNSPTSGYSDAN SCVAPTRDLWKQSAHLAYYPTGLTGTNNLQSGFVAADGNSTNFICTAPSLPSSISYGL NELNVGVNTVLANLHNSIVTQYQGDGNIVTYNTSTGSYVPVWASGHTSSVCSSDPSAC SCDFQGDGNFVTYASGKPQFVTGTQGKGQKLTFLNQSPWIEITDSAGNVVWDTTDAN TSTA_099280 MDSSPFLITEHVVDGQHIREYPRATRAGDDALKLAVKRYIPKSN PNPRSGDVTIIGAHGSGFPKELYEPIWEEIYSRLDTQGIRIRSIWIADTASQSASAIL NEENLGNDPSWFDHARDLLYLINHFRADMPRPLVGIGHSLGAGQLTLLSLMHSRLFTS LIIMEPVIVSSHLAGKGPIFVSLSLKRRDVWSSRSEAAKYFKKSYKKWDPRVLERWLD YGLRELRSGDESFKEAGAAVTLATSRHQEVNMYLRPNFQNKKPLNANRDPGTQQSHDA VFYADIIGPPDATHPFYRSETVILWSLLKHVRPSVLYLYGETSPVSTPDLRAEKVERT GSGIGGSGGYKSNRVKEVTFPGAGHHLPFEAVSGVSDATSTWLKQEITRWKVEEERIN EGWLDLGREARTSVSDDWLTEKLIFARIEVAVKDLSNCVVALSLPIRSRDITVGRSGP IISMLVYVFRSHNYWGEIHNARPHLVGEDIQISSHIMSSASLNINDTLHDFSNSKSLF KDSPIRTKESPKNLHYHLTQMVWQDFLTVYWKALGIRCFV TSTA_099290 MTDVKHRSASVDEAIDEDVAIPEVARPIGVRLYLVIISLFLTLF LSAINVTVVATALPTIASHLNATTSEYTWVGSAYTLASTTSTPLWARLSDICGRKGVL MVTNVTFLIGSLICALSVSPAMLIGGRVAQGLGSGGISVVVTIVIGDLFSLRERAKYY ALTGIVWAISSGVGPMLGGVFTQTIGWRWCFYINLPFDGVSLVFLFLALNLPTKRQSW QNPFFSFDWAGSILIVGGTICFLYGLEIGASKQHTWDSAYTLGLLIGGIVILAIFGLY EAFHANNPIFPIRVLRDRSILACLSTAFFHSFIFIAYDYFNPLYFQTVLGVSPIESGL YTLALVLPLSAMTLISGLIVKRTGAYRPIIWIAGSMTVLGTGLFIDFGPYRAVSKIVA YQIIAGFGAGPLFQAPMIAFQSHLVGKEDLLAAAIAAFIFLRNLSMAVSLVVGGVILQ HGLSDDAASYLKDRASLNPNDNDKGIIDGIVGGFRVMWIFYTAIGGLMLISSLAIGKK DLDSDSDFQGKDSIYLLFFHITPTQNLAASGNCVLPSTHESCDSKLPFPSSNALTLYS SLI TSTA_099300 MDPRPTKKRLSMACNNCRLRKVKCDADYPRCRNCRVRDEICATC DPRRPGEPVVREWIVTEKIQELDTTKHAVYASPTPSLQRQLFPQGNAPGTQTDQSIAA SGHNDRCPFARDNEPGHTPLHESPVHQPFHVSSNTDPELNRTKIVGGSSSQCLAKSLD VYLKSVHRKPISDMFKYGMRYAEEFDIPLEVSLPPLPVSSRLRAYISTFFDRIHPLYP LFDVEHFQGVVNQLVEWKEELKSMLRDQIPWLAIIYLGISMGADEQSRSITADGTRYL HAAATLLSHVTFVPYLPAVQALLMYTIAYRGRNQEGLGWQTLGMAIRIAHTIGIHRRA AYSAPRSSDMHLIPKYIHEGRIWAVCCILEKCMELESGRPTSIPYSFACDDILPPHLH EHDDGQLLRWQLGLAVYQHEISQHIYGHTLRKRRAREILLDTARLDKCLLDWVNSIPP QTRPGNDIFCPKGVLHLAALLSMMYHEAMITLHRAALIAPNANFEAEVEKEGPDDSSR FRILGGESICINSARAIAKLSIELSDQKTDTRLIPIGVPLLACIVLAIYQIKHPDSRM QSTDLPLLKTCLEICSEKWIECGQDSRFIQGLSTIYERVSGYINSQRASKIPNAPQNR TTTGHILSDPSHYTKKSRVASIQNTHFTDLSQQSSGSNSANHIPYSDSRRHDTDEGNA AHTVAQPTYEHIPNDAPILSQYDHTKETVNSQHGHLPPSVQGYDWLQESSLQGDSQFP FDGYNVEDLWEWMLYFDSQPEGVSDTCT TSTA_099310 MPPPPLQIAYKRIKQPALGENGYAGFQPGQKEVLPKGWNGFNAK PLKSDILVEHDVEIVVRDGARLYIDVYRPANTTEKVPAVLSWSFYGKKYSSLEMLPMT VWKCCVPREDLSGIEKFEGLDPQTWCPRGYAIISVDTRGAGHSDGLISVMGTQDAEDG YDVVEAIAKMDWCNGHVGMAGNSALAISQWLIASQQPPSLKAIAPWEGSGDIYREQFC RGGWFFMSNFDLIANAIVRGPTNSGLEDFEEMYRRSNVSNAFWEDKRADMTKIQCPVY IRGSDVSAIHTMGSVRAWLEIPHSKKWIRWGSKQEWYELYSEPQSEKELFLFFDRYLR GNKDNGWEKTPKVRWSALRFGDRPAIDDIILEDFPAPNTEYRELFLAKDGLLRTEAPS NTSVGTVSYNSEQRESIAEFSYTFDKAKQLIGLPKAILYVSNEKQDDFTVFVILRKRD RNGKLLMHLNFPIEATPVNSIDEIPEKDRMSTNLHLGSTGILRASHRVYDSVKSIHPQ FPFHPHMKQEKVKPGEIVKLEIGIWAMGYDFEEGETISLQVSGQYPSIAEFKSFSQPR PQHELNKGLHTIHIGKEYPSSVILPFIKQ TSTA_099320 MSLKETEIQAKAALQKSLRESEDLVHFEPRVYGDWRDEFHENGC VVIKNVISPEKAKYYCNKQIEWLYKFDLGFDQKDERTWTAEHLPVNFKGGMYYAYAAP HEKMAWEARMEPAVIEIFEKLWETKELISSFDGLNISLPRRKDLTWTPWPHCDQNQAR KGMQAVQGLLNFAPNGPKDGGLVLMKGSAKLFDEYFSQDRESYLHEDAPPPELKYQDL FLFHKDDLKWFEERGCELVKINMDPGDFVLWDSRTIHYAELPQGDQIRHVQYICMTPR RFATEEALELKKQCFENWLGTTHWPHCNIRPSAEKPMRNGKVCPKYRTEPFEKPEITD RLLQLAGVDAYA TSTA_099330 MADTKRTSDKLSSLHVEEADPSMGTEVEVAQVHTMGTVKLTEGE LVYIPTPTADPQDPLNLPMWQKCVILAVISVFSTLGLSLVSGFGGLLGFYIPQYAAAG KDYNDITMLMTYPSLFMGIGNIVGMPLAIGVGRRIVFLGSTVILVISAALCAVAKTYE WQLACRMVLGLAAGQSESVVPMVSQEIFFLHERSTAITVQLAFQAILTCIWVLFASPI AGAITPQWWYGLGAILSGIQLIITFFFLPETKYDRPLSSYQEGSSSSTDDSTTDNLEG ASVKREVQVCMNRPPLDYVNYSPRTWRSDLRLWKGTPDWAATWIVLKQTGELLLFPNV IWALLVNGLTLGTNVAIGTTYGAIITAPPYNWPNKSASYANIGQIATALVALPLLGQG SDWLIKRMAKRNGGLHEPEVRLIPLIIPMIIGTFTSVLYGQGGSHPEKYHWFVYVWAV AAYYFTFVGANIAAITYLLDSYPARAGPLLVIVCAFRGIISFGTSYGTAPFIASHGYD GAFGTFGALTAALGVLGIPIYIWGKKIRHVTGRFARD TSTA_099340 MASAFRIIIVGGGIAGLATAIALRSLNRKIIVLEQSSFNREIGA TISLQPNASKIVERTWDLSRLLHEKGSMMDEGFQVYSLDGELQFRIPLSTTEKYGAER MLYHRVDLHDALKERATSDKWPGEPAQLHVSSRVTHCDCEDGVVYTESGQTFQSDLII GADGIKSVVRDSILGTKVPALRTGHSAYRMILPVYKLLTECKAFCDVVDPTASYTTMI MAHNRRMIMGPARNGSVYSIVAMVPDEGINEDASNTSWTTHGDIKKMRETFADFPEWA RSPLSLVADGEVGLWQLRDIDPLPTWTKGRAILVGDAGHAMLPTQGQGASQAIEDAEA VGAFYADIASTIAGKKAPSFEQIKTINEKIFACRYQRATTIQQYSRQAAKPATEEESA KIKMSPSEFMDYNCNYNGALEWAREQEKNQEKDVEVSVIGREVKAGAQEVSV TSTA_099350 MFATATESQTITLQGQNGPMDLDGQPTNYNDFRDQLNRDGYAVI KGAIPRDRADKYADAFWSYIEDFGLGFKRDDPSTVKRDMLPVINEKGMILNYGVTHEQ WVWDIRGEPGVIDAFAKVYADDDLIVSFDVVNVGFANREDLPANKPWPHQDQDPSKPG FRCLQGLVNLNPCGPNDGGLIVCKGGHVLSEQFHREMADEPRIPAWTSEWYGFTDRGM KWLSDHGLKWEKVCAEPGDLIVWDSRTPHYNVPPTMKQDRLAVYTCYMPVKDVSQEDL IRKKDAFEKRLGTTHWPNARHVAPNNDAMRDGKLCPKNRTGPVQDPVLNERTFTLTGI PYIKESA TSTA_099360 MAKAVRAASKPGKIHMLTPIDRHPRVLTSSIQSRLSNSILVREV VGMILFGLCIDRFGRRVGIILTTLFLVLQKKTVTGGQYTVYTAQALESADNGGESLQK RRGCLVAVSTNLAIVAGFTFSSIVPLIVIAAYNNKASDEIWRICFGIGIIFPLTIFFF RMRLLNSTLYTKHAIQHKVLYILALRNYWRLLLGGSMAWFLYDFVVYPFNPLAPTLVS GFSKQPTLPKSVDGAC TSTA_099370 MLALKHLLAGLAIVPTLIGAAPVDERCDCEKPAPKVFIISMFAP EAEVWWGIPEFNLLAHNISIPGLSPLFPHVHCTENHEICQLITGESEINAATTISSVA FSDKFDLTSTYFFIGGIAGINPEVATTGSVTFARYAIQVALQYELDAREIPSNWSTGY WAQGSYGPNQYPESIYGTEVFEVNVNLRSKAVAFARKGNLTDSAQAASYRAQYLQGEG VNIYQAGAKPPSVVECDVATSDVYYTGNLLSEAFDNTTRIFTNGTGTYCSTAQEDNAT LEVLTRAALHKKVDFARIIVMRTASDFDRPYPGEDAAYHILYANQDGFEIAIQNIYRA GVHVVQGILNEWEETFKCGVKPDNYIGDIFGTLGGNPNFGPGREIALKEGGALLTKRG SSVKKMRSMRKKGVVLRK TSTA_099380 MAFRWIVNAGLIAIPIGSTLGVLFGIDASRHAAGQAPLFSPPDD GTGSGGSGSGSGSGGSGGSGGGNIPPDSTGGGHGGSHSNDTELGVTVSTYCSLTYGIL PPAKGGLNYSLNPNQWGVLAQDGPDAPSGLCMNVTTFNNQTYPTKTSAPEWTVTWKYD MGPETQPVHAYPNIQVLDGLPVTLSDVKAVNFDMAWIYNLGNETKPTSDTPEFDSISL NTNVAIDMFFDGNETNASNSSLAAYEIMVWFAHYGNAAQAIGNSSGIVERKIINQTEF DLYHGSHIIDGKTQNVFTWVASENIESFYGDISPLITELTSKTGTEYPKESDYMGSFG FGTEAYSANQFVTFHVPRLEVNIQT TSTA_099390 MSGYHSGHYGGSTSPYGNNGNGNGNGNGNGNGNGNGNGNGYYGN GNYGYGEEMVEFDVLHHGHGHGNDPAYMNSMNMSGYDDRSMVRSPPKPVRPGLQPAEE FVSPVGTPLGTPGGQSGWPLTNRRGHVDSFASVSSTPAGSRSTTPAFVRQYQNNQSFQ SHQSLTALVDQPPSPLYAKDWVQQGSIARIADRDDVDIWKGWRRWVYNFVPFLTFANM AIYIFYLGLRIACVILAQKAERSTFAGAWVFIALELATAIPSMLHNCWTMFALKSRLR PQLRLTGDDCPTVDVFITCCGEDDEVVIDTVHAACDQDYPMDKFRVIVLDDAKSSSLE ASVRQLALTYPNVHYMARTKIPGVPHHFKAGNLNYGLEQTRLMPGGAGQFMAALDADM IPEQQWLRATLPHLLIDPKMALVCPPQLFYNCPPADPLAQSLDFFVHVIEPIKDALGV AWCTGSGYVVRREALDDIGQFPLGSLAEDVATSTLMLGKGWKTAYIHEALQFGTVPED YGGHLKQRTRWAIGTVDTAFKLKFCLWGDAIKQMTFAQRFSGFLYATLSLYTILLTAS LFAIPIILIWGRPLVAYANMEQLKWLVWACFASTMSNRLCEFALFIPAGYHTGQRGSR YGMWMSPYLALCIIRSFFLPKWLGGQTQAFKPTGSLGSALEERDPKRRKGMVRRLWTI LMNYMALFHLAFVYLTIVAVCLSSYRCFFQENPNNFKNVFLCLITHAFWPPLTFLFVI TSLWTPIAYAIDPPSMPDREELLQRDPKTGVAHPTPQSKKIAFAGQEAWFELEYTFAT AFTIFVFVYSFFYL TSTA_099400 MTLKGHCLCGAVTYTIDVDKPLLTGYDHCDDCQRQTGSSYSLVA VVPKSALQINGPTKSWAGKGSSGKAVHRIFCSECGSPIAHDPDSAPEIIAIKAGTLDS EIKKTLQPDTEIWTVGKLPFVKESLPNAFEYMPS TSTA_099410 MGTIPSEAAPAYEDLFAGNTSGTKSIYISINMANPKEKKKETDT STAQPATASSNAKNDAQISGIAAQCSL TSTA_099420 MPKPFLPVPNSTLPFWLTDRSPLDQIRSTPDLPLESDIIIIGAG YAGISLAYHLLTSDPSSSSEAPTITILEARSICSGATGRNGGHVRPDTYSLIPLYINR YGLDAAREVAEFELSHIKAIQDVIRTEAIDDCDFLLTQNMNVFLDQERGNATKEAIEA LRKTGCGFVDDIFQIPDRDAESISGVKGAKTAFSFTAGSIWPYKFIMGLLRSILKHGG DRVNIQTTTPVTSVTSDGSIWHTIATPRGTVKAKKVVYTTNAYTFGLLPEYEPAIIPA KGMVAHIVSPTDGKGKRPPFLSQTYILRPDSSDGADYMIVRPDGSIIIGGAHQIHTFP EKGPEGNSEWYGNIDDASLIESTKDYFEGYMQRYFVGWEDTGAVVEGLWTGIMGYSAD SAPHIGAIPSRPTQFIAAGFNGHGMPVIFLSTKGLAQMILHDKSFDDTDLPRIYKSSA ERLDAIKRGREGGDILQSVTVSSSE TSTA_099430 MISASVQSWSSFPERHKRQLLMATCYTNFNVKDMVPSALLLPSV GATEHITAADDAEYVRSRMLLPVLDIEQHNVIVISHSYSSIPASAAARGLSKADRIAE GKKTWVLGQIFIAAVAAKGGDGLDLVANIGGHMPPHIRVDAVPYAAQTMMLQATGKEW NTWEIETGHSPQLAAPEKLADILVQIAKMFEAL TSTA_099440 MFLGLQLIPTNAFSPIRTSIIGELMEAHYNSASMEHGKGSDGRR KRIISSSYGSPSLIKDHRRRFRDQLISISEKLQQEIIDAVAQQATFIETNLNTLRHEN AILESERNPEFQKRLETEVTRVKGEMRTLIAAIDDLSIV TSTA_099450 MVIKHISEPVSERGTPSPVSFNTTGVVSYPVSRSTNQYTPSTLR YRDPDYPLPSIERDPASTSAESYSNNSLATNIPSASSYAEALPEGSECGSLVQRFQNL KIPSGLQQAISSPQITASQRERSAASPSPSIHITPTASDTNSRHPTANAGVEGLADGI SVAQMRHNHQRAQSAESNIYLSHDDSADGSQIIGRSTASPSRRRRSGSGIPQPTHKVE DEEPPQALFYETQFQEALTNAKNVTARLARVLSEVNSHHENDSSIQSLCQHATRLSTF EPPSRRIVGLVGDSGVGKSSLINSLLDKIEFARASNSGAACTCVVTEYHFHDKNDYSI GIEYFTVQELRTQFEQLLRVYREHKSMTVAEAGEDLRQETEAREDLRQKAELAKVTFQ ASFRRKLDDIPEVLSDLPYDQAVNTMMAWVPEVAPELGTRVGETREAFEDINECSNRL RILTSEMEEGGQACLWPFIRKLKVYMRAYILSRGLILVDLPGLRDLNYARKNITERYV RQCDQIFAVAKIGRATTDAGVQEVFELACRASLLNIGVVCTQTNEAKDDWPAERARIS RMEEDITRRREELASLEEDISDFSQQHRLSTQDVQIFLEIQQERGRAVLKRHIMAVRN QKVCSDLRERYSADPNMPTFCVSNTMYSEQRDKPVQESLPFLNLSGILELRRYCIGIV AESHLQAATKYIKREIPALLGSIELWIKAGSANTNEEGKKKLTDVVSLVQNELDRLTS PVSRISDITDQIMSKFDDHLRHYMRVY TSTA_099460 MKTIGRGSWEGWVKKHYGIESLPYLLEKEIFQSDVKAKIEFPEL CHSSPSRDAQRAASENNAARSVAEVLEEVASREDVIESDGEGPTNIAHFNHDIANAST PAEQPSDIISLYPMYFPCHAQYVILSRVQSVLEECCFEFTRKWMPPTLEDGGWDCAAA IELTKWTTRLVKNSEKLPQHAFKLGEAPLSEVLFATHKLRHTAVHRLPATCRGVETLV KSAVSFAETLQDLLRTAQLQDLQLETDSKIKAMELNKNFLEDALSQELQKIQQQREEL DMQERELAARIICEDRDNKSLIGNLLENSVNRLFDVKPVKESVHDVDQISDVEEKHKL TSTA_099470 MVQRIIPVQSPLNADFENFVHETLQKWHVPGMSIAVVDGEHTWA EGYGISSFPSTPVTPSTLFFAGSTTKAFTAAIMAQLVEDNKTYPQVQWKTPVNQLIRD DFVLADEYATQHITIEDTLSHRTGLPRHDQSYGNNLSRETAVRELVRSLRYLPLTAEP RTKFQYCNLMFVAASHVIQTVTGKWLGDLLSRQIWKPLDMKATFFSLEDAQVAKEDLA RGYSYPLFDEDDDNDETKYKEVGWMSLNEISGAGSVVTNVLDYAKWARSLMYKTGPLS SETYKAIWEPRTVMPADPDAPFTGPSAYTLGWWTGVYKGYQYYEHTGGMNAFGAELIL FPELKYSVILLGNTAGTSNCAAKKLAFHLIDEKLGIPAEKRFDWDQYNKAIIDRAKER DQNSIKHLYPSLPSPRLPAILPLSAYTGTYTHPGYQTLTIYLDQAENILRADRTYTTW SESLSFEHVSGDYFIARSVHDGDLGAFVPTVYPAEFVVGVDGISQKVGVGFEEEMGKE GRIWFERV TSTA_099480 MSPSELAQLKSVNSSRPQLSHQNSQQSVAASDDYFSLQSDQSSS SQSTSSKVTVLRYATPQSHMNHSSSSGQHSSANVAKSQSQSQTQLGEDSMKSVQKLPA NTSAQVPVRSNSVRFENIKVDNISPRQTQIQDPRKHISATTPGVDDSPYLRFAIDQLT RDEEVAGVGRHGSVVSTSTTTADYPVERIVPDENLGYYYRSGPTTIGIGVKPGSRQGP TTTATLSEKQAGKAVFVAVEPEVQDVRHPPLDFVPVVLRLWALAIYIFLVLWMIAAVV FCNVWSQRHSGIWDWDGVGTSQYFVTQYLPQLLGALIVLWNLVIQAAIYRVMPFCIMA SERQKAGVLQNLSILSRNHLMPDFSHFRYGEPLVAFGLLAIWLTNFFTMPLLACLFQA KYYTINSHGAWRWTASQAVGWTIVVIYALLVVALSLIMARFIRSWTGLLWDPVSHADL IPLIQRSNILRDFEGSETSPSVQEILPQRTLRLGYWRLMDKEDIFYGIGEEYATGDMP TPASPRRKEKRPIARTGPVEDLEQQSILRNESFERTLYSPFSRFRWTVWFLRDFAIIT WIVIVLALFIAFVVVSFVHAAIPHGFVPLVPTLASSNGFSASNFLFSFIPALIGNFFF LAWQPIDVYHRALQPFASMSTPEGSLAERSLLLSYPACLPFEVSFLALVAKDYQVAYI SLVSILFLALPILGGGVFVALWYNSRNEVRIAADLPAFYVLVAFCGLYALSYLVIWPR RRRYLPHDVSTLADLISFFHQSPLLYDQVLREPRTKLDLVTRLVVTPPNERTQPLYGF GVYKGLDWRDHLGFDRLTRPGRADMLITTGKLKS TSTA_099490 MSWLLKRWLFPPEEKSSSPAAPSTATTATVENNDTTKQHQQQQQ LSPTNTNTNKPPPLIPNNAKLLLSGLIFFSLSALITRRALIRKHLSARPPFYTTSMWH NPTVSGGMDAFEALNIATINTLSIAMIAAGATFVVMDIDSVESARRRYREKMGLDGAG GKGVKPTKEEEEQFEGDMEEWLENVFDKKDLGEVRRKVEEAKARDREKREGK TSTA_099500 MAQAHRSSAYDDRDRDGSMYDDRYSRSRSVERGHYGDHAPRGPA ASHRRPDYSEDRDYRAGRDDYRRSSPSHRGGGRGYRDRDREGYRSPEYGNSRSRSPSR SRSRGRGRDRDRHRQNVQESREVIMEGLPVDMVEEDISNELLEYYHVQGLEDVRVIRD RQTKISRQIGFLRFYSLNDSRNFMGQNAPTIYLSGRNPGNDDHGAKVRISFTRERDDR RGRPEGEWTCRNCSFDNFSTRHKCFRCQADRAEAEMTPDELPARPSNIGDNDVAPDNT PSQFLLFRGLDTAVTEEVFSKGVTKLYKPTVDDKQNPETAPKKAKVASTTGDSNLGAR EGSLRRVLLVRDRRSNESWKFGFAEFATIEDAQAALAKLNSFEKFTIASKPVLASYIH AGVFVPVLNPTPSTARFTFCPLNNPAMKLAYWDEDGYVTELVVSTEDLDKKPKTDSKA PKESDKARKRKAEASSSVGVKKMAVPSHLQFWSNRHAELHGIQKKDDEGQEAGKESEK ESAAVTAAPPSRSYADLNRNCCYLCMRQFKSSAEVNRHERLSQLHRDNLNKEELVTKA LAKLEKHKDDQPTTEYRDRAKERRQAFGSTRQTQAKVKPAAPAKRDDEEPAVVPAPSK GAALLSKMGWSEGSGLGAQGTGMTAPIATDVYAQGVGLGAQGSKLGEASEEAARNTRG RYDEFLEKTKDLARERYERMP TSTA_099510 MSSKPVNRAWWKEASVYQIWPASYKDSNNDGIGDIPGIISKLDY IQQLGVDILWLSPSYKSPQVDMGYDISDYYSIHPPHGTVEDVDKLISGCHERGMKLLM DLVVNHTSDQHEWFQKSKRSKENNEFRDWYIWKPPRFDEQKNRHPPNNWVSHFQGSAW KYDELTEEYYLHLYAEEQPDLNWEHPPVRKAVHDIIRFWLNKGCDGFRMDVINFISKH QDFPDAPPMDPDTPWQWGYAYYANGPQLHEYLQEIGKILQEYDAFSVGEMPFASSIDD VLKAIRFDRKEINMIFDFEHVDIDHGKFDKFAEGDWKLTDLKRILNKWQTFMYENDGW NALYWENHDQPRSIDRYTDASPEYSVVAGKMLATCLALMAGTPFIYQGQELGMRNVPT EHLATEPDNLQALNSYRKQYQKKSRDNARTPVQWTAGPNAGFTSANVKPWMSINPNHT QINAENQLPDPTSAYNYWRSVLSLRKKWLGVFVYDDFVLVDRGNEQLFVYTRQYQDQK ALVICNFTVQMVKWGVKLHMLAEVKEVLLNTYGVSENYLGEET TSTA_099520 MTKATFERFSNNTNPKWWKDACLRMNVFYCIGCMMCPVYLGYDQ SLLVGLQALPRWKEYFHSPSGPMLGLITSSIMLPAIVFGFPASWICMRWGHRWAIMTG CILTIVGAIWNALSLSRTHFIVSRLIAGAGGTISKVGAPALLHETAHPRLRSPLGYMY FACYYIGSILSSIMSTIGLYIEAPESPRFMVSKGHNQKALAMLARHHANGDENDALVK WELQEIESSLEDEAQNHKSSYLDFLRTKGNRKRLAVAAAFAIGANWLGNGIVSYYFTP VLTSVGVKKPIQILSVNLGLNIWNLILAQYAGFNIDRFGRRPLLFAATVGMIFSYSFV MGFSAGFAETGSKALGICAIPFLFFFFGFYDVAWTPLNYSYATEIMPYHLRTKGLAIY NTIQQLGNSFNQFVNPIALQAITWKYYGVYIAVECLYVVLIYFFFPETKKLSIEEVAL VFDYGMKDGRVKAAANFRDEFVDGGDVLKQVPKVEHKEFLEKHECNGGTIRENRI TSTA_099530 MNPYPTVKLLNCIATMIVTKIEIFDCEVSLVGFNPVMVRVHTDE GISGLGEVGLAYGAGAKAAVGILRDLARFVLGKDPMNVEAIWEEMYRRTYWGMGGGPV IYGGISAYDIALWDIRGKVLKAPIYQLLGGKTNDKLRTYASQIQFGWGGTPLLAVHPS QYAEGARLAIAEGYDAIKVDPLQVGRDGSVTPDTGVKRQYFGLLRHDEIQMGVERIAA IREAVGPNVDIICEIHANLGTNAAIQFAMALEPYNIMFYEEPVNPLNANNFARIAEKT TIPLATGERSYTRWGYRELLEKQALAVVQPDLCLVGGITEGKKICDLANLYDATVQVH VCGGPVSTAASLHLEAVIPNFIIHEHHTYALKPCIRELCVNDYQPQQGQFTIPNAPGL GQELNEVKAMQYLVCTIESNEKKERSETAQPTQGEYNY TSTA_099540 MSSPDSFEPFSFLRTGAVIQSWDIEGTNIVLGFPTEELYQSHND YYFGATLGRVANRIKGARLTNLNGRTYTLQPNEGNNILHAGVNSWGHRTWEGPTKVAA CKIDGLEGVTGEQSVQFQLLSEDGDEGFPGTVLATIVYTAGWQITSQGERVSVLELAY EAELIANAEETVINMTNHSYFNLTDTSTITGTQMSTCTTQHLPKDSENIPISGPAPFP GLEAEKPFTITESWPIIDHCFVVNTSPDTVPLDTRSLALQKMVETYHPDSHIHLEVWA TEPAFQLYTGENTNVPAVGGCPARGARSAFCVEPERYVNAPEVEAWRRMVLLRKGEIY GSRIVYQAWKDLRA TSTA_099550 MSPRIALIGAGSAMFGLGAIGDVLKSKVLAGSTLVLNDINHDSL ESVRKVAQNHIEKNNLPFTIEAYTSLDKTLKGADFVVISIEVGNRHELWEQDWKIPMQ YGIKQVYGENGGPGGLFHALRIIPPILDICERIMQICPKALVFNLSNPMVRIMHAIHT RFPEMKVVGICHEVYSLLEHLPQVLNTPLDNLDIKAGGFNHFSVLVEAKYKDTGKDAY PDIREKAPAYFEKAPAVFGYIGERRLFQHILKKFDHLPITTDSHFGEYIPWAASAVDH RGIMEFYNSYKSDTTGNLAEAERRVAEGTPASEYWRVVPIIEGIVNNTGHFEMAVNIA NDGFIESLPRNQMVEVPATIDKDGIHGYKFKSYPKAFAGLLRLQMPVNEMTTEAVLSK SKKAALQALLLDPVVDNVDKAEELLDTMLSLQHKWLDYLK TSTA_099560 MANFHVSQRGAYNLKYRDNFEAQSARHQELWTPTNPNGRIFMDV AENSLLHDEVADFISRKIKIEPNDHLTYGSGPRGSLRLKKAAASFLENSFKGRDPVKS EDILVFPGLAGAIDAVTWAICDEGEGVLVCLPMYNGFNVDIPTRTGAVVVEVPFHSVD GYSGLEDVFDPDTNRRALEAAMDHAKKNGVSIRALLISQPHNPLGRCYPQETLLEMAA FCGRNGIHLISDEIYAHSVFDNPALHHWPVFTSILALDISSHIDKSMVHVLYGASKDF CVNGLRLGLLYSRNAALLGAISTNCVFSWVPHIVQDIWASMLEDHEWRKTFFAKNQSI MANNYALVIKFFKEQDIGFFHMNAATFVWVDLRRYMLPRNMRSRDAYPMLQVTDPNVG LYLEREAKVIRICSRNGVMIKPGSAYKTEELGWFRVTFTLPEHVLLEGLRRIRKSLKE IEKLGGDSTRL TSTA_099570 MEEKMHDSGQTIEHDPKPAIGSTEETFVERQDLRQGLHDRHIEM IALAGCIGTGLFLGSGLAIHNAGPAGAFLGYSLMGIAVCAVCLAIGEMGALVPLSGGI IRYADLWFDPALAFANGWNVVYGFLIGTPAEIVAASVLVEFWVTVNNAIWITVFGTII IASVIVFVRVYGELEFFFAWLKILLILGVNLMALVITCGGGPNHQSIGFRYWSNPGPF NQYLGYGGSLGRFMGFWTTLTNAAYSYANIESFTYAAAETRSPRRNIPIAAKRIFIRI LLFYVISILMVSLVVPSDSPELLHQTGTASQSPFVIAANSAGVKVVPHIINAIVITSA WSSANSNMLYGSRAMYGLAKRKLAPKAFLRLNRFQIPWVPVTLLGTFIALGYMSLSST SSTVFQWLQSLVSISTLVNWIVILATYLRLYYGCKAQNIDRKELPWAAPFQPYFTWVV LTFFICIVLTNGYTTFLHGHWSTQTFVSSYLNIPIVVTLYFGYKWIKKTKIPGLAEIP IREFIDNAHANPEPLRIPKRGLQRLNIFWS TSTA_099580 MADVSAMRISMTRRRITVSYSLRLSSSMEANFPSSESLRPVSWP SARPAVVLEFVRNASHMAQRAVIIAQPSVVPAPGSRSTAGVRASASSSFQPVQDSSPH TGYREVADHETILQLADFYYRVVYPILLYFHWPTFSQNLCAKRYSHDRSLYALTMAVC ATASARIQEKAPLPPSSLNLNFASIPPSKVFYQECMDTLNQLKEVGPDFNIMRTEALL GMLDLQYNDLGGCLGHLHRYLAMSAETGFHDEANWPSTLTEIEVQERRRLFWQCYHFD VYLAVTFGCPMRQRESYCCVRYPTEVYDDEEITETGIAPITNGAVSFIRGWNFVTDLY RVLEHMNELWRARETDVTRPGSNLGLLFANLNANRDRVSENDMLLTAQQDWESLPTEL KTARPMTGDIIGDRYGFQAANIIITMTTVKMVLTRSEDHTLAQRCAMAGELLDNLSSI PTIYVQATSTAMLHHLAGVGHLLGSITHSPLSPWMYLQVRSAILAMADLIDSLQAALT PDVNISLKLREHVEQTDRAMEEVRKTNTKNHVLHSALPAGVSCIAEKTPSQEDDQDVA NIVNKRISNADHSYSTNPDPRSLQPPIIYPSSGMPTTTTSWPSGPSPPPLQFPTDAFE EWPRDFGCGSAFYYLGDAGLGGIPDITDSDASLV TSTA_099580 MADVSAMRISMTRRRITVSYSLRLSSSMEANFPSSESLRPVSWP SARPAVVLEFVRNASHMAQRAVIIAQPSVVPAPGSRSTAGVRASASSSFQPVQDSSPH TGYREVADHETILQLADFYYRVVYPILLYFHWPTFSQNLCAKRYSHDRSLYALTMAVC ATASARIQEKAPLPPSSLNLNFASIPPSKVFYQECMDTLNQLKEVGPDFNIMRTEALL GMLDLQYNDLGGCLGHLHRYLAMSAETGFHDEANWPSTLTEIEVQERRRLFWQCYHFD VYLAVTFGCPMRQRESYCCVRYPTEVYDDEEITETGIAPITNGAVSFIRGWNFVTDLY RVLEHMNELWRARETDVTRPGSNLGLLFANLNANRDRVSENDMLLTAQQDWESLPTEL KTARPMTGDIIGDRYGFQAANIIITMTTVKMVLTRSEDHTLAQRCAMAGELLDNLSSI PTIYVQATSTAMLHHLAGVGHLLGSITHSPLSPWMYLQVRSAILAMADLIDSLQAALT PDVNISLKLREHVEQTDRAMEEVRKTNTKNHVLHSALPAGVSCIAEKTPSQEDDQDVA VRTLLTSVFQTPIILTQRILTPDHYNLPLYTPHPECQPPQHHGHLDLRRRLFNSQRML LKNGPEILGAEVHSIILVTLA TSTA_099590 MAYRAETRANGHKLTQSEEESLVRWILDLDKRGLPPRHSLVREM ADYLLSQRGNQYVGENWEYNLVKRRPEIESKFSRKYNYERAKCEDPKIVQEYFDRVRE VILEYGILPEDIYNFDETGFAMGLCATAKVITGSDRYNRPNILQPGDREWVTAIEAVN SIGWALPSYIIFKAKKYTRLGWFEDLPDDWKINISDNGWTTDKIGLEWLKTHFIPLID GRTSGKYWMLILDGHGSHLTAEFDHTCTKNNIIPVCMPPHSSHLLQPLDVGCFAVLKR HYGQLVEQRMRLGFNHIDKLDFLTAFPKARTMVYKAQTVRNSFTATGLLTVRLKTPTP PPSRSSDTQSSCLQTPQNPRQFKRQMTTMKKRISWHTRSSSKAIGEVFTRASKAYEMS INKLTIAQKELHDLRAAHEKEKQKRQKSKKQISHDHGITREEAQALVQGQIEASQTVT TAPAEPELPVSHLPVRRQFRCSGCGIEGHKITGCPNRARS TSTA_099600 MFMCISRFEIEFEQLALLEEYISTQEIQTRTKKKAANKVRDSKF NLISDTEKDPWDIPNQPTQPLTAVALGKRPQQTAPMIDLEEEEEEEEEEEDEEENEED GTHLFVLFVEPEIQLQSLGPRTWPWQFRTGSTLEGRDSYMSEYQSHDSALLHPGAEAS TYVRERDNVIPSARTATSYIATMTPRGPLLSLS TSTA_099610 MTTDNASNNNTLYDSIRGTLETLNLPNGPLIERILCMAHVIQLS LTELLGKMEAVLKNDREKIDWTEADEENQPQQENKPILHTLNKIRQLVIYVNRSSTHR EHFLNLQAKEPKLVLI TSTA_099620 MLPLRRKSRRWITVVAPLIGFIVLLQFLRGPQWFIVTRSPSQLS PRGASSLHDQPHDRVIAPTKQARREQQPMKVQPEQQQPKFACPSLPGIENVLVVLKTG VTEALDKVPVHARTTFRCVPNYVVFSDFTEKIEGVQLYDVLQNVRQDVRMTIEDFDIY NRLRVSGRAGLQEADSTEEENGVFGMPNNPGWKLDKWKFLPMIDEALKAKPDAKWYVF MEADTHIVWANLVAWLDRLDPEEPLYLGTETQIGDVLFAHGGSGFIISQKAMKMVSKQ HAKNATAYDEYTNEEWAGDMVLGKVLKDAGVDLTFTWPLLQNARLGEIEPLTNSFYRQ PWCFPVVGFHHLTPQDVEEMWKFDQDWFIKRKRKYLLHSDVFRERILPQMRPGIQRGW DNLSGDLVEDIRVENVNDCRRVCEEDGLCRQYSFRWSEDPDFEGEMRPECRTFESPRL GVPREGTQSGWMMTRIEVTSRARGKCETVDWE TSTA_099630 MSTETESNYFNSYPPPKALQRHEALTRAFVQLQLEQNRRVVLVT SGGTTVPLENQTVRFIDNFSAGTRGASSAEQFLENGYAVIFLHRQFSLLPYSRHYSHS TNCFLDFMDEDPVTSSIVVRKEYQSSMRDVLRKYRYAKQNNLLLVLPFTTVTEYMFEL RSLAHLMKPLGANALFYLAAAVSDFFIPRDRMAEHKIQSSEIPAHIGQHQPIQDDEVY TGYNEDQPGDVEKTEYKSKKLVIDLDPVPKFLHRLVDGWAPEGSMIVSFKLETDPTLL VYKARTSLQRYAHHLVIGNLLSTRKWEVVFVTPEEPHERWIRVPKSKRSKSISGSENQ IGLAEKILHDQEHPDQPEEQQKEEKGESRGENEEGGGGVEIESLIIPELVKLHSKRIA SRSTT TSTA_099640 MTPTPEPEVHYTVFVRLPFPRGDFIDPPPANWNLVKDRALWEIL SQPSKGNDIDWKAMYDTPDANYKYMMILTINLISADDFNVTLPFLLQQAAWLYDRQLS QVRAQMLKVGNTHSQSPSPAPGNTLGSVSGSGALGGQAMRRAGSGVSRTPSRLSAVQK ESQPSRVESSCTAVKAKAPSVRTNSANTVTQTQITSETIEKPVATRPTPLAGRLSRRE RPSLAVLQHPPQTTQVVDDSPPLSPASDTDSDLVDDDNDDEGDVVTSRRFPGVRRFGK YSMHKPSLRDDLDDDDDDSPAFLPLPRENEAGAQHMNSTLRQQSNVPETSRRQMTSYT PSHRRELVSLDSSASSGVAIGSPRVGPQRPTEPFSPRRVANLSRQSSRQPGSANQSSE ETPSMGSSFSDLDDASVTQSALEEALLSNMQHGGMASRMSTISQALRSRYL TSTA_099640 MTPTPEPEVHYTVFVRLPFPRGDFIDPPPANWNLVKDRALWEIL SQPSKGNDIDWKAMYDTPDANYKYMMILTINLISADDFNVTLPFLLQQAAWLYDRQLS QVRAQMLKVGNTHSQSPSPAPGNTLGSVSGSGALGGQAMRRAGSGVSRTPSRLSAVQK ESQPSRVESSCTAVKAKGNTTFVFENGQGILTVLAPSVRTNSANTVTQTQITSETIEK PVATRPTPLAGRLSRRERPSLAVLQHPPQTTQVVDDSPPLSPASDTDSDLVDDDNDDE GDVVTSRRFPGVRRFGKYSMHKPSLRDDLDDDDDDSPAFLPLPRENEAGAQHMNSTLR QQSNVPETSRRQMTSYTPSHRRELVSLDSSASSGVAIGSPRVGPQRPTEPFSPRRVAN LSRQSSRQPGSANQSSEETPSMGSSFSDLDDASVTQSALEEALLSNMQHGGMASRMST ISQALRSRYL TSTA_099650 MATQTEPKSEIVLYDLACVKGICFSPAVWRIRLMLNYKRIPYKT IFLEFPDIEPTLKELGVNPGKTVDGSQSKYTVPTIHHIPTNTYIMGSIAIAQFLESTY PEPLVPLTSELGREIELKSRSLVGKTFSNSITPREIRILSPRSQEYFRRTREAAMGCP LEDMLLDPKTEEQNWLAIDADMRAVGESMRTNKAEGPFVLGAQPTFTDFFLAGVLQSA KMVDEGVFQRITKYPGFRDIYEGCRPYMEKND TSTA_099660 MATRRTTSMSLSSTDESRYRKEVLRLEEAETEDNIEERLVAEAS ELGLKIPDIQIAASLAATINAGILDLTIPAPPSASSSSTTGLTRNRPSFTHPRSFADL VRSSVASIDQLAGSLSETTISDRDHSGSIPSIDSLSTRPTSISSCDVRLLPPQSAPHE RPSYSQSPQQISSPSSPTSTNTSISAFRLSDRKRSSFISAIGRPFRKRRTPSSVNLPP NAHISLKKNSGGRANTVIVETNPVKTVETERPEKSQGQGTLQVEVPVFDEAAIKRTKE DGQLKRLLEKHKAERARFVQFRNELLDSLKTAHLAVIAEKRLSNDRIEEEKKEWNTDF LARMEERQLSVEIDQIKEFERSKRNSQTRIKYMEGYVNTSSPPQSPRLSGAGSGAFES NSNVAQQQTLRMVTRRQKEQLAQEYLDRDSMDRLHEARIKVLRERQEQQLQETTTRLE RELDTLISKNAEAVAELERDHQRAEQEMLQVLDAKKNQIRRRWNIEEAILRKKLEDQT GLPYGPLPNVSFSVPDDDDENDGDASYRNNNDREFLELEIPLLENPFARKDS TSTA_099670 MDNETIANIDSSLESLDSEISKIRKTIKNFQDTRRLLSASLLSS HYVRQEIEQNTRTGSGTTTRFALKEVATLMSDALKHRDTNYHRLAFSTTLFPWKDPNP YSESPNLLGLRIDVCVRNGTFAKPYYVLLQRQKESGNALSSTLQREKRSSMSLSIYRH TIPPFIPMDKLAHRYLPQSRLSRRQGEDADEVEGLKSKAKTRKQDINAFARHLRKELA AWYARRDSISWLQERFGVTDVSHGTDGNSGLTEDDRHRTTQAGGLVSLAATSLEARYV RIEWKDGRVGRFKLSNSGLVERAVVIGERGRDKQTELVLMGGDKRVESLVTRLLTV TSTA_099680 MSDKQNVAKQTLLPAPVPDPESSPEQKDTKALDDLWKEAVNKAQ KENGINIAAISESELDNLQQPDRRLQKASELFAKSRHPEDRKTKVIQAVSGCLDWTYS GVSFFKDHVSGTYAAPAQIVAGSVLYMIQAARNVSEDFDLIESTFVELHNALLDIGDL KARKFRESNFLNRLMDIFIAMLDFCLFSGKLFNEYRRRRLYMRALLHGRNKKIMARCE KVKTTILVFRATIPFQILDAVKGLDTKIEDLPANVLDLLHEKIKPSDLQALWGKSTSL DKITKTFKDNKDLDPDIIVPIQMHMDFLKAHIVPGTFSWIEDEPVYKSWMSGHKTPVI YVSGTTGTGKTFFSYRCFASLQEKAKKDRLEGGKNNPRQTTIVTYFPFEPGRRDSQSF RNVLAYILIQIADSDSKLGDSIAKDLAASKIAKEENNEKKLNFMWEKLLVKKFEKTPD TSRVVYIILDGVELMDNADREAMLRLFQTLNSDKDGVRILMTGSPDEDIYGPISKYTD CPKIDLFEKTRSSNDLAKLIDWHFNGSEVLKSFNDSIKETIKGRLLDSPERVMSSVDL TLTMLEQCDSDKSALIKLEHVKGQHGLYTAMIDTVCARRSPRDREIVEKIFALCTFAK QPFKVYELEQLLEQETAISSFDIGDEIQESTAVPDKETENENADAIAAKLRAEKDKQK QVRFRQPAFKDYLEQSSNNMIRDPIRANVDIFLKLVGILCDQVPQGAASRESLQEYAA EWLIDHLNDISAKDTTPQQGAQVVEALMRILYNDNDVSRVFEEISRRKNENQEVQYDM DFYFISTDTALSNQNLDLLLSWARKMSFHDEEKLSSRAQEGIEKLLKDPRDVLEHLAR GHLARWTEKMTYREARISYNLIHQALWQKPTDIKKTRKLNPEHVGTRFYESRVTTTAV KAIIEYGKNEVYKNPVEIARCRIAAALILHHDGLDETRQLSVELYETNLAELTTLGPE KFYSYLGMAEYHRALLETSSTPDEEEKKATWRRVLRFADKALIERNEEKGALGSELRN ERCIQAYLLKSQALTQLGLDAEAIATCGEALGEDLEYTDEILEFLKNIVDIHTKKGEW TKVIQAVRRQRPKIRSEWLWNRSDNFTDKKDSLRRAAVETRRVDFAIQIFEEAVEYWQ TRDIGHSVATQFELAMVYRADARATKMAEIEIDKLITRLVENRMSLWLVDRIFPEMID IHYENFMMAESKDVKRQIITQMEKIIDRYEHMQIVESLTIGRALLVLAEMWRKFDGEL KAKQYAERVFTLCVADLEDSIGANDAAAFRLLAKLLMFANLEIDAKIALSLQFSFVNM SYDDSYSRKEDIVTEKPPESNRNGNSERSGQEQTLQQSSAPQDQSGHEESKSVTQQEV KATTNGPANSVPPTALAQTEDKENATSKQTVKTNDASTFTEEPATNGTTTQAQETEDA SNKRAAETLPSPAPSEDAHAVPTTEMVEPMPVDSAAGPDANTHNGLAAASESQAEPVN APADGSVYQDLLDTEEIVISCSGPLSHSFNNTAESSSYPRKRHSTEYELQDLASRHTI VDVRDEDSDLPTNDAEDHENAGLLEGSSESSRRKQMKRRSREKSSKRGPASSLTIETG QNGHAEGDMNSRLEMRRKDPSVDSPSSAQHANRVMARETFTLDEEPPALPGTPRLQNT SFLALPEQDRRNFLLLVLLYFLQGIPMGLAMGSVPFLLKPHISYGQIGVFSLASYPYS LKLLWSPIVDAVWSRRLGRRKSWITPVQLLSGLAMLYLAGRVEAMLNSAGESDAHVWT FTKWWFFLVFLCATQDIAVDGWALTLISPQNISYASTAQTVGLTAGHFLSYTVFLALN SPDFANRWFRSAANVDPNNGLLSLSGYIAFAGWSYIIVTFLLFFLKKEERTKDKDGIM EVYRSMWSVLKLKNIQMIIILHLIAKIGFQANEAVTSLKLIDKGFGQDNMALVVLIDF PFEIALGYYAGQWSTEYTPMRLWCWAFVGRLAAAIFAQFTVMIYPAASTVPFWYILVV IAEHVLSTFMNTVMFVAVSAFHARISDPAIGGTYMTLLATVSNLGGTFPKYFILKMVD MFTSATCVPPTTTPDPSKLKGDLITSSFSCALEADKNRCQAGGGQCVVEQDGYYITNM LCVIIGAVTFYVYIRPTALKLQALPLRAWRVMAGSGNDR TSTA_099690 MSLEVIGPSPHTKIEKLLSVGPHEVAKHHQSLLPKTEAVTTKQS HLSLTPPAEHQTTLYKRSSSAWNTISWKLEILSWIGSLCLFIAIIVVLKVVDGTSTAG FTAWHPAQRNRRVVGHLWGISFDYAREIRPWADEMAPMASKAANGQFPGFRSSESRAI RKYITYGWSRGGALGMGTPNKIPVELTHPRPLGSFGASLIIVALGISAFTQQPLKYDT VYPHTDEAYMPIAQFMNDGFDTELLAAPDIALFSPAHTNFTAAFISRGGGSSSNCTWE SYQTLGVRSTCKDLSSSLNMSK TSTA_099700 MPRSPSSFAHSINADAKSAASSSPTTTMTSTPSEAEDTNYNYNN NNSLDDIFGSSPDDQDYGQSQFNDQDYERNRTNDSLVSTQSTTTEPSDLPSLRRQHVT AGYRDGIAFSKSEHVQPGFDAGYPVGAQFGLRVGTILGILEGLVSGLESRSSKRGAVK KRSVGNKQVEEHEETTGREETQKIDKLKDMNKRALKDLDVEALFGGLKAEDVGGGNTK EITGEFTEETKPESRLRVKAEPVVAKWEKLVNITKWEESMEAVESMEDLTAEERRGLW KVCPCRVHAAETLFKSETRDVRRELGIPT TSTA_099710 MAQLLRTASLCNENKFKPLESGDLPRCTVLRTGFVHSEREVCWT CSNPMLPNRDPMSPLLKSLGLAKISSIESPMAGDSAEVPYRQLDISGNHYTGNALKAL RLSVERSVPSKTVPLVEDPNLDPFYLERALEGPKQWDTRIDDESDVDLDDLENEYFMS YMTEESHPPTVQCHAIQYQQPQSSPKPACPTTPDWQRTHHNHSQSSCSSIQTDATGVT PDLTPSSSFSSTYSDSDYSGAVKKPTHQEFSHCHRAFRDRSHNTARFYLPAATPDNQS RACTRPNTPIERSAVAALAEYHNPSSETLTMVPKEPAAVAASSLRSKPLPSLPPILKN AGPVPPAKKGHNGGSKSQIDPSLISPPSLVNPVTKEPHMSPFNHALFIPAHDCPSPAP NPTSIPPMIARQSTNASTKGRPSTSTSVAYGEQSVWESDSESGSISGKSQSRCGPIDT LRKVRSRVQLRRIAKSDAKLYADINNNNANRTTITTTTKSAGSTTTTTRTTTVATPCH GALWAISPLDAGQPEPGARLNTRAAFSVTSIPDDLLPPPPPLKQTLRLVAPSTTSLSR LQSSRRSSEKLSGDIDSSTAAAIQAQSRRRQRSNATDEYLPFPKMETLHHHYYCNSHV LPSPESVSSNTASIDQPTVFKRIWGSLRSLDCRSG TSTA_099720 MLNYRSYYFIGLFLPFPHHLAWTTSAVSPVDRGVLDDTHEIYDP NTITNLPRQRQQQQPTLESTISSSFQQFPHPPPPRPASAASDHRPLRRVSPLGGNNLP SAAAHRRVASVDATFRTQRDRSFIRQPINPAFGRRAGTAGVTSADIAPALSEAETEAC ASTTGDSVSQLDELYWPSRSTRVSPRTASAIRWVLEEAIRKPFPFTPVLEELNAPMSE ISGPPGPVGTGTQSRPHNGGSRPVHGPRPVHTQVPAGVRTPTDIMRDRRDREARKKAE KEAHERERVLQEQEQTKQQIQQQYAAATGAAAEEAPAQRRQPNVRQTAVVEPAIYPEQ PTGSYQQTPAAATRRTEASRVPANVASTPRAQMRPPTTSQQGAPAVGGGTFQPPPQSQ PQAKQTQPPQGAPQPGAGDPQPGQAPAQDQLKRTTFPHAFERWETLSSHWEGLTGYWI RKLEQNNNELSQDPLNQQMARQINDLSAAGANLFHAVVELQRLRASSERRFQRWFFDT RSEQEKAREVQANLERQVAALKLERDDAIELRQKADADRKRAEDLVKEMRRELQISKE EARRAWEELGRREQEERDRTASLRNGEPTVVGGVQVLPMIAGIPSRQNSSAARPPTRE GPYPGGPAQSSIGGQHEVPEQDPYNEEPSLTETDPFVESTQRAGHGYQPATTRPPTSS SATIVGGTPTSQPPINTGAYADPSGRFYQHGGSALHNEPEPDARSYVASTEGSELDEE YARNHPDYRQGYAGSEGYDDEEEEPYDPAAYPPGTSAGSSVHGYGQGSVDYSGSGWGG WDSITPRHRHPTRLSDVLEEEDERSRTSPSRASQASRGLH TSTA_099730 MASSLTSLTQSMGRTGRLYARASPKLCSSSSSYLSRSSISQVAT IRYQAQSVRNMTSRNGLRSFSTTSARPAKTVQQMKSRQHTGPFSWKAALLFVLTGAGM IIYFRVEKARLERKRITEMSKGVGKPKVGGPFVLKDLDGKEFTAEDLKGKYSFVYFGF THCPDICPDELDKMAAIIDKVKEASNGAEVMRPVFITCDPARDTPEVLKTYLAEFHPD IIGLTGTYEQVKQVCKAYRVYFSTPENVKSGEDYLVDHSIYFYLMDPEGDFVECVGRQ DTPESATRLIMDHVQDWKREGKKLDV TSTA_099740 MKLFTLLIYYILLIIIPTNAMMSLRKRPRGFVIDDFCKRSVNKL RSWHGPGENLKVEYDQNDDDDDECLVRLFPSNPDHNYHTQFSYSCFDLSRHRKMFLHV KYSGSDAFTISLYQNNGACNPFRAPFPGTMDSVEASRYTTDGGGDIYVPLSHFYIDLK RASSIAFHGFYKDEETVLHKVEIVKHLPRDVDVPRKLPTGSMVLTCKRPNSFAFGIDD GDPRLAQKVMEILDDEDIKVTFFVVGQGLRDPSTNLTEVYSEMIEKGHQVALHSDTHP RMEGLQTEDEIDDEITGGQHALKDLLGIESRYFRPPYGTIGARMRQRLAAHIDDPYIV NWSVDVEDWLWANTNEPWRQLKAFRRDIDRGGNLVVMHYLTWNTVKYFREFIRIAKHK GKKIMRIDQCMMDPDAPDLMSSSENQETKMLRTRPDAKPKNEESIMSPTTPKENYPQQ IHLTSENIYLELTYSPLNTTRILAQINSPSAGANILFLGTTRDTFENRPVSQLSYTSY APLALKTLTEIARATFRDHEGIKGVSISHRLGVVPVTEASIAIAVSAAHRGPAWRAGE EVLEKCKERLEVWKREEFVGERPEEGEWRANRDTDSRGRAVKSS TSTA_099750 MDPLSTEDISCRLEAATLGTRQRLGRAEKYDYSRWAPPTEKPAD GIDPREDVPWASNARKYEWKEDYGDVGPEDEELEKILFRNEFLNRVGVKFDNLQSIKV IVEAAEKPDPISRFADAGLHPIMEKNVALCGYQIPTPIQAYAIPTILQGHDLMAIAQT GSGKTAAYLIPVLSKLMGKAKKLAGPRPKFGEAFDPKVNAVRAEPLVLVIVPTRELAC QIFDEARRLCYRSMLRPCVAYGGGPVSHQRMELQKGCDILIGTPGRLIDFMGQTHVLS LRRVRYTIVDEADELLNTDWETEFAQLLSGGDSNEDADHRYMMFSATFNKTCRQVAKK HLETGYVRIRVGRTGSSHANIEQRIIYAEDQTKMQCLYDLLLSMPPARTLIFVNTKVQ ADRLDDYLFNLGLPSTSIHSDRTQREREDAMSAFRTGKSPILIATGVSARGLDIKNVM HVVNFDLPSYDQGGIDEYVHRIGRTARIGNEGIATSLYNHGRNTDIARDLVKLLLENK QVVPDFLEELKPEGELVFDDDNSDVEEDIDETNVDADPQSTHSGPVEDAPELDEFSTP KQEADITAPTNSDFDW TSTA_099760 MLIKDDLDNGDNVLNVGGELLHHDKCICIGRNTMRLTRLYISFF VYHATSQSLLRFASPDHDVQAISSESEQNDAEHMASHGAQSSFVPLETTEQSSVSPFR VVGQSGVPAMAAALMPNGNVVFIDKVENYTQLVLDNGQYAYSSEYNLTSNTAHGLGYQ TNAFCSGGSFLADGRLVSVGGNGPLPDIDPTVGDGFQGIRYLERGAYYGDWYEPGHTL STPRWYASVQMLQGKELFVASGSLNGLDPMRSENNNPTFEILDQDGIPATGSIILPIL SDNQPYYMYPFLHLLKNGHLFIFVSRSAEVYNPYDLTTSRQLPNLPGAYRTYPNTGGS VILPLSKKNDWEPEIMVCGGGAYADISSPADRTCGRIQPLSENPEWHMEEMPEPRVMV EGLLLPDGKVLWLNGARRGAQGFGTAQEPCFGAFIYDPEQPTGSRWALEGTSDIPRLY HSVALLLLDGTVMVAGSNPMEQPLLEPNYNSPATAYATEFRVEIYTPPYLLGANASKR PQNIQLSQVDLIADGESFFISFTSTANATDLKIALYHGGFVTHSLHMGQRLIYLDHEG FAPGFDEQFVSVFMPPSSSISPSGPYVIYVVLDGVPGLGQFVMVR TSTA_099770 MMSPIQNHSTEADPSRPSLEDAIINPGAVKINVQGAYIVDDQPP TPESPAEEDGVVYERKDIRLPHHTSVVSHVAVDIGGSLAKLVYFTRELSSHSEGGRLN FIKFETERIDRCIDFIKQLKEDHERLNGAAPGELCVVATGGGAYKYYDKLKETLKVDI SQEDEMDCLITGLNFFITEIPHEVFSYSETEPMKFAEPNTSVYPYLLVNIGSGVSMIK VSGPKEFQRVGGTHLGGGTFWGLMALLTGATSFDDMLAMADRGDNSSVDMLVGDIYGM DYNKIGLKSTAIASTFGKVLKLKRSAEQRAEDGSDLGEDPEDTPPVQFRHEDMSRSLL FAISNNIGQIAYLQSEKHQLKHIYFGGSFIRGHLQTMNTLSYAIKFWSKGEKQAYFLR HEGYLGAVGAFLKRQPHNWGRRNSVDEASAATQFRENLAQEIKQTRQTEQEKQYR TSTA_099780 MANGESPTIPSTISLPLLRPRDGIKRESVVATPVTQSRTRVVLA PAHQLPGHLIQLLEEWHRDHPHERPLAAFSKKNSTEEERTYTVLSRDRKQLPLIEEIS ITKPLSYMVYYLPINDGKQTGILVKPLTWGNRICFMKKWLGDYTFQAEPAAVRLMQVD GSFGDFPDDDKWRAATDKKLPSELKNEEEERAIRPSVPRKRSREERENSTSSSGGDID FTTSRPATRQQCRQRASSTHTSAAPRDDGSEGEDELHPTGLSNRGLPIILQSTTHVQR NLNSRTSLPQTHQSGGGRSTLLSSPLITNCPNITTSDSGVDISAATATITSHGVMNST SPPIITFKLRISRTRMERHIRIEDNEEDAEELFKEARDYFRRHDRLIGTPILECVIEG EPDCRCIYNAKELRYFIEELRERRGIVKVTVTQSS TSTA_099790 MESSRHQYKFICVRERIKELQSAPPILVSTTPEELKVFVDAQIY MGITKEPELKDYWDDGLDNNTVHANHPSSAYITQYRYEQLKRYFHIFLPPEVPGGFTT TRYPPEPILEQGLRMSEEQLSGNLKNLYIPLADISIDEAMVRSHGRSSHTFKLPNKPI SQGFKLFVLADHGYVYYFYPTSRTKGVIEDERSYNVYLDNYFTTVDLFKKLRDIQIGA YGTTRHTSAGKDFPDLFKKLKDLSNYVPHHKVCAIPVRGVLCLTTIHTVNKTDDYVER ERRRPQKTSTNGLLVHREFGD TSTA_099800 MAPQPQSLPPVPPPVPVETHPNRAGGLKPPLPQRAPPDPSRLAP EHAYAHSQARLWHDGSSHLRPIDGIFATPASVAALRPPPAVPGTEPRKNDIRKFRDGR RKKRKGVWKKLLWVRQSYPDNYTDVETFLDHLQRNPRLRPYDFWPLVADSTVIVQHVC SVVIFVCCFVGIFQSRISPVSVVGSGSIGTLLGWVLWDAWVWKEKNEAIKAAQFADAG DIDDGSSASSTASQTPAAGLQVNGNAANVHGLGLDLPRSDTEVHLRRRSTGISVASLQ SVTPGSSFLLGQPSFYSYEGNKPLLSPRNRQRLATIKSALLIYCALLGLSPILKSLTK STASDSIWALSCWLMIINIFSFDYGSSEGQADATKFPASLSTNAALMASTVLASRLPS TTHVFSLTLFSIEVFGLFPVFRRQLRHVSWTGHVLLTFALVLAAGAGVGIAMRGGWFA AIVGAILSTILTALAMGSCSWWLISLQKYKNVVIGPWDPARPIIRRNWD TSTA_099810 MNNDLQDLCSLVRTHPIIDNHAHNLLTAENALDYEKYPLESITS EASGEALKQAPYTLSHRLAVKQLAELFNCDPNWEAIKAARKERVTANYHGLVQKCLDG TFSLLLDDLLTDCDIEDYRWHNQFVGSPSGLRRIVRIEAVASQICRDFWGEEAAPEHR MSRHIRRFTGEFEMRIKEHCLDPMVAGFKSVICYRTGLNVEAGINDDDTWDSCQEALH HIVENDPSCRMAKKPLNDLLVNLTLHVIRETASQKGSKPKPIQFHTGLGDNDIDLVLA NPAYLQPLIEKFHDVDFVLLHSSYPYTREAGYLASVYPNVYVDLGEVYSMVSKDAELS ILRQSMELTPTSRLLWSTDGHFHPETYWLSNKQFRDTLETVVVNHVKQGILTVSEAKD VVVDILFNTSNKLYNLNLSSPITLSDKKRSNVADASFAAQAKNLDDLAALNPNMIVWM QLVDYTAIIRVRMFPIREFQKIFKGQRRIGITMATLHMLQDDTLVPGGTATGQFYMRP DMSSLRKNAALNSNSATVMTFWEDENGAPLEGCPRITLQRIVTALNPIQVTLGFEIEV VILHQSTGGWSPLTKNHSWSNMTRDIRLNALELLETAVTTLASIGIHIEQFHAESAPG QFEFVLPPGAPLEAIDTLIKARQTIQNVAETNGLRATFYPRPYSFAAGTASHAHFSIS PTIEEESFLAGILTHFSAITAFSLAQEGSYERVASGIWAGSEWVTWGTQNREAPIRKI STGHWELKQIDGLANMYLAMAALLAAGYLGIAHSLPLTHKDCPVDASTLSAVERQQLG ITKQIPKNLDDSLTALENNDDMCAILGPGFVKKYIAVKRAEANKLASMESGERITWLM ERY TSTA_099820 MCPQGMCCSRYGFCGTTKEYCGLGCQNNCMKTSETKITSPCPGA MTRSIAYYESWASHRICNKYMPSDIDPTHYTHINFAFALIDDNGEVMLSLSNDTMLFD QLKNVRNKSLDNDLELWIAVGGYAVGSAPFTKLAATQQGRSVFIDSVCTFMDKYGFTG MDLDWEYPAAGDTGGTTADTANMVLLVKEYRDRCKNMGLSVTIPGGTFYMKGFDLKGL QPYVDWLNVMAYDLHGSWENPTIAAPHTNLSDIQQSLQLVWNAGVNPGKVILGLADYG QTYTLSSGSCTKPGCAATGPGLAGPCSNEAGSLHNAEIDAILEQHTDIKPQIDKKAAI KYFSWDKTQWVSYDDKETWQLKKQYAADKCLGGTLEWAVDMNAIQSKDRKDDL TSTA_099830 MAKEYKVPFVLEKPELLKFDAFVNNEWVQSKTGARFEVIDPGTD TAWASCPIFTAEDVPQVVETAQAAFQKFARTSPRQRAEWLTKWDGLIKEHKMDIAKIL THEAGKPIGEAAREVDFSTASLLWFAGEAERIEGTVATTSFPPGRRAFTIKQPIGVTV GLIPWNFPIGMFLRKISPALAAGCPMIVKPSPETPLSTLALAYLAAKAGFPPGVITVL TTDLDNTPSLSEALCRHPLVRKVTFTGSTRVGKIIAAHCAQGVKKVTLELGGNSPYIV FDDANLSQAATSLMMVKWINAGQACISANRIYVQSGVYEKFAEIIKEQTGKIVVGHGS VPNTTMGPLTSPRGLVKVINQIDDATKLGAKVILGGHRVLGNPGYFIEPTILKDMTTE MLISREESFAPVLALYKFETEEEVTELANDTSMGLASYVFTKNVDRIWRMFENLEAGM VGLNSAQTSTLETPFGGTKESGYGKEQGKHAMEEYLITKTGVLALEGHV TSTA_099830 MDIAKILTHEAGKPIGEAAREVDFSTASLLWFAGEAERIEGTVA TTSFPPGRRAFTIKQPIGVTVGLIPWNFPIGMFLRKISPALAAGCPMIVKPSPETPLS TLALAYLAAKAGFPPGVITVLTTDLDNTPSLSEALCRHPLVRKVTFTGSTRVGKIIAA HCAQGVKKVTLELGGNSPYIVFDDANLSQAATSLMMVKWINAGQACISANRIYVQSGV YEKFAEIIKEQTGKIVVGHGSVPNTTMGPLTSPRGLVKVINQIDDATKLGAKVILGGH RVLGNPGYFIEPTILKDMTTEMLISREESFAPVLALYKFETEEEVTELANDTSMGLAS YVFTKNVDRIWRMFENLEAGMVGLNSAQTSTLETPFGGTKESGYGKEQGKHAMEEYLI TKTGVLALEGHV TSTA_099830 MAKEYKVPFVLEKPELLKFDAFVNNEWVQSKTGARFEVIDPGTD TAWASCPIFTAEDVPQVVETAQAAFQKFARTSPRQRAEWLTKWDGLIKEHKMDIAKIL THEAGKPIGEAAREVDFSTASLLWFAGEAERIEGTVATTSFPPGRRAFTIKQPIGVTV GLIPWNFPIGMFLRKISPALAAGCPMIVKPSPETPLSTLALAYLAAKAGFPPGVITVL TTDLDNTPSLSEALCRHPLVRKVTFTGSTRVGKIIAAHCAQGVKKVTLELGGNSPYIV FDDANLSQAATSLMMVKWINAGQACISANRIYVQSGVYEKFAEIIKEQTGKIVVGHGS VPNTTMGPLTSPRGLVKVINQIDDATKLGAKVILGGHRVLGNPGYFIEPTILKDMTTE MLISREESFAPVLALYKFETEEEVTELANDTSMGLASYVFTKNVDRIWRMFENLEAGM VGLNSV TSTA_099840 MVNNANVPDKGPAFMAVTGVLAGIAFLLVVYRLIHGWTFRKAIY TDDILIACSMCVQIITTVTGDLANHYGFGRHKADIARTGGHLVVALKYFWLFQVFYKL VLCLTKLSFLTFYLRIFPSKRFHQICYATIAVIICGVFGFVLATIFQCIPVAGSWEKN LPAKCINNAWFRWWWAGYNTATDIWICLLPMPLLARLQLDTVRKVGVMLMFAIGLFVC VTSIVRITALVQSVSTTDPTWGSWDALLWSAIEANTGIICACLPFLKHPIKQMFPRLF SSFDSKITHSRPTYKLSALSNRGHRSHHNGEWQNLESSKVGTGSVGRGSVGAGSEDAI VPGHIMMKTDISLQTERIEESHYPERR TSTA_099850 MSDSVTSVEKTKGIEPAVLESPRSIESPKGLENDKTPIDSALEK KLLRKLDFRVIPILWFLFLVSFFDRSNIGNAKIAGMTQSLHMKGNDYNVAVTVFTVAY VVFGVPANLLVKKFGPRILAIFMFCWGLLVLGQGLTKTTSGLIACRFLMGMFEAGFVP GCAYLIGCYYKRNEFLRRYAVFFSANMAAGAFNGLFSTLLQKLNGRGGLRAWQWIFVM EAIITMCVSLIAFFLIVPFPEDAKFLTPDEKALLLRRVEEDGGNVRHDKIDLKRVLAM ATDWKIWICVLGYMAAEETASSLVAFQPTILKDLGWTATSAQWHTIPVYATAFVITLS SAWLSDYLNHRYLFTVFGSILIIIGWAIELAHKSSPGVLYMGMFFVSCGAFINMSTLV VWLCTNVGKGVKRTVAMGILTGFGNCGAFVSGNVFITEQSPKYPVGFGVGLAFGVVGL IATTIYVGFLMKENRDRDRLQGSSERVYNRDELEQMQDLGESHPDFRFQL TSTA_099860 MSETASKYIVADAFLEALAEARVDYLFTVLGSDHPSIIEAYVRR TKLQGKEFPRMILFQHEFAAMSAADGYARITHRPACVIVHVDVGTAALGQGLHNASSG RAPVVIFAGVAPSTLHGESAGSRSEHVQWYQDIPNQASLVAPYSRYTAEIKSAQHVQT VVHRAVLMATTGSPGPVYITATREMLAQAVESLQPRKRATIPSCRIGGLSTDAVELVA EALIKAEGPLVITGYLGRNHQAVKNLIALVDTIKGIRVFDSEIREVCFPADHPAWVTR GTGAAKVVQNADVILVLDADVPWIPTRVRPSPEAQIFHIDLDPRKEKMNLFDIGATAT FHADSATVLSQLTDYISKSGKVSADTYAERWNAVQASYKESQILVVTRALRAAETPEA PSTVDYLLHTLRSVVPDDTFFVSDSVTNQVLLNEQLRFTRPGSHLTKGGSGLGWAGGA AIGVKLATRLYDLTDRPDVKCKTSTDQAEEPLVCMITGDGSFVFSVPTAVYWASHRHN CPFLTIILNNGGWRATRQCIVDVHPQGVASTVTNQELGISLELDGPNYGEVAKAAANG HLWTKRVTRVADLQNVLIEAKKVVLEQKISAVVDVIVK TSTA_099870 MSLPFSSSQNKKMSRQPDTNRCGHKLNEQYRAIVNFSTGRKVAV SKLTGSTLPYSFAKLGSTCKQDECRKQNNISVRNGPIIEHDTSGDEDDTDSALGADDT SSLAKLNSAITEYVYSDGRRYATYGTGKHILLNDEGVPICKMFPYMEFIQAPMYRPPS QAGKYSNSSGMMSISNFDVDTRVPPNPSFQIDDANTEWTSNQKFDLVHFQGLNGCI TSTA_099880 MKSIFLVLALNGLIVTALPIRHDDASAVATPLHARYDRAGPLPV LTESSSDRPHFPEWLANHPEHIHPKPHRNDWIAQARRIVNTWSAFYSTEYPTKRQRPQ SFDDGNDNSLQDEGLLTLGNGACGIVVENSPDGDTTTIAAIPCPSTRYTPPHRPSYFE QLRRNLTTMDLAMLCSRYGPEIVALCIFFLVPISVVLVEIVDVLHDRLVTEKFPERGR GRVRLTGPERRMSVIAKCERERMVRDLAQKAWVGSRRGSRHSH TSTA_099890 MMKKKEVYTNITPLPSFIPRQLALDILHSHSEIITLNPLVLSHK PISAPRDAPAEDIIQPGTKSPKESKSSPV TSTA_099900 MAPTHEALVAHRDDRRFRELYRYFQPANPAALLYQLQNDLSNSR EPSADSDDVPTVERNVGNPLAVSLMPPQEDLRSPNTILTSFAQLAAHKLNVERAIICV LGRDSEYILAEAPRVEQTSAQSLWIGYGSDGRFGPTLNLNTVALPASTKTDYQFQIVN DLSADERYADLPFVANEPHFRFYAGTPLTTENNINIGVLFVLDPEPRPNGLSDHEKES LGSLSSMSMDFLRVSRQAAEGRRAARLSRGLSCFVEGSSSFVDGLGSLPSGSHSGSHS GSQHGSAISPISARSSASPPAVGSPTTRSRRSRGSRGSSVDVRPSRSPGPSSSEGKAE TGTSSDVTTPLPDWLIAGTKSSKARLADEMHNNHWTFRRAANLIRESLELTGDGGVIF LENSTMPLDVDNGRVEYFEDADSPVSVLGVSTNEEPFAPEPGSNATCAAANCDRRFLQ NVLRRYPKGKVWTFHQDRTLFASSDDDEKSPNSPVSAVRTPDAPPGTSKKWRASEATQ LAKYFPNASQVLFVPLWNAATSQWYAGCFCWSCTETQVFSPTVELASIMGFGYSIMAE LSRLESIIADRQKGDFIGSISHELRSPLHGILAAAEFMDGTYMNEFQKSLLETINACG RTLLDTMNQVLDFSKIVSLEKSWRQVKKARRDPKDDVVDNVKGIDSMAALLDTHTCED ICLLTEEVVEGVCLGHSYGRSASGSTGVSRAPSMPSGTGSSSLSTPDSETAGEIRPEV EVIVDMKEGNWVYKTQPGALRRIIMNIFGNAMKYTDTGHIIVRLESVKSEESSAKSKV RNDSDELVVLTVSDTGKGISEEFLRARLYTPFAQEDTLAVGTGLGLSIVRSIVKSLGG HIGIRSRPGEGTSVKVTLPLPRPGPEDGTSPVARPHQSVPGQELHIIRERFSGKKVAI IGYDPESTAPSTMSSLAKYVTNWCGLTVVPWFACADSADLVLATDRGVNEELKVQQTV KARAMVVICSTTVDYDTYRSAWSKLTSVVEFLYRPCGPYKLARSLLRCFKQAAALPPA EYANTLHPGLVDRTRVPIPDTDHTRSTGDLNNNPGPSLVDLRAHSEPRLEPAQPVMLS PTIELPEIMDEGIHNRRSAPAVPTLERVQGELMPTLPEDRALTLSPATPVNVLVVDDN HINLRLLLTYLSRRNIANLDSAENGKMAVDAVEKRSEGYDIIFMDISMPVMNGFEATR AIRSLENERDEKRPATIIALTGLSSARDETEAMTSGVDLFLTKPVSLKEVSRLLDEWQ PKQIDN TSTA_099910 MTSQWGNRSKWTLGVLSHPETDEVPGTILLLASDRNEPLGLRNQ PARTSASSLPSPYPPSRSSSRSIAPVAKRTPDGRIVLHPQPEDSANDPLNWPSWRRDA ALLSLGFYCLMGGGMTPVLAAGFNDVAKDYTVSIQQVAYTTGLYMLGLGFGSVIMAPT AILWGKRPVYLLGATLFILSAVWCALSPNYPSLVVARIFMGIAVSPVECLPSATIAEI FFLHERAYRIGIYTLLLLGGKNLVPLASAAIIGALGWRWVFWVVAIVVGGSLVFLFFF VPETFWDRTPRRKPKSKRPGFYRSVSELAHAGFRGRHPERRTDEKLSGWQSPGSVQSP TTERTRRDVHVGFAEDPSDEKVEKAVEISPDAGIGPDDGNSPSDAMRLPSADSTRPPI GSTSPNASTTYGSSGHAPGSVGAGQSSPAAPDVEAAQAISLSPMRGESEEHVAMNASA LYTHNLREQPKVSFRQTLRVWNGRLSHDKWYRAAIRPFILFAYPAVLWSALVYSLSIG WLIVLSESVATIYENKETYNFSALGTGLIYISPFVGGVLGTAVAGRVSDIIVRFMTRR NGGIYEPEFRLVMAIPVALSTAAGLMGFGWSVQERDAWIVPTIFFGIISFGCSLGSTT SITFCVDSYRQYAGEALVTLNFSKNVFHGLIFSLFIVDWLKSDGARTVFLAIGGIQIA CLLTSIPMYIFGKRARMWTVKRKLMEKF TSTA_099920 MRRLSAGDYWLESTWLVPERTDALFTLPASKNEIHVTTDPKLHV QYQLGWKYRIIARLEPLQFNIQRDHAGGQTKIIDGMETNEKETCWRHGALSTQIKSQM DQVHDFLKEQERRSDELSEIKGEIAQFLNVLQKLKSAANDANQLNATNDEILDTQIGA NLSGRDGMEESSGI TSTA_099930 MIGLYEGRYNMDEVYEAVGQDDHFGVSVLKMVVQILSDRLPFLE RASKKGNSILLNLGEIRMVQRPLLEPSGKQTTLLNRRCLLCGQICCEEEAPVEEVNRE EEPLIGKADGEDDPFQDEEDPVVKDPAEEPTLEEEVLAEPVSDNALLEEGLVYEEEVS AAEAPSEEVVLEEEVPAEAPKYEDTEKSDEIVQLRLVNASVADLWLYTG TSTA_099940 MRVSRLSTVAAVLLLAIGTTAVESSSDSSVVGSKADIVEPPPGL SDPLSPQKGSSKTGPKGTLDAPVDGKDGKPHDGPWVETAAERDRKKEKADGKAYTVAD TERVGDRVGLDGKAIPHSNEGVMDDPSRLGPKEGTRGTEGGMTEKTKDTKPSKDKVPE KPKEARPLPHSEQEKIPGYEESYEVTEQKSGDTLLEKPDDLPEKPHDIPLPKSPGRTT DDRLDYKATSPGRKPFTKTDSSSIGAVGGGNVFSPIHSLFLSFTMILFSEIGDKTFLV AALMAMRHPRLVVFSAAFSALITMTVLSAVLGHAVPTLIPAAYTQFAAAVLFLVFSAK MFKEGRDMSPDEGVGEEMKEVEMELEEKEHEQRRMRNRRRSSVTPYALEAGRGGRTRS TNRLPSPPESASSASSREVSPERGFSLNTITAGASNLFSLLLSPAWVQTFVMTFLGEW GDRSQIATIAMAAGQDYWWVTIGAVVGHGICTAAAVIGGRAIAGRVSLRAVTLGGAGA FLVFGIIYLIEAIF TSTA_099950 MSSFVNPLLKYPDTATPRTTRSAATPTQTPNMTKDSRKAATATP VAAKSVKLQQTTTTTTPRRSQVPGGRRSTRSQSREADEAIAQNGNGKGKGKKAQPDLA PVGEEADAEHEEDVESSAVSSSAARQQLNSELEHEAIPDSPNGHDVSGATLLPGDSDA DGDEIDAIAMAESLPNLQAASTRLLDFFSSSFSSPSRIAELARHLANPKSSQSRKLQY LSTNFSSQLEFFGSDVYIPLDRMATIFPPIEHESKSWRVDGIIYKSNCAQLALEILTR ITMTEDVEESLYALEGQFPLPFLKTLASDNLVNDAGKSGLRRQTFDLALQVRTQFLKM RLLIEKDQKGLDPNLLLHDVFYNENLDTQASADTVSSLRGFPLPAFQDSVGNLPEQYV QDVQDQLREITGCFNADGQVDFDALEERFPWEEFIFTVAKWLRSRNEELNRHLDQQPR VEDILDKIENSFNQAGDAVLDTQLNESESAFQGQQASQQQRRELLPAAEIMEKREISG AKSFGNDLGSLVALARVKERLSTGNVAISGRQNVPEPGARFSRVTKATARRSLPVTPQ PGQPAGRTAGHHGRVNRRLQSSAQAFIDHQTTASRVSPIDSQRLGGGASSSRKRARED DIDEDEDGEFESDDRRIDLDDRRAQKPVQNAKRARYDNQREDSTGLSPPVRRAAPSAT AASGSQSSPPKPAQIRRNWTVQEDEEFIRLVEEHGTSWSKIKSQDELGNRVLLSRKQV DLKDRARNLVMIKLKGGLPYNELPKNFDQVPLKKADVENLRKRGIHIPDRQPPRQINF S TSTA_099960 MPLKILCFGNSLTAGFRSYGIEPNHPYALALKEQVLSAELDPST LGADDGQIEIDVEGQPGDLVNCPPGRFLERMKRRLDTKTYDWVIVLGGTNDLGYGSFT PDEIYTGLKTTWSQALTSSPTTKILALTVPECAYRSQKLDRNRDALNKLILEHKEDRF YTFDLKSAIPYHTMDEQRREQIWDDGLHFTDKGYDLMGEIIAVRLLEILTRSST TSTA_099970 MPGLYKQTVPVFIHYLINLSHLLTKGAQFCEQKEGMTAASMLSF RLIEDMRGLAYQVQSCSNTAKFTIERLGPHDVPTLEDTETTFDELQERIKRTIEILET AKPEAMDAMEDKEVIMETKMGNFRFATGQEYVSNYAIPNFHFHLTTAYCIMRHLGVPL GAFDYLKDVFHKV TSTA_099980 MHSFLPVSLVATATFFSAAQGINILKPTDGDTVDATKGFDVVWT YDSSDFTNWEIELANPAPGKLVATDVTQSPITGTATSTFSYHVAAFTGVADGGNYHVV LDPRNGGTGAFPESGEFTVVNGGGDGSGSSTTTSGFTSGSSSTTTSASATSTTTSISS TPPLISETSTTTTSSSTAVIISSGSPAIASSTPVSTTTGTTTTSVTGIPTSATVIPPI SVSTGGNSTITMSSTSFSTFVSGSVTSLVPQSTIIKTTVVPGGSGATGSGTKAPTSSP TIALAAGGSAAPHMGLLSSVVGAILGVFML TSTA_099980 MHSFLPVSLVATATFFSAAQGINILKPTDGDTVDATKGFDVVWT YDSSDFTNWEIELANPAPGKLVATDVTQSPITGTATSTFSYHVAAFTGVADGGNYHVV LDPRNGGTGAFPESGEFTVVNGGGDGSGSSTTTSGFTSGSSSTTTSASATSTTTSISS TPPLISETSTTTTSSSTAVIISSGSPAIASSTPVSTTTGTTTTSVTGIPTSATVIPPI SVSTGGNSTITMSSTSFSTFVSGSVTSLVPQSTIIKTTVVPGGSGATGSGTKAPTSSP TIALAAGGSAAPHMGLLSSVVGAILGVFML TSTA_099980 MHSFLPVSLVATATFFSAAQGINILKPTDGDTVDATKGFDVVWT YDSSDFTNWEIELANPAPGKLVATDVTQSPITGTATSTFSYHVAAFTGVADGGNYHVV LDPRNGGTGAFPESGEFTVVNGGGDGSGSSTTTSGFTSGSSSTTTSASATSTTTTSST PVSTTTGTTTTSVTGIPTSATVIPPISVSTGGNSTITMSSTSFSTFVSGSVTSLVPQS TIIKTTVVPGGSGATGSGTKAPTSSPTIALAAGGSAAPHMGLLSSVVGAILGVFML TSTA_099990 MRVPVILIPILATGAVSRSVSFVGQTPIADKQQSSTLILDAPRG DVCPLPPKVPPPKDGLHSSLDFVLDPSYRSRQVERLSRVVQVPSTSTELEDDPWSDYY APFLDLHDELEKLFPLIHSYAKVEKVNRFGLAYTFNGTNSALKPALFMAHQDVVPIDD PDDWTYPPFSGYFDGQWLWGRGSSDCKNTLIGVLSAVEDLLKQGWNPTRTLVLSFGYD EESKGWKGAGRLAEHLENRYGKNSFEFIIDEGGMGIQTIGDKIYAVPGVGEKGSVDIH ISLSIDGGHSSIPPAHTGIGIISEIIYHLEREDLFTPKLDLSHPSRQGLTCQAKHSAD YVEPWLWPALESSDYTGLAEALAASRGERVRFLYQTSQAADLINGGVKINALPEKINA TVNYRVAIHENTDVVRARAINIITPIAKAHNLTFSAFGSGNKRSANNNHLDISDFSLA LEPAPISPTSPSDAIWARLSGVTRQFFETLTNKTVLVVGDVMTGNTDTRFYWNLTRNI WRFSPMRTGGSENIHTVDERVDIDVHLEGLAFYYELIRAFDKYED TSTA_100000 MEDKVALRRLRFAGRLEKYLTARHFLGFYSNVAVSAFYSHPSPL DVGHLQTLVYKALKELIADHPALGVSVADEDKARPYYMQLPTVDFSETVTFIPSNTIP DPSDPKGADALDLLLEEQHNHNFKDKMARKPLWRLLIVHKPTESSQFVACFVYHHSIS DGTSGLVFHRHFRRKLSQISIDDLKQKEGVIVKTSSKALLPALEDLHPLPLSIRYLLK AFWENYIASTKSKQRGLWPAAPVTGDPTKRRCRFRSVRFSQETTSQLVAACRANSTSL TAAAQTILAASLFANLPLDQFSRLHCDGAVSFRRWLPVDMVDKDSIGNWISQYHHEYR FIPKNETSPIDAIDIFSWEEARKAKATIQKEVDKQGKDSVVGLLKLAGNLHKYFQSKI GKPRAESFETSNIGTLGCDQQEVSDEKSSWTIGRVVFGQGAEVTGAALEASFVTGNDG CLNVGFSWFEEIVERSIIEKVIVTFKRTIEEVVSKHNSTVEIPQQSTKH TSTA_100010 MTSSSVEIAFARKTQPNSSKYLSDHGIQTIDTAEIYLGSEELLG AASAVSLGFTIDTKVGHGAGGSTTPATKENVIRSGEASLNRLKTNQVDVYYIHGFDQR VPLKDTLEGINELYKKGVFKRFGLSNFSVAEIEDVVRISKERGFVLPTVYEGNYNAIN HLIEKKLFSTIRKYNMVFHAYSPIAGGLLAKTPHQIKEGGQGRWDINTFVGKLYYGMY NKPNVMEFLEKFGKIATTKTKCSQAELAYRWIAYHSRLKGELGDKIIGARYGPQLTEV LDALGRGPLSAEVVEEVNGLWDLVKDDPPAEHRTILEELKRSDIK TSTA_100020 MLRSTLLLIAATGVSALELGCFSGNSETLSHYINNGTFTFQSVG YCRHACNNIGHNYLALKNGTDCWCGDAVPPVTDIVNADNCDMKCAGFAVDDCGGAHDF SVYLTGPESGIQSILSSYTAAEASATVASSESATAASSESTSTSVSDSKAPTAAAAAA AVTTGASSASFAVTVPSTSTSGHMTGSGTSASTSVPTGAAAAVSVHVGLGAISGLTAL ALGL TSTA_100030 MVWIPRDIAARYLAETTLLNAYILTPISSTALNKLKSRFEHGAA LHYNPQVEFNIQHAPDEYIGKTHSYIRAMENEANRDYPFLLIDEWATTDCAVWYIDSF AAEYELDDDGTGFAAPSTDVLHEILVKASMVAWMHNDVEISSGPSEQLIHSVYKNYRT PVNNWPEIAGCEEELRAEEDEKMCILFHARAGRCVAEPGDYEECTDDEIVHNAVARLK PEVAESNGMISDWKWFHTAKEFDLGDGTVKQFPQGSIWLDVVFDTKFDWPEYKWPKGS L TSTA_100040 MDEQSVAVITGGTGDIGLAIARHLAPTHLNIFLLDLDPDKSSQS ISNLPREIQEKIITVQCDVTDPSALSQAAKTILSFKGASLKTLVNNAGGTKIASLHEM SPLTWQKEISLNLNAAYFCFDAFAEAFKQSTEPCKSVINISSVNGILGTFGNPAYSTA KAGLIQFTRTIAAEYGRYGIRANVVAPGTVMTSAWKEKIESNPGVFEDLKKWYPLGRL LEVDEVAGAVAFLASEQAKGVNGVCLPVDGGLTAGMPPVARSFGTLDYEGLRASQIYA RPL TSTA_100050 MATTPEPDLPSTSLATASEANHNSTRLTRDDRIRVLTLRDAGLT YSQISSQLQISYRQVQYTCQSQQTTPKKARGQIPKLSETDVDKIIEWISSSKRTRRMP YYKVVQELELPVGTAALSRALKKRGYTRCKALAKSPLSDQHKRVRLAWALEHINWTVE QWNRILWTDETWVTPGFHTRIWVTRKAGEELDETCLRTAHPRERGWMFWASFHGDAKG PCLFWEKEWGTIGSESYRERIVPIIDGYTRLMNRQPSTFLQLMQDGTPGHASKDTIEE LQSRNIYPIFWPAFSPDLNPIEAVWNWMKDWIQEQYPEEETLSYDRLREVVRASWDAL PEQFLKDLIDSMQARCQAVIDAAVTHSPWSEWFHSVCTERIFQPISGHQPERPYGGAA PLKTAKVLAGRGLIVNGTRSSYWPMNILRCKSGTSRARHLVKSREFRLQLKLKSKTPL CCTLAWIRSRLKISEIVHVHSTSKRSTFAWSLKSPSGRTRDRSQSRDVRTASRSPAPT PRTVSTSKKYYDSDSAEEGRRNKRSEYSSHSSRSDKRSSKYDDLTDSEEEYMAKERAK DRYYHSDSEDDRSKISSTKRGLQDKVSEYTSSKTSSRYKNEAEKDRAYRNSGHGRSHS SAYHSDSETGSDSDLSALAYGDAPNHMKPQLYQSAQDPRSSRESISSKLASKLAGASY RLDDSAHDRPGSHPSYAKPDQFAYQQPNAPVHAQPGQYADPRIQAQINSGQIPPMLPP NWAPIPPSEMPGYVPPGAHPQTTQSIPGAFPGGYPATSGPPPTTHYPQSQGYTATQSY AAPGQYQYANPDPNIRYTSKTNDRQAYTATAQNQFATNKPSYTASNEPQFLDIAPGRS RAESVGRQGRPHSLSVSSNLSVGGGMSAAGGRPPASPLLEAYKGTYQSISPMPSPIAR PVGLARDSDISDLEELGGSSGSDRRRKYKYSRSRDERKEKEREKERETEKEKDRRRHS RHSSSHAGGEEIITITPGSSRKKVLFYEPEDDAIALKDALSRHSGIDTRPLMEILPNL SSDQILALRAEYKKHAKVHNKGINIAKHIKLKLGNTSFGKVCYATALGRWESEAYWAN CYYQAGTSRRELLIESLIGRSNAEIREIKSCFRDARYSDSLEKCMKSELKADKFRYAI LLALSEQRQSSKEQVSSREVQEDVVALRRAVTAREGGETAMIDIVLLRNDAHLREIMR VYDHAYKSNFAKDVIKKSQNLVGETLVHVLNGAVNRPMRDALLLHQAIRESHSSKERS ELLISRLVRLHWEPKHLELVKEEYRYRYKERVEEAIAQEVITSSGGSEWGEFCIELAR SSSKMA TSTA_100060 MGTGSRSDFESGTISTGHRNVDNYNEPPTKLRRTNKACIQCRVR KQRCDGQSPKSPNAACSRCVHLGVECSFTTSPVDASHDGATVIVKTSHALERLQKRVA EQDGRLQRLERIISLLDAESGVEKERRSGLYPGNSESEVLRRDSGYNLSDRAAESNPD ANAKTPDAPEVHDVVAHTGYDVYSPVATTTTHNQPLPSRHHAALDAVHLDTPMSTLRH LQQYNYSADQTKAMDDPVSSGLITLTEAQEAFDLYFSSCHKWAPVLCPRTQKSAASVR LACPTLFTSICAVAFRFMGPFPSAGPQHREIVKILDVSLSRLLLKPGTSDVHLGHIQA LLLYVQWMPVDVQNSGGRLRTRYNDVSAWSMLGLAIRYALFLGLQDSPSAFLPEKQSS ATIEDLARLRVWINLLTNDANLMLSSGLPASLNPEPVAQVCQTFASHRDAFQPEDMRV AALCELVVILKHAARSSGSPNVRALDAFSLRRANLEFDAWEVHWNQALGPELMHDQMP FTALRAYRLTVNSSCLSTLLARPAPESSSLNPRNVPLHSLEALDVSLTAACQTILALS GQSTWATWSTHSSIPFIPSAPLTVNRIAVERISFSVDSSWVSHSFAAVFLVLCFARGA IDDDLNILALAGSSSSLTAASRYPCRPRQESLLSRLITLAAEIFDIICSRYPLAHPAT GYQTLLDAVFSPLLDTAVSGGNGAGDGKDFRDAELQAQGIETYLDEFFESMGRFDVGD SINEMYPIIYPDDYFDHRLQQVQQ TSTA_100070 MASVQSRTPGGSQPPHPSSHPPPPPPDALPSSVNDSTTRLSVNN LDAATRRSSLGFLRRPKSTEPLSERKSSNGKVSKKMKEQMREEELRRQRESIPKQAPR LPDLAPAPKMQTFGGEEQLANRIAPVVESRASSAHVSMPPPAIDPYARTESMTHRGRY SYASSAVSTINSPRRLRRRKDPTPYNLLVVGAKNSGKTSFLNFLRKSLALPPNKHPIR SSEDILEEINSSSNPNFTSHYLETEIEGERVGLTLWDSEGLERNVVDLQLRDITAFLE SKFEDTLMEEMKVVRSPGARDTHIHCVFWILDPVRLDSNLAAAQKAAGQTNGKYTTQS RGVGILDEDLDLQFLRVMQGKTAVVPVISKADTITTAHMAYLKKAVSDSLKQARIDPL EVLILEEAEDEDSEAAADDDEDLDDTSLAEHGTTEDKAEDGDTEKAPKSSPTRSHKSN HSISLASNSDTPFIPLSILSPDPHSLNNPDLPTGRHFPWGFADPYNPEHCDFVKLKES VFRDWRAELREASRELCYERWRTSRLNIKQEAPRKSSNTGRFGPPPTKSGRATR TSTA_100080 MKSVLALVLGAGAGYATAQSLPDYVLTYAPLVWLDVNETYFPGD IYGQVKNTYPALNYTRITGYTTPLTLDNLDELNNFGDTDVYLTSNEGIEAFPAWFNGV QPNTDGVTEGATSCAIVTVDHGDGTLDAFYFYFYSFNKGDIVLDLVWGDHVGDWEHNM IRFQNGEPQALWYSQHAGGEAFTYDALLKINGRPVSYSGRGTHANYAIEGVHDHTIPG VNLPFGPLNDYTSNGTLWDPTGNYYAYSYNNVSAVFTAYDSSYPVNWLYFDGQWGDDQ VPNQVGVFGEYKYMGGPNGPKFKHLVRTNVCPDGDDCDVLDYLWY TSTA_100090 MPLGEMIDDPTSNDDYVAQLLASEAKDKSLKYSALGLKAYLPRR PTDRAPKPNTRFLKNILRDTDTHNAALRRKEEEDVRERMRKLRGERSPPSHRDRCSDK DRRYRDRSPHRRDKERSSRREHVDASSGREHKSRSERHRRRYKDDDDSESDRNRQSSS RSHRRRDDDRRSKRSRHRSSRHHRDDRSESPRRSLSRSRSPDSRRRRDSYKDYERREE HSTGDNSTLPNARRDAYSLGSESDPLDDIVGPLPASAREKASSISTRGRGSYRQNTST IDSHFARDYDPKLDVDISDNDEISSSKRLRRRPVAGLMTKDEDEDWNMALEALRDRAN WRQKGEERLRAAGFDESTVQRWKEDPAFTNGGGVKGDEGRIEDVKWAKKGEGREWDRG KVLNEHGHYDVKAEW TSTA_100100 MAPLSPAEALQKIQELQNGQDAESNILAILRISEPITSSLDVDG IPRSPSKRASDASIVSDENPTPASLEADLTHYKELFSKLRFSYLEQVTKEKFLRGIVG DPPLVVGHNENVELETTLAEVKQQLQQRKEEVRVMVEEMEKTGRELARRYKNAQIETT KLSELPAEIAQLEQTIASLKQSQAAYAAASDTGNSSASSSQNLSLPATLKLLAEREAE LAAIDRQMASLQNTLPRKTREAEAMERELSVLERRKSEAIGQAREAERKKLEGESDGL EEMGRWYRVAEATLKKLIDVEN TSTA_100110 MAPAPRSYSKTYKVPRRPYESARLDSELKTVGEYGLRNKREVWR VQLTLSKIRRAARELLTLDEKDPKRLFEGNALIRRLVRVGVLDESRMKLDYVLALKIE DFLERRLQTCVYKLGLAKSIHHARVLIRQRHIRVGKQIVNVPSYMVRLDSQKHIDFAL TSPLGGGRPGRVRRKKARAAEGGDGEEEEEEE TSTA_100120 MGHSHGLRAGTRYAFSRDFKKKGSIPLSTYLKEYRVGDIVDIKC NGAVQKGLPYKYYHGKTGVVYNVTRSAVGVIVYKRVGNRYLEKRLNVRIEHVSHSRSR EEFINRVKENAIKKRQAKEQGIHLHLKRQAVQPRSAHVVDSSDNFPETITPIPYDTHI TSTA_100130 MTFSTTSHGSSAQAHLPNKVHLGEEATESREMGVAIPQKDDTLK AKPLAHFIAGGVGGMTAATLTSPLDVLKTRLQSDFYQSQLQALRASHPVRPAPLFISL PRSALVHFKETFQILHSIYSHEGPRALFKGLGPNLVGVVPARAINFYVYGNGKRILSN YFGYHDSMTTPWNIHLGAAAIAGIATGTATNPIWLIKTRLQLDKSNAESGKGGRQYKN SWDCIKQTVRHEGIRGLYKGLSASYLGVAESATQWVLYEQMKLYLARREAAKLADPKH VHTTWDDVELWGGRIAAAGAAKLFAAVATYPHEVVRTRLRQAPVVPVAGGKVQVKYTG LIQCFKVVAKEEGLAGLYGGLTPHLLRVVPSAAIMFGMYEVILRLFGTTS TSTA_100140 MPDNYSYKSSGTNSQGNHYCSRDYGSGAANSNSYHYSNSDGSYY YSNPNGSTYYNSGNGYSSYTAPSGGNGGSSGKK TSTA_100150 MSRSFLPYSAFALVGIAMAEPFLALNSDFPDPSLIETSSGYYAF GTSGNGVNAQVATSPDFNTWTLLSGTDALPGPFPSWVASSPTVWAPDVLVLGDGSYVM YFSAAAASDSGKHCVGAATATSPEGPYAPVDSVLACPLDQGGAIDANGFIDSDGTIYV VYKVDGNSLDGDGTTHSTPIMLQKLESDGTTPTGDPVQLIDRSDLDGPLIEAPSLLLS NGIFYLSFSSNWYNTEYYDTSYAYATSITGPWTKQSAPYAPLLVTGTGTSNDGSLSAP GGADFSTDGTKILFHANLNGQDISGGRALFAASISEANDVVTLQ TSTA_100160 MAPSGPNPFGLYKLVAVAVVTLCSDWSYASSSYSKWQLLNKTTS VKPDEAACAAIQANYTSANYRANLPNAWMNNQDEMCSSVPLNQCLLDNTKPTDPLAFA NGAVCNQGMIPPVYLEVRSPEDVIAAFEFANCTGTKIAIKNSGHDYLTRSSGVDRLLL WMRSMQQLSYNNAFVPEGCPASETNNAITAGAGVNMDQAFAFAEQHDMTFIGAYAATV GVSGGWVQAGGHSVLSPVYGLGIDRVLEFKIVTPDGVYRTANACQNQDLFWALRGGGG GSFGVVLETSHRVEPLIPLVVAAITIPATATTFTPWFEIMVNNSLTWSQQGWGGHITP SSLIDVNPLLTLDEAKESMAPAVAFAEANNGSVVFEEYPSYYPFYLKYVGANELGVGN VHIAGSRLIPQSLFETETGRSQLMQYIQAIHAQGSSPYIPVVGPVLYNYTTNSTSATP AWREALWELGAGASWAWNSTLSQRQAAVAKLNNLTSLVEQLTPGGGAYQNEASPFTGD WQEAWWGAENYASLLAIKNKYDPKGLLSCYKCVGWSAADETNSCFAAFADSQ TSTA_100170 MSSSHAGIGKWGEVLTIVPIVAAGFATIVYLLRLYSRRLGGTGL ATEDFLMGIGLLLSYGATVFVVYTAFNGVGMPSSSLPKWKETNLRFGSWMIQKFWAPS MAFIKISIVVFLQRIMGPIGIFRNICTALIVFIVAWAVTALMGNIFQCWPVTYYYKPY GKGHCMSHQTSFFETMGALSLIVDVCILCLPMPWVLRLHVDLKKKIAVVCIFSMGALV CIFSLLRLVQFRYFLTTNLASSSALESIWTILEMDMAVICGCMPLLTPLFRKCVDRVR TTTSKSTPHSNSHSASRLYARSGSNPSPHWAKFERLGGVDSKTPPHSEASGSRNRQDP LSRQGHNGDELDDDSSIELQGIAVHTVVSLSVESHKSAKDLLEKGSAVEPAK TSTA_100180 MTRVCARCQQELPKSSFTAYQYSLGRGVSCCVSCDNGYHSVTLY AGRSYSGRYNESGYATVDIRALRNPFAQGTFRWVAMGEYISGPREGRALSEDYFTLDI KAVDKALEIIDQFNRLRFMDKVIKVNIPQVWEFAYGLEEFTGQLFLCEPFIDNYQKFN SNSGWKDDSTSWARAMQALSHFSYHLSGGNHVLCDLQGGIRGDEVILTDPAILSRNGE YGITDTGPEGMVSFFSTHVCNEFCRPHWIRPNAPKQHFSPVPGTMMIPRR TSTA_100190 MFGINYGGKLGLGDATKKLELPGPVLNQNLSTEAVGVVQLAAGE VHSAALTHSNRILTWAANDEGTLGRDTIVESKKTPIDATSDNGEEDDDDDDDEVELNL KEATPLPVDSSHVPEDGTVFTQLVTTDSATFALTNKGLVYSWGTFRDNNGTARFSPKS TIQRAPALIPDLKDVVKLVAGAQHVSAPISNDTVFSWGIYHSFSIRKTGHLYAWGSNN FGQTGVFQSAGQSDAVISYPTPVPSLEKGSGIVSVTGGKDHSLVVTEQGQCLVWGRID NNALDIIGQDIPTSDIIFDTYNKPRILKVPTPISSVDGKVVFTAAGTDHSFAIIQDGR AYSWGFNAQRQVGHRNEEEDEVELPTQLKNRHVSGKMLVSVAAGGQFSIFLRLHEPQA KGPSNQHQDRIYPALRNYHRQESYSERDRRDNYRGPFLTQIASMA TSTA_100200 MPNMGYYSSVAKDAFKGAFKEVSKRAQQNSAIAICTVAAVGGVA LVAAPGVTSAAIYTKQRLRAFELI TSTA_100210 MAEEDNFDLDIYGDGGYNGQEGPELILDAPETNGHEGGETQYGN NDNAQSTNGQDASHQNQSSNQPQPQQQPPVQQGQKRKEMTSSSEDRPTDPDATTALFI SELFWWTTDDDIRGWVNEANAENELKDVTFSEHKVNGKSKSQAYVEFTTPQAATAVKH LIEHYANTGQPGRKHNANYTNPHQNPFRTLPKDAPARKDDRNSRPFNQPGTQNMGYGM NNVAGGTGGGFRGRGGFNRGGMGYNNRNFSGGMNTGFQGAGGPMGGGGFNNPMGGGMS NYGGGFNRGGNMMGGGMRGGPNMRGRGGGPNMGGAPNMMGMGMNPMGGMGMNPAMTGG MNPMMGNMGMGFQAGNFNPNFGGGFQGNPQMGNDQGAWNPHGAKRTRQE TSTA_100220 MSDTFQELADIPKDFIRDGMQFVNRCTKPDKREFLKISQAVGT TSTA_100230 MADRSSPPSHRSGSDDLSDEFHPAFEYFNNDEYDDNDDMDYEPP RGLEHLFFDLAEEDVNDDDDENYEEEEPEESEDDDEEEDEGHGENRVYQGNIQIELTV GPMGDEQTGDDEQRSRQARATTAQVIRLLGGGGFRRLLQSRGVFGGGAFVVDEEDDEN DDDDFGGYSSLRFRRRRRNRTARHAYPNVPSENGIALMNSGLYGNNPNYVDEAKRRKK KLATRIMWRELGIGSPGERKRDSGLVFQDLIPSTTAEKIIYYNARCYSGQFSDDGNFF FNCGQDFRVRMYDTANPHDWKYYKTVRFIGGQWTITDATLSPDNRYLAASSIQRQVTL AATDPNDKSEPMLLDFSANRGEDWGGFGIWSLRFSGDGREIVAGTSDKSVVVYDIETQ QGILRLRKHDDDVNAVCYGDSLSPHILYSGSDDTTIRVWDRRSMNDSREAGAFVGHTE GLTYVDSKGDGRYVLSNGKDQTMKLWDLRKMVTTEQFDKINITHYTTGFDYRYMPYHD EDYTPHPHDCSVVTYRGHQVLQTLIRCHFSPPSSTNSRYVYTGSADGKVYIYNIDATL AAVIDVGAITSTHREPRELEDLAHWGWGMSDNAWRNVVRDASWHPSAPVLAATSWNGY GASSGTCTVHSWNDSAPEDEGYPPMGLSYDEKLKPSRRSNRSSGGHRFVYDSD TSTA_100240 MRPCCAAEGMIDRSSQIPSGRHRKHVPSAILTPYRSATARFPNT TSCTGPAALSLHFTPQSDLTKLWKAWGITHLVFEKDTDAYAKHRDEQIIKLVENAGTE ILVSNGRPLFNPDYIVRKNNGEPTLSINKLLKASENMDSDIPDKPLGTPESVPDPWED AKMNLDSLEHNMPEYGYNLLLGQLLFGEMFFSAQAALGHTSSQTFGNKIAHSFHGGCN LLIQSHQTESSFEITRMPSIQKKPQHGFVNGVCFLTRGGCYVSWEQGVEVFQEWLIDH EEASNVGNWMWLSCTAFFSQYYRCYSPIAFGRRWDPNGDFVRRYCPELKDYDKKYIYE PWRAPIKDQKNMGMPCYRRWDI TSTA_100250 MKFALAALALFAAPIFAAPANPPNTGEIVTDLGPEVKDILTVTG TDSKELLIQLSPEVATLVSSLGLPSVGVPLGSVVATASSVGDLLKELGPNTEGAVVVT GEDAKILLVQLSPSVASLVAGLLPPLAVPVGQVVNTLGDHVKRATGTGKLLADLGTQV DGALTIAGPATSTLLVQLSPELTALVSGLGLPPVGTLVGSVVASASSVGALVTELGPG LDGLLIVVEHGAEYLLIQLSPVVSGLLSGLGLPALGVPLGVVVDEVAYHL TSTA_100260 MGKLPNIQATFRRATTDDTINQASMTTTDESKTDPTTVDNAAAN KEAGELQTEVPGENLQHGVKSVEAITLSWSKASLIAIFINIWLLYFVNAFQSSILASL IPFVTSAFSSHSLLNVIYIVGDAMSAAVFIPLAKILDVWGRAEGFLIMTVCCTLGLVL MASCNDLSTFCAAYVFYTIGFSGVTYCVDVITADASKLKNRGLAYAFTSSPYIITAFA GPKASNDFYYKISWRWGFGCFSIIFPVVAAPIYFVLKRSLRNAQKRGLLPRENSGRTW LQSIWYYIVEFDVLGVILFSAGLTVFFLPFDLSSYAPDGWSSGYIIAMIVVGLVLLII FAFYEWLLAPKPMFNFSFLADRTVIGACLLDATYQISYYCWNNYFTSFLQVVNDLSID TAGYVNNTFNVVSGLLLLLVGYLIRRTGRFKWLLYIAVPLYVFTQGLMIYFRRPNQSV GYLVMCQIFISIGGSIFIIVQQIAIEAAVDHQHVAAVLALLNVVGTVGGAIGSTVCGS IWSHTFPDALARYLPNSALADFDDIYENLDTQLSYPTRMLAAGTGIMALGFIWIFLFK NINLAKKPQVKGMVF TSTA_100260 MGKLPNIQATFRRATTDDTINQASMTTTDESKTDPTTVDNAAAN KEAGELQTEVPGENLQHGVKSVEAITLSWSKASLIAIFINIWLLYFVNAFQSSILASL IPFVTSAFSSHSLLNVIYIVGDAMSAAVFIPLAKILDVWGRAEGFLIMTVCCTLGLVL MASCNDLSTFCAAYVFYTIGFSGVTYCVDVITADASKLKNRGLAYAFTSSPYIITAFA GPKASNDFYYKISWRWGFGCFSIIFPVVAAPIYFVLKRSLRNAQKRGLLPRENSGRTW LQSIWYYIVEFDVLGVILFSAGLTVFFLPFDLSSYAPDGWSSGYIIAMIVVGLVLLII FAFYEWLLAPKPMFNFSFLADRTVIGACLLDATYQISYYCWNNYFTSFLQVVNDLSID TAGYVNNTFNVVSGLLLLLVGYLIRRTGRFKWLLYIAVPLYVFTQGLMIYFRRPNQSV GYLVMCQIFISIGGSIFIIVQQIAIEAAVDHQHVAAVLALLNVVGTVGGAIGSTVCGS IWSHTFPDALARYLPNSALADFDDIYENLDTQLSYPVGDPIRLAIQQAYGYAQTRMLA AGTGIMALGFIWIFLFKNINLAKKPQVKGMVF TSTA_100270 MSIYFPDRSKTLQYDNFYVSFPQGNVLQITLNRPEKLNCINKAT SLEIQKIWDYFDKDESLWVGIITGSGRAFCTGADLREWNEMNKAGVVSSMDAPGLAGI PRRSGRKPIIAAVNGICMGGGFEMIANCDMVLASSTAVFSLPEVKRGIVPVAGCLPRL TRTIGLQRTMDLVLTGRNVTARTLYEWGLVSRVIDSDSDVVKAAVQVAQEMCKNSPDA LIIARQGIRMSWEIGSVEEAVSALAAESYPSLVAGPNFAEGIEAFVDKRPPAWINSKL TSTA_100280 MALVPWHPQPLEIPEDTGCKTMISNLVSHQYPLVKLPHPFLTAY RVEAIGEKSHTRFKLCFDEQPWAGKPLAQPLHNDSLSWVDLSFLPNNERPPVSNNSSW ARARRSPQTTFEWTGNKAPSLGQIWNVVHAIYLAHPIYEYFRLSLVGAESEIVKQELL STGLGIEHSKPWRLNDDRTFTTEEVLILRSSFWQGAASPMGPRPIWVVGDGTDGVMRQ HLAQFPVMPESYHFTMKFPEEPIYTRHPIRRPKPHPGSIVYSRYIPDLDEHFSLEVVN WKDAEHLKLFNKWQNDPRVAQGWNETGTLEQHREYLRKLHFDPHVLCLFGRFNETRFA YFELYWAKEDHYGAHYAAGDYDRGRHSLVGNALFRGSQRVNVWYSSCIHYCFLDDPRT ANVVGEPKATGDTILSYENAQGLVIDKYVDLGHKRSVHSIASREKWFQLCPLFWDGRE RPLESADRAAWNAKL TSTA_100290 MKFVQNLAGYPRLLLAGNPSSKDLATLLVAIVSAIASGVPFPLI GIIFGQLLNDFNSVTCKESSDSSASNSESQHDINKRILLIVYLAIAQFVLIYTHLSCW SLYGARLAQRLRERYLQNLLQQEPSYFDNLPPGEVASRLSSDIQSIRSGTSEKVGICL ASVSFFVTAYIVAFIKDYILAAELISLIPAYFLMSFVGSHYIEKYSGLMSDYAATAAS IASEALSNILVVQAFGANRRLESKFANDLKSSEREGLKKATAVGIQSGALYFIAYSAN GLAFWQGSKRIADAVRDGSTGATVGSTFTVIFILIEATLLLSQVAPFLHLFGAAVASF QKLREDMDRQSLINGTSNSGVRLSQAQGGFEFKNVSFTYPARPEITVLDGINLTIPPN KNTAIVGLSGSGKSTIAGLVTRLYDPTDGQIFFDGKDARDINVRDLRGFLSLVQQEPS LLNRSLLENIAHGLVNSSDPKYTHLKQKLLGSELAELAKEIREGRDLQAAAEERGADV VEIVRLVKHAAVLADADSFITALQQGYGTTVGASGRLISGGQKQRVALARALVKDPAV LILDEATASLDSQSEQRIQRAINNISSGRTMITIAHRLSTITTADNIVVMQKGRILEQ GTHSELMAKNGAYADLVRLQTLGSSSRRQDEKTVRTEIDEVSGRNSLTSTTYENDSNG ENEKTETKIASTTEGQVTDSSLADEQEEPESPSKSLWALVRGYAPAGRPHLLIVLFAL VGSSIVGGAFSGEAVIFGNTVGSLNPCKSPNSIDSRGNFFGLMFFVLAIIEFFANLVS WSGFGWVSEHMVYTVRVLSFRSLFEQDLEWHQSKGRTPAVLLSYITSDGNALAGLSGS VIGTLLSITINLIAAIILTHIIAWRIALVCLSLVPLLLGAGLMELRVLGKFEERHENA YTTSVDIGVEAITSIKTIASLSLEEETLSTYRKSLKGPRKETLTVTLQASLWQAMTYF LGNCVNALAYWWGAKQIIAGNYTQTQFLIVVFSLLVSALLWSQMFALAPELSNARAAM TRILGLIELGSDKMQGPIPEYSFTVPSSETPAEKDIEAIAASRLSSPSGGEAASVQLR DVHFSYPARPDIKVLNGLSVDIQPGKFCALVGPSGAGKSTVISLIERLYAPQSGSIIV DGVDVSKTRDFTFRDTIALVPQESVLFEGSIEFNIGLGAKPGHQATLDEIIEACKLAN IHDTIEALPNGYQTLCGQNGSQFSGGQKQRLSIARALVRKPRLLILDESTSALDAESE KLLQDGLEKAARGITVIAIAHRLHTIRKADIIFLIEAGKCVDRGSHDELLQRSESYRL NVMHQTVGE TSTA_100300 MGSVQDVHLSPGPDGQHSKQAKDSVMELETENEPKGFRSFKLDN LAAKENLSPTGMRDGLVLLSWCMVLLRTSEDGQVSFEWAYRGKSKNLNVQSLSTAEVI PTLKCRLEKAASGIVRVINIKSWDQNTLASGPASLVLSTNLLTETNSEVDFEKETLHL ELHFINGSLEISPIWSTANVSPFTVTRYINALIDTIKICISKPNSPIEDCIGPTAHDL DEIWRWNHQLPPSYQFCMQDLISKRARTTPDKVAIDSWDGSLTYGQIDRYSSFLAKIL KDMSGQLHEFIPLCFEKSRWTIVAVLAVMKAGRTFVMMDPTIPLARLRNMREQVGAKT MLSSRKQHRLSTSIISEGKPLVVEEDTFVQVTNQEAVPELPPVSSDTLMYIIFTSGST GTPKGVKISHETYTSSAIPRAKAVGYTEDSRVLDFASYAFDVSIDSMLLTLANGGCLC IPSDEDRMNDINGVIRKMRVNYAGITPSVARILESDVIASLSGLGLGGEAASARDVMI WGQETRIIIGYGPCECTIGCTVNSSAATGRDYISIGTGNGAAIWIVDPNNHEKLMPVG AVGELLIEGPIVGQGYLNDPEKTAAAFIEGPKWLLVGHGNYAGRRGRLYKTGDLGKYD PDGSGGIVFAGRKDTQVKLRGQRVELGEIESQLKARLPLNTNVIAEVIVPQRPGNQAT LVAFVSQSTKASGAAADISSVSLSNEMQTALSQANTELTKVLPRYMVPTAYIPVNYIP VLISGKTDRRRLRQFGATIELRNLDQNKEDKPERELNDFEQRLRQAWGQILKVDAETI RLEDNFFVLGGDSLAAMRLVSVCREQELDLTVANTFDYPTLAAMTSVIRVHNSQTRVE AQPFSMLSHDANSLYLEANELYGFDRASIEDIYPCTPTQESLFTFSLKSVEPYIAQRV ACIPPSISLESWKKAWEAVVAASPILRTRLAQLQERGLLQLVLKQGISWNYSTDLDQY LSHDKEHRMDLGQPLARYTIIDDSKDGKRYMVWTIHHVLYDGWSEPIALQKVSDALKG QDVKFRTQIREFIKYVRDTDEVAMQEYWRSELKDAVGPQFPQLPSRDYVPTPDAMVEH YIPIDTRSKGSQFTMATLIRGTWALVASQYTRSDDIVFGETLTGRDIALAGVEEIVGP LIATVPVRVYVHRASSVEVYLQTIQQSMRGRTRYQHMGMQNIRKVSRDAQHACEASTG LVIQPEPEYTGDELGFVQGDVVHEALHFNPYPLMIACGIRKDGFRVCANFDSSLIDVS QMKRILKQFEVAYAQLAINTSRKLGDISCLPEAELDQIWHWNQVPPCSFDISAGQLRA GVSIRQGSAYPPPMVPWVCDPRNPSLLSPIGCMGELWLEGDVLSENIIESPAWLIAGS SGINGRSGRVHPTGDIVQLQEDGSLIYVGRKENTVVSQGHAVNISDLEAYLSRYLPSE IRAAAVTFIPSMESSSQPVEQELVVFIEQQPNSWEDSVELMWMQHRVNCEVSGSDIFE ATICNTVTNSLAAALQKFNKFAQDSLSSYMTPTAYIIVEQLPSTMGRIDHELLRKLGS NIPSHVFTQVREGFTNVWAKSLSQTQLTACEEILRSSWANILGTPPEKIDVDDNFFRL GGDSVLAMKLVSNIRARGHSLTVADIFQHMRLGDAAKVLKVNHDLKREETQAYKPFST LVNVDTEKFLSDIVRPKLKNSQWSIQDITPVTDSQALDIRGTVQAPRTSVQYTMLYFK NDIDLERLLDACNNLVKTHDILRTVFVEHHCSYFQVILDNLTTTIETCKTDLELEKHI ASLCTEDIESQFELGCPFFKWLHVEGPAGQHCLVIRLSHAQYDGISLPRMFRDLEKLY IGDKITGFKPFPAYVACILDGNAQDKAIDYWKNVLNESSLSVLQGKSQLPVTKSIFRT KIVETVSLPLEDITTASLLTAAWALVLARHLKITDITFGGVTSGRVNDLENVENVVGP CYQFTPIRIRFERQWTGMDLLKFVQKQNSESAAHDFLGFEKISKTCTQWASASPERRL FFDSVVHHQDFEDFDTMPFAGGNCKVDILSPHGDSADPLKVVSFVQEGKLHVGIVGSE HDLEFVDTLLKELAKAAEELVVRGSAHIFLDSSSAC TSTA_100310 MYSKFWPKGGLPGILHHYTETLVTFEFTSNAVQQPYSILFVGGL GDGLATTSYTSDLVRALQPTKWSLFTLNFTSSYQSWGLGHLDRDTNEIAQCLQYISEY KASKFGHDNSKIVLMGHSTGSQCVLHYLYRPNPHTTTPVFDSDLQHIKRRVLDGAIMQ APVSDREAILWVLTEGIGGKTPSEVREVYEKVEKIAKEADRQNRETNSRFDTLLPISL TSQTGYPVNTPLSARRFLSLVSPESPQSPREDDLFSSDIGEEQLAKTFGMIKQQGLLK NKLLVLYSGKDQSVPDWVDKEKLLSKWRNATDRNGKYEVWDQEYSGIIPGASHALSND DQAEPRRDLVRRVMGYLQKLENA TSTA_100320 MPATTHPEYNDSTEALEVAQAFAGNIRGRTVVITGVNRGGIGYA TAEAFASQAPTHLIVIGRTMSKLEESIDAMKVKYPDVDYRALHIDLSSQQSVRSAAAK LLSWTDVPTIDFIINSAGIALLPERTFSVDGIEMVFATNHIGHFLFTCLLMPKLIKAA EKSPKGATRIVNVSSGSPTVARMRWSDMKYEKLNKDLPEQEQPFYDLQKAWGIDDPEN KSYTPLEAYNVSKVANVLFGIGITKRLYEKHGILGLALHPGIIETELGRNAEPKIKDA VNSLREKGVYSYRPLGAGASTSMVAALDPKLGPGETKDGKENYGSFLSDCQISSNAQP LAVSSSEAEKLWKLSEEMVNQKFEW TSTA_100330 MDQTFLFVDGFETGKAARQRIRSHVMNGKNAGKKVHRRSRLGLM PSTPYYRRYRTSEQCDSHNVDRESGMSQGLPCPLTNSRILGDPFRTLSYPVEPTSYSL DIFNEFFSHVINKFYPCHLGISPDDAKYWWLQLSLADEAAYHCMIAVTDACIHFFRGG GASTREALYHRSRTLTLVTERLAGDDALSDSTISLVVMMIVQEQIRRGVPEAHIHYEG LRKMIEIRGGISQLEQSPTLLLKICKMDNIYAWQYGRPISFFRDCMPEARARLESEGL PFDLSLAESVAPPHKLNSCLREILLDVINVSVLFNRIPPTTRLNYITFAELVHSICYR LIKFQPLHEPSSLSDLEDVYHMALTVFMMTLFMKFDDQRLLRCEAVFERLRTILRRNL AELDTDLVLWMLFIGGIWIRNGPDDSWLYTKIRKLTRSMGLDSWEEIYPVISVFPWIK SLHNGPGVALWDSVYELSRVGDRKLLP TSTA_100340 MSRLSSDFRLNSDILYSEFLSSDIITLATENSERTFKIHRALLE SKTKGVFGRLDKFKEGTENIYRFQDTSDNTLLRFIEWAYRGDYPENIAKPSLASTNPT LASSSSDNDTTTAEDPLSCHIQVYIFAHVYGISRLGLVAYDRITDRLRAINKPKDGKV KLQVISLMDLAFKKIHRGDRLLTWLGNYASFCLSELRVVSEFQKVVEEAPSLSLAMLK YNNPASVAPWSPDPGPNSFSGFGSFSASNGLSSLSSSSASTTLLQNRNLFGRPVSPAP PAPPGSIFGPPAFGGSGPGLQQ TSTA_100350 MLLHRARTEKLNVVSLRALFGGPHGISETVAHNEIILVVASGNG VVAIIHGYNTSTTQAGRLYFVWQVETLSKHLQAMAKKLN TSTA_100360 MERLVYLCQHRYLKMPKPNPTSIAASDLFWISNINRIVYIHQAT GSHLYTSSYNPRPLNPHAPGTLTKCTLYKNAFNDMDSEILNSCENWASDYKIEVASLI SWNPSLSTTNCSLQAGYSYCLDSSVPPEIFNCSRFPELLNITVADVMTSNPRIGSNCD TALWSKLSTDGYEQLRVHRKQ TSTA_100370 MPITFESAEFQCSITRPDIPFTIYVGDSYMLLVPREVTNIHRNF PTVQYSPQRFRLQLSDYWVDEYKRKFNQEPKFSAKYVDFGLEDDEVVVAALLDGSNFI AYWEFRTLLDGDNSHHMDMSSDAKASFGMMKWDLALGS TSTA_100380 MASMNNIFTLTRYKSPLPPNVIIAETKLGFAKLQHSNLGALVGP ENGGYYLKYPEGKVVAVANDRLCSVIDEKFMSLIFYLEREALHEEANETMEDLRKAGI DADRLKAEASDEIKSGACVRDGIRIGIYYFLKLWQYRMHIPEPKRVNGYSSSILTRNE FMIYNAI TSTA_100390 MKTTSNFSIVLLFLAFLWETATADDTLSRYKRPLPPNVQIADTK PKLREFQDANPGALVGPENGGWFLKYPDSGKVVAASSDNLTIELDQRKKGTTKRLPMY SGNYKRMELICKS TSTA_100400 MQKISKTTVNGVAPGGTVTDMFHAVSHHYIPNGEKFLAEERQQM AAHASPLHRNGFPEDVARVVGFLVSKEGDSLESKYLV TSTA_100410 MTSSSTYTVLGSTGRCGRALIQTLLDSKPDARIHAYCRDRTKLL RLLPDIKEGGEVEILKEASTTLTFWPRVCVVATRSENTNTAPRKVSKLVLLSSATIDD HFLRHLPYLLRLILLRSAFYVYIDPIETEKMLHAEEDWLKTIFIKPGALSVDVQRGHA LSLTDEQSPVSYLDLAAAMIEAADDENGSYDLKNMSVINTNGAAKFPTGTPMHIALGL LRHILPLLHPYLPLNTGPG TSTA_100420 MEMISDEYGPSCHPHQYIPTKVQIYISRLLDRLPSQEKSHKPSQ CINIPAPAHVQKETIDKFLDTWGNSKAQDTINLWSDDFEQRLLPFSLQQPVRRREHAE FFYPKLVNNLANWKVGIKHIVHDADKSTAAVYATSSADTPIPEEKWTNEFSIFMTLTE DGLKVKKLEEMVDSAFYQRFFPIFQKWLIESGALQ TSTA_100430 MARAASPESHNIPTSENTLNCSSDPRDTGSKMSYTAYPFGFCLC LSRLSRILVMATLATPAPAALQSAMGTSGKIFAQDDKFWKNYSRGRPKVPGAFWDRVF GYHQSKGGLFGTVHDIGAGNGPYAQRLHSRFAHVIVSDIVAENITLASDRLRDREGFS FRIAALEEADDITDGSVDMVFSANVMHFAEPQEDAMATIACQLRPGGTFVASLFGPAR FRDAELQDLWERISHQGGRELLQVSDDPDQIIKVMVRTQGLYNVAPLDRALFGDNLRI HINMEHVSTLARSRLFHGFLTLSRTRMRSWTSCSLMGRLLKVISPSR TSTA_100440 MHELEGFADVELTTKESGIWAVPPYFIDSVAHLAGFTMNCSDAI DTQSNYCVTPGWDSMRFAKPLVPGGKYHSYVKMVPTVEDPIYFGDVYGMQDNIIIGVV NGIQFRRYPRILLSRFLSPPDKMAAIDDKPKPAAAQAITIKSRSATPMPNRLGSDDEN ISPPTSAPAPSKGVAAAAESPSIKDAAESGSITAKAILLIANETGLDLSEMTDDAGFG DLDIDSLMSLVIAEKFRTELNIKVNGSLFLDYETIGDLREWLGEYYN TSTA_100450 MDDVAASLEKAGYRCIKLDVAFAFHSDQLNPILDDFEEIAMAGV IFQEPKVPVISPLLGKVIFDGKTMNASYVRRVTRQTVDFVAALEQAQKIHTISDETVW IEIGPHPVCTNFIKTTIPSTRLAVPSMRRGDDNWKTITESMATLHICGVEVGWNEFHS PLERRLRLLDLPAYAWNEKNHWIQYTVDWCLTKSDLMQEDLLAATHGHSMNNCGVVTS SIHADIAYTLGNYLYRKLNPKSKEVHMNTGNLVVTKGLVAQTNKKTPQLFRVTATTAD VGSGLVELAWQNVDNDGGPEEPFATANICYEDASKWLSSWQLPTSSRAELKHSNTLPH KVRQAALHATCIHPLQQQPGNLRR TSTA_100460 MLIFTPTTTSDSDLDSRKMKLVYFSNEFPRDDLQNFNDKHHSHL AQFICEATWAIKEEARNLPTELKKLIPPFKTLVSWSENTEIREGLICGAVDGVLLVVV QIATYIGYAENSPEELTDFPNLNLAGLGIGLLASTAVSLSSTLADLPLAGADAVRLAF RLGIHVLDVSENLEARDLSESPDTWAYVVHNIDPDIVRKELDTLYLRDEIPGTGKIFV SAVSRTSVTVSGPPARLKALFNKSDFFRESTFIALPVYGGLCHAPHIYDMHDTQSIVD QNSLLTVRTKSWPGAPIYSTSTSVTYPAKKDTELFESVISEILTQAIYWDRVISGVVE RVKTTVTSEVALYSFGNSIPLNDLNSALKSSITHSNVSISNLTTFVTQVAPKDTTPRS TSQSKLAIVGMSCRLPGGATNTEKGLDVSRKIPADRFDIETHYDPAEKELNKTMTQYG CFIGEPGLFDAPFFNISPRESQVTDSQMRLVLITAYEALERAGYIGNCTASTQLQRIG TFYGQAADDYREINQGQEVSTYYIPGGCGVLGPGRINYFFKFAGPSYSIDTACSSGLA AIEVTCQALWNGEVDTAVTGGVNVLTNPDGFSGLCNGHFLTKGYNACKTWDTTTDGYC RADGIGSLAIKRLEDAEADNDNILGVILGAGTNHSAEAVSITHPHAGHQAYLSRQVLR QAGVDPLDVSYIEIHGTGIQAGDHEEMQGIMDVYAPLTRRRSKDQPLKYWRRKSKSLI KVLLMLQKNAVPPHIGIKTEINPKFEIDPRKTHIIAISAKVKTSLTGNIDRLIGYLDS HPNTNSADLSYTTTARRYHHTHRVAIATSDVAHLKRQLSSSLDKVDLINPVGMSGPPL VAFSFTGQGASYKSMSLELYRGVPTFRKHIQYLESLGQGQGFPSFIAALDASYPNEHA HSAVITQLALVCTEIALAKHWATLGVKPDVVLGHSLGEYAAIHTAGVITASDAIFMVG RRAQMLEEKCKVGSYIMMAVRASVAEIVES TSTA_100470 MPKDREIQGARQRLERPVSCRFCRSRKLLCSREAPCSNCVSRGI VCELPVKNTAIRSGSGDFELLERIRRLEELVANNGNSAQNTKQTAETSPDTPHSLLTR TSRSTLSPDIEHLDDDVGWLKRIYTVQIASDNALSNKIAFRVCPIQQIPHAQYYISRN GHLSPSRFEPMRCVWLPQYAEAKILLQKFFDDIDHVHHIIHTPSLPAILDEVYACLSQ QSWEDPGNILLLLGIFASSTHAWVHRDNARGLFPTWQEANAQAQLWVKAVEDMLDILH RISSVSIEGIQGISITTFALLNMEGFSRRCKSLFNMAFMLAREIGLHSLDQPSNANSA NSVQTEIGRRVWWFLVAADWYCVPKISHNMVDRSPLVMGLTGVPNHDVLMGIDTELQI LLNDTPPFFSMSVDELIANYSLDRSRATKIAHQGYLFCSLLYAQRCTLHIPYFTRGLA EPAYASSSQICLQSARLVIQTESHLGKSGVSATRYRFLGFLVPVFMASIVLVIQLCYH KTSSHHAEDRGKLTEAIQILEEAKYESQTAAKFLNSLTHVLRKHEVSPAPKRADRLPI TPDGAATATGVQSYSELLLPTPAILRGDEATLGDDMYANGEDLSTYFHDLAQSFDQGV DVASLDWNNMFSGIDSSMTWV TSTA_100480 MSIETETETTTTSKAEVDAGQFSTASISNGDNQVMEKNDTIAAF DGEEKTEFFYLEGWRLWAIMGTLYLNTLLAALDVGIIATAIPAITDEFLQLNYVGWYG GTIFLTLSTASPVWGKLYKYVSARYVYLVSIVIFLVGSIVAATAKSSIPFIIARALQG LGCSGSIGGSVLMINYVAHPAKQPMLIGLWATVYMISTIIGPLIGGAFTSHVTWRWCF WINLPVGGPVLVAVILFFHVPKHVKYSKATWKEIILQLDLPGFAFIFTSLVCYTIALQ WGGLTKPWSDGNVIATLVMWVVLTIGFFVVEYFQGERAMMPLRLLKTRLQWTNTLYAM MVNCAEFQVLFYLPIYFQSVHGQSAIASGVNTLPFIAFFALGSMMSGGIIGKTRIFQP FLLAGGLLATVGAALLYTVELKSSKARYLGSQVIFGFGIGLGCQVPLMALQSFTSPED VASVTGIIVMMNSLSGGYFVTAAESVFDNRLLESLAVSAPSINPGLVLATGATQLRGV FKGQDLTAILAGYMAGVKDVFAWSLAGAAFTAVLALVVPFKKMPPVEDASAKEKSEDE KEETV TSTA_100490 MTNFNIEIVSDPVCPWCYIGKKKLDKAIEIYQPSHPDDTFTKTW KPFYVKPHSPEKDEKQYGTMMADMMTERVRSIGAEVGINFKFQGKTGRTRDAHRLIQL GKTKSPEMQTRVVEELFAAYWEGEADITSHEDLTKAGVKAGLDEVEVKEWLADDKGGQ EVDAEARSAHVYGVPNYIVGKYTVGGAQDPGAFLKIFNKIKVIENGEPEGWGSCVGQ TSTA_100500 MCQGELSRGATKFSELGAGAVLSPNSQRAMQLIDPRIFEGFQRR AAFGVDPPDENGIFPWMTMTKGQSLDIGEPVMQWNHEIRGSTIHRAHFLDELAELIEP NHAHFGKSVTKISENGDDNPIILNFKDGTTAEADLVIELVPDHGTTLGWSIWEMPPAP TYYKGRVAIMGDAAHASTSYQDAGAGQTIEDSLVMERLLGKYFDPEREKVHALDTVET THLIFQAFGTVRRHRSQKFQTTSSETGRILTGAEPGVSMKAADMSKRMDGRQDWMWNY DQEMQVKDAMLIFEEVEWSRARNAANSSA TSTA_100510 MSVPTQTSVLVVGGSPAGSYATSVLAREGVDVVLLEADEFPRYH VGESMLASMRFFLRFIGLEKTFDEHGFEKKFGATFKITTKKEAYTDFAASLGKGGHSW NVVRSESDELLFKHAGKSGAKTFDKTKVDSIEFEPYLHDGFVAEDRLANPGRPVSAAW SRKDGTSGTINFDYLIDGSGRNGPEKYRHLELLEGCKALQAEAGKQNSPFFEALSGLT DVSGWVWAIPLHNRTLSVGVAARQDFFARKKASQFEGKEFYRDYLNPVPGIRSLLEDA EIAADLKQASDWSYSASAYANPYFRVIGDAGCFVDPYFSSGVHLALTSGLSAAISIQA ARQGQADERSAAKWHTTKVSEGYTRFLLLVMTVLRQLRMKEAQLITTEEEEGFDMAFK KIQQVIQVQKNAAKAVDFSLESFEVTPEMQRAVIDKIEKSQAAPETREKLTPEEVHIL SGIVTRTFAREKDELNLTSFTGDVIEGISANLVHGDLGLIRPEAKETIPETSTIMGAP VIENIKTMA TSTA_100520 MPDTKVPLRKLGKNGPLVPALGLGLMGMSMLYGLPPSDEERFAV LDRAVEIGATNWDTSDLYGDCEELLGKWFTRTGKRDQIFLASKFGLVKGSPTFEVDSS AAYCKKACAESLRVLGIDHIDLYYMHRANPNTPIEETVRAMAELKAEGKIKYIGLSEV SSTTLRRACKVAHIDAVQVEYSAFVTHIEESRGTNLLATARELGVAVVAYSPLGRGIL TGAYTKNTISAEGDKRAEWYPMYSETNFEKNLKLVEKLKSIADKKNCTLAQLAIAWLL KQGDNIIPIPGTKKIRYLEENWGSLHVQLTDEEEAEIRKLIKDTGVAGGRYPEFAGDG TLADTREE TSTA_100530 MDAKKNTVILKTSDDWRKWIEQLRTEATKENVWDYIDPDPNRMM LEPAPAKPKEPVAPEIDLSKPSEAQLLLQKYQIEANIYDRQLNRYEKHQKRMKQIRSY ILDTVYIEHKPLIRDLSEVSEILKKLKEKLAPKENREKISLLKRHRELTIPKKGMKPK ELAKKWRELRMDMNLAKFDGISSEQLARDFIDSTEGVLPKFHDTWTTTLLQFDLGSGM NTLEKEPTIDQVLDEFDSWTEAFAKKDSSLKNDIVMATLGNKSDQPEKKNDRSATTSP RQIICEDGEPHGFEDCPYINPEKRTATWKPDPDIMKKFEALEKDRSHPKARKLRWIKK QLEQKNKGKKENDSLANAKKKDEKQEQSNFSYDSDEYCGTVLEMALTASTTSANMKDE WILDSGSTIHITNNRDRIRDLGSEVRWILVGNTKIKMIGPGEATLFPTEPINDVVRQK GIRLKEVWFVEGMHTNVVSLGRLQMNGIMFDGKNECLWSKRTNKDLCNVKCEGKLFLL EWPKNPIQKNNLAFELAMSSFEKQTLKDTALVWHKRFGHVSTKAIEKLEQVTEGAVVT SKKVLEQNQEGFKEKCETCEISQAHRKISRVPMTTPTRPFQVLFVDIIVMNMAINQDT YALHGFDPYSKFHCIVTTRTKSVNFDLERMIEEVEHTFKTAIEEIHTDGESSINGQSF KQYCQARKKRLTVTIPNTPEQNGPAEKAGAIISLRARSLIQEANLREGLWPEAMKAAV WIMNRTPVKSLGYKTPYEIVHGVKPYVGNLFLFGSKAYVRIDTKKSEKMAPRAQIGYL VGYEAHNIWRIWTIGPNGTKVIRARDVVFDETKKYDPDHPFAKEIIREGVIRYVDNID IPNIDEADPDIVFDSVDDDLRLQQSSIYLGPPMAGGSASPHEHTESEQPAQSLDKPQD MEIDSPPTESVGPTQPPQAMEIDDSNEPGSMEIDKNGRNEVQDEKSMTEIGSAGGVKD KNKDKVEIEKEVDEDIPRSDDGKTNSPIDQLRQLPNPVTSEKDSTNQNASNQTDNDDI GTNKLITPPNTPPSNEPIRGQGNQQLQVAPRNQEISADFSETNIISGSRIRKASKRAL SPESLPLSNKRRRKQERAFFARQKLLQDSSLAKAFFAATEKLEIEPTARYLPPEPKNW TGVLRHKYRNQFIQAAKEEFETLKRKGTFEFVPRPQNTQILPLTWIFKYKFDKFGKIS KFKARICVRGDLQTPNELETRAATLAARVFRLMMALAAVFDLEIVQYDAVNAFVNSAL DEEVYTYFPDGFKKEGLVIKLRRALYGLRRSPRLWQKELTKTLLNLGFTQVPDEECLF IKNGVILLFFVDDILVFYDKATKQRAFEEIEKGLTNRYELRKMDKFEWFLNIRIIRDR VQRKIWLCQDAYISKIAERFELNTKMSVKTPISSDIEISSDTATNQEIHAYQELVGSA LYAAVMTRIDVIKAISELSKHTKNPSKAHFQQIRRVIQYLYSTRFLAIEYAPPEESNM DAFVCASDASFGDNVDRTSSEGYLVQLYGGPIDWKATKQRFITTSTTEAELRAATEAA KRLQVWKRVFKAIDYKPDRELSIKCDNKQTVSLLISEEPQFRTNLKHIDIYHHWLRQE VRAKRLQIDWVDTKSMVADGLTKVLRGQRFLDWRQHQGLVDISHLMQE TSTA_100540 MQSIPDARHQTFEEIYGPPENFLEIEVRNPQTHGTSRSMYTSYE IVCRTNIPAFKLKQSIVRRRYSDFEYFRDILERESSRVTIPPLPGKVFTNRFSDEVIE HRREGLQRFLQIVAGHPLLQTGSKVLASFIQDPNWDRNAW TSTA_100550 MRKTGDNNNNNNLSSSPSDDASLPQIRDVHSQLPGLRIAPLRQP VSRRLWNTPFPLNPEIAAHSGKRTVTNGGSTTTPHVGANLPERLPTLEEFVNAARTSD ASLSDNHLNPNAPPPPKTILPDFINLQTVEKLPYLFEETISSKRRRIDGHSDYFSSEH LQLPVPQAQNEKKPPPFGPFAILNGLNEPPPNAALFPPIEPGSLPSILTRPTIDSELV FSEKHAEKQGDKRELRLEEILDPNSLDRSNIDHLEEPKLDQLPANDENRTTSQNPADG NGNPSEKPIDANEPMSPKTRGRSRKNLRRWTEQETTDLLRGVVKCGIGNWTAILQQPE LKFNKRSAANLKDRFRVCCPWAYGAADPNEATKEIQDTLASALMNAESLTSGVGGKIL LPDPRPKDSATISTELVETSSKLGEQLSASSASRSNQLSPTPATVSGSGSQESLVPAK SAPTQSTKSKSTLSSLGIAEPYFTIKSKRRSRRPFTPAEDEALLKGYAVHGFQWTLIQ QDKHLNLSHRRATDLRDRFRTKFPNAYREGGSVSGGSIGSLQQREYSNEITPTLDNNA KDKTATRSSKRLKEPPQSLSLPPPLMSPPVTSDANSPDKKRNPHLPDSANLTSISSLL PPLPQLTQSSMILDSSSGGGQGMMSSLSFPSSSGMGNHSASFTSVSSASNAVISSWED NTLPPFNWNDDIN TSTA_100560 MSCCLSCVGEGGLTLPRESANTWLPEGQNFYWADGPPRRASILP LRLGTLLLFHYLHLPCTLFPTWPFILFGVLEPLTMVAGALAPIIDTNNFIAGQTSLAS PPTLPHHPSVLALNYQLANIYGLVGMLGVGLIYGTSEIHVLRNAVVALSIADLGHLYA TYAAMGPDTFFDVASWSLVSWGNIGFTAFLFVNRIAYLLGLFGSKTSKSKKRN TSTA_100560 MPIFSTLFPTWPFILFGVLEPLTMVAGALAPIIDTNNFIAGQTS LASPPTLPHHPSVLALNYQLANIYGLVGMLGVGLIYGTSEIHVLRNAVVALSIADLGH LYATYAAMGPDTFFDVASWSLVSWGNIGFTAFLFVNRIAYLLGLFGSKTSKSKKRN TSTA_100570 MDPLSISASIAGVATAAFQIIGYLSSVASGGKDRLSLLNEITHL WLTITALQTQLADTQGQDAIPQELQSLFAVDNGIIKEIEDLVNELNTKLKSRSGYGKI KQTLIWPLTEADVLKVVEKIHRMQQTIQFALSQINHSMTRDILQTTLAVKDIVDETQL KEMIDWLSSLNFIAKQQLLFREHHEGTCKWFLDHEDFREWIEHENGVLLCPGIPGAGK TFLSSIVIDELDNMRRSNTEPVKDAAILMLYCKWDDAQSQWIDSLLGSLLKQIAQRYG VKSKDTLKMFKKHSGARTKPSREELITVLCQELKNFPRSFIVIDGLDELREEDKRLTL IEILTSLEAKVNLMITSRPLENIVRHFVHVDRGIYCDQCNARDLPYQYHCYECDDSNY DICQKCIDDGKQCSGQAHSLIKQFTSFRIEVAAVEEDLLTYVEWRITTSAFLQKCIAL KEGLREKIISTVVNENDGMFLLAKFNMDTLTSKLRPGDVIAALEVLPNELDGTFTDAM GRISDLSSNHREVAMDFLRWVVFAEEPLHVGAIEHAIAVTEMDEDINQDFIIQAPVLA SMCAGLVQFDESDCVRLVHYSAQDFFRRNWQQYFPEGRDKLVSVCLTYLLFDPFKNGA CSGPTELTDFDERVEKYPLLGYAAKNWGKLISQTSRDDLWERARRLLHDQGCFASLTQ ALWYLDDEDHSSWAGKDGSSALHLASHLGLTKLVAEFVKEGVNPDVKDANGVTPLMLA ADHGNVDVAKVLIEAGASVSSVDNTGRSLLYKATELNEVAFVELLIKQKGIDVNITHP RQSDLTPLILAAMNGCAEIVQLLVSVPQLEINKSCSDPPGATALVHAASAGMIDCVKI LLEQPGIDIDLQDERGSTALLYASKNGYTDVVEALLERNADTEALQYGTEGTALMRAI DWNAIPVVELLLKKGANVHARDCFGRGTLHSAACNGRSEIIKILLEFDPTLDVNMQDV NGKTTLHDAARLGLDDTARVLLDYGADPTIKDKFGRTPIRVAREMNENGILQMLRSAR RQREEEMKVSEDVLDLSSPKRTDTGTIIQPPHRTNTEVSVDSEPLALWALASANLTDE VTERLSEELDEDINGKDPDIGETALHYAVTNNNEEMTRQLISRGADVNVTNNYGRTPL HLAALYANYEAGEILLDAGANINALDQWGATALEICRAGSQRSLSILLIEHGAKLTDD TLTLNAFLELAVIHGSAEAVSRLVAAGAELWKKDWYGRTPFMIARSYEREDIAALLLE LGKTIAVSNASLKSASTDDSSLTDMTGDTDQTSLMDAEDDSVKSEFKANEDSPTSEQT EAAEPISSEVKIGEVVPEVVPAALTTNSKGVSLQTYIPTIASAVFVLVVAFFVALMRR HQDI TSTA_100580 MNTNELDKVVVEQSRNLKNKITNLIRKRLGLFDSDRQRHHPIFS ADTIEKIAFTLSDQQLITGVSIIIVGFQRHCVMTQYHFYIVYLLEVFSFATHQPTMMI LRKKLKLGVVVQCIWADLPQYYNGSEVAFTIVTSVFLFWGFAAVSKELCPPDYDSFKG HAMRFFTPRWWHDLTSQKFEELHLIQPFVSLCHGRDRDHTRGSFRFILDSTLFITYLL GFTLIQIFASRTFDLYRVWATLFYATFAITGIKDAAISAMTLENTPVMNGDENSWGFG QVLPMLLLALPTSQVWEMIWGKIHALTG TSTA_100590 MLFTQCMKHFSKVEIVVANAGIMESQKLFDISDVDEQGELRQSA ERFRVIHANIKGTVNTDFVADQWRKSGLKSNTVESVAISIALASVREETGKCCMVHYP QLLPGLSIC TSTA_100600 MTVKATRCKPEPHASSRGGILGLPASFAPPLLYSEFVQQLKYDF NATVLDLPYVGLRDPLPPASIMDDAEHIKSATTRLADEGCDIISHAVGKLGGIMHLVY IGSPAPEVGGSMMMIMDEKLSPFMKLEIGEDKIIFKYANNKRFLRGGYLTSDPEGYAS INISDLPYADSIQHAGQMKAHSAESFSGPLHYAGYLNTPVTYIMCERDISVTPEFQRS VIDMISANGGKEVTTLLCNSRHFPNMSDPDELADLINTVTIQG TSTA_100610 MPFQSSDNIPIRYFNWNSVVASIMVHEAIHYPDLPQSMANAEDC SGEAKIPEDPFSRNSS TSTA_100620 MPAPPRVEIIDGIKTFIPLENNPDILKLLCENLQISLDLDFHDI ISTSPAFLQECYFRPCHAIIVLAHRSIYQAARSAVEPTISEYKGSGPNEPVIWMKQTI GHACGLMALLHVVFNLEGGRYVRPGTAIDALRQQAILLGPTERAQLLYDSSFLEEAHM DAASRGSSNVPSPREDNQHHFLAFVHKDGKVWELNGGMNGPLFRGVLGEGEDLLSERG LELTVQDFLIAAEQTGCDEMSIVAITGADAVAQG TSTA_100630 MSNCAFRLTNATARTLQEGFRITNAPILTLQDRPASHFKTILDE EERTHWQVDIKTGWLSTLDYAKLLGRASLGVSLHTSSSGVYLPMKVVDMFHAGLPVVG WNRFEAWPELVTEGVNGRGFGSPEELASHFTDLFGHASKLGNLRRGAQKESLRRWD TSTA_100640 MKLLQPSSRLMNRFSVLKIPALGGAISLSHPLGSSGSRILTTLL HQLQPGEYGVAAICNGGGAATAVVVQRLDQVA TSTA_100650 MLAMRRLKGSPRAREILAGLVRYLHAFCHDVNITTKELHIAIEA LNRSGRMSIPERNETLLRADCLTQKALEDNTNPTNSCVLGPFYTADPPRYENGDSTIQ KHLGGEVAFFHGRILDADSNLPVAGLSLNIWQCAVNGLYDQQDPDQPSGDMRGMFTSN TDMAGTRSTV TSTA_100660 MRESNSKVTTNSVPMSSQRVIKPGIKRRRPPLACIQCYQRKLKC GREFPCCSRCVKTGNANRCTYRNKSANTSSLDGFSLDGHQGSGAGAMTMPLHTPVSLT ETPERPPASSNWNGKTTHLKGEENITKFYGCSYPLNFYQQFTELRSYIIQVKTKNPAI NAIRDEIYPLANDGYRISPLAHKAVTADTLRELIPKKPVADTLVQTYIDRFEVLHRVL DKSTFIAEYNRHWSNPLCTPTSFVVQLLLVTAAAAIFHPEIYIDAATQQTVYDHAIGW LGAADSWLNSPANQPPQSWDILAGHCLLLIAKRANYIQEASFWMYTGGLVRWAMAAGY HREASPAARISPYHREMRRRLWATIVELDLQAAVERGMPPNIGIEDFNAISPLNIDDN KLQEIQESGHNLPEGMPRDILTDTSFQVLLYSSLTVRLEICALVNGCREQDDFQRVLA LGEKLEVELQNIPEWNNPRNSPRQQQTTMYVKRVLNIHLYQYILLLYFQFAVQASPSF KSKICRRARLEASLKVLDHYQKLIVDEKVPEQACRTGLVLAALNVCHEIYNEIGPLIT VAVFPQVSPFLIATAEKGLYILEKRVNVTLQGLNEYYILSMILGLVKSRLWPESRVTS DQEAADRVIRVCTMLQMRQAVIQPDYFLPGSVSSPRRLDFQEKASSMLSNGTTDLLNS IFSEDFEFINDSNGYTFL TSTA_100670 MSTPDVSLGQVRPDTSENGSNRLEREKPSAEFPEGGARAWMVVL GAFCVSFSTFGYMNAFGVYQDYYAKHYLSNETSSNISWIGSVQICFLFSGSLIGGPLF DRYGASIIHVPAIAVVLSVMTTSLCKRYYQFMFVQGILGGIASGMLFAPVMTCVSHYF HKRRAAALGMTVSGSSIGGVIFPIALSKMLANESLGFGWSVRIVGFIILFMLLIAMAT VRERLPPRQGKVLLPSAFTRAPYTLVTLGISFMMWGLFTPFFYLPQNAHSHGMDSQLS SYLLSILNAASVFGRILPGLVADEIGRFNILILNSLCTGVLLLCWIGITSNTSIIVFA VLYGFFSGGIVSLMSPCIAQVTPSPDQIGAHLGMSMAVVGIAGLTGTPICGALLERYG VYTQPAIFSGVVMLAGVVLVVVARLYLQGKVLAVM TSTA_100680 MELCSAQDLSYLGVINKDTKFNLNGTGFCDAANATRKYENALAA IAKYHPTSETATALDFAVKAQPHAAHSIPGARANSIDDDITGNLEIGEDPEHFVVHLV SMHKSESGQSRKEWIEELKKIANDKLKEASRVKALLKIIRYAMKPIQVKRLSSTAASY DL TSTA_100690 MTATPDDSWAFHPMSIPTSTSADLGDIASQIYSPGSSGLVPDLS GNYITVTTTPAASHYEASFNAAGASSTNQEGGNESETTMNMNNQLQTYPLLFNAISET PSVQHLHQRWWEGDAETVLWSFDVRQISLVIRFGFFHDNNQPRQILLRRSYSVLDTFL TSLLPPYESQFIPGLTQNQKVEEILRRCQPTTISMNLQWSWYPSQVLRGPGPAAIAEE IEVESQMHFKAVPFEAWVRCSLGFPAAEADWFFLQHNALYIILLNHLQAYRYEIPKYR EVEKLLRQKSPFAHKAVVQCLSHFPDAKGETPKLSNKSSLDFIAGPIQDLFQKYPANL VSILKKLSVIAIRFRQTYIHVPKVDWNKRLDTRAKYLDELLKALSPTDLARSLTRADN QLFGQLSREALTDDQNTIPDMLHARWGDLVMAVRECCTAMPDFVQFIQECIQILHNMR NYNSTLALIQGLQHISINFLWVNPTTTTTSTTTTTTTTTTQPEIQTVNIYSLTPPNVS YIADPSNNYASYRHAMKVTPGIPFLLPHIVEYRQHGVTALDELFGTT TSTA_100700 MPLSVSQGLMKPLRNTSAKPDPLKRRTTACMAVPPPEIHSKTFP LTLKLRTTPPDWYHKPRKSPPLTESLPAPTGPYFFYGTLTDPYLVSEILGLDHEPAFR PAYIVGYKCKLWGQYPALLDAAPDSIVKGAVYHVSTAEDAKKPAAYETSNYRLENCWI EYMDGEPAREMVYV TSTA_100710 MVYTMKIYVDGGCRGNGQPGSIAAAAACIQHRSGNYNCFTRVVN ESPDGDPPTNQRAEITAIIIALEIAVEKYRELDGNPYLELEIFSDSKYAVNCMNEWIY KWERNGYINSAGNPVANQDLIREASGLDDDLNEEGRVTYTWIPRERNEVADKWCNKEL DEIEEQRKYADLT TSTA_100720 MPRGPKKHQKRLSAPAHWLLDKMSGTYAPRASAGPHKLRDCLPL IVFIRNRLKYALNGRETKAILMQRLVQVDGKVRTDPTFPAGFMDVISIEKTGENFRLI YDTKGRFTVHRIQAEEAEYKLGKVKRVQLGKGGIPFLVTHDARTIRYPDPLIKVNDTV KIDLATGKITDFIKFDTGVIAMVTGGRNMGRVGVITHRERHDGGFNIVHIKDAVDNTF ATRESNVFVIGQEKPWISLPKGKGVKLSIAEERDRRRAYALAQGV TSTA_100730 MSATVTKKKCGVLGATGSVGQRFILLLADHPHLVLHKVGASSRS AGKKYKDAVKWKQAAPMSKELSELIVQDCTADNFQDCDLVFSGLDSDIAGDVEMQFIK AEIPIFSNAKNYRKHPLVPLVVPTVNPTHLELIPHQRKEFGLKKGFLVCNSNCAVIGI VIPFAALQAKFGPVEEVEIFTEQAVSGAGYPGVPSMDIVDNVIPFISGEEDKLENEAQ KILGGLNSDATAFQEQSGLRVGATCTRVGVTDGHMAFVSLRFKNRDSVPSAEQVKQAL REYQSEAQKLGAHSAPEQAIVVFDEPDRPQPRLDRNISGGYAVSVGRVREGAPGGHFD IRFACLSHNTVIGAAGSSILNAEVAIIKGYL TSTA_100730 MSATVTKKKCGVLGATGSVGQRFILLLADHPHLVLHKVGASSRS AGKKYKDAVKWKQAAPMSKELSELIVQDCTADNFQDCDLVFSGLDSDIAGDVEMQFIK AEIPIFSNAKNYRKHPLVPLVVPTVNPTHLELIPHQRKEFGLKKGFLVCNSNCAVIGI VIPFAALQAKFGPVEEVEIFTEQAVSGAGYPGVPSMDIVDNVIPFISGEEDKLENEAQ KILGGLNSDATAFQEQSGLRVGATCTRVGVTDGHMAFVSLRFKNRDSVPSAEQVKQAL REYQSEAQKLGAHSAPEQAIVVFDEPDRPQPRLDRNISGGYAVSVGRVREGAPGGHFD IRFACLSHNTVIGAAGSSILNAEVAIIKGYL TSTA_100740 MKATLPLRSFSASCRSQVRPTPTKSLRAKNTQTTQSSRRWNSST SSGNAFWTTSRALVLATVVGAGAYAAASRSSASRSSASRSSASAVAVTKTAAATAKEP TYGDIRQFEKAIAELRAELGEDAISTDNDDLHAHGYSEWSTVNAERLPVAIAYPKSTE EVSKIAKVCHKYRMPMVPYSGGSSLEANFSAPYGGMTIDFAFMDKILEVHADDMDVVV QPSIPWMQLNSDLASTGLFFPVDPGPSAKIGGMVGTNCSGTNAVRYGTMKDWVINLTV VLADGRIIKTRRRPRKTSAGYNLTGMFVGSEGTLGIVTEITLKLAPIPDELRVGVVTF PTVRDAAAAAMQVIRKSIPVQAMEIMDEVQMNVINRAGGTGRTWREEPTLFFKFSGTT AQVADSIQNTKKIAQDNKSTSFEFAKDDQEAHALWSARKESLWSNLALRPEEGEVWST DVAVPLSRLPDIIEKSKEQLKDLKVFASILGHIGDGNFHSSILYSRKNREETERVEKV VHDMVDRAIEMEGSCTGEHGIGLGKKESLVKELGPDTIDIMRSIKRSLDPHWLMNPGK IFEYSRDSKPVGTADIESARLVGAEKKN TSTA_100740 MKATLPLRSFSASCRSQVRPTPTKSLRAKNTQTTQSSRRWNSST SSGNAFWTTSRALVLATVVGAGAYAAASRSSASRSSASRSSASAVAVTKTAAATAKEP TYGDIRQFEKAIAELRAELGEDAISTDNDDLHAHGYSEWSTVNAERLPVAIAYPKSTE EVSKIAKVCHKYRMPMVPYSGGSSLEANFSAPYGGMTIDFAFMDKILEVHADDMDVVV QPSIPWMQLNSDLASTGLFFPVDPGPSAKIGGMVGTNCSGTNAVRYGTMKDWVINLTV VLADGRIIKTRRRPRKTSAGYNLTGMFVGSEGTLGIVTEITLKLAPIPDELRVGVVTF PTVRDAAAAAMQVIRKSIPVQAMEIMDEVQMNVINRAGGTGRTWREEPTLFFKFSGTT AQVADSIQNTKKIAQDNKSTSFEFAKDDQEAHALWSARKESLWSNLALRPEEGEVWST DVAVPLSRLPDIIGAFLPT TSTA_100750 MLSNPLPQSIAVPARVPVFLTKLLNDVSQSPCAAKIKRLSDVMQ GLLSNAELIQLLPNDLMESFQREGSKILRNLNDPLGTLLSLATFIRIRKLWKPRDSTQ KQPQWLANICQIFGAKSSNKTLDLVIISAVMTCSTSSNGYTPEERTMLVRLAIEICHE IDEDLKKTWLSANSSKLAKLCEKLKRPDIDAELQVTGLILLITFSQWLALPQEVYQSM RGQLFAQTTYNIMHAIPETVRDILLERLALHCEKEYLDFCLTGINGLQPTNAVLSKSL TNIKIDQLLVSTLRKSKQGSNIRRSFVKTLSESQVSMGILHDYAQDFSTRNESSHCSG SHVCCTATLSGTDELFLELLNCIYSSITTDGVESRQISSCYHGLLDSFLKPLKKNAAT STPCLFSQLKQPERNSVFPHKPGKLRAIEDIDGTSHEWRSKIANLLMENAKNSHETII QQMEAMLQDFENRCSNVEAPLAAAVREREELKQQLESTNRLNQQLEEQIRQSAELVSS LRKQLDESVAQVRDYSFQVVHLTDQVDALQTELDTARKEAQDGVEMVHSKARNRELDL MATVAERDDLLEEQQMEIDAMSKERAQLQEAIHNSEERHQVVSREYNDLRRNIEQLQK DAAQDCDVLRHEITKLQQVMEIRESTNAEKDNRIMTLGETNKDLYNENQMLKDRLEQA RTNCEKSMTAHEDARQKFKSSIAEMVTKCNEQVAEAQKKVFSTLRHEMANTSAKAEKE LRTKEKRIQYLEKKVESLRNERAAKAREFSEAQEHISRLMSVMGFDNSKKRAAAGPKA KEGVRRSTRLSVLQAQSCPPSQVDLTTMQSQYSNPAIPPSTESFFGQNTRTTTRRHSQ RLSGATSFANDDITCYFSGPQRGSIEGGGRRQPLGDLDRNNPSKSPHSSNPKNSGHDK LGQDTQMGSQTHINITDLGDLDLNFDDEELLTSTMAR TSTA_100760 MTTLHTAEGAPTPNIFPRRLPFHAKKYPSPKNTPSSKSALTPKN YLSPNKRKNSPRGSKKASPMAIKIRDNQAHRGIKPIWQTWDSFAVNLAGVPDEANTFT IWSTFNRQGSIFSIDLYEDDTGKRNGRGRIRFRPPPQTDFWKDALWQLHLSGGRKARI RVKPVFEQKDNRIQSPVNPDEFYPAEFDIPVATLDFGPMIGPNSMLLLRHLQKTSEET CRLTVDLKNRQLLVFFQLPLQSTQRVTAISQYRLQVPFAQLTTLYQQSHSDESANTVS HIIRFDSPPLYHRHLYNVESSFSGLDSANSWRAMDCWYRQTDIVHRHGDWAGLPISLR KKNPIIDIGRWNAVRITYGQDSIHAKSYRLLCKALSDFNIEIKIDDEFSTEGPRTLPV PVVWDICDEPESLGYSTFDDLFGKPYIHLPFAIRYQLEVCISHGYISEYTAAKPEFIQ RLKDMEERKALALLEHVAMDKKVYWDAMNIFDILFPRGTTRRNIPSYCCMVRTATVTP SMIYWNTPSMEITNRVLRRYSEHADRFLRVRFKDEKFEGRINSTHHDTMDEIFSRIKR TMTNGIVLGDRKYEFLAFGNSQFREHGAYFFASDAHVTASNIRAWMGEFSDIKNVAKY AARLGQCFSTTRAINTCRVELKRIPDVERGPYTFSDGVGRISRFLAQMTQQELNIRTA DQEPPSAYQFRLGGCKGMLVLSDEPRGREIEIRPSQEKFPANHQGLEIIRWSQFSSAT LNRQLIAVLSSLGIEDKVFHGKLQRMVSNLEEATHYDRAAMHLLQKYIDPNEMTLVIS KIVSDGFLQARDPFITSVITLWKAWQIKYLKEKAKITIEDGACVLGVMDETATLKGFS YENVEKVHLSRDSKLDALPEIFLQVYRADEKKYGVVEGVCILARNPSLHPGDIRVVRA VNRSELAHLKDVVVLPQVGDRDIASMCSGGDLDGDDYLVIWDQDLIPSQWFRTPMDYT AIKGVNLNRAVTVNDITTFFVNYMKNDSLPKIAHAHMAWADYLEDGVESAKCIRLAQL HSNAVDYNKTGEPAYLPRDLRPRKWPHFMEKNYKPKDQIYHSEKILGQLYDAVDRVDF HPDFDRPFDKRVLEAGIEVTDEILQFVKELKVDYDLSLKRIMAQHEIRTEFEIWSTFV LGHANLSKDYKFHEELGGITKAIKDRFQGECYAKVGGRDFDRIAPVAVAIYRVTSDEV VTAMGEFRKKNPEAKPNPENLPMISFAWLFPDVLGRVALRYFDDSPEGDKATAAVTTM VTTQENKQEIEGPMTPESEKENTDTTDRYSSSNKDVDEEEITDESEIEEVEIIEDQAQ IKPCALDILKDMLFDSEDDIY TSTA_100770 MPSQPPIPHILSPYLTHSTRSAQSLTLITSVLAATSNWLLLRYL HSVLSATALSEEDGYNETIESGPGRQKRRRRARRVVLVSFLREWMFWRGEGKRLGLDL QRFVDGDRFRYVDGLSGLFDRPQQEQGSVRPMTGGVMGSGRSIPMRGAIDSTRPTTSS IPTSPQSKNPTPQQKQKQLLLSGQGITALNQLENDILAVIKSITKSDIMSGNEEHAED DILLILDQPDLILATTPDINENDISEWIMGLQQHVHSTVITTSADSPLIHNANTYTPD AGNIVTPLEKNHSAFVVGLAHRASMVLQLRTLDTGAAKDVSGVLRMSRGGGYETQRAE EDEDDVTEKEVLYFVQRDGGVRVFGRGEV TSTA_100780 MSTSRTLLRSTLTTIRRVPPALVSVTTRRTRSADDAIFEGNCGV NFKSGSEGISMEMNTKGRREWPGYPRRRDGEGLFTNCQGRKRSFHIQKSTCANCGYPA AKTRKYNWSEKAKRRKTTGTGRMRYLKTVDRKFHNGFQTGTPKGARGPTTA TSTA_100790 MTVRALIYAYRKPGLSLEDFKTHYEEHINFLKHLSGDDFPLSHK RSYIARNVLSDGQTADNGTARNATTPATVLAGQQADFDFDAYAELIFASQESFQKFVA KVQAPEAAAQIAADEEKFLERSKLSIALLGDQKLRGPLIPMRFSAVLSFTLGLGAVVA IYQDNFDSGDVCLPDWEVCSQVQPLVNILLGISDVTHICQQLDPEALGPATVTVTDSP QASTTTTIFQTVTNPVEITSLVTRTVTQSSQAVVTNRFSSSAVQSVRVTVPATALQTI PSTAIRLSTKTVTSTQTNTATITSTKTATDLETTTVTVTATMLVFKKRDDVPGLLASY PASELRDACWCLETATVSETVALPTATRTSTVVLGTAIDVTHTITETVDATAKITGTA TVDVTSVVIEVYTITATSTTTVQVASAVTFDQEVDVTATVTATVTTDATASITTTKIS TVTARFTDIVTIS TSTA_100800 MSRKPTDVASKERNEYIPSFIAKKPFYIDDESTDADYLEHQRLQ KQAADSKWYERGKRAGPAATKYRKGACENCGAMTHKTKECLSRPRKLGAKWTGKDIQA DEVVQDVDLGWDAKRDRWNGYDASEYRNVVEEYEELENLKKSAKKATGGDDEDDEEAD QEEARYAEESDMGRKQSTATRNLRIREDTAKYLLNLDLDSAKYDPKTRSMIGLGAQAD QTSALVAEENFIRASGDAAEFERAQKYAWESQERGDKQIHLQANPTSGEILRKREQAE MEAKREAQRKALLEKYGGEEHMKPAPLREAAVIENERFVEYDETGAIKGTPKKAVKSK YPEDILINNHKSVWGSWWYNFQWGYACCHSTVKNSYCTGEDGKKAFENARKFAILDTL KQDNEEEKREDEPSKQEEETRRVDIDKKKRDLHALQQGVDEEELESYKRSRLAAADPM AAFLGKDELIQ TSTA_100810 MDTFRVRLNCIDDYQAVPTEFDPPVPFAAGASQRTARPKVPVIR AFGATETGQKVCVHIHGAFPYLYIEYRGGLGQDEVNDAIRNLHKSIDHALANSYRHNA YDGRVAYVAHISLVKGIPFYGYHVGYRYYLKIYLLNPLNIIRLADLLRQGAVMKYPLQ PYESHLQFIPQWMCDYNLYGCAYMDCAKAKFRSPVPEYLELSNLDHRWHDRTIPPEYI TSEAVLPKQSHCTLEVDVCVQDILNRKVIKERPLHHDFIERKHPISAEEKLVHSMAGL WQDETHRRKKRLGIKDPSSSPFAVEELVSMSASPRDQNKAAWIHEEEFRDHLLRIVEE EKNGRNETISFDNYVKHDTMEDMVQSALESVEDLYPQKLEPLMTQVAQSDGDQAAVTN AYHTTIFSDGFQVDDEWENEYSNPEDGYDKEDGLSSSSFQIDDELLDAFAEEINGIDD TRRKYEKGKIDSEDSRLGYSASKRGQADDTISPEERVRKRPKNEYGDSSKDESKSKRA DDSFSSFDVASILQEKDEECERGGPNETPPDHPQIGLDSSQKAVWAGQNQRLSFPVVK DPNDPMTVSRFSQRSGLSKEHSQLSQVSVDFDIRESNGVEKPFSEPTLESSSNSTVAH THMPSNMTGVYQAFDIPANRKILFYRFPCPTARDVKASIEDEGRPAVVYQKPFYSDDN DVPERSREYAGREFRLVSDSIRYLPHFELKGTNTHLFAENLPPIPSPDEQRKEDRRLR ELSLAQIWEFAQLPPTRNEVVEWFEKEEKAQEKEAVTPRIRLQLTKSNVMSQIEGATQ KNPYGFKYSQKQQSTSVVHQTQYMSVMSLEVHVNTRESLAPNPEEDEISCVFWSLRSD DEDVDVNSHLEGVHLGILAQPADVLVPVAASLSIEVQTEASELDLITSLVDIVRSHDP DIITGYEVHNSSWGYLIERARVKYEYDLCNELSRVKTSAHGRFGKDSDRWGFNHTSSI SVTGRHMINIWRAMRSELTLLGYTMENVVFHLLHRRIPHYPFRELTRWFTGSKPRDMM KVMEYYLSRVQLDLEILDTNELIPRTSEQARLLGVDFFSVFSRGSQFKVESLMFRIAK PENFMLVTPSRKQVGQQNALECLPLVMEPQSDFYTSPLLVLDFQSLYPSIIIAYNYCY STFLGRLDNWRGRGKMGFMEYERQERILELLKDHVNIAPNGMIYAKPEIRKSLLARML SEILETRVMVKSGMKADKDDKTLQQLLNHRQLALKMIANVTYGYTSASFSGRMPCSEI ADSIVQTARETLERTIAFIHSVDQWDAEVVYGDTDSLFISLKGRTRDEAFTIGEEISK AVTKMNPRPVKLKFEKLYHPCVLLAKKRYVGFKYEHRDQVEPEFDAKGIETVRRDGTP AEQKIEEKALKILFRTADLSRVKSYFQKQCLKIMQGRISVQDFCFAREVRLGTYSEKG TLPAGALISTKKMLEDPRLEPQYGERVPYVVVTGAPGARLIDRCVAPEVLLNNAHLEL DAEYYITKNLIPPLERIFNLVGANVRQWYDEMPKIQRIRRIEGAFTGQGDNNNSSNTT ISKKTLESYMKSSICLICKNKLTDKNSDLPLCNNCTSQSHVSLFKLTSQLQQAERRTS NLLKICRSCMVVPFGDDVICDSKDCPVFYSRTREMSNWKSSTSVLEPVVRELERRDGQ ALDW TSTA_100820 MTPTSQTNGEPLSDGGFKYLVKNPYLFGVALFSTLGGLLFGYDQ GVISGILTMESFGARFPRIYTDSNFKGWFVSTLLLAAWFGSLCNGPVGDRLGRKASML IAVVIFIVGSTVQCGAMNIPMLFAGRAVAGLAIGQLTQIVPLFISEISVPEVRGSLVV LQQLSITIGILISFWIDYGSNYIGGTRCAPSIPYTGGPPSKRTFNPYTDIPANKKCTN QSEASWRLPFALQIIPALALGVGMLFFPDSPRWLLMHERDDDAIETLCKLRRRASRDD PEVIKEYLEIKAQIMLENSFARDKWPNLSGLRLEVAQYISLVSTWARFKRLAIGCVVM FFQQFMGCNAMIYYAPTIFSQLGLDGNTTSLLATGVYGIINSLSTLPALIFIDKVGRR PLLMCGAIGTCISLVIVAGIIGAYGSALVNQKSAGWAGIAFIYIYDVNFSYSFAPIGW VLPSEIFNLSIRSKAISITTSATWMCNFIIGLVTPDMLSSITWGTYLFFAVFCLIAFA FTYFVIPETRGKTLEDMDLIFGDTAAHEEKERIVHIEAQLRGVPLVSEEVLKYDIVQQ EHVHGLN TSTA_100820 MTPTSQTNGEPLSDGGFKYLVKNPYLFGVALFSTLGGLLFGYDQ GVISGILTMESFGARFPRIYTDSNFKGWFVSTLLLAAWFGSLCNGPVGDRLGRKASML IAVVIFIVGSTVQCGAMNIPMLFAGRAVAGLAIGQLTQIVPLFISEISVPEVRGSLVV LQQLSITIGILISFWIDYGSNYIGGTRCAPSIPYTGGPPSKRTFNPYTDIPANKKCTN QSEASWRLPFALQIIPALALGVGMLFFPDSPRWLLMHERDDDAIETLCKLRRRASRDD PEVIKEYLEIKAQIMLENSFARDKWPNLSGLRLEVAQYISLVSTWARFKRLAIGCVVM FFQQFMGCNAMIYYAPTIFSQLGLDGNTTSLLATGVYGIINSLSTLPALIFIDKVGRR PLLMCGAIGTCISLVIVAGIIGAYGSALVNQKSAGWAGIAFIYIYDVNFSYSFAPIGW VLPSEIFNLSIRSKAISITTSATWMCNFIIGLVTPDMLSSITWGTYLFFAVFCLIAFA FTYFVIPETRGKVCTFL TSTA_100830 MSSARDAQNFACDECRARKSRCSKGKPKCLQCLKLGKECVYSPK VVRSPLTRQHLTYVEDRLSAVETALSKLFPGGDLDSVVSSLLQDQDPHSRKSVSPSVS SKDSPRPRPESKHSEPAAEAVPQQADGFDWAEREISLGDLSDGMAALSIRPEGAGYFG ASSSVVPLRAITEHGFDLNIPSPSGSGYHRTAPLKSQLISSAPSGLVEQAFIDSYFLN YHSSYPFVHESTFRAQYHEQIPRPHGPVWHILLNTILALGAWCIGDDSSDLDITFYQE ARSYLQQMSVFEMGNITLVQALVLLSNYTQKRNKPNTGWNYLGLAVRMSMSLGIHKEF PGWKISLLQREIRRRLWWGVFMFDSGAAKTFGRPILLPDESIMDAHHVLNIHEESLTP ATTTLPPEVNGPTIYSGLIAQANFHLKTNHVYQQLLVSSPSITTAEAQELQKPMEEWH SELPYYLKSAIPDEPDWLALMRNRLMWRDMNMRMLIYRPIVLRWASERWKATENTVEP EDEAERECRLHCLQFARATISCMMEYMETHMCTRLGAWYILYFLFQAVLIPIIFLMTD PTSPDVASWYQDVETTKTILSHPTLSNNWVALRCLDVITRLCSPGSLAESQSSVQNQF IMQSQGHLFNDTGFGIFQTELGNPGAVGIAPPTAGVDFAEWVNYPGQEHYS TSTA_100840 MSWRGRMKLGSGTPANHGAKLTDFVRPPEPSSHFNLEQEQQTRP IALYRTMLPQHNMQRLGLRMVKQTRSTPARNVVQRRFNSTQVNKPDWIVDNAFNRERE AVKHHAASTSKLWLRLSIFGVIPCLIGGSINAYNLWNEHWEHWNHLPPLEERTEYSYQ NIRTKNFPWGDGDKTIFWNSAVNYHNPDKTG TSTA_100850 MSNTLIQQTSIPSQLACGTSRHGLPDNDMERNPFGYQPWRDNRS FNAMFDILEADIVIFQETKIQRKDLRDDMVLVPGWDCYFSLPRHKKGYSGVVIYTRNE TCTPIRAEEGITGLLCSPNSTTPYRHLPDDQQIGGYPSMEQLSDLQVDPAVLDCEGRC VILEFPAFVLLGLYCPAARDETRDDFRQGFINLLDARIRNLVSMGKRVIVTGDLNISV GEIDSAHLTEAIRKGTGSEDEFVSSPVRRVFNQLVEGAKVVGQRDPAREVPVLHDICR SFHPGRRGMYTCWETRVNARPGNYGARIDYILSSLDMKDWWSEANIQEGLMGSDHCPV YATFKDKISLEGNEIDIKDIMNPPGVFKNGIRQREYSTKDLLPLSGRLIPEFTQRRSI KDMFTRKPSSSLKDSVTPITNVVDEESAPTPPESTITNSAEPFQSPSKPGLYKTQSNA SNASSNGLSKRTRKESAPAPPPKRSKSRGKLDTNTTSKGQQSLIGFFKPKKTQDNQDT NTTQEISDRGLDGAFTISPTKSISQVLPSPHKPTPTSQTTAQDDGSTTESTDETVIDP IVSKESWSKLFTKKATPKCEEHQEPCIMLTTKKPGINCGRAFWMCSRPLGPTGQKEKG TQWRCKTFIWASDWNGQE TSTA_100860 MNQRESPDNPDAPLYVGSAEQWEFSKGLVDIRGDVVVPCDTRFL IELVTKLDRGYHSILKHLVSCSNRTCVIDSDEFCQTVNDVAFFFVEIAREVRRLQRYP RLCRDESLLQTCQSAILSKLSANHDKWARVIGESSSKACWARLQKSEYLIELWLNHPT YQYDLKPWNQFLNDEAPGTGINVKTKFLKDVYGNSPYEPSESVLQGNFHIVPPGGWAL WRTWVDHFLEIEKKIYRTVVPPSMRSDGIETPNPKFNRELNKLEPMLYSYQTTTFNFR PNLWQPRGSRERVFPPDMLPALEKFLGISASDAN TSTA_100870 MATGTIEMDSLQRAFNLFILGLPSNDLESLLTSLRDDGSRTGFE TEFLRLTNEVLTTVSTKEAPGSPNSSDRNTGSSSSKKSTDKKLRPLNSFIAYRSFYSA IFPDITQKTKSGIIKDLWQADPYKAKWAILAKVYSTLRDDHGRNVTLETFLNLTVPFI GLIQPNEYLAAMGCRVAFTDEQNYIIETISSPRTDISEATNYSVADVVDFCYTTGYVH ADGTQHANQSATTSQLSFAAHSNGNIRTDQAAIVLDNVNRVMPHNGQIVATQTQATTS ELLRVRYPMQTQDEMVENIRAKIEELSQYNGGDPDMYAVFNPAVQGFPVYDPFAHDPF DAYDITEMPL TSTA_100880 MSRTEADLAVNIRKATSIGKHVRSCIVYTWDHKSSTAFWAGMKV QPILADEVQTFKALITIHKVLQEGHPIAIREAQSHVNWLDSLMRGVSGEGLRGYGPLI HDYVMFLESKLHFHRNHPEFNGLFEYEEYISLKTINDPNEGYEAIMDLMNLQDQIDSF QKLIFSHFQHGTNNECRISALVPLVQESYGIYKFITSMLRAMHTTTGDDEALEPLRSR YDAQHYRLVRFYYECSNLRYLTSLITVPKLPQDPPSLLAEDDDTRPALPKRPTKEVER EPSPPPKPTAPEPEPINDFWNNEARRQQEEFEAEQRRLQQQWEEQQRQQMLAQQQAQR DFEEQQRLQAEQQRLAQEALLRDQYAQQTQGRMAELEQENLNARAQYERDQLLLQQYD KRMKDLEEQLNNFNSNFNLQMNSKDEQIKALQEQVNTWRSKYEALAKLYSQLRQEHLD LLQTTKALKLKAASAQEAIDKREKLEREMKTKNLELADMIRERDRALHERDRLTGTNK EELEKLKRELRLALERAENAERQKGTEISSMLSKYNREMADLEEALRQKTRALEEYTS KRGELNEDHELALREKDEEIEVYKSGMEQALMELEELKLNQGDVDHALDSQIDEVLHG TVAKINDIIDSVLQSGVQRVDEALYELESSMQAGNQNASPAYVLSQVEKASASATEFS TAFNNFIADGPNSSHAEIIRTVSVFAGSIADVLSNTKGLTRFATDDKNSDQLINAARK PAQATIRFLRGLQSFRLEGLEPLQKTDVVINNNLEVQRDLQTLSKFVDTFAPKGTKLS ISGDLGDLVDQELEKAANAIDAAVARLAKLRKKPRDGYTTYELRVNDTILEAALAITN AIAELIKAATESQQEIVREGRGSSSRTAFYKKNNRWTEGLISAAKAVATSTNRLIETA DGVISGRNSPEQLIVASNDVAASTAQLVAASRVKATFMSKTQERLETASKAVGAACRS LVRQVQAIIAEKNKDEGESVDYSKLSGHEFKVREMEQQVEILQLENALSRARTRLGEM RKISYQEE TSTA_100890 MGSFTGYVIIYVLGGVTFLPLIVALLFLHAYLTLKPPVRHDESS SSASCAATTGSVSNQQSGNNNTSDNNGNSIHDENNRSLARRSSLLRPNDDQFSLKSGT DVLAEKFHRAHESDVAAAGYFAVCREYVPGGVNGKPPERTTPAGEVVGTENQSPSVYQ SMYRSIFERKTASASIEPGNHNNGGRNFRKARNVFYIVLRHGHLMLYDDEQQIEVRHV ISLAHHDVTIYGGGEDIPEGELYAKRNAICLSRREDSIADLRGLTPPFYLFCQSQSAK EDFYHALLKTQEKIPNSPNSPPSPQLYDPKDIVKLVQDLHASEDHLQTRWINALIGRW FLAMYKTPEMYEFVQTKITKKISRVPKPNFISNIALRKIDMGQGAPSITNPRLKDLTV DGDCTVETDVSYSGNFRIEISATARIELGPRIKARSVDLVLAVVLKRLTGHMLIRFKP SPSNRVWFCFATKPDMTMSIEPVVSTRQITYGIILRGIESRIREVVEETLVYPYWDDV PFLDTASFAFRGGVWQRDIPKYQDGVEIPDESEARTESEETADAIPVDALKTKDERSW STPDFAKSNPPSLRSSKSFKSMTVDSPIDEGATSSSVDKRSNTSPLETIRTRTFSNVA EPVVTADHGKAEKTEGDSPKAHRRNRSKRDATSSMMEIKTRSHSNSVSSTPYGSPPEG SILENAASSDSADTMFNDVLLNHERGKSQRPSSSNSDAMASNVSLTSSTTASDGNNFK GKFESNNRSFTSISSDKRSTTIAAIGTATAAAKKWGWNVLNKSDQRRQPGDASFKPGT PEHPIGRGRPLPPPGTPLPPPERNGFMSNSISMPRRKPVPPAPGQSSEEKKEDKRPVP SPPLPKRKTVPLRDTNNVIFTDEILVVEAPPDSEPNSPAVAVAPPLPPNMSTNLVSDV TLSSSKPEETAPVDIQRKTSDNHIDEDDDTPWDSGFEQISGSSEDIDTSSTASKAKTK PASSPLVEAETTAKHNTGWTPSQEDLLL TSTA_100900 MIEIEVPARLRRAILQNDVLLVKRIIKNNPTYLENPDFEDRSNT SLHLAAIKGHLEIVKLLLSLGHDSCTPNIDRTGYDSAPGISLNIDGSTALHLAAANSH PDCVDLLCRTFPHTVDWKDNEGRTPLMLAAQNSNPSHAPVTANSSSHIPPPTGRRPRA VSTNSSIASEDIATITILLSYNASVTATDIAGNTALHYASAWGNLKAVRALLAAGTPP LSPNKANFTPIDYSVTKQAAQYFQSLANELETSDQQQQQQPLKLNTAATRPIATPPDE SRAGGTRMLGSPMSSPVKSRDVEQRQMQHQRQSSNTSPVRKNFGGLRLVIDTESAEYA DMDDVPSTAKRIERPSIEESRAAT TSTA_100910 MQLSDTSFARNSLDSQLFRGGYKASFVEQSINTSTYNTGYSSVS YPFFNIPVYSNPQTYAMEPLSREELERFQKLSNDYEPEVQGPLVSPKQSSQIISAEYS NADPAFVTKTNALAVTHPFTRIMKGDGNCGWRAVAFGYFENLFFLRDTIKVQSELGRI KSMNSLLDQVGHQEYLYETFVDATEETFGQVIDAIQKGVPDESFLVEIFNDYSQSMSI ITHFRLLTGAWMKLNQVRYQAFLSTPVDQYCATRIEPAKTEIDEVGLQALVDSVIEGS DIAVEILYLDRSQGDAVTPHLLTPNRQSLATIRLLYRPGHYDILYSAEPTFNMTPIVN LQYGMSTDFNPWDSSALAFDANPHLMAIPGLMMDPSAFPAVSSPMMASPQPPQFIPSP SQQEFYSPSISPPIPSTVSSPAPPSLPLSLPTTKSSDGPQIRLNPLVMKPNLSHSLPV TTPFKNSPYNQAHFLNSDFEPIHWEPNEGRK TSTA_100920 MSDDDDFMQDSGDEDYDFEYEGSDDDDSGDIGIENKYYNAKQIK ADNPEEAIDEFLGVPALEQDKGDWGFKGLKQAIKLEFKLGRYDDAIEHYRELLTYVKS AVTRNYSEKSINNMLDFIEKGSDDEKAYHCMEEFYRLTLKTFQNTNNERLWLKTNIKL ARLWLERREFTQLTKKLRELHRACQREDGTDDPSKGTYSLEVYALEIQMYAETKNNKR LKALYERALRVRSAVPHPKIMGIIRECGGKMHMSEENWEQAQSDFFESFRNYDEAGSM QRIQVLKYLVLTTMLMKSDINPFDSQETKPYKNDPRISAMTDLVDAYQRDDIHTYEDI LRKNQDVLADPFIAENIDEVSRNMRTKAVIKLIAPYTRFTLSFISRQIKISVSEVQEI LGFLIMDKKLNAKIDQENGTVLVESASDSERLQAVREWSSSLKVLWRSALNDGEGFRV DESSLSQMGPMHSSFQAAAAAGFGDETHSVGKGRGWRGRAGFHGRVAA TSTA_100930 MAVISLEASLAIIAMAHDEFQLKPHHHPDTNHKVFTMGGDLNLK KSWNPVLQKNQERTWLAEKKALEERKRIDQMMRERQEERQMLEIQQLQEAAGGKKRLN RVDWMYSGPSSGQLGTTEEMEGYLLGKRRIDGLIKGSENQKLEKSAAEDSFMAVQKAN TARDTAAKIREDPMLAIKKQEQAAYEAMMNDPVRRRQLMQAAGKDSGSAEKERRHHRH RHRHRDDEERHSRHRSGRHDYDDDDRKHRRRRDDRVSGPRSQSPVRHSRSRRSPSPSR HTSRRSRSPYRRDHREHRERSYSPRSRRRDCSPRQDWDKDHDRNDRRQTWHHNPRPAP PPRRETQASSKSAEEERAAKLAAMQENANELDKMRAERIAAADAREKAEREADEAARA RTSKDGGKGAFLSSINKRAGELDLSERLQRGRRNVEKEQEAY TSTA_100940 MNLCGRQKVVQRKMVLLGDGACGKTSALNVFTRGFFPTVYEPTV FENYVHDIFVDNTHMELSLWDTAGQEEFDRLRALSYEDTHVIMLCFSVDNRDSFENIS TKWMAEIHENCPGVKLVLTALKCDLRKDEEQNENPDAVTFDEGLAKAKEVGAVKYLEC SAVQNRGIRETFYEAAKVALEVKPAGGSTNSGCSIL TSTA_100950 MADRPNPPLSYEPSATTTHPHVGSSLLPEVVACLKNSRYLHLAT CDGLTPHVSLMSYTYLSETPFDAYPVIIMTTNPSSKKTLNLEANPRVSLLVHDWVSHR PPTRAPNGRDGSPPPAATRSSLASLLLNLNTSALSSISTTITGTARFLEVGSEEEKWC KNQHLENNTFVAAPNEEMPFGQQRRGSGVAETPMIDDNSRVVIVQVREGRIADWKGGV RDWQLVRDGPQINEFAPLILIMRLAHLHLPNITPFNTVSQIQQTLTLRHLAYKKAVST PTSTSTIPPDPTIITFTPNPVYTTGRRDLPPSNTSSPSDPTPSLELSLPPSLEPIRHL LDPTQPSPSSSSSSSSGQQTRKAEYHPTLRGGQTTYHGPGQLVAYTILDLRRLGLSPR CHISLLERSVIDLLDRYRVRGFTTSDPGVWVASKDDGEARKITAVGVHLRRHISSYGI GLNVTQEPMWFFKQIVACGLEGKEATSLEGEGVTFPSEKMEEEVMSEVADGFVDSFVR RLEGDFPVGKGGRRDGVESVYKIGIEDVGLD TSTA_100960 MQPSKVLRASPAFSALRSFSTSSIHNRAPSIRDITPTNAAEFDA RQKEFRESLEQARKKRLEQESQSVANTLRDRAREQEQLDSAAENGTKRGPLSSLIYGT REGQHFDKSIERSFSQVIARGKYVHSIVFHDVKPDKVDEYVDLVGKWYPRMASLEENK VNLVGSWRTQVGDNDTFVHIWEYQRYTGYHESLHTISQHLDFREFDKQLKSLIKSKET SLMQEFSFWPTTPPRRLGGLFELRSYTLHPGNLLEWETHWRQGLKARREVMEGVGAWF VQIGDLNTVHHLWQFANLEERKIRREQSWGIEGWADTVHRTVPLIQSMKSRILIPMPW SPVG TSTA_100970 MTWIRQYDLPALREYKYSGVDHSLVSRYIMKPFYSNVVIHLFPM NMAPNLITLTGFSFVVINFLTLLYYNPTLDTDCPAWVYLSWAIGLFLYQTFDAVDGMQ ARRTRQSGPLGELFDHGVDACNTVLGVFIFAGTMNLGQSWATVLTLWGAVMTFYVQTW DEYFTHVLTLGIISGPVEGILTLCAVFSFTAYMGGGSFWHRPMLATLGVSQPSFLPVT VYELPFTSWYMIYGAFMLFFATGSSIINVMKARRARMENPITPLFGLLPFIYMWILTP VYLFLQPNIRQNHLLPFLIYVGIVNAYSVGQMIVAHLLKTSFPRSNALIWPLAVAVVD SLGPFTGLWPSVLGDDIYQVAFVFTALGLAVGVYASFVHTVIVTICDYLDIWCLTIKH PYVEGEEQLNGNGKKTL TSTA_100970 MTWIRQYDLPALREYKYSGVDHSLVSRYIMKPFYSNVVIHLFPM NMAPNLITLTGFSFVVINFLTLLYYNPTLDTDCPAWVYLSWAIGLFLYQTFDAVDGMQ ARRTRQSGPLGELFDHGVDACNTVLGVFIFAGTMNLGQSWATVLTLWGAVMTFYVQTW DEYFTHVLTLGIISGPVEGILTLCAVFSFTAYMGGGSFWHRPMLATLGVSQPSFLPVT VYELPFTSWYMIYGAFMLFFATGSSIINVMKARRARMENPITPLFGLLPFIYMWILTP VYLFLQPNIRQNHLLPFLIYVGIVNAYSVGQMIVAHLLKTSFPRSNALIWPLAVAVVD SLGPFTGLWPSVLGDDIYQVAFVFTALGLAVGVYASFVVSLTSRAA TSTA_100980 MVLAVDLLNPTPQSEARKHKLKTLVPAPRSFFMDVKCPGCFTIT TVFSHAQTVVICAGCSTVLCQPTGGKARLTEGCSFRRK TSTA_100990 MATEDKEDLLDFNAKKMDDQMGELLDSFESHPLMQPPDTHPTLF FIFDFIRNTRKELRSIDINKLREGDSETKKQVVDVMGRNGFANALINDSTGRLAVLTG GDPGNPVGFGSEIKEGIARLVGRD TSTA_101000 MWYGNAITINMASTKPPLKILMLHGFTQSGPLFRAKTGALTKSI AKAFPLHIVSFSYPTGPLRLNPSDVPGYNVDDAADGKDEKFEIEAYGWWRRPSTTPPT YKGIEDGLASVAAVLRDEGPFDGVIGFSQGACLAFMVASLLEANRNESFNAAAAEDGV QFPEAFSANNVGNHPPLKFAIVYSGFKLADPRWKALYDAQKPVTTPVLHVLGTLDALV TEEMSRGLIEACAGDPEKDGKVVFHPGGHFVPSQKTYLEIAVGFIRRALEGDKKKEEE DERVEDMDVPF TSTA_101010 MSGELLKPEKDFSKDADKIIPEAQELAKSNVQAAIDKLSLLEKH ARQASDLATTSRVIVTIVLISKESGDWSLLNDQVLLLSKKHGQLKQATTKMVQKVMEF LEDTPDVETKLSVIETLRTVTEGKIFVEVERARITRYLSHIKKSQGDLNSATDILCEL QVETFGSMTRREKTEFILEQVALCIEKGDWTQAAILSRKINKRYFARKPKKTPEQLEK EQKEYEERVKTRASDEPMPEKDESVEDLKLRYYEQQIILSKHDHNYLDTCKHYRDVLD TESVEEEPERLRAVLARIVYYVVLAPYDNEQSDLLHRIQQDSRLSQVPTEARLLKLFT VHELMRWPEIAETFGPHLTSTDVFDKEQNPNDPDAHTRWQDLRKRVIEHNVRVIAKYY TRIQTSRLTQLLDLNEEETEKYISDLVTSKTIYAKIDRPARVINFAKPRDADDVLNEW SSNMRSLLGLLERIDHLITKEEMMARIQPTKSEKVKA TSTA_101020 MQLTTVPVLHRVLSVNSSQKQSAILAFLLGTLLVSFAIFHVVID ELILHSASFVLSVTVIGIRTMQLIKLRTEPDSVTRRKIWGIVIFGAVIFNAGYIVWLL DGWACGFLRSAREKIGLPWAFLLELHGWYVFNLLEEPQATDSETNRWHICTGIGAYIF IAVVDHLASGDDLQDIDESFAWPASWASRSIFAGRDAVIDSKQK TSTA_101030 MAAKVVPGANYGSKFANFNILQNNYKVVDGHEIRADLIIPKSLP AGKAPVIARFHGGGLVRGDSIYEDWFPRWLLELAERYNAVIVSGNYRFLPEVTGLDIL DDVDDFWTWLHSKDLATLLQRQHELKLELDLDRIITAGDSAGGLLSIYLVLSHPDEIR AGTAAYPAIGWDNPPLLPTKSSAFFPSVPKSFINEYVANIKPGQVSSSDLDLQRVKIS QAISANQEGFGFYIKDSKKSPTRDRLYQLARLEKPDARLPRGGLVIFHGVDDDAVLIQ ASERFVDKAREVLKGKQGGDNIVLASRPGPHGFDVDASIKEEWMKDALKTAVQTWLE TSTA_101040 MHDLTSTIPMLIAINATSQIHLIIGTNSLAAARCTKSLQSGARP ILIAPETEDVHFSLKDCIEQGQLQWIKREFQDEDLKTLGREEVDRVVDVVFVTLGGGN SISEHISQLCKRMRIPVNVTDAPDLCSFTLLSTYSDGPLHIGITTSGRGCKLASRLRR EISSSLPANLGQAIDRLGTLRRRLWEEDHPQQSEAEQKLEVEDEDVATQSYTFNKLIT TEDEQTSKTRRMRWLAQICEYWPLQRLASISEPDIDAIFTAYSRDRANDSDSMLLNGD SQDLCKKKGKIVLAGSGPGHPDMLTRATYNAIKNADIILADKLVPAPVLDLIPRRTEV HIARKFPGNADQAQEEFLQMALRSLREGKYVLRLKQGDPYLYGRGGEEYSFFREKGYI PTVLPGITSALSAPLFADIPATHRGVADQVLVCTGTGRKGAAPEPPTYVSTQTVVFLM ALHRLGDLIKSLTDPSTTEAEGKVNRIMWPKSTPCAVVERASCPDQRIIRTTLEHVCQ AVECEGSRPPGLLIVGASCHVLHSPEEQKWIVEDGFRGLDDLGVEGDFLSDELQK TSTA_101050 MEKKRPRDDSSAAPRSKAFKFNAHTLRKLQAAVDENPVVDFVTK LPIDYSVRLKQMWKFSQIRLTKTIRGSKLTADISEGATKEGT TSTA_101060 MGSRYPIYDELTKYQALRYCRDIEQFVARYGDRTDTPGIPPSID QQDFLREYQDQPLENDLRESLAANEAPQEESEEEPEERTGERTDSNFGPEFGPEEEPR TAARVDVLALIEGLRRSGKPYISHFCKIPQGASIPNFHGTDIQTGKMLMMYDLIIQQL KLGSCNDVVAISKTDCENLWTGQHRAGHWGAAKDVLEKLLPQSDQERI TSTA_101070 MATKLETPNTDIEWAAFISTIKDDLPDTHTVYHTPLPHAVAHTI DHTQLSPSATSSDIDNLCSEAREHKFATVCVPHNLADIKNEVGIACVVGFHEGTYPTA EKVEEATKAVAEGATELDMVLNWPLLKQGKYTDVYNDILAVRRAAPAPKTKLKVILET SQLSRDEIIAGSAISDMAGANYVKTSTGFNGTGATIENVRLMREVVDMLANGCKVKAS GGVRSAEEAVEMLKAGADRIGASAGVKIVRELTGNALRPNVSTPIGGEY TSTA_101080 MSDSTKPKTPFISSGHMLQKPPLSTRIVRWIDSIYMFFGLYLVT LFALDSYAAADKSQFNIASSRNHPWTKPRWGGSTDRRNNGWFSGGGGGGSGGRPDDDG SGFGARRVHRLNDYQSPVYRTGCCRE TSTA_101090 MLSVTLIASLLGSSKTQTSSTLRDAGICVQDLLPTSALRATFKK SSTPPNGLAVTSTHIFAAQIDKSVVHVYSRLKGNQEATVPFPERIRSLAVAGGHNGEI LILGTEGGRLILWETCTGRQVSTTPSHLRPVTSLVVDPTSNIILSGSADANVHIWSIP QLLSFSRPVSTGQDQKALNSPIRTFGNHRTPITALAIGHGSGRSNIAISAAQDSTAVV WEYATGKVLRTFLLPSVAISITVDPADRACYVGYESGNVQRIGFYETMSAQHPLYDQR LQNTPSQLNDDQQWTVPSADKGATTALALSYDGMTLYSGHPNGSVLSWDIARGKFSTT IADYMSPVTNLHMLPPVGFPAKSNHDSSRFTIPTIVKPRYEQNIPDKSLGDGIIPFNY ALNVQLVSPASKTDVFSEALTHPVFPTSLLEEGLAELAALNDNQDVLEVTISNGHSTA AAVESSQLAAMEEEITTLKKQLSINEAARRADAEETVKLKTSIRELQDSNKRYQHLQA KYEQLKVVALAEKEDRDLEQRKAWFESEKAGVNGDGVLREARAAYRDLDEMGE TSTA_101100 MSSVEVELPIMGNSLLAHPHSYGHGNINFSQTYIPDGVSPLSPS SVYSQPPSRPRRSHGSLSFLSSGMSRPESNEQLYVPSRRGLEKVSVTVSPFRSVRQMK EPFQLKLPRSPSFENNLMSSSLPNREVKEKKSQPRGLQSPIGLRPLRTCRSDQNLVAG ALETFGLLPSPTLSESRLTSELNTTRRDFTFQKDKPLPVPKRSCHMTTQRCKVCLVDS CQVTDCEAAPPADAASGTFYQSEIPEEAPKQMLAPSFSSQEVRNPTSTPQMQQLTEIK SPATSSAKNHKRSRTTTVSSAASWVPENLTYCEDWLQRVPLETPERQDGRGREINRRK FQIVQQTDDPFPISRPSHRTRKPADLSIDTEAANNPVMLAVASKTKPKLVDISRPSSS GTTFSVPIHPPPSWRRPSTPEQRSMNEISAFSPDTPQDIPDSGYVTQQSNRSSDESHE FRDDDYVDTAFDIAPTTASSEPHCDISFVTSIFSDQKPKHRYYMSSYNRHKPSTGHKK DETPRTPPAVSPKTVDQETLVKYWDYEWTLDQLEDSVKEFPKYALRLTSPVIILIRQN HENTIVRPFKKIFVNAQDNMIDYLCAALIARNYLVSTASTHHRLNNPLTPPSQSIAKF HNHGNNTNSNLSKLDSVPEKARATLGIALPINPGNNNKLNLNIPNQSMGARSAELQKG LDRIVDKLLFTICGRHDETLKASVTVLMQVLESKESKA TSTA_101110 MAASSTRLRCLYASSAPAWKKSPSQSIISLSRHYATTSSTTPSL NPDESSSSSSSTIPKRRKTTTFRDKLNAGPSFADFVTGGNGNNASLDPEEAYALEKVM IPGPAGRKKEHTRLPSWLKTPIPDSTNYKRIKKDLRGLDLHTVCEEARCPNISDCWGG SDKSAATATIMLMGDTCTRGCRFCSVKTLRTPGPLDPHEPENTAEALSRWGLGDDLPD GGAHHFAETVIKIKQKAPGILVECLTGDFAGDLDMVSLVAKSGLDVYAHNVETVEALT PHVRDRRATFKQSLRVLEAAKRAKPSLITKTSMMLGFGETEDQLWDALRQLRASNVDV VTFGQYMRPTKRHMAVHEYVTPDKFELWRQRALEMGFLYVASGPLVRSSYKAGEAFIE NVLKKRRGVGNTPGAEVASAKDVPVDVLGK TSTA_101120 MTETTKLAMRSERPSLRHSSMSGGSFIQDHQQYKPTPPITQNIT DVLLTAGETNKNSLFYNTNPISPDPEKVTESGIVHSIFPRSPNPLPAGTTKLVATIYY KTATAHPHANTFSAEGGVLPSPSVPIGSAPTVDIEKLPREPPAPEPEPLDHLYGPFVS QLCLTHFLQILEGLHTPYQRMNTSHRCLDQEDQPRVVEVTVSPLPNPEYLSFDDLRKH ESIWRFEREWNVEVVLQKESVFRRYKRLAIFDMDSTLIQEETIDEIARFVGVEKEVSA ITERAMNGELDFTSSLKARVSLLKGVPADVFDKLQNIVTISPGARELCKALKALGYKI AVLSGGFQPLANWLAKELGIDYAFANHLAVDETTQTLTGKLVEGKPIIDANQKRFLLR KIAADNNIPLTQTIAIGDGANDLPMLHEAELGVAWRAKSKVQLEAPARLNGETLTDIL YLLGLGDEDIKELKAIYS TSTA_101130 MPAKIPSHYPLDQEVNETESGYASASSSEASLPEVVFTKAHLQF LNRQLQFLEPQDVLRWCITTLPNLYQTTAFGLTGLAITDMLSKLNVPRPQVVDLIFLD TLHHFKETLALVDRVRQRYPNLNVHVYKPLGAETEEEFAQKYGERLWEANDERYDWLA KVEPAQRAYRELKVDAVLTGRRRSQGGKRGDLDVLEIDEAGLIKVNPLANWSFDQVRQ YIKDNNVPYNELLDKGYKSVGDYHSTKPVKEGEDERSGRWQGQAKTECGIHNPRSKYA QFLAEMERKRQEEALAQALENVQVTAADA TSTA_101140 MTTTTTDPTKTKDNMDPPFHISIIGGGIIGLNLALGLLNRNISV TIYEQAHEIKEIGAGIGISFGIQECMRELDPRIPEMLPRIAVLGPDTLQWVDASSTTE DFGLRGEGKLFDMRLPMGEGFYLCHRAELCNELVKLLPEGCLRLGKRLDGFEQGSENA KVVMSFTDGTKVEADAVIGCDGIKSRVRNLLLGDENPASKPHYAKESAYRSLISMSKA SSILGSYSSVLTLWIGHGASIVTFPLSNNKYLNVAAFVQDNNKDGWPDYHKHTVQASK AEIMHAFADFNPRIRRLFEELPDEQNRWGIFDTLDYPLESYAYGCVAVAGDAAHGSTP HHGMGAGMGIEDALVLRTVLERAKQKLSADPAATSKKIALSRAFETFDSIRRERSQWL VASSRRQGQLVKWGVPEIRNKEDFVRDTQERISKLYGYDWRLMVKQSIEDYEKRTERK DR TSTA_101150 MLSLRTASLWLMIAAATGGAIALELPNFLTKDVVIIGGGASGAY AGVRLRDDFNKSIAIVEMQDRLGGMVNTYIDPATGTPYDYGVQSFLDLGNATGFFERF GIETAPLSQTNVTTEHIDFNTGAVVNLTLPSFPDQVTALQKFLDVVKPWTDYLQPGYW NFPQPADIPEDFLIPFGDFITKYGLEDAIPLIYETTGLGLGNMTQATTMFELQAFGTY MAQAMTGQLNSYHPSSGGNQALYNAIQKDLGDDVLYNSTVIRSLRTKWGVLLTVQNHA TGHATLITARQLLIAIEPTDQNLAPFDLDDNERTVLSKFTYTKEYSGIVNNSAFAPGM SYTNMAAGAAPDKYLILPNFPFTNEVSYIGGDNLFRVIIAGDDTLDEASAKTLMQKNF ETLLKAGRLAEPYNGQQIEWVSFSNHGPMHARVSVEDVQAGLFQDLNALQGQRSTWWT GGAFSCNFQTTLWEFDETLIPKILANLG TSTA_101160 MSTKPVRVSQIERDKLSGKILDVVVGGDQNEIFSIHERLIRASS PFFDKAMSRKWKESLERTIKLPDNEPKIFQLYVHWLYYGTLPVLCDEPGLCGNAEYLE LVKAYTLGDKLLDSRFQNAVIDAIVEKSQSKARDGRIWFPVGSPIEYAYSNTNESSPI RKLLLDMYVHRGHRYWLHDYGNSASIPHSFLLGLASRFFDQRDGHCESETKIDAPRYH IHKENGGNAKND TSTA_101170 MIQRKIKDGTLFESISDHLTSDMEDKFESFCKNVQDSLYKPFHM IIPDAQEKQKAEERFKEQLAEEVWRLKAKYLEIRFSMSKFWYLDTASGVRWGI TSTA_101180 MAKPYFKRLTIVQLGKPRPKFSEFADEANARNSIPPCPGPNKPI VEFSPKLPNVVMTGANGKAGNICLSCFSGFLSDTPLETTAFVAARLASDQLGKVTCDL AHDYSQMAMSYAVSQRNEQIWRDAVKMGSKAEHCAGKRGMDEVEVFQARRMKGDVVQW HQLNSSPTVEICPFCYWTKAHMLGAAHMFSPVSRQLPQGHVHICSMMGSDTSETTATN SPDNFEDSVAWRGRILFDALRPGYEAGDWSPLTSAGRMLATNAPPCGGNIRGFSRGSN RRWYGRVNQAYGNQNDCTIIICEECYMRSVNGKPHANLFSQDMTKFACINTGSDGLIY CSTYTNRARGVVRQCAETGDLVSFARWWNMREELRRKKHSWNPIIEVQLQKEKLADAH RLTQARLKANAQINTMARLGSAGAAELAAGDTGWRYGNSQVGYGFWTSGAADAQMDWI RASNMPSGFEGNPINLVMDTQAILTQARQDELNFAAVE TSTA_101190 MANPPHGGVLKDLIARDAPRHAELEAEAATLPAIVLTERQLCDL ELIMNGGFSPLEGFMNEKDYDGVVAESRLADGNLFSMPITLDASDETVKELGLKPGSR ITLRDFRDDRNLAILTIDDIYRPDKTKEAKLVFGGDEEHPAVIYLNTKVQEFYIGGKV EAVNKLNHYDYVALRYTPAELRTHFDKLGWTRVVAFQTRNPMHRAHRELTVRAARARQ ANVLIHPVVGLTKPGDIDHFTRVRVYQALLPRYPNGMAVLGLLPLAMRMGGPREAIWH AIIRKNHGATHFIVGRDHAGPGKNSKGVEFYGPYDAQHAVEKYRAELGIEVVEFQQVT YLPDTDEYKPVNEVPEGVKTLDISGTELRRRLRSGAHIPEWFSYPEVIKVLRESNPPR NAQGFTIFLTGYQNSGKDAIARALQVTLNQQGGRSVSLLLGETVRHELSSELGFSRED RDKNIQRIAFVAAELTKAGAAVIAAPIAPYESSRKAARDTISAVGTFILVHVATPLEY CEKTDKRGIYAKARQGEIKGFTGVDDPYEAPTKADLVVDVEKQSVRSIVHEIILILES QGFLDRS TSTA_101200 MSQSIHHIAQTGFSDASSYNKHRPTYTAHETDLILKRTSLAGRQ NLKLVDLAAGTGLFTEALAARPEGYEIIAVEPHDEMRRELEGKELKGVKVVKGFAQEL PIESGSVDGVFATQAFHWFATLDSLKEIRRILKPDGYLGLIWHVDDWNAYKSDPVRSN WEEMIKSHIWSHDDNVPRFRHGIWRNVFDEERQTYFETPIHEEREEHTQWRCVEAIWD RLQTYSIFSTAEEGVLRDFKEKFDGTLREVPKNEAGEVVVHGRTQYAWTKAL TSTA_101210 MPRQNIVTTACDACRRRKVKCDTQQPCTRCQSAGLVCRTTSVPR KKGRQGATATVLQELRKSPAQTEALSTPSPREYGLGGCFIRSPGLLTPTVIQSCAEYF FARMLGTVPILLPALFQTHVDRMVESLHSYCLVCAFCAFVFTQTGYASWHNPTNPSSA LGRSLLEEAMTARRHLDPFTAPSRLGITISFLLYGCQIGMGNQRQAYYFLREATTLYT AGILDQPGTEVDEDPGSLFWLLLISERAHAIRRHRPITLEITPDSPVLDDTHSDAFSV GFRCLADLYRPFNQSFLSHWNGTETSFSRELLIQLEERLRQAVPTDIELPDILLADLR VSQQWLRTMIWQLATTAGFLSSTPSHPCLDFRYPLQIAQELSLATWKLSRESMETHGV DVMACLSHSRLRASGFDLGPQDYLKHLCTLIHGLPGGDTKFLPLLLAKIGQTLPSMLA PLSRHLSLPLTDSAPPEAETVSPVGFGNDWDKDFNWAEMSRIGDKTPELKGTLQFS TSTA_101220 MSPSAIETVPTGTNNWWKEATIYQVYPASFKDSNGDGWGDILGV IEKVPYLHSLGVDVVWLSPMYDSPMHDMGYDISDYENVLPAYGTVADIEKLIDECHKH GMRLILDLVVNHTSDQHKWFQESRSSKGNPKRDWYFWRPPRYDAYGNRMPPTNYRGYF AGSTWTWDEHTQEYYLHLYAKEQPDLNWDNEVTRKAIYDSAIRFWLDKGVDGFRVDTV NKYSKHTDFPDAPITDPKSFIQPAIGMWCNGPRIHEFLREMYDEALEPYGDVVTVGEL ANTPDPAHVLKYVSAAEKQLSMVFHLDIGHIGMGHSLEDKYILQPWELTEMKSIVNKW QTFIEGTDGWTTAFCENHDNGRSVSRFASDAPEFREVSAKMLALMMVAMTGTLSIYQG QEIGMINAPREWSIDEYKDIEGLGYYREAQNQVASGVDPTREKRIMDGLRILARDHAR LPMQWDDSPEAGFTTGKPWMRTHDLYRDINVKKQEADPDSVLSFWKKVLRLRKDYRQL FIHGKFEVLDFENLETFCFVKSRGEKRALVVLNFTGIEQPCSQAEMAAGMKLLASNYS ECSSSQRLRPYEGRIYVSDKS TSTA_101230 MSHTEDPQPKEPLGNGKHAARQEQAMTLWQGLRLYPKAVAWSVL LSSTLIMEGYDLALLGSLYASPAFNKKYGVQSANGSWTVPAPWQSALSNGARAGEVIG LIISGLISERLGYRWTMICALVAINAIIFLFFFAVNVKMLLSAEILAGIPWGIFQTLP AAYASEVCPVVLRPYLTTYINMCWVFGQFIAAGVNRGSVQRGDEWSYRIPFAVQWAWP LPILIGILFAPESPWWHVRRGDIAGARRALKRLTSSKDRDFDLDGTIAMIQHTNELEK SITAGTSYVDCFKGVNLRRTEIVCGTWIVQTLSGQNLMGYFAYFCVQAGLPRIYSFNL SLGQYALGVLGTMGSWFLMSKMGRRKIHLFGLSTLFILLIITGSLSFAPSSNKSAKWA IGVMLMIFTFVYDISVGPVTYSLVSELSSTRLKAKTINLARAGYNISNIVVNVLTNYQ LNQSAWNWSARSAYFWAGTCLVCLIWAFFRVPEPKGRTYEELDLLFERRISARKFHKT EVDAFEEVEVREDIVKDVEQDAVVHLNEAFLGNSNKQCKHPIFPSEQSQEFEISTTDI LGASINMMSHQIIARRTPRYCLTCLYRPIIPPRPRQPRQFTTQPRQSFISPSPFRPQL PFLSQTQGRLPLALHLRQHFTRLISTSRTSRYKRGVYRGLKISVTLYAILWMLHFIKT GIYQEEIEHKWPTPQEWSWRSRWALRSAIALQHPEEIGQVKTPWQNVYGFYKQLLERL EDPNIDGQGIFEQGEGGILVEGVGKLGYDISMKSEPWRRGYFQCLINSAKAAEYLDGF MTDRKQRITAPAEYVHGPSNPGAKTLPGRTEIVMHEEDCEPSAPAPEVFYMKILTTNG FTARQKIDAALAYADWLNYKGLTDTARDMYTWAMDIASSGLAYDASTVVDTKTGILKN NGRNIASDNIMRVSTALGVQSVKSGDLATALSIFTSVLKARRDLPQEPSTYHTKPYPT PALDEYVTKIKNMFVPSVYPEPPSDGNEAPIRDPCSEAGLMTYIGEILFASSSKETGL AWTRDAVDVTESVLLDLPSASNTGKGGTYNEEIRETRNRCSQCLKVGLSNWRTMVDNL ISEASNAEQAAVANIGKGWFGNSKKKAEQKTLERKRWQAEKMIIDDRANGLLRLTNEY TLYKPSGFGTSLF TSTA_101240 MPLRPYKPTDSLSLATIKAQCDLTDPLALYCRNLDANQVQLSQS GGDDDKQWKAHIKSLQRSFELEILFPGSVCWVILQHSDQHDEDGSEKIVGFAIWNRHG HSSAAQKWKANGQRFSNRLKGILSYTTMTLSYPFDRSINHTNMTKFHHRIHSCPSPLP ANHKLPRERWELEALYIAPSHQRQGYGIEALSWGINVAKEERVEIWVWSSDSGRRLYE KGGFEVLGRIGFGDLLDNSITQDSEVGVWVMVWRDRK TSTA_101250 MEPDKEHQEHTEQAGASNGHTNGNGNGNGVGNGVFNNNNTDDDI TMADLPRAIVEKGPELVDSHVPLLTWRSVVMGIFVSMGGFIFGYDTGQISGFLEMEDF LRSFGQWNGEKYVFSNVRSGLITALLSIGTLIGALVGAPVADRIGRKWSISLWCIVLC VGLTVQISSPHGKWYQVMVGRLIAGFGVGALSLIVPMYQGESGPRHIRGALISLYQLF ITLGIFIAYCINYGTESMNNSASWRITMGITYLWTIILGGGIAFFPESPRYDYRHGKV DKAVTNLAKIYGIPENHRALAQEFEEIKQKYEEEKSHGEITWWMMLNAPRMKYRIAIG MLLQALQQLTGANYFFYYGTTIFQGAGIQNSYVTQMILGGVNFGTTFLGLYNIQHFGR RKSLIAGALWMFVCFMIFASIGHFSLDRQTPENTPGAGKAMVCFACFFILGYASTWAP MVWAICAELYPSEFRARAMSLSTASNWLWNFLLAFFTPFITGAIDFRFGYVFASCMFA AMFVVYFGVIEGKDRTLEEIDTMYVQHVKPWESSKYVFPENEFQSSVSRGVEYGKPET TQAEHV TSTA_101260 MSQNQQSYGLGRNQGGGSVKRAREMLNAGVRAQDQERRMPPPQP THSRSSSRDAEIEIGYEFEDDPRGPVPIMPIQGLQVPRHSGPPPTSRRGPSSVYSRLI TGTAVSPIPEENFKQTRNKKESYASSTVLPSSWGPGTPQSDAAESYVSEQEPTNEGRN EPKNEPKQEVKRGKRDSLTILRQASLGKRGKPSLRSISRPPNRQPSLKEDKSSDSSLG YSTNSQQRRASVDSVSSDSDSDSVDLEKERIEIENEQKARSIGMGFGLGRPGAGMSSR KPNSHRPPELDLNAVRSAEAQGSLTSLPDLIRRATKVASNLEHGRTASRLSMFNMFDF GTQKKQPRASQHRSSASLSDILASFPPPRTRTPDDSVRTSWPYAPTNAAEKSRLLFIF VLLVVLCIIAAAVVIPIVLVVLPRNRQHPASAATNTTLPPTCEQKLPCLNGGVSVRTG GTCSCVCVNGFSGTQCGTASDGSCTTTKTAMSGNTTIGSSLPNLFAESEADFNIPLNE TEILSLFNANNVSCTVQNALVEFAGLPSSKVRRRRGSPVDNREVEPTAPIASSGPQVL DATYVPPILSIPAATASSATQYAGAMKTATATTTTASASPSASATLSAAAARVYEFAR VAILFIFEQTQDIDAASTAQNEIDLYLLSKNIGTDTNMKMSLTKPQSFIISFTDFTIT LSNGTVVGKTT TSTA_101270 MSPTIRLEDHTQASRAYEFQSNASYDRQADPGQSPAETALHEEG LTSFDKLTVDIGERPPLARSSSLEVHAPSPTPEEELESPTILASTGLDGPLITEDSSF PIQNSTARHSFSDTTSETSSFIILTPQVSSTDSKTSSAPAPPSSPWQHIRNPAGFMPS PRLVTIEEALDDQLQQPCPPLDHITRHGITSNYGGIVRSCGPPSLPASTCGSHTGERP RPPRIDAPKYPPCWTTAEGLLIVPSVPGELVMDRMEQIRSGNDEFLETTLSAHTQHGN SSSESDMTDDFETVAALSPNVTAFRKGRCPRRKRSPSYYDLDILPRQRINHVD TSTA_101280 MSRISTPPLSPETSIEPKDEKCESTNNKIRQLDNLLERYLHLLD THQKLQESVGKQLSSGFFQLAHANYVSSGRRFGEDFYDERMKATRRFWMSTRLEKVRF EVKYKSVLDQKNEDGEVNSKEEEEEEEAEQTAKEPVADETQHSNSDNEQQPDPASEIV TPPAQSEETTNSDKEEDTDSTTHYPEKVEESNKKKKKIFRSDDPISWYGILVPASLRS AQKSFIGAVDGGILELVSVISEMRCVEDMVYELRGEIEKKNRIDTRQEEVDPWLNRYV T TSTA_101290 MAPTFRSSRSGRQFVETANRSRTSAADHDIFEGLPIRRWSRQSH TISQEAKTEIPADNAGTTSSTITGKTDHHHPFPELPMPKDSHLLAPQSRALLRAARAG YIYLLPATKDTQPVDEREGHDMEDATTPAAKMERSYTTRKWSQVPRHVELPEVEFLAK RRPGLPSLYGASGAVTAAVPNASQPMRKAKIRKINPDTGNITIYDAWIPEGQKVEGEI KDESQAANGQQDVTVIKATPAPGTVVEGVGVANAEGIVVASTAVESPAKRKGPPPPKR KGRGLRGRGRKKVMFAPGEGAEVGGEDRPADGEQEEDDEDEEGEEGEDAEDAEDAEET TKHGETPQVDTPVAPATAPKLDPEAMPSLTPQPVAAENNVVSSAVEHLIPQPQSPVRP ESEQLTPPAGPGLAIESSNVEEVSEVKPEPTPSADMMEDVQQTQPNPAGTVTERVEVT ETVQPAPDEKVAVQSETKVAQTVPSDVPVPSETVEGSGRASITPEIQPNQMNTLVKEP ARPTETYHIKTEQSPVVGAPDTEVKTENEPESTDIPTMQHPPIEDTKTATEMTIENKA GES TSTA_101300 MATAHDKFIGLTLAVLASVAIGSSYVITKRSLIQSSDRLGYDGD GFKYIRNPLWWCGTITLVIGELMNTAAYAFAPAVLVTPLGALSVLIGAVLGAYFLGEE LNTVGRVGCANCLLGSILLVLHAPADREIHTIDEVLNLATQPLFLTYLLFVIIYTLYT INRIAPKSGRTNPVVYMSICSLVGSVSVMSVKAFGIAVKLTFEGNNQFTHASTYVFLV VLVVTTLTQTHYLNKAMSCFSAYLVNAMYYVGFATCTISASMILYQGLNTHDPMEIIS LICGFLLEFVSVALLTISRSDDATARRKKSRVSADYERVNNSNTFAVGDDEDDVELRS V TSTA_101310 MFESIVNFLSPAALSNSILREAVAAAPDSSKMMTRRAHSSLESA AAVVAATATTITTDTMEHDAFNNNGPVGVDFSGPLLTPEASRSETSSNHDLVAGDEKP QPRRRSARVTRLSLRALENLRDLEEENKSKQAGRSPTRPKDRTVSGETLINSIADSRA SPSSPKNDTNHDHSWSQTTLLRDGPDTNEHAHLATPVSKRSEEEEVPRRRSLRARPAK EDDPNAKENKEDNMEVNISINKREKATPPRRSSRLSMVTRAAEVLDRAASVLGKRSRD AMEKAVMQRRASLRPRHSLPAKDSLANSELPDHKKRRVSDSDLQAKKTELDSAEKPDT DKIAPVAPQFKPKRWLDQGMYTGQERDYDPRLNEANNKIRAAKRRAAPEPQRKLLPLP MFAGERLLKVGRDFKLPFDIFSPLPPGQPKPDEWKKVNRNVFVGDAASFWRENKKIEL STCLCSEETGCDEDCQNRFMFYECDSSNCRVGPNCGNRSFEELKQRTKAGGKYNVGVE VIKTADRGYGVRSNRTFEPNQVIVEYTGEIITQSECERRMRTVYKNNECYYLMYFDQN MIIDATRGSIARFVNHSCAPNCRMEKWTVGGKPRMALFAGDRGIMTGEELTYDYNFDP YSQKNVQQCRCGAPTCRGVLGPRPKGREIRESKAEQKKVALQKKAKSTLVGTKRKLGN VLDESTTALNKKRKTLAGTSVRTISKVVSKAKSALNSKKAPVQVKKNVTVTKTTKPSA KSTTKTKTISKSRVTKVATAAKATKATSKVKNQQVTKKHTTKSASKQPTKETNAVSKP LSASEKVRIRFKATARRSTSFKKGTQTLKAKSPAKKTPLKKTPSKKTPIKTPSGSVKS RAALTKVGK TSTA_101320 MPDSSTASTPISPAFPTPGLDMPRPPSVGGISSRMTDIASEDGD HSVSSRAPTSVQPPQSRRGPPPAGRLSTGNSNALRPGSSSSRMSRISRTHVPSFTPQA FFRPMSSQRLQAHRRPSQVPSEAYSEPPEAVEDESALNRRSLISSSTLRLGAQAADLE IPPSRGTEFTDPIISDRNTSNASPTGNTTIRSLGESVKLLHEREKKSAPERLNINKTV RTGSLHDPPQRSPLSFRSGFLSLQNKNEDSQRPTDNTGRERLSSVTSSSPRSMKEHKM PEPPAKLGKNYEYFTGNTAFWGSGRFQNSRDKPVNIATGILIVLPVGLFLGYSAPWLW HNVSPAIPIIFAYLFYVCFSSFVHASVVDPGIIPRNLHPLPTTDPAADPLTLGPPTTD WVMTKLATSEVDAMVVPVKYCKTCNIWRPPRCYHCRVCDNCVETLDHHCVWLNNCVGR RNYRYFFTFVSSATILALFLLGASLAHVLLYQQREHISFGASISTWRVPFAMVIYGAL GAPYPAALWIYHLWLVGRGETTREYLNSHKFAKADRLRPFTQGNVLRNWISVLARPRP PTYLQFKKPYQQGDQRFATQKRKYLTANDIEAQAGMEMQAVVGRNTNTGSSYQPDMQE GNNRP TSTA_101330 MPNRAGNGHSNTQELVHLYLCCSMHKDGQRSFTKANYKLTEDVE RLDYYVPEGYHPVMLGDEFCSGRYIIAHELGFAQRHHLIALKILQLNEADRTHEIEIL SRLANAESSLSGKAVIQRVLDSFTISRPNGTHRCLVTDAARVNINETRLRYSMLRCLE NFQIGGGMSERKEAIRLKKMAARIHWNTRFDKYVQQPRGRNGLDMLSVEEEEVFHDMM ELMLVLEPKKRATIDEAVASKWMQQWGSPEWRRMQDLIGINLG TSTA_101340 MSSIASFTARRAFAARPALISRTPVRRFASSAKEEANLDKAGKR DPELYVLLGVMSGAFMLAGWFFGRKPTSVTSESNVRIGESAMPWEGESDGKVFKYQYH PHGDKSQPLRNAPSALNTVIVPNVTLPADLHEKFNKYGKEEYDY TSTA_101350 MSPKSKDTLNVRPVSRGNRSRSRSRSRSRSPNPKSKKSAPKNKK DYSSEGVTNNDIFKLPASDYLWLAGLTLAAAAVRLFRIYQPSSVVFDEVHFGGFATKY IKGRFFMDVHPPLAKLLITLAGWLAGFQGDFDFKDIGMDYLEPGVPYVAMRLLPAILG VLTVPLMFLTLKATGCRTSTAIMGAVLVTFDNALTTQSRFILLDSPLIFFTALTALSF ACFTNQHEQGPSKAFKGPWWFWLAFSGLSLGATLSVKWVGLFTTAWVGSLTILQLWVL LGDASNVTPRLWFKHFFARFFCLIVIPLGFYVAMFGIHFLCLVNPGEGDGFMSSEFQA TLNSKGMQDVPADVAYGSRVTIRHVNTQGGYLHSHAHMYPTGSQQQQVTLYPHKDENN VFLLENQTQPLGPDGTEIEGPLAWDNGTAEYIYDGGVIRLYHLLTHRRIHSHDERAPI TDADWQNEVSAYGYEGFPGDANDLFRVEIVKSASDGEEAKKRLRTIQTKFRLIHIMTG CALFSHKVKLPDWGFDQQEVTCAKQGTLPNSLWYIESNAHPALKEDAEKVNYRNPGFL GKFWELQRVMWTTNAGLTESHAWDSRPPSWPTLLRGINFWGKDHRQVYLLGNPLIWWS STAAIAIYVLFKGLAVLRWQRGYTDYKNINFKRFDYEVGTTVLGWGFHYFPFFLMARQ LFLHHYLPALYFAIMALCQTFDFILNRVQLPVIGKKSIVGHGLIAIFLALSICVFVIY SPLAYANPWTRDTCQSVKLLDTWDFDCPIFHTDLNDYITPFSSVNEPVKSQAPAVPPN QNPIQNQQQQPIGKNPDSNKQFVTAQPASSNRIIGREERVEYRDEAGNILDPKEVARL QAEGKVSLQTKYQTQTRVIDANGNQIIKNAEHAPPHPDVEGQNPETNRNGEDSTAPRN EPASAAGSEKSVYDEKVASTPKPASEGQGATK TSTA_101360 MAAAVANGNGVSTPTTIDDISKPPEGIVVPPKDIRAIVEKTAGY AARNGPVFEQRIREKEQNNPKFSFLSPGDAYAAYYQWRLDEIKEGRGTDVSAGRPGEA AATPVPEKPKGPAAPPEFHFSARMPIINAQDLEVVKLTALFVAKRGKSFMTSLSQREA RNFQFDFLRPQHSLYQFFTRLVDQYTILLNKEGIDAETTEKRRLEELERNTKNKHHIL DRAKQRAEWVKYQEQQKQKKEEEEERERIEYAQIDWHDFAVVETVLFTEADDQIELPP PASLGDLQSASLEQKAMMSLNPLRIEEAMPTDEAEPVYYNAYPMEQVGPAQPTPIPTE YQPMQPTFQPSTVPMPVSAANEEEEQRIRERNEAREQAYAAQAAAKGQPPSMRIRSDY VPRAQSRRQQQSAVTALCPNCHQQIPVAELDQHMRIEMLDPRWKEQREKAESRAAHTN LITTDVANNLKRLASQRTDVFDYANAPTPTTSAGGGTHPYEESEEEARRKRIAMMAPP GTGPTPYSVHAPGYESAPGAPSHFSHMQMPTVGPPATGAPAIGAGRPAAGGPSPPTMD INEQIRNLHEKYGQHQQQQPPPPPGGYQQGQYQQGYPEGH TSTA_101370 MLSRPSNHQRSHSAGKRSRSPARFLTKMRSANALSDFNRSGRRL SPAGLEDLQESDFNTLRDPRMASTSDLTGTSDSSHHPDLSSEVATLSAKLVQAINNQT SLDDSLSATRQELEAAQERLLELESENEKYRTDIASGVMVKRSDIEAEILSMKNALDE ERAKRSVAEKEKREMEQELETLTAALFEEANKMVAAAKQEREAVEKKNEQLRAQIKDT EALVASQEEQLAELKTVMQEMQSTRDENDTTPNPSTAPTSPAVAMQTVGRFLDASNTA PGTPVLPEIIPAPSTSFTHLIKPVYRTDMVAYEDFRELLDFANASKPPSRVPSGSYGG LNVMGLAGLTSSSSSNSTSGSPGNNQSHTPAGSPQTAVPHTPLKDTRFYKRVLVEDFE PTLRLDSAPSISWLTRRSVFSSLCDGTLIVEPMPASHRKYQFPCGLCGERRLGDVNER THRFRTSESESAQRYSLCVLCLEKVRACCEFAGYLRMILDGHVHVADVEEEKEAWEET IRLRERIFWSRFGGGVVPSFAPTEYSEKALLVPVPAAEIEAKIDESASEAKSPLDSTA DMADEGLEKTPPALPPRNGEHVADSLESDVKETLTVDTVVQADEKVENVEDISNDTDD NEAGEQLRTDLETTPPDASHSTENSEPLSPKTESTTPHTNNHDLENIPSGDAKAMPGA FN TSTA_101380 MADAELEEIRRARLAQLQQQGGGRGAAPSIGGGEGQEDQRRQAE AERRAAILNQILDPAAADRLGRIRLVKESRATDIENRLIMLAQTGQLRQKVTEDQLKD LLNAVAENSRKEEEEHKVVFSRRKGGWDDDDDLLDL TSTA_101390 MPPTPRTALLTVSNRCLQCHEIGLKYSFRSFSALNRPPPSYPGH VPLTRIERGVLAIGSAFGSLLNPRRHDLIAALGEATATPYFIYRLRDAMLSSPTGRRI LRDRPRLTSETLKLPYLRSLPENSVGRTYAKWLDREGVSPDTRDSVQYIDDEECAYVM QRYRECHDFYHAVTGLPTMVEGELALKAFEFLNTVIPMTGLSLAAAIRLKPAERERFF KLHLPWAVRSGLSSEELINVYWEEQLERNVDELRAELGIEAPPDLREIRRMMRQQEKR AKEQQMKASGL TSTA_101400 MAQESLVTFHWHDESVSLSEACILDQNDFEGIHIATSRLSKDFG AVTGSELKTVYCNESTVFPDRSSCIIVGSLLCSSLIQNLVHDGKLAVDDIEEKWESFA MTVVDLPLPGVRKALVIAGSDKRSTIYGIYSLSEHIGVSPWYWWADVVPEKKSEIFIR RGRLQYGEPSVKYRGIFINDEAPSLTGWVLEKFGPKYNVKFYEKLFELLLRLKANFLW PAMWPGYPNSGNSFFVDDELNQKTAHAWGIAVSTSHHEPMQRAMAEWFAENPEGSWTW TKNKEKIQRYFRDGAARAKDYESYITLGMRGDGDRAMTVDDPPAVLREILTYQRSVIN DVLGMELLALYKEVQEYFENGLSVPDDVTLLFADDNFGNIRRLPVGVEADRAGRAGVS IKKSIPGLPYAWDINSVPANNFMSFFQGFADQTISSSVSDETARLLYEADRLISLRKH EHIEANTFSILNYGEAEGIVNTWESLLDKATELNSKLTPEQQPAFFQLVLHHIKASYI YTKLRVTQAKNCLFGLQRRNETNKMAQQVLELFDADFDLAQEYHSLLDGKWNHMLRQP HYGYRDTWHAPSRDMIDGLGYIQVKQGSNPIVRHMGLSVKGTQGIRPGLTNEESDRTH PSRGDLVPGLTLPPLEPYGTQVRFFEIYRRGTASFTWCVSSPHDWVKVAPSSGRLDND TNDARVHVGIDWPNVPAHFNQVIQITISSSAGDYEHVHLPVIHRDVPDKSFCGFVESD NCVSIPATEFSHMQNTNRSRGLVPHPLSLILFFTMALDINPKEPLVYEAMIDDISFGT LRLIEDPAKVGDLPPRWDEAVQDYVWKRTLLVPDSLYRGRHVIKVRFSQQNVLLEKIV LDLGGVRESYLGPLKSTLVGKTGNFSIEVSTCTLGFP TSTA_101410 MACNGMFGNGPGRPIFKNNAGGDSIQPPDPKKYFQLSKAEIVVV NWEARMLYMDIVAIHDSALELPDDSWQQHKALNIAMKIINTFRPGNKDSITKCRTIAA DFLGPDVGSYEIYHNATEVDIFAIGHCHIDTCWLWPWAETKRKVVRSWLNQCDLMDRY PELKFACSQAQQFKWLKEEYPIAWKRVKEKVKEGQFHPIGGCWVEHYTNMPSGESLVR QFLYGQRFFESNFGYRSKTLWLPDTFGFAAQLPQLSRQAGMNRFLTQKICFNNINEFH HTTFNWIALDGSQVISHMPPVRTYTAEGTVADVKRSIAKHLSLDQDHTALMAFGKGDG GGGPTWQHMERLRRCRRIADEVGILPRVHVGKSVDDFFDGLEKKAGSLVTWFGELYFE LHRGTYTTQANTKRNNRRAEFLLRDIELLATVASISDRSYKYPKREIDGMWEAVLLCQ FHDCLPGTSIRMCYDDSDKVYENVFATGTALLNAVYRSLDIVEVAEKGDLKKQNMIAL NTLPWPRMEIVELSDTETAVASGEGIILALEQFTGAEVEARVTIKETSKGVYKMQNSQ LSVTVQNGCIISLYDRIADREIIPEGSKANHVVTEIKIDEISSIKSIISLTAALEGQQ SVVECSAEVDWHETMRFLKVEFPVNIRNTEASYEAQYGVIKRPTHYNTSWDMAKFEVC CHKFADLSEHGYGVSILNDSKYGFSTVGNVMRLSLLRSPKAPDDTADMGRHQIRWAIM PHRGGLSAETVRAAYAFNSPLKFLSCSRLKDCTLTQSPIRLTGDQSLILDTIKRGEID EDVSRGDLPSHKGRSVIIRIYESLGGRARGRVETSWPVSKVCKTNLLEDDEEAVVCEN GNFKVDLGAFQVATYRICLA TSTA_101420 MCTGHTENDGNSQYPLRTSGPVGKRIMRLDRNRVGQLYSPGQWE KVNLLSFQEATDESNSYEITQVGQQFGPSWSTQWFKVYITIPNEIKHEKHIELNWDCN NEATVWMEDGTPLQGLTG TSTA_101430 MAKTDDINLEVPQTAEVEVVKDHMNYDLVDKEVVEVDKPTSRRL RRLIDKRVMVVMTITYLIQTLDKGTMSYASIMGIVSDAHLVGQQYSWMTTILYLIILC VEYPENYIIQRVPIAKWLFLNVILWGIVLALQAATKNFAGLITLRAFLGVFEAATQPT FTLLSSIWYTREEQAGAVTFWFMMNGLNQILGGLLAFCFSFVPSTGPVKSWQALFMSY GILTVLWGLFIAWWMPDSPMKVHCFSEEDKKLMVERVRKNRTGLQNRQFRKEQLCDAL TDPQFYAIILIQLTLTIPSGGLGAFNNIIVKSFGFTTWQT TSTA_101440 MASVVPTIAGVIVLLTVPFAPSKRVGLLLAYYIIIAYWGCAGLA LSLVTRNVAVGNAIGSQTYQAKDAPRYFPALATVLVCFVLLEVVLFALRTYYIAQNKK RDCMIERGEATEDRNFTHSFEDITDRQNVNFDRD TSTA_101450 MLGISLPSGEMQDIVMRKAYKNASLDASGTDYVECHEHLLTVST NGVPVNGAMNGDTNGFKTNATPIIGVQENGTEDQQKFLLMPMSAASTNSLKLLVQQIS NTVLQSGDIGTLQSIAHTLFKCRDYLRYWKHTVTEDDAPSKGTVDPLLFAFVFTGQGA QYPGMGKELVSQSQHFRNTIRDRGSWSR TSTA_101460 MKLDSAAFSVLGLTLLTVAQADQNVQQTLSSQLTSISLAPYFNN KAFGSHPGFAAFDTLNESYPDPSIIGIKGSYTSKVTGITYEFPSVRPAGVPDNVICEG QIITVPNSRYFSASILVSSDVELSTVSGNVTYTYSDNSTMVSELRSLPWFAFLTINRG EIIFPFRYTANGKNFNTTHIFEYTAALDPSKTLSSITLPNTNNATTGRLHIFAVSLWN AASASAQVQNVRPTQKWTAEGNQVVEVTINNSGLDCISGDGLNISISMPGVQTLEPGN IRRLCPGDQKRVDVGVNGTGNGKVDILLSHSRFSVQQEFTNVSIGLTKWTSDLSNLAR HESPQWYDDAKFGLFIHWGPYSVPGWGNSTPYESYAEWFWWYTTHREADKSDFYNYRL RTFGPDWNYDDSFANFTASNWDPKAWVDLIYASGAKYFVLTTKHHDGFALFNTSSTTD RNSLLYGPHRDIVQELFSAAEAYQPDLRRGTYFSLPEWFNPDFGPYGFAQLPGNTSTS WPGIIARNPYTGRDEPYTGRLPINDFITDLMVPQMEILAYNYSTDILWCDCGAANGTA PFAAAWWNHARAQNRQVTINSRCGLAEAADFDTPEYMTFSSAQRRKWESNEGMDPYSY GYNRATPNSSYMNATTIVQDLVDMVSKNGNFLLDIGPRADGSIVEAEANNLRMAGEWI HSHAEAIFNTTYWFVMSKIADQGIRFTQTNDAFYILFLTKPTCPDIYIDAPLPLLNGD VVSVVGENKSEPHITWENLRKHNQKGGSSSAPGSGFRFHIPETAWEGEKYCWVLKISY KA TSTA_101470 MWARQYFGALALLASMPLVLSFNNPPGVDIWCGKAYRASNASFK PGGWFEGPPRSSTPLLNLKVRPRMNIYLESDVDGSLLVDATVSYLTGEPLAGTADFIS GRGKSNVTLDITSDGISIVSKQYSVTLDSHDNEIPINFTKFSPRLTPYNITVVATVSH GNTESKMKANTELYRLPQRTDGGSATRLDHLYGGLAVMKGKDTEWTPIFPYTYYVQWS LYWDANISTLDEFASRGYNVIHIVPTGALGNSPFPWDEFEPYLQRADELGLYFQYDVR WDYTNLTTMIDQVTHLRSHPSILLWYTGDEPDGKSNPINSTAIAYETIRQLDLYHPVS LALNCYNFYYEDYASGADIILSDVYPISTNTSWSTVYDTPCNTTYGCCGCDDCHGSFE DISDRLDNFAYFDEIIGWSKTHWGAPQAFGNETFWTRYPTADEEVTMTMLSINHAAKG IVMWDFPTSAEILNVTDSLASVLTSEEMTGFLVGAPINQQLDVYGGNRIDVAAWAKGD QLLMSILNLNYVDLAGEVIIRLPKGVSPWSAVSTLWGVPEWKVKDGALTTNGLGGLAV SILVIDLQ TSTA_101480 MSAHSSPGRQHTAFMQWAIDEGVKVNGVEPARITGRGLGMIATR DIQEHEMLIDVPLSAMLSVDSVPSDFVNLFSGISIQGLLAAYLTHGDPRCLKKYDLWK ATWPTYSDFEEGMPILWPKELGGSGLKHPISPTATTHHPPDGKLPPSISGSWTTIRKK ALVEEYETKHQNILFQQEKRLQDAWRDVLAVFPDTDWETFSYHWLVLNTRCFYYVMPG TEPPEDTNDAIAMVPFADYFNHTDETECDVKFDGKNYTFRAMRAYKKGEEIYMSYGPH PNDFLFVEYGFYLDHNKSDSLFLDDIIFKDFTVAEKEELIHHRYYGNYQITLESGPCF RTEVAASMKCMSRRSWRNYIQGHGIPPNSAKVNAIIRDWIDIYLKEANVTLEKMEEIF LKEKDASKQSKIKVLIGRWEQIKQLCEGVSSKTD TSTA_101490 MKTNGLDDNKTGFDVNVLDNKTWHSIPYWKERATTETSDEEEEY PLRLEQDLNDAPSSEREELDTSYTDDEKIECLIVTTKATHAVQAVSSVSHRLTPDSTI LFLQNGMGIIDEVNEAVFPDPSIRPHYLQGVISHGVKNLRPFHIEYTGVGTTIMGPLP SSTDANIQTKDWAPSIKYLLRTLTLTAPLVAVAESPIGVLQYQLEKLAMNCVINPFTV LLDAKNAEISTVICALPELDGVPGVKARFSPERLKTLAVRLANATAANTSSMLQDVQV MKRTEIEYINGYIVRRGDELGIRCALNYMIMQLVLGKNHILRLRDAGAVPLSPLEAEE MEGEEDL TSTA_101500 MEVANLLPVVDRLEDNIDDLEETLEPLLARSLDETSKKLPLLER AKLHTLLTYTLESLIFSYLKLHGTEAQEHPVYKELTRVKQYFDKIKALENPPEEEAKP TMKLDQQAARRFISHGLAGNDQYDIERAEKLAKEKARAQLKAALLAKRAKEESVASTP VQEANKPPTSAESSESEAESSSESEEESEDESSTDNVDNKVSATPEEFIKLPSDPMEE PKNSKKKTAKAKAKKRKEDAMKAKKAERQAKREAKGIPEPNSKQSRSARRKERRRKKE AKRKDQTKDKKK TSTA_101510 MPRQSRGRGPAAPARSAPTRPTAAPARPAAPQQHQSQPHSTAAH PPAPQQAPPMPVQSQGPGLFGQMASTAAGVAIGSSIGHAIGGLFSGGSSAAPAEQAAP AAPAQPMDNGLYSSANNTSSWENPACATDAQNFRKCMDDNKGDLTICGWYLDQLKACQ AAAKPY TSTA_101520 MFYQGDLQSGIATAMREAKPVVCFVRDDHEESTTWENEYFDNDE LRGLVSDSSVTLRLIAGTQEAGFLTSICPIQTFPAVIVIRNGMLQEYLVAGTSKDAFQ TRLKTAIAASSHPIARESEPNPAVITSGSTHTTELQPPLQNATTNLQPQAPIVPAAPQ LQTQIQPQQPVQGTAERLRESIRAGKRPETHETPEEKKATQEKEERHALQTKIQREQR EQREERERIREQIKKDQEARRQRAAEEQHSSIPTTSTPSGTCKTNKQSSQYRLQIRLF DGSSVRNSFPPSATIRKDVRPWLESQRSDGSAPYNLKHILTPLPNRTISVAEEERTLE ELDLGPTASLVMVPVPSYIEAYAPSGIVNSIPGKVVSSGYGLISGVVGGVAGMVGGLF GYGGTSNQATATSHSNDQQNAAPTTASNTRTRSGQGINIRTIHDQRNDRDEREFYNGN TLNFEPRRGDDLKDK TSTA_101530 MRPLFSSLSRATAYSSKSLQRGAQQQSQNSSIFDSVCLRCRRQQ LQFLQFRQRQQRHFNTGNGRHLYQQPADDPQWVSPIDRPSQIVRVGRRHGPGLILLAL IPITAFVLGTWQVQRLDWKTKLIAKFEDRLVKPPLPLPPRIDPDVIPEFDYRRIVATG EFRHDQEMLIGPRMHEGEEGYIVITPLQRTNTEESTKPGNETQNTVLINRGWISKKLK DQKDRPQGLPQGEVVVEGLLREPVKKNYFTPDNRPDKGEFYFPDVEQMAELTGSQPVL IEETMVPDLIESFDRTAKGIPIGRPAQVNLRNNHLQYIFTWYGLSLSTAIMLWMVVRK RPNEALRRVRQSRNM TSTA_101540 MSTPRPRGRPKRASTNINDDSMTQTIPTTLDAQPTTPRYTTLTP SALLRSASRRTPRGAPLTPFALRAIQRRTANTPGRDRRRSTRGPQRETAFDILRNLGR TLAPISKPIQSSPQDERSPTPESSSEIEDETIYLDQEPLPERPRLSLPLRADSGSSSD GSPDMPPPRLSLQFVEDDITQRSVEYPRRAISDKDRQRLERMSFGDVRMSENFGDLTR LDAFSEAGDITTLAQNDDDDIEQSQLDEGAFDAGGETADLGRFNLEFNFPSPAAPAAE LDDPLPNDEDDFMLQTDQAEIPYPSSDDDDAAADGFTGFEVNIPDQVSDAGTTGTTGA GAGVVGGGLRDEPSMRTRKQKKLSRHGIPVPLLPTGVVKRLAARFARTGAGTKAKINK ETLAAIEQASEWFFEQASEDLAAYSKHAGRKTIDESDVVALMRRQRLVNSTNTIFSLA QRHLPKELLQDIRLSLPP TSTA_101550 MADTQSSKGPSSTKGQDKKRKREDGHRTAQNSKMQKRWSKDKKF NKNNNKEKDKKGNGNKDDNKQLNQTAQQPEREEAIDESISKMNEQILADHFMQKAKAH NKDLTAVELNDMSVPVHAFQDTSSFEQERKLTQLPDFLKTYSPEKGARLGSASEEKGS PHTLVIAPAGLRAADLVRALRSFQTKDAAVAKLFAKHIKIEEAKQFLERSRVSIGVGT PQRIIDLLESGTLKTANLERIVIDGSHIDQKKRSIFDMKEVYAPLLKLLAREELKERY GAKDKDLKILVY TSTA_101560 MCHIAKEGNQRHSEHEMADDGDGTIGRTTNIENELQIDSDNSDF VDAASSFDDRTTIVSSIKNYKYENGRRYHSFRDGEYLLPNDEREQERLDLLHHTFRLV LSGDLYRAPIHNPVRVLDFGTGTGVWAIDFAEENPSTEVLGTDLSPIQPSWFPSNCRF EVDDVESEWLYGYQPFDYIHGRGMTGSIRDWKKLFNQAYDNLKPGGWLELQEYEIEFS SDDGSHHKAKNFILWKNKLNEASEIFGKPYSDYSLHKQRMEEAGFVDVGQDSYKVPMG PWPKDKRMKEIGKFQLYQMLEAVEPYSLAVFARVLKWSNEDTRDLIEKVKAEICNPQF HMYSLFSSIYGRKPEV TSTA_101570 MGDRKRKREEATGGGAEQQKPKRVKNKVKYLPKGNKGRKRATHL ANQPGNGANAIPVQERVRDVPEDAAVPAEETKAVEEGSKLAGEDFFVIDTTPMNVEEV EQKKKSKGQLKREHQAAKEAEHHSAEWLAEKEKEKKRREARERRGRNDKRKETKQKEE SKKRKERKERELKAEEEEKEDDKTTSSSSSESDSDSDSDSSSSSGSESGTKKATTPKV VEKKAERKKDAPKETKQEDQANKPRFIVFVGNLPYTATIESVKAHFSKIQPISVRVAT DKKNSDKCRGFGFVEFEQFDRMQTCLKLYHHSSFDDGKSPARKINVELTAGGGGKSET RRTKLKEKNQKLAEERAKNAQEEKKKKQQNKGGEEKKEEGGEYSGIHPSRLNMMR TSTA_101580 MASGPATQSLKCVVTGDGAVGKTCLLISYTTNAFPGEYIPTVFD NYSASVMVDGRPISLGLWDTAGQEDYDRLRPLSYPQTDVFLICFSIVSPPSFDNVKAK WYPEIEHHAPGVPIILVGTKLDLREDRATAEALRAKKMEPVSYEQALAVAKEIRAHKY LECSALTQRNLKSVFDEAIRAVLNPRPQPKNKAKRCVIL TSTA_101590 MWPWLVLKVIPQRVLTDKGAEITAANLNDKDSLVKAFQGAIVIF AVTNFFESAMQLGVEEGKKVEFAQATNMINAAKDIPTLEHFI TSTA_101600 MILKLTLLISMLPTVFAGKPCCFDFGLPFHVSLPVPQLNVTEFG SSYDSTVFLTASVTRNANLSLLALGQTEINRDFDIHFRYCEPENVDHKNGVLQILSQG VGFDKSYWSFGSEEYNYIASATKAGYATLSDDRLGVGYSEKADPSSEIQVILVRKGQL LDRIPVPKKLVHIGHSFGSLITNGLAATQPSLSAGIVLIGFTHNTSWVTLFELFLGFE VARPSNPARFHGYNSGYLTWGNEYDNRCAFFTLPFFDPAILLHAEALKAPFAISELLS FTSVPLAAGNFTGPVHVRKGMDECLYCQ TSTA_101610 MSTNTKILVIGAGELGSQVLLALARHPQRNGATIAVLIRPASIT STHPEKVEQLDVLRNLNVQLIPGDIVKDPEEHLSHIFRGYDTIIGCTGLTAGSGTQLT LARAVPWQFGADYDIIGRGSAQDLFDEQLDVRDLLRAQSQTKWAIISTGMFTSFLFEP SFGVVNFKDDTVLALGSLDTKVTVTSPENIGKITAESVLGSRFDEVFSNKPIFIAGDT LTYAQLAQLLERITGRKFTTHVRTVEAARADLARDPNNILFKYQIIFGEGRGVAWELS ETWNRKVGIHAQTAEEWSRLHLTV TSTA_101620 MSPHFAPWHPVLFGLTAQYDRSTRSTVMGDMNYRIEFLFEDGNL RTHIIRSEVAMLQFLSKTEVPFAKVFDYCLNEGNPIGVGLILMEKLAGQSLPWSPLSG AEDENHGSASRYILNCSGFVRFDGRFDDGRFYLRHADNKGDHILVDDEFYITGVLDWE WAHPDVKSSAFNSPVMLLPVADLHNGSNQLGEQGLDFAHISE TSTA_101630 MTSYGGPSILNPDFRRTLSGVGVGVGAIPMVTPPVPDASALRTT AARSNGPVLGSILSAPGPGPGTGAIQGLEGDKSQPIKKRRGGNRRACNECKQQKLRCD IVQAPASGRSPCSRCKRLNIDCKVEQSFKRISKRRRNAEMEREIADLRRRLAAGERPE GQVDAGDGREVNHSSGEVYYDAQSPPSSSRAQSLSVSVEHQPSPLRRSLVPTESPIPP SANAWVLEDISLSKKRVDRLFDQYFKFYHPFLPLLDPSKDPEEIRSCSDILAWTVICV SSRRCPYESGLLVSLSGPFSRLLWASISSVPQNYHVVKALCLLCTWPLPTTSQKSDQT FMLSGLMMNLAMQLGLHRPVQPEEFTTFRMEVRGEELKDRLQTWVLCNLVAQNVATGY GQPPSTIYDWALEPASLRAADYKLPEELKVRLRIEKFCDRVTRSLYNGRPEPSEFLSM DKLLLVGILENELKEMELDFGDDLSQINLIHLRAANLHLRYFVFLSQNARSEDLTNLF LATTSFLGRVLELETSPGNLLIHATNYILQMIVSAAFALMKLLKSSFARQIDIDHGKF LFNGAISSIRRISVVDNDRPVRLANVISQMWNAGSSGDDALHLGIRSRMSMSHVYDTV WRWRRRFKQQKASDEAQVGSVAQTATAAGVTGPQSENALNNASWILPANFEDSTFMNE ASFSDFFDSLNWVFDGVPDSIIAPPVL TSTA_101640 MAESISPSTSFAVRPSSQDGAVSSASNFGLTDFNAASESVPDDH TNERIETILQSEIGVTTLLARLKQSIASARDFSSFLKKRSVLEDEHAQGLKKLARHTN EAAHRPETRQGTYSRAQDEITRIHEQMADHGLQFAVSLYQMSVDLAELSDNIEKSRKQ WKASGLAAEKKVTDAEALVEKAKAKYDSLAEQYDRVKTGDKQGGKFGLKGHKSSAQQE EELSRKVQNADADYQAKVQAAQAARKELLSSHRPQTIHQLRQLIFECDSGVTLQLQKY AAFNERHLLGKGLCVTPLKNGPSDGPKSLREVVHEIDSTKDFNQHILTFEGSSGAVKA PEVQYHRHPTLGGSLPGTSSVPSSQPSSFNTRQTTQPSAPAPSQPPLGAPPSTSQTAA PKIDATTQILPHLHIMNPDGPEPPSQYPAYPGSPPATQPPAQQPLAATSAPTPYPSSP SKPFHQTSSNAPMMQPPNGFMNNLPPVRPVFGLTLEDLFRRDGTAVPVIVYQCIQAVE MFGLDMEGIYRQSGSANHINHMKAAFDNDSSKVDFTNPEDFFHDVNSVAGLLKQFFRE LPDPLFTRQFYNDFINAARIENDTQRRDSLHAIINGLPDPNYATLRALVLHLNRVQEH ASNNRMTAGNLAICFGPTLMGASGASLIDSGWQNRVIETILLNTFQIFDDD TSTA_101640 MAESISPSTSFAVRPSSQDGAVSSASNFGLTDFNAASESVPDDH TNERIETILQSEIGVTTLLARLKQSIASARDFSSFLKKRSVLEDEHAQGLKKLARHTN EAAHRPETRQGTYSRAQDEITRIHEQMADHGLQFAVSLYQMSVDLAELSDNIEKSRKQ WKASGLAAEKKVTDAEALVEKAKAKYDSLAEQYDRVKTGDKQGGKFGLKGHKSSAQQE EELSRKVQNADADYQAKVQAAQAARKELLSSHRPQTIHQLRQLIFECDSGVTLQLQKY AAFNERHLLGKGLCVTPLKNGPSDGPKSLREVVHEIDSTKDFNQHILTFEGSSGAVKA PEVQYHRHPTLGGSLPGTSSVPSSQPSSFNTRQTTQPSAPAPSQPPLGAPPSTSQTAA PKIDATTQILPHLHIMNPDGPEPPSQYPAYPGSPPATQPPAQQPLAATSAPTPYPSSP SKPFHQTSSNAPMMQPPNGFMNNLPPVRPVFGLTLEDLFRRDGTAVPVIVYQCIQAVE MFGLDMEGIYRQSGSANHINHMKAAFDNDSSKVDFTNPEDFFHDVNSVAGLLKQFFRE LPDPLFTRQFYNDFINAARIENDTQRRDSLHAIINGLPDPNYATLRALVLVSRHLSYV IHRSDLSVAFEQGPRTCKQ TSTA_101650 MRINKNWQTVCFLATAFLARPAYMGRLSQMKKVMGSIPIPVADN PWAAMLIDPLTVEFRANMTEVPRYSLDSKPEWAPAGWDMEKVMDMTVEEWESLTERQR DVLRAGMEAHCGTEFKNEVIDDMMARSEPKAPADPPTQPPNFMSVMQRYHSTGDLGFV FYRTTYDQDDEQWSLIKQKLNTIVESTFDYYSNIDGVNEAKQRWKLLWVEDPEKFKDM TPKDLGAHYRDSMGKLPMNYKHSMFFAVDQASARSLLLADTSSDRLQERPRLGDVIPF VIAMDNNLGLDTEPEPEPEQQTEYSPADDDEDHEDLETWYGPFRAQPSSIVDGIYTIV ASEIMEMHEFAYAAHGTDDVWWDSYAGVWTIDDEGRYVERPFEDAALLRVEYREKKEK KKTSTTTHDSREL TSTA_101660 MKIRLPLLTATRTWGVKWRASNWFTVFVVTFAVFTDIFLYGVIV PVIPFALEYRADIEVDRVQYWVSVLLAVYGAGLLVFSPICGWFSDTVSMRRLPLLLGL AALLGATVMLNVATSVAVFVVGRLLQGISAAVVWVVGLALLADTVPREMLAQYMGYVS LGMTSGFMLGPLLGGVLYERTGYNGVWALCYAFIGMDFVLRIVLVEKKDLSQDLPVEP EHEPETKEPTRIEDNSPPADESVQSSTPRRPARKTFTQKLPAVFTLLASRRLLAALFA SIVQATLVASFDSVLPLFVNEVFSWGSLQSGILYLTIVIPTLISPLVGFLGDKYGGRW FAGAGFLLACPMFILLRLITHNTIGQKVSLVVLLVFIGLAMTLSFPVIMAEITHIVAA KEQSRPLGSFGKGGAYAQAYGLFNMAFAAGTLIGPVWAGMIKQNYGWGTATWTLGLLS GVTVVPCVIWVDGSIRKRRIKRADLRGREEVS TSTA_101670 MAASFGIRSSILPRNTPRALSIYNPSTVFSGRQQSQLPPCRRPF SHSQPARARSGMMSAETIMPKLPPERSLRSRLKDMDPESIPTDFGVLPGTFIKPEGKD MPSLFSEPKDRLMMEWVWIKTWFTSVFQTILYHKWYSNPRQPLNLGERKKIGKGLHEL MYTEFARGNERFLRARCSPGLAKDLIRRIRKRNPKETLSWNIEKYNRTPSTFFTGVRV LSDRATAIPELADSGIRQIILRITSQQRSQKLTVIEENGQAIDALPKIQDCTEYVVIQ KVRIMGKEKEWEIWGFTKPTTLEELDSPFFASGMSLKDRLQAMQDRLRS TSTA_101670 MAASFGIRSSILPRNTPRALSIYNPSTVFSGRQQSQLPPCRRPF SHSQPARARSGMMSAETIMPKLPPERSLRSRLKDMDPESIPTDFGVLPGTFIKPEGKD MPSLFSEPKDRLMMEWVWIKTWFTSVFQTILYHKWYSNPRQPLNLGERKKIGKGLHEL MYTEFARGNERFLRARCSPGLAKDLIRRIRKRNPKETLSWNIEKYNRTPSTFFTGVRV LSDRATAIPELADSGIRQIILRITSQQRSQKLTVIEENGQAIDALPKIQDCTEYVVIQ KVRIMGKEKEWEIWGFTKPTTLEELDSPFFASGMSLKDRLQAMQDRLRS TSTA_101680 MKSLGGSLFPALPAAATTAAAIEEAIEYDDDEEDSPASTIVPSS PVRSRRNSPTRNGGNTEDHDSGSRGNLSYHNDNDRNRDGDNERNTIPPPRTTIPHPST HKALPNHPGTNETSGPTTPGNLTTIEPTRIPQRTPSNHQTPRTTRVEEGLSGPERNPN SLLNCEKKTTPSPPHAPRMEDRTPPSPTTETSASTPTVSSSKLHPRVAVILGVDRKWY LPLVVCRALSVGPALWWGLRCAFTFLAELLRIRPGNMWREGWVAIVVSSAAADWDVER RFRVTEVALAIMWCCASAYLSYFFMDCMMSRWLLNYSPPAVLIRLLATNGLIAYLTSS VLWLSGASSDPRLLLPAWISITTTLTFLYHATQNHAMIKRETTASISVLSVASYVSMS LILLQLHLTRDNEPEVPAFVMGQKVFDWLGGLTSWCASS TSTA_101680 MKSLGGSLFPALPAAATTAAAIEEAIEYDDDEEDSPASTIVPSS PVRSRRNSPTRNGGNTEDHDSGSRGNLSYHNDNDRNRDGDNERNTIPPPRTTIPHPST HKALPNHPGTNETSGPTTPGNLTTIEPTRIPQRTPSNHQTPRTTRVEEGLSGPERNPN SLLNCEKKTTPSPPHAPRMEDRTPPSPTTETSASTPTVSSSKLHPRVAVILGVDRKWY LPLVVCRALSVGPALWWGLRCAFTFLAELLRIRPGNMWREGWVAIVVSSAAADWDVER RFRVTEVALAIMWCCASAYLSYFFMDCMMSRWLLNYSPPAVLIRLLATNGLIAYLTSS VLWLSGASSDPRLLLPAWISITTVQFLPYHTPQHI TSTA_101690 MSIENLKTFDPFAEADEDTGETKQSQNYIHIRIQQRNGRKTLTT VQGLPKKFDQKKILKVIKKKFACNGTIVNDSEMGEVIQLQGDQRKDVQEFLVDKKEGL ELDAKTIKVHGF TSTA_101690 MSIENLKTFDPFAEADEDTGETKQSQNYIHIRIQQRNGRKTLTT VQGLPKKFDQKKILKVIKKKFACNGTIVNDSEMGEVIQLQGDQRKDVQEFLVDKKEGL ELDAKTIKVCFSASGE TSTA_101700 MSTKKDMRRVDLVIPYVEPPAAKSDTDISEWHGLVKDWIDVDVV AGAMSTTLPMAAVRLTHPLSQGYRFVLIDSMSRCLHETGMSSKRNGYGTDNTGTVQLT RGLSISMIGWVAVIISIQNWLSETPEQSRNAATPSYMSVFMSLMSLGVTYMPLFFPTP GARGGSSTAAAPAPSPTP TSTA_101710 MIPHPSSTGFQTWTNHTHASDRSSMAVAPAPSSAQQIIDLSASD GGPSETEHLHKRPRLDTTVSGLASDGRTPSRSADARSATTLASGGSNPTRPAVVSGRG RPAYSFQDLVADTYGASVFGNTTPGSQPAKPPSPPPFPVRPWTHTPARQSHIENEGLS DNLRSREVQTIPYHPETPEVAPILTREKVADYSPWSRLGNHPEDRLSDLNVRAGNFER APGVNTNSDIQSHASKLSGQLKSHSSLQLLSSVFAAALEKRQINEKISSSSTFKPPPR VTLTDNKREAWLRDLANSSVPLRRLSRTIPHGIRGKVLLDQCLGKGVPIGRAVWLAKC VGANEIRAFKRKGTSGSLSQGLETKWVREWTLSVQQFTAGVISACGKPDWRNKMTYAV RLAARLFYEHLLDQDYYLDWYLSSMENSSLDAFPIWLAMLNIYWGSLVRFRKRGRRLA EALLEKLRDATFSDFKVHLQPLVDRVSLLVRRLCREHSPCLILPRSWDRYQDVVAASL SSGDTKEKAILQSLVLRNARVQRPGTYCPVRQRSPQEQVIKLLDASRDTCDMCALSGR CLALVPDRQDLVSVLLRWAASPFRQGLVRVYIAVRLFRKWKRSGMDIDACIFPFLTQT STEQSASMANVYHIIVELIRSQTFSVGRYLQWLVARGAVEQYRQHNPAEESPSSVPVD IALLSHIPVARLPRHLRNLRDTLLARAGLSSSENEVLRSIKADLKQRLPGLFGKDDSH MSLNYDKDNLSWSVKADISQWVRAAVSEHYESGSAYSQKMYESSVEASSLTQEEFLVV RSILEQYGDLSMLADILIDASNSDDVRLLTCAVDTLNRHFECFTIIGALGDMFRSFYE AFLRVKNSGQPLYDLAYSMAEVGHRLPNEVNSLILLRQELSRADRNLALAACSPVSDM AETLNDASPSFNEDMDQFLSSGNSMDEMTMILVFQKLIQQLAFYDEKDPSMPGTVCRH LVQLRSFNSKHFDLLLVQWLEKTLKSTSRPNLSRLLYPLVGIGCVTLPAFATLTKKVL HSGSLQTDAFALPDWLVDFLNLLVPKYSAEPLYDFLAYRFRIAQEEFLHGHSNEVLAL LKDAVPYMTVNTAQEIAPLAPCVVPLLSEIIVRHPEDTTMDSLRGLIKTCPRFLPVVR QSLDLLLNDNEQSNSDFLLKTAATITQTNHLSLPLCQMKLQILFDEKNDESMRNSIVD LMYKSAVADVRTGNTYWLDLVSTMGQEAAEHLRQRAEKDFFSITQSDIALEKDSENER LALQEDARVYLSIVDGLAYSIPEEGVSSIAPILVEKMNALLQRLFTMHMNVRNWSEHR SRSSQEAITRQLSGCEENFVFWLTAMLQMIRIHRAAFDVPAMSGNQRLGAYVDLSRLL IIICCLALSRTPLGLTVQPRLDLSASSYFLASAKEVRAGNTIQTYALDIASSLVDSLP DEARQNCANFIKDRFPPALNVQNDPRLQYLFGPVAENSGNPGGPLPSSGPVSSPAATT LTPSTNPVNNTAGTAGGNTIEDPMAIHNRLRVQSHGRVIGSYPLRPWEMLEEAAPVVG ANDTAIDLNLFGARKVREY TSTA_101720 MKFPRKLIAREFKLILNDPALFGCTYLFYLSSSPKASTDVLQWH IGNGADLSIAANRKLTRLKGLTCLHAPIASLQQRRRRRILGSFDVEFPDFNVRMLERL WSKIDRCHFYCDKNDWINQKLRNMLLVQNDGDLFAVSESYSTLTDVARFTGNFDLWLE ALAECGLDVKQVLAADKKIQRSKLFAVTEDLVHERRTQRGELQRHFDDISNLGGHIPG SNRQTRYASNMTTKSEQQQRKTRQKACNACIKSKRRCDLQHPSCRRCVIKGIACVYSS TRLHDHVSAASPDSSPDSSTGSSSNTTTSIVGGQQHAIPVYNENQQPPLLFHYQDDGL RQTLFQSDHYFLNNSNYITQPMQFFLSPGTWIVADSTASDRDRTHRSVHQLNSSVHQV RCWLKQWVEEGSNMFVHKRLYYAHDNNFGSDGRGRLQMSRCIQDAYTTCAAYFTCTSR NKQMVLGLVEERVATLLEEKCDEEDRDVFGNTNEVFDFSSPSPRLRIMNNSRSEENPA KPRSVLDHLARVQALLIYQIIRLFDGDPRSRMMAESLIPVLSRWCKNMIDSALLSSEY VHSAATISNTASQANIEKRITSDWNAWILAESVRRTWLVAGHMQCFYRLLSYGITVCP GGVMFTTRAGLWQADSAYEWWAKCREKDVLFCPSLESGRYLLRPGVASPEDIDDFGRF VLQIIEGEERVNKWLSVS TSTA_101730 MQTYAGHPAQIQYNDAAVVSTFVGDSFDWAPVKAGTNHSIWALP NLQDPAEATSNSQRSADGAFSWYAWPTDGGNSIISGPMTKIWDDRFISDLGNATYMAQ TNPKTEDYGESHYIRPYSAAHSEDGSSEWASGFSHDAWRDLYKPYIQAYKAGASSPTI DTEEIVYWYRITPKGVTCTNDTLPAPNGIDLLSDSVFVATMLKEAATLIVTSGGNEAV SIDVPAGITTSNVTMGVGKQSFVVSRGGQTVLNGTGELSIVDTCETYNYNLYVGSVKA TSTA_101740 MAPTDYTDFDPNGKDRKTIEPCVITRTKIYTDLYDDDLWFTFKD DFGDWTTDNLCKATVPVLGKLRDVLRTNGIYVPKGGHAGRVLANTLTLPEPHEWTESE VVEHIQLKGTFNSPFIQLKFAATIKRINDAANVTIQNNAQFVQEDTPSPPSTNLHGMV TRAKASTGGPQDMTPPAETAPQAPLVQVATPTQTATWQGTGYVPAIRDQERTYSQVGQ SALLYVNSIAQLRKVYTTDSTKYGDNEDSFDLAHNIFLDLCRQMGLHTAEARNQAVSV MLKGLALDYYYTWKDQWERMGIDPAVAVKNHFENDEHLRKVQTDWDAINLYTLYHKLR PELRNEVIWHAKLISATRTHPACHAATGNPASTIPGLMQSLRGSVSQFEDTKRAAQQH FAGTYNTDPYDVPRTNMTERRFFNNNLRYQPQNRSRFTRKPSRHFRGPRNDKKTCYIC KKPGHLSYNHSDEEREAHKREWNKNRSGSYQQFMAEIEGWEYDPESIEELASSGAYFE DDSSDDEPPRTKDSITSKDSANKNAPLQTTSTHFASAFFTTDEKPKGELGKLITTELA NRATMHCVKALATKEAQDGDNIENNVEETIDTSTYVSASRYSEDTWQGILIDTGAADF STAGYSQFLAYQKAVKGAVIDTSTANSVGIKFGSGDPVRSKGSVDVDTPIGRVRFHIL ETMTPFLLSIKDLDRLNVYYDNTKDLLIGPKENMTTQVIRRFGHPFLIWQETYESCLL ESLDENPCFLTEAELRRLHRRFGHPSTDRFYRVIERAGHDADREAIEHIRKFCHHCQI HGKSPGRFRFTLQDDIHFNHSIIVDIMYIDGKPVLHIIDEATRFNAARWLPNISSSAT WDALRVAWIDTYLGPPDLIATDAGKNFVSKEFSQLATSIGTTVKSVPIEAHWSIGMVE RYHAVLRRAYTIISDELPDLHPDMALQMAVKSVNDTAGPNGLVPTLLVFGAYPRLTQN DAPAISVEQRATALKKATAEVRKLYAQRQVRDALNTRNGPSTTVIHSLPLNSNVLVFR EGNTGYAGKWEGPYKLVEVNNETCTVALPSGPTQFRSTVVKPYYAEDMPPKDIATTLD HDNAPEPPTQGNALLPPSTVKIPSQRPQRNRQPSARYRDDDFEAYINNKEITQPRANF DEVLEQTRFTDSRKQEVDGLLERGVFHFVHENEVPKGERIFNSRFVDEMKNSGTDKAF EKSRLVVQAYNDEGKDFILTESPTIQRCSQRLILCLTACMVTHSLWLRDVVQAYIQSQ TYLNRDIFVRPPLELAILLSPGTLLKVVKPLYGIPESGNHWFNTYHSHHTEKLQMETS TYDPCLLHCIDPSNGFGIVGMQTDDTLILADNAFANREEKEIKAAKIQCKPRERLSPT NPLKFNGGLISETAQGIMLSQERTCKLIQIVQEQHADMTSSRGKIRKNASPKEQYVSQ RALGAYIASLTQPEAAFDYAFAAQSTNPQKEDIKYLNKRLQWQIDNPYRGLKFVKLNI NTIKLYAFVDAAFANNKDLSSQIGFVIVLADASNNANIVHWSSVKCKRITRSVLASEL YAMVNGFDFAASIKATVTQILHLENPLPLVICTDSKSLYDCLVKLGTTQEKRLMIDLM CLRQSYERQEITEVKWIDGNSNPADAMTKNKACNALQILVDTNKLHITVDGWVERSTT TPQNRAIKANSVAFANPQ TSTA_101750 MFTLLLFFHLTGLFFAYSVCLAIYRLYFSPLSKIPGPKLAAITW WYQFHYDVVNKGQYSWVVRSMHEKYGPIVRINPCEVHICESDFYETLYASGGLNRKRD KWTWDTVGAAGVADSALSTMNHDLHRMRRAAIAPFFSMQNVKKLQPIIQAKVDILRRR ILERKGNTEAIDISHAFSALTNGTRRLAQIEALKLESSTISVESTHRTIFRELLSSKL PSEEKAPTRLATEAQVLVSAGSETTARALTHACYYLLTSPDVLTTLKTELEGAIPATD FTDSVPLEQVQRLPYLTAVIKESLRLSYGVVDSNASFRMKTCIFTNGLFPRVHRPERW LHNATLHRYLVSFGKGSRQCLGMNLAMSEMHLTLSSLLRWFGSGDVRRAHDLGQLELF ETDESDIKMIGEVLVPVVKQDTKGVQIVIKT TSTA_101760 MATAPTASTEEVYLFNRNDFERKRLNKQHELLVSLCNGNLLHPH IPKAAIGRLADIGTGSGIWLDDVAEKLEPDLEATSSLTEREYAGFDISAAHFPANPRP DTCYIVHDILEPFPNQFHNFYDVVNVRLMVLALKKYDIRVAAMNVAALLSKFYAIKPR PYSPSSLWDRRVAGALIYPSDSIFLEEPGGFFQWEEIETRDLSFNPPSETTTKRPDSQ ILRPYAIEQAFKELGLVDVIVEDYNSINRLDLINHVREWTKAGAKAALYYALLRGHTV KNEEEAWSASAGLWEAYAAGIDGGIVPSLPLKMILGRKVSVGSAS TSTA_101770 MVESLLLNSQVAAREKLDGDLEVIKERVAFYYLRMLHETVTQDE RTSFQLPSHHETLFDYSQYIYDIVQRDEHAYVKREWMNDTYEEMCAITERYDPKDPDF LLIAASGENLPAVVRGQTTILEHMTKGDKLNNYYRDALGFHKLNELISTTAAQIAHRY PNINICEIGAGTGGSSWAIFGKLGTAYASYTYTDISVGFFEKAQEKFAPYGDRITYKT LDITSSPVKQGFVEGAYDLVVMANVLHVTPDLEETLRNTRRLLKPGGYLVMMEFINES VMRLGVIIGGLPGWWVGRDTGRRCSPNVSLEQWHELLLKSGFGDIDTHTPVYDPVIMP ASIITARAIDDEITILKSPLSIPESSLPSAQRTELIILGGKKNTTMALVDGVVSHLKP RYRSVIQIDTWEEPDETSIPAKCCVLNISGFDGPFWTDITTDRFENFKALLMVSMSVL WVTWGSNQDNGDESPTPDSGTASLIAERFLRLEVTTGWQRTPSENGKLWSIEPEYKVE QGEILVPRVMPEMAQNDRYNSAKRKIVKEVNLKQAIVTLGWSNDEYNLREEENPSLPS LPGYRRVLVDTSILSSIPTPAGRLFVTLGRDLDNGGRVLSVSSKNASAILVSERWSVP VHVRRSIDVQYLSFLLGYLSSHNIAALVPAGSTLVVHEPDPGLVSMLSRHLSNLGSRV VFTTSNSQLLKRNWLLLHPRTPDRQLRAALPKDVSMYLDLSDDWSTLQGTETLDSRIA ACLPHLCEKFDGSMLGARESTQRPRRLALQIQGPGPIGSGLITTFTADTSKEKWIGYQ IIAGFGRGAALNMPIVASQEYLSRDSIAIASSAIALCQYLTGSIAISVAQAIFQNGLT PALEKYAPNVDPTIILDAGATGYADVLPADQLPRVRFAYNEALVKVFFLPTATAAVAA LLSFGFSWKKIGVEEHKEMPPAVSDHAQSK TSTA_101780 MGSRWTTAAGSSTQPLHVEVNYLTTAAIVKSIPDNDIIIYSDGS KLENGQTGGGFVSFQAGSQFLRGSIPLRPNKEVFDAEAEAALAGLEAAMAHSTAQHAP NLWICLDNLEVTIQLLSSSIGFSQTVFKSFNILADTWLSRRRLLQIEGRAV TSTA_101790 MAAWTRRSHKDYTVGWICALPVELAAAKVMLDEVHKDLPVSSTD HNTYILGSIKDHNVVIACLPSGDYGLVSANTVAMQLLSSFRSIRFGLMVGIGGGVPSD VADIRLGDIVVSKPTGSYGGVIQYDYGKTLSGGFERTGMLNRPPQVLLTALSKLQSNH LTEGSRIAEFLTEVEQKNPRQAATFTRPTQEDHLFLSDYHHIDSRSATCNACDPTQIV SRPPRDHDEPLVHYGLIASGNQLVKDSKLRDQLGRGLDVYCVEMEAAGLSNNFPCLVV RGICDYADSHKKKEWQGYASVVAAAYAKELLICTSVLSINQSETAREPLSNAREFHVP FDLTGVPAVENFLGRYKELEHLWHHLQPEEKGPRKVAVLHGLGGIGKTQLAARFAREQ KEHFTAIFWLAGKSRETLLQSLSSISSRLPGQSSKSAEANDSEVEQNAKRVLRWLASS RNTRWLLIFDNIDQYSPGTDYGYDIGKSFPTADHGSILITSRLQSITELGRPFAVQGF DFEDAISLLWQSRNQPAPDTATRQDQDVVDLINRLGGLPLAITIAGSFMRETGTSVSE YLQYYQRSWHDLHSDTKPGRHYQQGNILRTWSVSYDEIRKRDPDIAELLLLLAHFDNR DIWYELLKCGQRSPNPPAWYADVISDSLTFKKRIRILIEFSLLSVNEQKGSYMMHPVV QDWCLDMASIEEKARNRRWGELALASVGHAVPNWDEQELASVEHKIPSPDPKACLEFY RRLSIQADYTRRFWRGDELTDNTVILDAIHSFGRLYANSAEFKKAEMMYLRAIAGYEK VLDPDHTSIMDTVYHLGVLYKEQGMWDEAELMYQRALAGYQKALGADGMPTLTTIYSI AVLYKYQRKWKEAGMMYQQALTGYQKARGPDDISTLNIIEGMAFVYSFERNWDEAETM YQRALTGYKKVLGPYNSLTLNTFDRMATLYRKLGKFEEAEMMYQQALKGIEKTFGSDH ILHLVVVNALGASYIQQGKWEEAEVLYRRALAVYKTTLGPDHYFIEKVMQRLRELRIM HIMFNKWFVIRGIGELLGLLFWAYVWYYY TSTA_101800 MTTIKKRISRHTRSSSEAIDEVFTRASKAYETTMNDLLLARKEN HDLRTAHEKEKQKRQKSKKQVSNEQGISTEEAQVLIQDQIEASQAVTTVPAEPELPVS HPPKLRFGFKIVYAFGKKCPFAYRLRYVW TSTA_101810 MSSTQSQQTIVVVGATGIQGSGVVRALLSDKYGGPWLVRALTQD PSSGKAQKLLSEYQTTDNRLSLVSGHVYDETSLRSAFMGAHGVFAMTSERYPGKVITE EEELKHEIDAGRNIISAAKECCIKHLVFSSLPDTVKASDGQFKRIHHMNNKHTIEQLA RKELDGFTSLIPEDGVVQFCMPLPGNKMVQWTDPAHDMGAFSASVEKTKGKTYLALGP RITPEGMAKAFTRITGKPAVHSPISFEEFGHLSSALVGPAFKEDAIEMMQWAAIAPTD KTCYGAFELEAEQSSEELGLTASSFEDWLRRSGWTGP TSTA_101820 DSVRKAAVLDENNEIRAGAAVAFIEENNTTVVKIAWLSSKESTK AYSSVASGRQRLAIRHSNARTPRNARNAPKKATTIAIIIKRSLSVFYAEAHINHIAKT VGSSTLTPSEDDSRPTLDNPNGTSQINEDSPFHMERRQINKDVEAEQVPIESLDLTAA VIRLPERLIFMASVYMEGGDAQALRDTCNHLRKAITKSTEIRHKNM TSTA_101830 SSSHFNSKGQAIAIRKAMIDIRLDTAPSYIYIYWRNHARLERRA GRDVTHLEEMAENNNNIWKAAKYLKSRENIAFGKVPQLKRTDRTITTNYEKQIEELLA KFFPPLPDDIDDEGPRPQRAPIEMPTITIEEALGEDGLPAIVWKITWAVVKHRVLNLF STSLEESTLPTTLGKKGSPTQWRHTAYSPQATLELGN TSTA_101840 MDTLETINPFILAPWEECVQTNSGETPKTHTEAGGSMQIVTFSF TLGIRLEQNLYLGELAAMVHALSTLPTLKQYRITLLTSNKAAALTLRNPRQQWGQGHV CQIYKLIKGYEEMETRSLSIGS TSTA_101850 MSLLPSHPSPSRNSTLRLVHFPQSHLTVRYGSRKILGTVTLQSG GSYTGWSASGVCSREALQQKYDQALTETHATTLYAETTECHIKRMEKLWTDTLLMRSD IALSCAIFNLEPRETLRECQTARIENFLHWVLRTCTIKKTSAVTTYWRQLSQLHITWW QCRISPQTLKEIFVFIEGPLTKEYGLDNTESEKPLLEAEEFMEVIQCHWAADINIFPN ERQRVQVAAILLLAAYTGSRPGALLHITYRDLRLYVEKHRRTGKHELKLKVTLTKTKS GQKRKRP TSTA_101860 MEDKILSRSCNYIEWTNIPRISQCDEQEQDRLDLLHKAITEARI GDGLLYAPHHSNARVLDLGCGTRVWAVDVANKYPEAFVIGVDLTKIQPPNRPRNCDFY APHDFEDQWTLGEDQWDVIYMQMGCGSVSSWSSLYRRVFMRFQSIHPKTEDDPSWKLN EAYVY TSTA_101870 MEEDPAVTTRVGRVVVPSTRAREALEGADSTDATTATRRTTSKV KPTAVRKAANQIEERQCAQDENQMILRKMCQYLEGIYREVKILKETLRTKRHDQETTG LNRSNLKLSCRRLQATPGAARYHRESQGIRLGPLGPPVPPTIANILFCTIDTSHVREE DKAKAQIANVRQMIKKEIQGNEGMET TSTA_101880 LIAMILQLPDQTVLVVLVYVEGNSEEVLTSAIRLLRSLVADIQG RGGIWIDVLIIGDFNRHNQLWGGDEISSARQREADNLINYMSENSLHSLLPRGTKIWQ LGDREIIIDLVLALIELAEEMIQCSIHYINHGSDYQVIETEFDISVLDRP TSTA_101890 MGPKEAQYNNQRLLNEGQSQALIRWINHLTEKGLPLTNSMLANF ARDICGRKPGKNWAIRWLRSHSDQVISRYSMGLDMDRKNADKAWKYAIVKEAVNDVYD KKSKKLSNEVHRIQAENALLKAQVEGLQRTVVNLKKRQNKKKPLLLDLHSEKEGGAIF FSPSKVQQARDLQLQKDKNTAQEQARKDDKKLQQKLAREAQKLERIEKAQIRQTKREQ RQQEAAEKERQKEEQKLAKLADLQLQKDVLTTPKPCTNQRNPNSKQSKPKISNEAKEE VIEEVIATNRRGRQIRLPVRYR TSTA_101900 MSQAKKMSEDIEFSSWIRLNGEWQKCGYFSWSWSNVQLAMDNFQ LAVDNDVCHEATSIFSSNLKPPNYEYWIKNVTLRLIEGFLRWYLNTHNVKYQSGYLVF ARYFRIFWCEEMDSLFPYDLRRRMTRACYYPSLVCTTLTDEYELDMGAKTQPPINIDD LLYKTYHIMAHTKVHFATVRCRHQHSCLRKMMSSTSARPGTLVESPGYMRSNDFLKWK DIELYMVKHPENPACQTLLMRVRHRLNKGKRNKGVAPVFTYTERNDNLGLCVIQDILE FAIRDGAFASEYIQKPRDIWRYTDVPNDRLSTPIHFKEEVQEIPVFRRAVKVPEGRWI THPTRALTYKKAQEDEIATSRSDGSKELGSLYKYRKGAAAKLSINVCEGHLDEHTRNI IMGHSRSHTFAYYVQVQDDTQSAFMGTPNRDALIKLATNSSLTRDASVPQRLSDEKKE EIEQLTELSDLKRKRDQLRRHLIAQYQRLHRARGTALYSEFERAQKRPKMSSMEISSS MSETASSRETTRGNRLPSSPTRKALADLEFKNRDVDKISDTELLEDRIRSLEMRLALY DLEVPKELQKQINFREPSPEMASCPEISDTLPLESKSGLECPVCLGRPGLHPRARRYT YARKDTLQRHFETHNLKQKFPKGRLCDYPGCEELFYTLSKYKLHLHTVHKITL TSTA_101910 MSFSFAPFYPEYQYTILGRYQFPGQRCTGRFHEGISRMLLAGNE HGWHATFLFALLIEVTGLRRRTNSTSADHEAPIIIAVMGVTGTGKSTFIEKVTGLSTG VGHGIESETSKVMIYKTTMGGKEVWFLDTPGFDDTYLRDTEVLEEITNALAEQRLQRR KVNGVVYLHSILENRMRGSALKNLRMMLELAGKDGRLRNVALVTTMWDRLASKNEGVK TEQMFKAKFWNEMISFGASVGRIEDHTDRKEYLHIVEQIMKNNGVILQIQEETVDQQL PLGETSAGKVILDYVNKLSKKWDQELAQLNEDLRQAREKADQEAKLMQELLQEEKKKL QEEIELASQDRANLQKTLSDLAQENKRMADLLNLLKLDNAEGDRREKERDKNKADKNA APVGPNIGQKTPTTSFAHELYQTLDRENYNTGRFSDVTFLPADTNSLVTGTDRGYLQR WDIITGTPQESASIPDRIVMLAPAPDGKSVWFVDGRCMVSRWLLRSSTMPMYGVSTGF LKNSYNVAVSPDGKLLVGQDQLWYIPTGRQLGTMEGGHWPAAFSPDSSLVAAGCKPPR APLAIWDTATCTLQKEITGHSSFVTDVQFTPDGKLVVSASNDHTVRLWDWTNNRMHSI IADSAHPINALAIAPNGRLLAYASAKAEKAWIWDIASSSRRAEITGYLSFLGSRGIAF SQDGQLLAVTVIERPPGVSNYVAVKIWRLSYN TSTA_101920 MARTKVSARKIAKKEATKTLPGGSKHPMRRVPIQGTTRRSNSST RNPTIPKIYRSHNTKSPFQRLVQEIAQDISLTADLRWQSSAILALQEAAEAFLVKEFE MTNLCAVHAHRVTIQAKDMELVDRLRRIMTGLLDSSLIENSQSNFHMNLKHVNIYHHW LRQEVSKKRLHIKWVDTKRMVADSLTKVLHGQQFLDWRKHQGLVDIADLVQE TSTA_101930 MEKQLYEEVLHDQYLKGRGVKWFTERHAHELDVSNDWEDILEKD NLIGENDDIENSPSAIFESQSLIEMAKSNSMYPDRLVATSSKLQPIFLSLLKKYLPSY IHQNVFRNWDNGRHVIKKRGVKPVLFIRYGYQDRFKEAISQNMI TSTA_101940 MPVGRPPSQIDQYKQEISTSFQNGQSISNITKMLSDEYQITIHP ETIRRRLKQWGVSRTNPGPRELEDKIKELYFKQGLRNKEIIRALERDGIKISQSTLTT IQLRIGLQRQVIKLEDIQHTDDIVREAELDPDGVQHRKNDFYWRRGEYVVPSPNYIWS MDGHDKLAFWGIQIYAAIDAFSQYVTWCYVKISNRT TSTA_101950 MLRMLKFAFGAMPGLFGGSISVLTKILEFLTLVKDILDVVKDIV AIVKELKGQPRWGHQISTLSAIPHLSQPTYQLFLGFFKALPSAESSFVSVFILHASII SGGTGNKKEG TSTA_101960 MASMELALAALRSADPREKPNISLVARTYGVSQSGLYKRFHGVT GSKEEQYDKQRILTTTQSRALIKWINQLTERGLPPTNSMLANFAREISGKEPGKNWAS RWLKAHSDKVISRYSTGLDSDRKKADSAYKYALYFELIGRKIQQYNLGPEQIYNMDEK GFMLGVSTKRKRIFTRRKYEQGGYKQHLQDGNREWITTIGCICANGTALAPTFRTTGL YPFDPEIVINKFNKKITSRPSSSESGASIIPPEDWRRLEKLVKTVVNNIYDEKAVQLR ETVSHLSTQLILLQNENQGLKRALINAKKPKNKKQPLLLGLPSEQDGGALFMSPTKVQ QARDIISQKNDEAAQKQVHKDDKKLQQQLKKQAREAEKVKRAQIRQEKREQREQEAAE KQRLKDEQELAKLADLQLQNDVISTPKASKRPTKQISRQAKPRVQPEAHVEDNEVVVT TNRRGRAIRPPARFRD TSTA_101970 MDSTTSKFDRERYFYGYAKRLVRVFEIEGCNCLEPEHHIAVQIS DDILTQSLSRTHISLEQLKESSDPPLLDLGPDVKLLCTQGKHRLEACHLSSTLWTQLC EESSNEKPFSDAIEPKGGSIDSHKPQLCHGFDQLLPYGALWKGFTADNFRTVLDMGHH EVGYMLPNRLHTLISDYSKSLITSIEFMSCGQRSCPKRRVQWDELCVGTPLIRNSTPP FILPTETRTHRVAHKFTYILYKSKFFYINASTTATELDPCMRARICELHTEAHWGYKR IHRAHPEIPISTTVPHRLRNNPT TSTA_101980 MHQPKGCNVIYGETMGFNGARKRMVLAEVQGLEGEVLRRTVQQD AVKRTLLNLIIMRRLPFSCVEWPEFHAFVKALNRESPSFIPIHHSTITEWIVEHFAQS QDTVRKVLQSAKTNIHLAVDIWTSPSHSLLLGICASFVDIQDKYQNSLIALRTVHSQS GADQWEALRPVLIEYGIETKIGALVGDNAGSNDVLCRMIGQYLSLKHKIAWTATHQRI RCQGHVINLIVQAFLFSSKKDEKLMELYDKEDEEQEGEEAEEEALQSIPLPAKSKDRR RKKNEEIGWELLPDENGRNIRDIMGPMGKLHNNVVHIRKSANRTTWFKDRAGKIIPLD NRTRWNSWFTMLSVALEDKVKAALQLYVEHYQDNISKDDILTTSEWVQLRSIHDFLQS FHEATLYLQGDRTTLERALESIDILQSIIQTTLETANKAKDKFMAPRLQRAQEKCATY VQRLDDSPYYLAARILNPQCRIAFLKDGNGRIITEGEKKLYIVRKLWERFRDKLPFSA ALYESESVGKHALQPEENLSAFHKVRRMQILKQTRPPSQDEFDNYINENPVMLDNDTT AIQWWSQPIQHGRYPRLSQLAIEVLSIPSKSKFSRYPE TSTA_101990 MDKFTKKMDSNIIYYVAAILDPRVKTSFIRAQISKSDADVIVSD IHLSSKMWSYGMIEDGDPDWILKWWKVNVFNYPLILKAVQDYLPILSAEVGVKRLFSN A TSTA_102000 MQRSNNIMLQIILPCLAAATVMLLIIHARGVLDKSSPTTPQTMP YTIPILGSTLSFVFDGPKFFLQASGFCQGRWPLRVNLLNDEIYLVQGSKNITSVFNNP VVTVTRAYGIVLKYCFGMDQNAVDVYVSDTSGSRHQPIPGSQTPSSRRVSYHTHENLV QGLLGSGLGPITYRMEASLLACLNKAVPNSPDWTYGEDLTTFFEEHLGTAILQTLFGP LLLSENPNFNRNLWQYDKQIMALAKRLPAWLIPGPYRLRDELIRAVMKWHQRATELSE ASPENTLANESEVDAYWGSAMMRERHQMLLKIEGQDLESVASTDLGLIWASVTNVVPS TMTLCTQIYRDGSLVSDLRSALRACIRPGKIPSFDIEKIGKQPLLLSMYAETLRYGVQ IHIPRCSPHQPIVMGGAIIQPQKLVFINTALAHNDEQVWNTQGGQYPLDTFWARRFLI DPTQKDSGPLKCFSSSSDQKTRTDQDQRTEKFTIEGLEGIWIPYGGGQHACPGRVVAK RIMLLTSAMMVTMFDVELLASVSALRFSSPRFGFGVRKPSKQVPFRIRRRTDLTKKDT KFNFMSHFGHCCSCTN TSTA_102000 MQRSNNIMLQIILPCLAAATVMLLIIHARGVLDKSSPTTPQTMP YTIPILGSTLSFVFDGPKFFLQASGFCQGRWPLRVNLLNDEIYLVQGSKNITSVFNNP VVTVTRAYGIVLKYCFGMDQNAVDVYVSDTSGSRHQPIPGSQTPSSRRVSYHTHENLV QGLLGSGLGPITYRMEASLLACLNKAVPNSPDWTYGEDLTTFFEEHLGTAILQTLFGP LLLSENPNFNRNLWQYDKQIMALAKRLPAWLIPGPYRLRDELIRAVMKWHQRATELSE ASPENTLANESEVDAYWGSAMMRERHQMLLKIEGQDLESVASTDLGLIWASVTNVVPS TMTLCTQIYRDGSLVSDLRSALRACIRPGKIPSFDIEKIGKQPLLLSMYAETLRYGVQ IHIPRCSPHQPIVMGGAIIQPQKLVFINTALAHNDEQVWNTQGGQYPLDTFWARRFLI DPTQKDSGPLKCFSSSSDQKTRTDQDQRTEKFTIEGLEGIWIPYGGGQHACPGRVVAK RIMLLTSAMMVTMFDVELLASVSALRFSSPRFGFGVRKPSKQVPFRIRRRTDLTKKGE TSTA_102010 MDEPVFTMHARVSKPVFLGMLWAFTAVSFLFVLFRIVVRYGSFR RLYLDDFFVLLAWSIMLTTAIIWQIQGQVLYEIYAISAGTQSYTPDTLPKFLKFMRFI APLTILFYSSLWCVKFSFLAFFFRLGSKIQSHRIWWYVVLAVTAAVWISSVADIDYKC SLGGLEDILIQCSDLRHVQYENRTFWANCAGDVITDLLILSIPVLMLWNIRISIGKKL ILLAVFSATILIMAVAIIRVVINIDLDRSVDISWLYFWSFIEMGTAIIISCIASFRQL FVTSQNQHLYGKAAYRTPYQKLPNIGRKGYGPGESGPRGEGNTESQRSAVGATIVPLD VLYVRKEFEVANVPATKRPPLNREWE TSTA_102020 MTGYVGTTKATTVIGFFSCQSVAILIQRMNASMRHIRVMSRYVS GPSHTSPHGDAYNHGPDARKGTCYLVLPAQPDTTRPHQHYHQRLKMAITDSSPKETPY LEGWRLAVVITCLFFGSFLIALDANIINVAIPQISSDFQALDDVAWYGTGYLLTVTAF QPVYGCFYRFFRTDIVYRVSIFIFEVGTILCAAATSSPMFIVGRAIAGFGAAGVLQGA LSIIGQVVQLEKRPLYMGIVISVFVITVCIGPPLGGVFTQHATWRWCFWINLPFGAVV LGGLTIFLKVNGQENEERRLPLPTKLASMDPLGCAIFLGAVCCLLLALQWGGQTKSWT SSTIIGLLVGTAALALLFVYVQSKRGDRALISLRVFCRRSVFTSAMVLFFLGGSTYLD TYILPFYFEAVHGISPVSSGVNFIPLMLSEMVTLVFIGAVVKQWGQYVPYILVGELIC IVGTALLSQLHPLTRTVQWAAYLVVTGFGLGLAMQLPYTAIQVTLSSLDLPIGNAIAV LFYQLGGAIAIAMAQTVVLSTITEDLQGQIPGLTSHDVFEAGAANLETLAPTPADLTL LREIWNKGIRRTMILSVALVAAAVPFTLGMEWLNAKKVARKRQAQEEGNKDKEEKGVD VTVFSPTEQMVELEQLSK TSTA_102020 MTGYVGTTKATTVIGFFSCQSVAILIQRMNASMRHIRVMSRYVS GPSHTSPHGDAYNHGPDARKGTCYLVLPAQPDTTRPHQHYHQRLKMAITDSSPKETPY LEGWRLAVVITCLFFGSFLIALDANIINVAIPQISSDFQALDDVAWYGTGYLLTVTAF QPVYGCFYRFFRTDIVYRVSIFIFEVGTILCAAATSSPMFIVGRAIAGFGAAGVLQGA LSIIGQVVQLEKRPLYMGIVISVFVITVCIGPPLGGVFTQHATWRWCFWINLPFGAVV LGGLTIFLKVNGQENEERRLPLPTKLASMDPLGCAIFLGAVCCLLLALQWGGQTKSWT SSTIIGLLVGTAALALLFVYVQSKRGDRALISLRVFCRRSVFTSAMVLFFLGGSTYLD TYILPFYFEAVHGISPVSSGVNFIPLMLSEMVTLVFIGAVVKQWGQYVPYILVGELIC IVGTALLSQLHPLTRTVQWAAYLVVTGFGLGLAMQLPYTAIQVTLSSLDLPIGNGRPL TSNSMPLAIFVFLSNKQTV TSTA_102020 MTGYVGTTKATTVIGFFSCQSVAILIQRMNASMRHIRVMSRYVS GPSHTSPHGDAYNHGPDARKGTCYLVLPAQPDTTRPHQHYHQRLKMAITDSSPKETPY LEGWRLAVVITCLFFGSFLIALDANIINVAIPQISSDFQALDDVAWYGTGYLLTVTAF QPVYGCFYRFFRTDIVYRVSIFIFEVGTILCAAATSSPMFIVGRAIAGFGAAGVLQGA LSIIGQVVQLEKRPLYMGIVISVFVITVCIGPPLGGVFTQHATWRWCFWINLPFGAVV LGGLTIFLKVNGQENEERRLPLPTKLASMDPLGCAIFLGAVCCLLLALQWGGQTKSWT SSTIIGLLVGTAALALLFVYVQSKRGDRALISLRVFCRRSVFTSAMVLFFLGGSTYLD TYILPFYFEAVHGISPVSSGVNFIPLMLSEMVTLVFIGAVVKQWGQYVPYILVGELIC IVGTALLSQLHPLTRTVQWAAYLVVTGFGLGLAMQLPYTAIQVTLSSLDLPIGNGRPL TSNSMPLAIFVFLSNKQTV TSTA_102020 MTGYVGTTKATTVIGFFSCQSVAILIQRMNASMRHIRVMSRYVS GPSHTSPHGDAYNHGPDARKGTCYLVLPAQPDTTRPHQHYHQRLKMAITDSSPKETPY LEGWRLAVVITCLFFGSFLIALDANIINVAIPQISSDFQALDDVAWYGTGYLLTVTAF QPVYGCFYRFFRTDIVYRVSIFIFEVGTILCAAATSSPMFIVGRAIAGFGAAGVLQGA LSIIGQVVQLEKRPLYMGIVISVFVITVCIGPPLGGVFTQHATWRWCFWINLPFGAVV LGGLTIFLKVNGQENEERRLPLPTKLASMDPLGCAIFLGAVCCLLLALQWGGQTKSWT SSTIIGLLVGTAALALLFVYVQSKRGDRALISLRVFCRRSVFTSAMVLFFLGGSTYLD TYILPFYFEAVHGISPVSSGVNFIPLMLSEMVTLVFIGAVVKQWGQYVPYILVGELIC IVGTALLSQLHPLTRTVQWAAYLVVTGFGLGLAMQLPYTAIQVTLSSLDLPIGNGRPL TSNSMPLAIFVFLSNKQTV TSTA_102030 MACQRFTSQMLLTQFIPRRLHRHPFPSFLFRRQIPQVRCSSGQS AVGSVTELLEKIQTTTKIYLDFVKSQGLPEPSYQHGDGSVPGLRLPHGVQEAKEVAIE ATYELHNLLLGPLGLLLSCPGDQLLLLSLQYIYRHQIAENVPLEDTITFDELAQVTKL NSKDLTRFLRVAISRHVFQEPKKGVIGHTAASKLLCKNPMLKAWLLNIAEEFWPAFTR TVDATEKWPGSEEPNETGYSLAHGTRDNPFNEIRKDHHRHQQFITAMRFSHLHPDYNI SYLLNHYKFGDTGSDDKSTRTVVDIGGSNGEVAIEIASRYSHVSCIVQDLPDTIAGLQ SQVPLALKGRVTGMAHDFLTPQPVHGADIYLLRWILHDWSDAYCVKILQNLIPALKQG ARIVVNDISIPEPGQLGIKAERDLRYMDISMKAFNNARERDVEAWESLFTEADSRFRF LGVSMPPGARMSIIEAEWMGDKTPLPTC TSTA_102030 MFVLSPLMYRRPFLTLMEQQLLLLSLQYIYRHQIAENVPLEDTI TFDELAQVTKLNSKDLTRFLRVAISRHVFQEPKKGVIGHTAASKLLCKNPMLKAWLLN IAEEFWPAFTRTVDATEKWPGSEEPNETGYSLAHGTRDNPFNEIRKDHHRHQQFITAM RFSHLHPDYNISYLLNHYKFGDTGSDDKSTRTVVDIGGSNGEVAIEIASRYSHVSCIV QDLPDTIAGLQSQVPLALKGRVTGMAHDFLTPQPVHGADIYLLRWILHDWSDAYCVKI LQNLIPALKQGARIVVNDISIPEPGQLGIKAERDLRYMDISMKAFNNARERDVEAWES LFTEADSRFRFLGVSMPPGARMSIIEAEWMGDKTPLPTC TSTA_102040 MFDDSPKGLFHIDHQDRAAVDEGWLYYPTYLLLSLILAWRLWAF TICPRLYRDRLEYLPYWIPYLGHVVPFLWNSNKLFARAKKDFNRKLCTLRIAGQDIVM VTTAAQIAVIDKERHIYAFEPFVDLIYDEVARVSPKKKFILWQTPAEGYVSVFPNPRQ MTAAHTGIALLHKQFTQPDAVHQFMATSLACVNNTLQWDSFYKTSVLASTPTIKVISL EFLCRDVIMDAQVTSFFGPRLLELEPNLRSLLKKWDLESWRVSYKLPSALSRRATCLR DYLIDILTQYYTLPVEQRAGSVAFVNEVYDDYKHAGLSDRDIAGIVFTILWGLNTNVT VVSYWMIAHLTNNPTVVNQIREEITPVMQKIDANPTIDGPALAELTKNPLLNECLIFN STFNETIRFTATGSSFRKTTRDTTLEGRRIPKDTTVAIPQRVQMMDEEAFGPDSYTFD CYRFFRNKSLVRKVEFRGFGGGTTLCSGRTVGRHQVLAFLAILLWRYDLEVVRPDQEA LGVRGKAFPRLDEAKPSLGPGRTMDGDDQILKMTRRKM TSTA_102040 MVTTAAQIAVIDKERHIYAFEPFVDLIYDEVARVSPKKKFILWQ TPAEGYVSVFPNPRQMTAAHTGIALLHKQFTQPDAVHQFMATSLACVNNTLQWDSFYK TSVLASTPTIKVISLEFLCRDVIMDAQVTSFFGPRLLELEPNLRSLLKKWDLESWRVS YKLPSALSRRATCLRDYLIDILTQYYTLPVEQRAGSVAFVNEVYDDYKHAGLSDRDIA GIVFTILWGLNTNVTVVSYWMIAHLTNNPTVVNQIREEITPVMQKIDANPTIDGPALA ELTKNPLLNECLIFNSTFNETIRFTATGSSFRKTTRDTTLEGRRIPKDTTVAIPQRVQ MMDEEAFGPDSYTFDCYRFFRNKSLVRKVEFRGFGGGTTLCSGRTVGRHQVLAFLAIL LWRYDLEVVRPDQEALGVRGKAFPRLDEAKPSLGPGRTMDGDDQILKMTRRKM TSTA_102050 MILQLLGLLALAYFAWSLVALEINYRRASAMGIPLVRLYIDPQN LLWMVCEPIIWPWLDRLPINWNNYSFGRYSRRGWYFADRGKSHQLYGSVWAIVSPRHI YINVADPEAIHDIFQRRMDFIRPSEMYKVLEVYGPCISTASWTDWPRHRKVLATPFNE GIMSFVWDESVEQTRQMLNVWLPLSHSDHQIPSVAKDTRTLSLNVLAATGFRKSFPFH GSANNESSSRGQPGQIVHESDQNESVGYRDALQMVLDNCILLMVMPRRWLTLPFVPNS WRRLGTAAADFQQYMVQMLDEEIKALNTGKPGTGGLMTSFVRAMDLQQKEDIQGKPDL KTLPLKGLTIDEIFGNIFVINFAGHDTTANTLSFALLLLAAYPEVQDWVAGELQALSD DIKGHYADIFPRLSRCRAVMLETLRLFPPILSLPKWTSDKPQLLSVGDRNIMIPSKVG VHPSLLTMHIHPQYWEDPMTWKPSRWIQIHPTATLNSNEQIVTPPRCTYFPWSAGPQN CPGNKFSQVEFVAVMASLLRSHRVYAIPNLGETTQETRARVLATTRNVDMQLLLRMKD ADQVRLMCRRA TSTA_102060 MDNIIFEDPSKRVSRKKQAGSNVALPVARCGMAIEQAPEPIDPY FSHDTNFDAHIHGKEPVSLYDIFSLSGDEELGNEDLINGSPQALSQGNINGQQEWSVS VSREPVEDIIPIDPAILGKENVSEEDVDENVALDCSGSSYPTSPKSLALHGQAQIVED TAPVSNANSDTQRLSSKRRLSDKTKVEPPLKHCRSGSPTAEDQCTLHSCFSAAPLHER LDFLAWLFKTGLFESLSAASVGLPFIQVKASDQRVEPAQSRHRRPRAARNRTCPTSTE SRKGKAWEPDEIKLLVQLKEDGLPWSVIARRFEKRFPGRSQGTIQVYWSKNLKYLH TSTA_102070 MTKKEAFQIDGAENISLLSLLHLVPIQPRKNPSSCLSISQSYTY ALPLDKERSLAGTLAFISSIRDDTDHIPAVCVVEEPNSNCMGILLAVNRVGFNDGTNI LRDLKQGFDKIFHILAGRLDGECDASSTESEVFTAIVSMCTSRILSRLGLIPGRKRSR KSVKDSLSEAIDCTRRLGNGKNQHTKTVVLSFVTAAKDLIKLINSWCNHQTTARLENL VEGIHRLYQQQTIHETLRLIPNKDMDPSTRRSLVNMISKVARYRQATRFLVRTAKNFP MVRRARVETVILPQDAFQRFSFDEREPQLLSTVLRIAPNMNANNLSQIWHLLQTTETE ATRRFSQQTKNTLYQAKIHAEVQLIFHCELQRLLKFPRVIASSKDACYLCNAFISMHG TAHAPRCHGRLYPGWRLPFIPHLSNLERRLNCYLETVIKDSLSAMLSKQKRIMFPFPQ ESTLLTLPTSASTLESIVPGLSPLSTRSENITSEDSHSSSAGSHVHGNHGHRLDIDST GSIVDISVTEDIMGSDDLSLNGSSDSDVLAVDLLYPGTSLTKQMKSMSPLYTAGSLEV QIECDGRSRSANAPANGLVYTIEWLTAEAVKKSEGKCHSRCVIDVESLNGEASHIMCN ENTLYIATRDSVIRLRFHSKAGCVE TSTA_102080 MICKTKSESGNLNMADYKKIIRSSQFIFLVGNERTRLSIHAGIV QAISNPLRALIDNGHMTESIAGFATLDDVEEETFIGFCEYAYTGAYLTPELSIGQDSE ITSDSGLVKSTKESNGVSVEQREEPAIEDAELDRTPDLDDWSLSSAKKSKKSQKKKSA FYYHEIEEKQPEESPGQITIIYPYEQLWERFRLRKFDSGPASFSPNPNILFHAKLYVF ATKYLIEPLRQQCLRSLHRDLSSFSLNRKNRSLIFDLLDFTYAHTGRFEANGRSTLRD IVIHYVACEIRTLADDEKLTELLDSDAEIGSDLVMKLVT TSTA_102090 MAINKDSYTLHAVDPYTKFHALVTTHTKSVNFDLETLIEQIEHT FKTKVDEIHIDGESSLNGISFKEYLTVPYTPEQNGPSERAGGIITMKSQSLTPIESLG YMTPYEKAFGKKPYIGNLFLFGSKAYVKINTKKSDKMALRAQIGFLKYDPDHPFAKEI VKEGITKYVGNIDIPNIDEADPNIVFDSVDNDMRLQQFSVSLGKTMTGGSTSPHEHAN IEQPTQPLDMPQNMEIDSTSTESVEQTQIPQEMEIDTPTEPGNIEIDGNRTNQAQVDN HENSMMEIGSAGGVEDKNEDKNKNEVDVMEKEAEDEDIPKLDGKTNNPTNQPRQLPNP ERDSASQNTSNQLDDNNDNMRTNQLITPPTTPPNQTTGQEAPRAQEISADLSESNIVA GSRIRKASNRALSPTSISAGPSKTSKRDRSPEPSPISRKRQRKLSRAFLARQKLLQDS TTNKIFLAAMEKLEEPFSVQLPPEPKNWKGVLRHKFKHQFTQAAKEEFEALKRKGTFE FIPKPQDKQILPLTWVFKYKFDKYSKLATFKARIYIRGDLQQPNDLEKRAATLAARNF RIMMAIAAIFDLEIVQYDAMNAFVNSILDEEVYTYFLDGFKQDGQVIKLQRALYGLRR SPQLWQKELTATLLNLGFTQIPDEECLFIKNSVVLLFYVNDILLFYDKATKQATFKEI EKGLMRKYELRKIKKFEWFLNIRITRDRAQRKIWLCQDSQIKKMASKFGINATNNIKT PISGNIKASTEQAINEEIHAYQELVGSALYVAVMTRVDVAKAVNELAKHTKNPSKAHF TSTA_102100 MGGNCHQSADQFFGPTVSCPHVFDFTLLFEQSILSIGPSILFLL LVPLRILYLYRRSIKTTKLVNNCLWLKLTIAVSLIGAQIAILTLWAQENTVRTAIPSA ILSLLAVLLITVLSAIEHSRSVRPSTLICVYLLVTILLDSIQVRTLFLRPYYSNNLAA MAATAVGLKTFLLSIEIQHKGKYLSLKDHEQYPPEELSGVFARTIFLWLNELFFRGFR KILTLDDLFPTDNKMHSNLLMGALKVQWAKYKSSNSLSIIYATVSCLRWTLLQLIVPR VCLIGFSYAQTFFIERAIRLLNEPMTQDSKNDGYGLIGAAALIYGGIAISTVHYQHRL FRMITMFRGAFVALIYDHCITLREGEYDESAVITHMSTDVDMIARSLEQMNELWARVL EVAIGIWLLERQLGAVCIAPVLVIMICTSLQTYMATFMSTRQKLWMGAIEQRISIIST TLRSMKSIKMLGYSDHLSGLLQARRLRELDLSRKFRWLIVWLNVVASLPQMCASLVTF AAFIIRSQIDGSEPLSTAQAFTSLAIISLITSPTLQLLASIPALTASVAAFDRVHRFL TCSYTGREVDHNPETAFFQDSGVLPASDRKRADQAFTSDIQMDTTRVDGRPFYSPSIT SDIAILTVSEAYIRPSPTSQFCLQNINVTVKPRKITIITGPVGCGKSVLLKAVMGEIA CEQGSISVYDDGGIAFCGQIPWLQNTTIRNNICGYSGRDNLNLYHEVLHACALEDDLA WISNGDESLVGSQGITLSGGQKQRIALARALYSRQRLLVLDSVLSAVDQHTEQIILER VFGSNGLCRNAGISVLMTAHSTDEVLVLNAQGQVVKQGPFEPTLSADQVTETEESEFP GIRNGDSSTTEKDVAVPKTLTLKSSLICLVRLAILLSTHIISEPLGGASCLGPGLIEV WLDIFTNDDGVQPSRFIGVYVLLAVAASGSQGLMIWQIMINIVVRSGLALHAILVRAV MNAPMHVFAEVDSGVILNRFSQDMTLVDAVLPTVTFGTLLGAAQCLAQAALISLGSSY MAITIIPTLIVLYFAQKVYLRTSRQIRFLDLEAKSPLYTHFAETLTGLSTIRGFGWQT KFLEECLCRLDISQRPYYLLFCIQRWLNVVLDLMVAILAIILTALATSLRGSTDAGKL GVSLTAIMAFNQSLQELVTSWTAMETSLGAISRTRSFQLNTASEHQHGEDFVPPSEWP SRGKIDVQAVTASYDGVRNVLENINVTIDPGEKVRICGRTGSGKSTFISLLLRLIDHK AGTIRIDDIDITTIPRNVLRSRLISIPQDPLPALPGCSIRLNLDPNRHATDAVILSAL ERVGLHKLVESRGGLNGEDLSSHSLSQGEQRLFALARALISKWTRDATTGGLGGILIL DEFTGSTDAETERAMLQIIEKEFTVYTIIQITHQIDAVSEMSERILNLVSGRLNE TSTA_102110 MARVHWAHCFDYLAQAILCAADDTLEPPQTVLNGQGQKIQIIDG VGHIAHQCRDPAPLWRAVKQGSARPYDEAILGHSVGASGLLTSTEYYQIPELYRAG TSTA_102120 MTRRITKEQRLRKRHVRQRNERRISLMHKAYKYGTICHADIFLG IRLKENGHVFTFQSDRLGFWSPMTTHLKSYFPVPFCMTSDDFEKPTELVQTKPNHLEM ELSRHSLFSPVE TSTA_102130 MHRSPAAQNSRYVDQGTENADSCKSLSEPQRQSTKPSALPKHYK LQNSHHRGLPKTQTQAQRRENGRKPPSFFIKRRKQHAAAGPTPPNDADNTKVSKKSVQ GKWDRFCLDELREDPEAVMQNLGPAEIKTFFDWIGKNFRGSVKADSSFSNYWRVLKGL YYEKTFKTLDEATIKDLQERSLQENGPPKATKTKAGTEEGSDCGKSEPLTEDHSIDDA ASKFDNYDTLAERDPHVLHEEAVIAAHRVVEWERTNSSANWEDIKLSEVDTMCDTLCD DVDMDVDYESDISSVTDDGYLGGDDETRTILWRHISFRIVRNPQQGMPNLLIAIVSLV NTKGQDRKPRVKRFVIQHEDNPLFDLLGQLVAMAFHDEVFVAEFKDIEESLFAEHSQP QKGPRTKNQTGQIADTEAAKYPVLYLELPEAPVNPPKITTPAKDPPVNDPPVVAKGYF AKMWGFDPTISTTREANFAEGFSASGNQIMRYKAFFTPSEKKQKEGKKPTYQVLTFQE PKDGMPAQWRLESGSDVGEDAVEAYVKNSNALKMNEYYGEKVMQIKYSKEFFAKYHTE FLYALRKSDRTKSGRTMPPEYCILGFNYNGKQWWDLFSRSAYGNFWGHKRAGIRIDQW WTERGEEPSYRLELEGGPKSSSVPMSGYCRQISCAPTYEKRF TSTA_102140 MANETFHCFPQLPPELRRMIWEHCLPYRVAQVDPCDVFFDGRKP EQQICDVESVTIENTRQPVIAFVSTESRQVALEQGCWLRDENNWNVIKSFWFQRRRDV LHLNWTPERGDYWYRFEHDDGSSWVYMFLYQAHELKLPTKERSMVADAIYRFNLNTLR DSYDEWDDFIPKSPITKYREHESFALSEIINHTAYWPGYQLSIDVIMAAISLHITKES AVKSGIFGLLGDAPIQLIDVGDEVRLRKYEAICKEHMLYDKEPKVQKMFEVLLSPRFQ EAVQVWKRKAEWLLFSTMWKHALSDNIVGLDMASLWVPPLYERDWVRMRDYSPNESHP WVKQAKEKMPILRPHIMVHYCTNECYIEGRLREDFKAGWWRFEA TSTA_102150 MPNLTIEEIEQKIFEAKLWKAPGEDGLPVMRPISLLSTLGKILE VVIAERILYAIETFSLLPTNHFGARKRHSAEQALLLLQEEIYKAWRNRKYVTRRLLAA LQTHANARRYDQAQKIKAKFTKFMQDKGFPVALTEPIERPPIPSYQQVEIDQTISDNQ GRAGFNTELEQTVRDFVKTENSAGFSKKHVETIEKALNVYNNLAEACKAA TSTA_102160 MVEEPAATSRAGRVITPSAWAQEASGSDIISAVRTSKKSITQGE LTAVKKAASLIEEKQSGKDGNRDMLKKIAHTIQALQTQVEAIESQSIEECKQLWEQLD TIANTLAKAIYATIIDRQSRHQQDTPLVPLAPPTLANTLFCTIDTSRVGEEDKAKIEK KLRESEETRNWHYAAIIKDPKNVDRVKLYPVKIDNANRTAILDADGNILLGAAKALGK ENNLSKKDSNKVYGSMVAYITKKMDAKRLIDGNYFDIAGESAYT TSTA_102170 MTIALDYKPAQPNLFADHISGQRIALIPSLILESGHELKSCQVA YKTWGQLNATRDNVLIVCHALSGSSDVADWWAPLMGPGKALDYTRFFIFCGNALGSPY GSSSPLTANAETGRPYGPEFPPTSIRDDVIAHKLVLDALGVLSVAAVIGGSMGGMTTI EWPLCTPPGFVKAVIPIATSIAQSAWGISWSEVQRRCILSDPLFRDGYYELNQQPTSG LATARMVAMLTYRSGESFEKRFGRKPSSQRKHFIPPTVEPACNGSSTHPSVNLPAPPS KTPMRQFSAQDYLDYQGAKFLRRFDANCYLHLTHKMDMHDVRRGRVPEGCHSNLNGEG SPPDNITAAVLSRVPSHALVVGIESDVLFLPTQQHDLATSLPDAKLVMLKSPDGHDAF LLEFERLNHLIIDRLKSQFPTMYEGFVERVANVDMSTLTNGTSVGEMD TSTA_102180 MPQLSSSVVVAAIQAAPVSFDLPKSLGKVAEFTSQAAAAGADLV VFPEGFLSAYPWRYAFDATIGTREPRGRKWYARYYDSAVAIPSPELSRLCEIARDNNV HLQVGIIEKDGGTLYCTALLLGRDGAVLSRHRKLIPTAAERLVWGRGAGDGLDVVNLD IGKVGGLICWENYMPAARLALYQQGIEIYIAPNADDLPSWIATMQHTAKEGRCFVISV NQFCKVSDFPSDYPPFTPEHHDRKPDGSRWEAEDVLSHGGSCVVGPLGTFISEPVWDK EEIILATLKMSDIIEARLDFDPVGSYSRPDIFTLTVSKKPGVNVAFEEV TSTA_102190 MPVSGSLKDVTKAPSWTTVSLHGGQEPDPVNGSRAVPLYQTAAY NFSDAADGASKFAWSKDGYVYTRMGNPTNSVFETRMSMLEGGVGAVATASGHAAQFMA ITNCCSPGQNFVSTSWLYGGTYNQFRVYMKKFNIDVKWVHGNDPAEVDKAIDENTRAV YIETISNPKHSVPDIEAIAAVAHKHGIPLIVDNTFGMGGYLARPLTLGADIVTHSCTK WIGGHGTSMGGIVIDGGHFDWSASGKFPGFTEPADGYHGLRFWETYGYKALAAKLRMD SMRDLGPCMSPFNAWLFLQGLETLSLRGKRHCETTLALAEWLEAHPSINWVLYPGLKS HPDYEHVRKIMPNGAGGVLTFGVAGSVEEVRAVVNNLKLCSHLANVGDAKTLIIHPWV TTHQQLPDEEKIKGGVTPDLIRLSVGLEDFEDIKHDFEQAFIAAGLKPATKNGDPFAK ALALVKDGFMKDLGTGAPKPIGS TSTA_102200 MDYTGRPTEPTVATLSSLHVCTETKTSVGLVTRVNPDGQRKLWE AKTEWCSKADDSAAGQVGLLGLCQRKGQKCEYTPSRRGGARTGSRFAQDGKHNNDNKQ TQPFPIEQYIEPGAGLRSLEDICQDSDFIFDSIFQHEDAVISESQPFRLVPPLVRSYQ SNGDILDAYYIYIHPYFPILPPPTSAPTDRPIAVSEDDQNLNEADYEPSSSLSLGILT ILSLIPHPDDPNPCSEDAVVFRRKYAESLAQAALESVNIETEVPASSTSPAQVLSEGS DAYLCVPFHPHVPVELESIIALSILSVYEYAQRGNIKRMRQRAGQALMAAMDLSLHRE PETEEPDEFTEARRRAWWMTCICNCQGSVVSVTEPTISMDDPRFTTQYPTIRADSNAW SFFIDAQRAIVSATVAVVELNKALKDGTGVQSKLERNLELDNEIKLLASKADTYLTPY PPAGLLDQGESVVAESLILIGRIKVNSARIKLHRYRAFFDVPIFHQKHCDLTPSPRHG EDPGSKKSPTCLCPTSTASSIPPAGSSLPNTSSPMSDVSSGVNDLLRLGNQLSTKICL KSALNISQCFERLPYPNTFGSFDAFLSPSPQTSAWKIILPRTMPSFACCAMQSSYSML MVRRKVEIMHPKNTMANPMVDQLLSQLQEGLKSVLGALENYSIAFEALAGMRGTENVI TSTA_102210 MSFLITKKKCGVLGATGSVGQRFLLLLADHPFLILHKVGASSRS TGKKYKDAVAWKQVVPLSKNMGELVVRACRAMEFSDCDVVFSGLDSDVAGDIEMEFIR AEIPIFSNAKNYRKHPLVPLVVPTINPSHLDMIPHQRKEFNLKKGFIVCNSNCAVIGI VIPFAALQSKFGPVEEVEVFTEQAISGSGYPGVPSMDIMDNVIPFISGEEDKLENEAQ KILGSLDSEANSFKEQSDLRVGATCTRVGVTDGHMAFVSLRFKNRPPPSAEQVKNVLR EYQSEAQRLGAHSAPEDAIIVFDEPDRPQPRLDRNISNGYTVSVGRVREGAQGGHFDI RFVCLSHNTVIGAAGSSILNAEIAAIKGYM TSTA_102220 MERTEPTRPIPQAPQKVLKCLDSMQALVGASLNEREWATNVLVD DSLPAFLLVFIGIFSLVCFLASLKVHIALAVVELNLTIAFALLSGAFWEVAEGNASVA SNLQTADGTFLFIAGIAAWYILFALILGSVRFLLALPVATSAAS TSTA_102230 MDFRTAPKPWVVQKYGGTSLGKLLDKVCGTIIPSYLDRNNVAVV CSALSGATKATGTTSLLLDCLQYAEGVGMESIGCIDAAIDSIRDAHLNKLHSFRAYSS NIGDSLLNETAVSVVNDCEETRKFLLAAQVVGDLSPRTKDRVLSLGERLACNVVAAAL TIKGIPARVINLDNIVASLPDQEAEFERLGTGFYHRLSQKIGSIVSESTDAVPIITGF FGLMPNSLLRCVGRGYSDLCGAMCAAGLNAIEYQIWKEVDGIFTADPRKVPSARVLAT VTAEEAAELTFFGSEVIHPLTMEQLYKSGVVLRLKNVFNPSGSGTVIYPSAPKTDCQV NEVAQECVSFMLSNGYHGQPQSRRRPTAITNKEGLTLINVAYSRTAKSQSFLTSIFSQ LERNSIVPDLVTTSERNVSLAIQASNDKLARDLQEFGSVTILENMNIVSVIGQRMRNM VGTANIFGTIIRKNQYLHDQPRGKRDKHFVRAFFSIYI TSTA_102240 MDAKNSYPSTSLPDGSKEQEPSQPKPSESHQPPSQSSLTYTKAP SSTEASGQSVTAPTDTPHSFENEASFARQHRLALAADQQDLAHAGSWATIGRLDDIGN DSMLSPNYQAGTAVDSARRGNGLASSVVDEAVELLRASLHRKV TSTA_102250 MSSHMECSTSLLASYLHSLLPTQQLAILLLHHENFNDFPLQMLK QPAEYISLHSGLLPSPASYPSVLSCELMELVICSQQLDRTTQNVPTDLTKSIASSGRR YVDAVSRYVTSQYRFVMSQHGLETLMLEGLYQVNSGDLQGCWLIFRRALEVAQLIGLD HEDQFGKDTSDFSWFRLVYGDRYLSLLLGVPYAATNKHFASQRALAADMARGECDDHY LYDDYKETQDIDVELQLATRQMFSEWRYPRWLKSTMLETDMRELKASLTAQSTHSYLL ILLHVPYILRSLEVKARPVTLYDYTYNKLTAVAASRAVVSLCILLHDVNCKKYCCHGP NIKALIASMTLLLAHLEGHDFNDSNMLKHQRLHDVGMVNDITKITEQPSYSNKDMVSS SFVQAIKGLLTIEARAANGARYSVQVEGKATGNAYWGVF TSTA_102260 MTTEFNEAEGNESQIVVNAISQLLLGLLVLPKLRQSATQYNVSK LVELLFVRELATRTSASSKPGNVIVNIVNPGWVITNMTNGGERRKSFMGSLATKLLAR KTEVSSRTVVHGAHGGLETHGQYLSDCKIGTVSAFVSSDEGAEVQTKLWLELSDILDK VEPGVMDIL TSTA_102270 MDKYLALVNERTDKLQFDRVMGFIERGRVQGTLVTGGHRIGEKG YFIAPTVFTGVIKDSEINQQEIFGPIAIVNSFKTEEEAISLANDTAYGLMAGVLTQDI NRALRISTELDTGMVGINAVSMAFRQAPFGGTKESGIGRESGVHALREYTEPKTLFVN LNY TSTA_102280 MATSSNYLPPALTADSITDLILALNLPKPTSIEPLEAAASFHSI YLINFSALVATDIPVRAEKDGTLALVLRVSGRQLPSIKTLNEVGVMNWVRKNTHIPVP AIVRYDATENNLIGHEFTLLEKAPGVSVDKIYDTLSTETKTKMSGYVGGLTLRDNGEI ARGPLLDEYFWQLPDLEQYWSSPGMHETLDTLNPIAADGFPNYVSFNVACLERYIHAI EVHPLLDPYRDMVPRLRSFILALQTSVNIDELNRVAYVLAHKDMHFANIMCDPEEPGC PITAVLDWEFSGVVPAPRWNPPRAFLWNMKSTPEDKAEQTRMEELFEQVCHERGAQSI LEETKMNAAQESMQTVVNHVRAIVEVCPRGQASDRTRQWRKVAEAAMEKFGV TSTA_102290 MIGAHTGLNEKVLAYRPSDPPVEEIRPPKDRAFFADLEKRSLLS AVTKVKHLTPYIGTELQGVQLSQLDEKQKNELALLVAERGVVFFRDQDLTLEGQHEFT KHFGIVDLIHLSFYGRLDIDIPLFSKTGTLTKSIHVIAFAEFHSDHSFEINPPSYTLL RMVKTPEYGGDTIWTSQTALFDKLSPTFQKTFEGLHGVHSSEHTYINTINRGGTTFRL PVRREHPLVRTHPVTKQKALFYNPAFVIHIAELKGFEALHTLNFLREHLHSADDLTVR WQWEAGSIALWDNRVAVHRAVPGGYNTEEREGKRTAVYGEKPFYDPSSKTLSEWQAER NQNEVPVIKTELDGF TSTA_102300 MADSKDAVSTNVDGAGINDFRSSHLADIDSSIDLNNELIDTSKV DDVLTRKMALVNAAIDEIGMTPFQWKLFFLNGFGYAVDSLLVVCQSIAQPAATQEFRN PSKHIAGISLASQVGLLVGAAVWGFSADVIGRKLAFNTSLFICAAFVLIAGGMPSYIS FSAMVAIYSAGAGGNYILDATNFLEFLPVSHAWLVTFLSVWWAVGYTVTGLLAWAFMS NFSCAADAVECRRSDNMGWRYLHFTCGTLVLVLSVFRLFAIRMVQTPKWLTSQNRDEE LYAILVNLSETYKRPLSLTLEKLQAQGRVLHTEKSVWSGLRLKSHFSGLFSTTKLAYS TVMIIVNWLLIGTVSPLYGVFLPYYLASRGADTGDNSNYTTWRNYAINQVAGLIGPII AGFLVGWRWFGRRGTLAVGAAITTALQLGYTQIKTPAQNVGVSAAISAASNIYYGTIY AYTPEILPSAHRATGYGLCVVLNRIGGIVGVLVGSYANVETVIPLWICAGLYGALIFT SLLLPFESRGKRTV TSTA_102310 MVESDSSFETDSLGVVDIVARHRVLSQQLETQMRDPIERVVERK DFAASEERKHHGECTLLPSFKNLVIIVEEQSFRADALLLVLDPGLAQEIKEIEGAEQD EIRGQKVVSLRAAMEDIMRAVVAMQKKPATKLDGIAGCYREI TSTA_102320 MASNNIHHGEVTISTQPTTPIHYSYVPGGDQTEHGVGYLIVFLS GLHDPRKVWTPVLRFLDKDWPQGIARPAMLLYDRFGSGETAKKHTSEAHDAMDAAKDL RELIICVADKHLQIPANKVTGLPLIFVAHSFGGVIAELYAKQYPRAVAALLLLDPSPT DTDGESWFPDPDASDFKPELLPDGVTTAILRKARAQQRASPYNPNTPNKEGIKWDNLS TYIPEVGTPQLIGPWEGTPILAIMAHDPSPYAEQVRKLTGIPALLTLTYNSPNWFRYL ERLAKLVPPHLRKGPTVAKGSGHFIPLEQPKLVAAEVKELIQKLQLLHNVQNSRL TSTA_102330 MAKSNWADGPFQLVPSPKFTKGNADGIFAVNEMAISHNIYIRGL NAIYLQAEGVTTPSEIKDFLTFCQIWIEVVHHHHHIEEELFFPGVEEALGQKGIMSKN LEQHRAFETAMEDFQKYTSTTPGGYSGKELKNLVDRFGKPLVDHLHEEIQSLLDIQSQ FDPEGTVLKTHYLRFEKVLVAQSSLSRHQAFIFGCRDVTFENGVNKNWPDEAPFFVPY LLSWFLSRSLAGVWRFLPSDFKGNPRQLRFLPKSAPVSK TSTA_102340 MIQPPAVPERATIVCLQCKMRKRKCDKRLPCCSNCDRVQRACRY DYHEPQSTARSTWTVTLQNGASGASGIKRYENTHFQISASFFPRRQDGQGTPHRNRFA KAPVTIPIVQYWFTPTYNDATLFITTLLCVLSHQRRRWMLTGQTADGSFRPQDVRWLD WCYVEGIRQINRALNDPEPVITDNMILNVLIMAAADGGTVSINSLESPFHAPLRSLQW LDIYGSVSANPVHLRGLIQLIHLRGGLEMIELPGLGAVLCFFELINCSRSLSHPQFSF ISLQGIKNPTISEYFMFSTDGLKDRFAELYRVGCSEEFLAIYQAMRVHLFTLDRYLRG MIPDPDLRQISDRRNLIQHRLMSLRPSSGRADCQVNLSEACRLSAIIFSVGVIFPLSG HEAPFSSLANMLRVELERCDVTAMLSEPKHTTLLVWILTLGGIAATQPDLRPWFVNKL GTIVLTSTAQWIGVKKRLQAMLWLSASCDCAGERLWKEVESLRSQPPCRESRDL TSTA_102350 MAHSVTSLPDFLEDSYPFDYVIVGGGTAGLVLAARLTEDPSIRV GVIEAGKSRLGDMNVDMPTGMSAVLHNPEYDWMYKSTPQAGTNNQIHHVARGKLVGGS SGVNFLAYCRPSAEDIDYWGKLGNKGWSWTELAPYYHKSETQDENSGKQAAGKADFYS QDSVFHGHSGPIKTSFPPWRVPVEDSIMEAFDEVSGVARPKDPWSGNHVGFYGTLSTI DRKDNKAVRSYAATGYLQPNIERKNLKVLTDATVTKVLLDQDTSTAKGVEFLYEKATY QVLTTTEVILSASSIQSPRLLELSGIGDPKVLQAAGVDCVIQLPGVGSNLQEHPMTSV TYELAPDQISLDSLFKDPSLLQEHQQLLGEKGSGAFAGTMSLTGFLPYPTIVSPQEVE ETAAKITKSTAPIPEVEAENIISHLRSPKSAAVQFTGVPANFDLVVGHGNQGKLMPGA PPGRNACYTALVSLAYPLSRGSTHILSSDPFLDPEIDLGLLAHPADAHVLAAGLGFVD RVFQSPRIKKKIAGRIDPGPEVDLQDREQGISFARDRTMTFNHLLGTCAMGIVVDERL RVKGVNGLRVVDASVIPAQVGGNILATVYAIAEKAADMIKADRT TSTA_102360 MSPSLTGKVALVTGASRGIGRATALALGKEGASVVVNYVSSTDA AEEVVKEIGTDRAVAIKADVSKIDDIKSLIQQIVKQFGKIDVIVLNAGLLWQNGALSN VDESAFDKIFQTNVKGPFFLIQEAANHVPDGGRVLLFSSSLTAVSIITPNYLLYVATK GAVEQMTRVMAKDLGKRGITVNTISPGPISTDTYFVGKTEEMIKLQRTFAPANRIGTP EEVADVITFMASDKSQWINGQNIRINGGMTIVTRTVMACVDISGD TSTA_102370 MRTFTKGLVKDASNRQATSYQIINRAAVLRKNRLNDSLSGICVH KRKCADSRLNMACYRPIFLHQVTGARSASDRQEAFGEPCLQFAGSRYLVPSLAILDRV GQSVREKMKKKLSSETRPKSSSSKPLKATSDRNKEKRQPEELQTSFQLSSSGYIEESV FQLPVVKLARPTIQEISATLDPFCQLPHDLSLEDRYLFHSYLLTVPSSVYGTRSDAIF SSVCDVSFPISLSSPMTLQWMLIAAHGRFARNALPGNQSQMQLSLLHRKARAYQSLNY ALQRSQQAISDELLGGIIMAIITESRLADPKASNSHLLGYEAALHLRGGLRNIILASS AKMTTYLSHIMPYLVCPPVTSQGSIGARQELASFQKFFATAVGLHNNTQLEMWNTDLI GDYDLSFTPLRSQALITEFLLNSDLVGFVKPRLDESSVYMDQSSQFLSLYLIALTLFR LENFQETYTERFLVRLCTVLKQCSTFDGAGKPLLTTQGFTWVVIKAVMDLGDLFYLRD VSSNHQAQTIVDAVNALKFFREMQSCQSRKEVVFFLGRIFGYT TSTA_102380 MASMYDENISRRWTAENNIWPEPCVLFSELQDPQSPHSNTSTNN CTDPAYDTTKQYPGAHDSDSHTVDRQNSATASKEESPLSSPDSNYDQDTSTPKSRKNA QRRKEQNRLAQRAFRERKENYIQSLLHQIDEMNQQHMRLIESYQAAKNNALCLQIQVE ELQRRLEAWNNTKLLVLKVGATNNTQVALCSMPASDVVQETNLKSGSNSSSLVLL TSTA_102390 MVKSRTKNDPSYEGRLSLAIDALNNEKITKLRDAARTFDVSLTT LRRRLKGSVPAHNAGITRRKMTPTEEAVLRGWVFSLERRGVPPRQHMLHEMANILLAQ RDPTKIPEKVGKNWVTTFLKRQPDLKAKFARRLSYSRALCEDPVVIGGFFEEIKQLKE EYGIADEDIYNFDETGFAMGISSTAKVICSSDRSGKPSLIQPGNREWVTVVECVGSTG TVVPPLIIFKSGTTELSVGTYRLLILDGHSSHLTPGFDQALLKRLYGAAVESRIRIGI YHVDKLDFLDMLYSVRIQTYTTQNIKSGFSHTGIVPYNPQKVLSQLQIAVREATPASI RPSTSSSSTWSPKTPYNARTLEKQAKSVKRSLNMGDLDSNSPSCPAFNQLIKGSLVVM HQAAILARENHNLREANDILQKRRTRRTKALQADGILTVAEGRELAQELPEEAQPPPP PNGSAPLQPAQRAPPSSLNQVGCGCATYPGAPFTHETRY TSTA_102400 MEKVHVIHNILSKFNELALFVSKKKFQISLTVLIYYELHDLLDE ASERKERFLDLDEDISLAVKEGMKKYKKYYTFMDTSDTYYTALILDPQIKDDLLLDKL EDKTTRREIL TSTA_102410 MYVRWDFLDSQGPPFLLQPVTTKAKTLRDNLRLINALTYFLSSD LNVTFLLLVSFAVTLSGQATALLQYTTRKYHWSYSKIKHTSLTVLLHIIQQHDNVLKQ ATILSSVNGVVELAGVLVILPSMSRLLLKRMGVNATIKDLWLSRATALCFTIGLLLMS LAPEPAILIIGLVVAALGTPVHLIARSLVTSLVLPDQVSVLYTAIAVVESVGILLAKP FLANTFQWGMKLGDTWLGLPFLAASVISLLAFLLICGVDLSRKRQPPSAQSVGNVAFS MNEDQNGIDGLRNV TSTA_102420 MFDLATVAWFAVLGASGASATALPAFNVNPNSISVSGLSSGGYM AAQLGVAYSDVFNLGFGVFAGGPYDCARNQYYTSCMYNANPSITTPIANMNAWSGNQI AKVANLEQRKIYMWVGSADTTVGPNVMNQLKVQLANFDEAANVSYVTTSGAAHTFPTD FSGSGDNACSSASSPYISNCNYDGAGAALQWIYGSLNAKNTGTLTGSVVSFDQSGSFG ANGMDTTGYLYVPQSCASGATTCSLHVALHGCLQSYSSIGSKFVQNTGYNKWADTNNI IILYPQAIPDNTIHSIWYGGVLSNPNGCWDWVGWYGSNADQIGGVQMAAIVSQVKQIV SGFKGTNTTPTTSSTPAGCTA TSTA_102430 MKRVQGQEINSQTDEGQSPGDIEIDRQINHSMSRAISAFSARWL HLKDPPGLTDDLVMDTVESLWREVRRDILRVINRPCYRSAFTLFLFALTPIPARVSEE EESDGIPAQFCVQIALQQVLTLRALQKSLEFNGSKVSSISSTISSTTSPAPVTKDFLG IESMIYWAAMTFDTSSSLTLNTKSLLSPGLSLRLEQESSWRLVRTCTNVFHEDTETWR AQGIDITEERANQIIASAASWKLFVWKSAALVKEALREGREEDTVQLAFETAADAINQ YSLTYHDLLKAILIMLDAMETAERMDLLEKMKVVKAGAEGSLFNCLIFGLNNHFYVPS GRSNRSPEESHNIMQYATAERPVSLDSSRRKVPLIAIDPYPHHVVAGVRILWKAVERN LENDWIDHSVAENMQDTMLKALRLLPQASKSVRTVRQQAEASFIGNRYIFNC TSTA_102440 MFPQLLISSLITACALGAPSPPSPPSTPRFFNNVTVFAPPESWT SHSTSYARSVLLDQNCEKDNVLLASWTCSPPDGPYLPIAKSTDYGYSWTEISRVYFTH RNYTGGIILQPFLFELPQQIGKYPAGTILATGNAIPANFASTNIELYASQDKGLTWEF VSVVATGGAPNTANGATPVWEPFIGIYDNQVLVYYSDQRDPLHGQKLSLQTSHNLLQW GGVINAVAFANYTQRPGMATVAQIGNGKWMISHEVGLAPQGDLAPYAVHYSIADSPLE FISAPSHLLQARNTGTISSAGPYTVWTPAGGPSGTIVVSDSTYSQVFINTNNGDPDSW DEVETGLGVSYTRGLGVMPNESVILFMNGGYYGLPAATVTAGEWLVPGPPSSKDTISS CKNSHGH TSTA_102450 MVLRSAFLAWSVLLLGVFRAVAIPSIQPRQETCLPPINQNYSAS ISFLGCYTDDSSRVLQGGSATPPGGNSPQTCADTCGLSGFTYAGVEYGSQCYCGNSIG STAQKQEDSACTMTCAGNSSKICGGTWLIDIYQISNPSSNPIPLSGSVKPNCTLDPLC SNPICDTSLDPLTRAKGLVDAMTFEEKVQNTQNGSPGAARLGLPAYQWWNEALHGVAG SPGVTFQPSGNFSYATSFPQPILMSAAFDDALIKEVGTVVSIEGRAFNNYGNAGLDFW TPNINPFRDPRWGRGQETPGEDPYHIARYVYNLVDGLQNGIAPANPRVVATCKHFAGY DIEDWEGNSRYGFNAIISTQDLSEYYLPPFKSCARDAQVDAIMCSYNAVNGIPTCADS YLLDTILRDHWNWNQTGHWVTSDCDAVDNIYSDHRYTSSLAAAAADALNAGTNLDCGT TMSNNLAAAAAQDLFKNATLNSALVYLYSSLVRLGWFDSEDSQYSSLGWSDVGTTASQ QLANRAAVEGIVLLKNDHKKVLPLSQHGQTIALIGPYANATTQLQGNYYGTPAYIRTL VWGAEQMGYTVQYEAGTGINSTDTSGFAAAVAAAKTADIVIYAGGIDNSIEAEAMDRN TIAWTGNQLQLIDQLSQVGKPLVVLQFGGGQLDDSALLQNENVNALLWCGYPSQTGGQ AVFDILTGQSAPAGRLPVTQYPANYTNAIPMTDMSLRPNGSTPGRTYRWYDDAVIPFG FGLHYTTFDASWADKKFGPYNTASLVAKASKSKYQDTAPFDSFHVNVKNTGKVTSDFV ALLFASTDNAGPKPYPIKTLISYARASSIKPGETRTVSIDVTIGSIARTATNGDLVLY PGSYTLQLDVGQHYPTAEFTINGPENVLDSFPQPLSS TSTA_102460 MSSPNSDTEKPTASTIENGTRASLRSVPSKTDVEHIPGVSRETE NKLLRKLDLRLIPMLALLYLLAFLDRGNIGNAKIEGMLDDLHMTGHQYSLALTVFFFT YVAFELPSNLLLKKLRPSRLLPLLMLAWGTVMTLMGIVQNYHGLLACRLFLGVAEAGL YPGIAYYITLWYPRDRAQYRQALFFSAASVAGAFSGLLAYGIAKMDGVAGYAGWRWIF ILEGLLTVAVALVAPFAIHDFPETAKFLSEDERELIITMLRNQTTSEGFVTSEVIQEE SKFRAKYVIDALTDWQIYLGLFMYWGITCPLYGISFFLPSIIKDLGYTSSTAQLLTVP IYITAAIVAIGAAWLSDRRQQRSPFILFFMSLIAIGFIIVIASSGRGVPGVVYAGVFI AVVGIYPAFPGNVTWIAVNLAGDYKRAAGMAVHIGLGNMAGAMASNFYRSQDAPKYIL GHSLELGFCVVGIIAVVILRLSYQRINRKRAHIDVSQYDAYQMAQMGDRSPMFRYML TSTA_102470 MARTKLLRDAVVILGAGTQGRRLAYMWSSTGKPVHLVDRQEKQL SEGIDYVQQLRASPSAISKNWGNITTSSPDRLNSALQQAWLAIECVPENINLKRTVIS ELDAIAPEQTIIASNSSSYVISEIIEPLTLKNPARVLSAHCYWPPETPAIEIMGHDQT DPSIIELMLNQSQKHGFSPYHVKSTSIGYIYNRIWAAIKRETLLALHEGVATPKEIDA IFKDVLKTPRGPCELMDVVGLDVVLDIENHYADSRKGLPIEPRQYLDNMIKEGKLGFK NRRGFYEYPS TSTA_102480 MRFSDLASDDGLRVLNTLLASRSYIVSFSPSQADVAVFKDITSH PDLSQYPHVARWYKHIDTYKSEFATLPGDPFKPYTTYGPEVDEASVTRDADDDDEDLY GREDEEEDQEAVCLQKERLAAYKAKKAIKPKPAAKSVVTLDVKPWDDETDMAALEALV RSIEKDGLVWGASKLVAVGFGIKKLQINLVVEDEKVSTVDLQEEIESFEDYVQSSDVV AMQKL TSTA_102490 MKPEKLTSTPCPKFNQIKQCIMATQPTNSTPNRRMTLYSYFRSS CSTRVRFALHLKGLNHLITYKYVDCARDEHLKAEYEQMSLSNTLPCLVIYEFDGEEHD NIQAGIPKSRTTITQSLAILEYLEELFPDTTPHLIPPPSLLVSSSVEEKNVALLKRAQ IKNLALVVVADWQPLANLSPVRHVTSLALEYATITHSVPGSEEGKTSDVSQVIDGWLE WSHTRGLKAYERYARSTAGRYSVGDELTLADIVLVPAVENWVRGDWGRKIEVLKREYP NIYRIFENTRELDAYKKAHWTAQSDNKVGLNNPVFNIGGTAVQK TSTA_102500 MLHKLLAPLIALLSLSQYVLSFPLTVRLDNGAPLRNIVYVQTFT DSRGNWFNLTDLVTQKSGITHVILASLHLDSPTEIHLNDNDIESSYWDPLWPMVSSLQ AAGVKVMLMMGGAAQGSYARLQNDFDTYYPIILQILRHHNLDGFDMDVEENVSESILL RLAQQLDADMGTNFILTAAPVALSMSNSGNLDNVSWPALDKVAISSTRPNGKLFNWYN TQFYDGWGSAASTGSYDTVIKAGWDPRRIVLGVLTAASAGSSWQPTSVLASTIASLKA KYPNFGGVFGWEYGLAGQSDGQTPVQWVQSIGRYLAA TSTA_102510 MRSIAQLTWLLSVAVSVLAAPTELSHESSLEKRGPHNFVMGPDH PLMMARQNATLSRRSTNYKQDYVTGGTVNFSPGNGEFSVSWNTHDDFVVGVGWNPGNT LPITHSGSFDVTSGLASLSVYGWSTDPLVEYYIIDEMVDMQQAGTQKGTVYSDGATYT IWENTRVNEPSIQGTSTFNQYISIRNSGRTSGTITVENHFNAWKQHGMNLGKLNFQVI AVESWNGSGNCKQTVSN TSTA_102520 MTAPSLSLRSNINLQYQSPLFSVLPAEIRSLIFKYALTDYEDIT THMSYDRDTYWYRPGYMAKRRTATELLRTCKRIFQETWFLPFALAEHCFYLTNTARAP SEHVTVARMKEYLTTLRDFARNQDGMEIPQIERIRVFAQMFVLEDSPRLQEVLDMEGF QPKHITITLRYTDFWFWEHNSPIHIDAKWVNTVRFPKSVATISMDFEMVDRRKEEIDY ITNLAVDKWFFRRADGIVFKANKEDIKTSRWTGSSTLGDARWIRDESRTNEIDYYVKT VTWKPVPEFDPFTGGGDGCPNLDIPHDFPRQTPPFGRMPNVPVDDLQYLDCSDNLSAQ QVYDSILGMDEEMWEEAMSEEEMSEEGMSEEEMSEEGMSEEEMSEEEMSV TSTA_102540 MDFRDWLHSQLCVTLPVPTKRYSGLTIIVTGSNVGIGLETARYF VFLDAAKVILAVRNTAKGEAAAKSIIQSTGRTGVVEVWHLDLASYDSVKAFAERASSL ERLDILVNNAGILVYKFELAEDNESTITVNVVSSMLLSLLLLPKLRETSVRYGKENVL TFTGSFVHFMTDFPERKAPNIFKELANKERANMKNRYYVSKLVQLLIVRGLANQITNS TSPGDITVSIANPGFVKTEVMRNASVSFHLIFRPWRKLVARSAEEGARTILHAAAGGK ETHGQYLSDCKVAETSEFVRSNEGEEVQKKLWAELSTTLERIVPGVMKNIS TSTA_102550 MPMATVELAPMPQLAEARCKDDDWTGLSDKAERRRRQTRLALRA LRKRKAAQRAVRLESGTEKFITHYREPGQNSQLHLASTLIIPSYVSVNGRIVPERYLY PLSRDHLLPLLEYNIYRATITNLLIIGHMHLIHENCGFHGPLTIFPNPYQGISIPPAL RRTILQQTVSYPDWVDLIPSPQMRDNAIRTQHLFTNKDLASDILTGMMGQEDRKDPGM LVWSDPWDPSGWELTEGFVKKWGFLVQGCYDLFRSTNYWRNVRGERPLSLAAR TSTA_102560 MPSTIMRYPVEPFRPRGQQSKMPASQPQEMQNGNGHAVHPPSAS NRQPPSRPPVVNGSDAYVQYNMQQNGNAEYWPCQLNDHWAPGMNEFNNFPPSTNRQGY PSFMDGWPAFRPHTAPLPELMWPGAPSQWRSNQSSPLAPFPSPSLFDKGGEGFYPDGH PYQAIEFSQIPPPAMPPPPRWMHPAQPHYMRTAKFDDPEAKIFVGDLPVRMTTDQLYH ALKETFSPFGECKINVIWTTKRGPGGVERSLPTGWIQYKTVFDAAKALDIDKESGFVI GNRAVRVDRADGKRICRIWPSIPCARPSLVEFKNVVVQFIKYLRDPYGYHMMYHVSNG IDMVEKNYGGRLYTVPSIGVLFRRVEDASYAQSKFATFTSHFKLKIEHVDCGTPKWRQ GPVSLELLNVLLLDTGEKWQEELIRSRRGLRERNVEFPLGKLARYPERIGKKLIPRSI LEHPRLKKDVFGFKDAEWLDIHQEPPTSLAMANSSRKTTQTNSYSNCGSTGKANGDHS HASQKINGEYRSQVNGKASNQTNGEAIHQKNHESNHRETGGSEHQENDGTNDQPNGA TSTA_102570 MFDRVKKKLNAMAGNTKYKLTQEQKDHFMKYGYLRVPDCFTREK AAEWTKDIWTRLGYSPTDKETWTSERIHMPPHRHEPVKTFAPKAWAAICEVVGGEDRV LDEAAVWNDAFIVNLGTKEAEGKEWPHPKELYGWHVDGDFFIHFLDSCEQGLLVIPLF NDIVEHAGGTVICPDSIPYMAKHLYNHPEGVSPHMVPRGGQLEGEYKDGFYTRIISQC SEFHEMTGKTGDVILLHPLMVHSASVNTLRIPRIITNPPVMLREPFRFDRQNPNDSSL VERKTLQSLGVDRLDDWKIVGEREMVVPERLRAQAAMKEEEMKRLAAS TSTA_102580 MPSLKPNKTGKKGRGLGALSESSEAHPTENSSSSSLTSSSRPPA TSAISSNSIARSFSTHPVSQPQIQSHHISSPSPVSTGCCSQCTKSRLSSSSTRPSSSR STHSHISPSSAGPSSRPRSLASSSRARSSHISPNPLIPSLSTTPRRPPGSRRRRRVSP SSSRKDAMAPASKSTFGGVLTDNELGNLQYQLKLRTMELHPDQWPAEAFPAALRSLIN SNASELSKVVFINKGHLYLLMKTMTEDVKDERSNVQLVMLKPVPSAVFQNDIVWDWNI APHCRFTHLEAQQTLILHIAKETRYMESLPASEMIYDDTDMGVQGHALGRFKYGLNKN LVAMGISGEEWEFVYNQSRYSRGAIILDGNPAAHESTHSCDRMFIPRSNCSLQVDANQ KPWPTLVVETGPAYSNTASRLYLQYCADWWFTQSQGATKYVVIMYFNYARVETIAELW VSESVPPYTKSLVQTVVVNLQKDNKGKIHIIVTGEPMKFDFETLMARKKREGEKDIVF DKGLMNGWGNAFQCPWPNLGPKRRNNMKMSGISMETARQILGCPLESVKEQLGPALTE ALERAEKFSKTVKAGANIPKSRMKVTLTQGGGEEEKKVGGKFDREEQQIPVDLSIEEA MVIMAKK TSTA_102590 MIPTARCLAAKPPSFFKKSTEEFSRLTRIAWNAEALTTPTKPYK LLDFENESTVASCKTMADRAVGGFSKADLDFVTATANEPAHARFHGSISTKLPNNWRV ERTGYAAFRNRDRGYWLFGRLFWDVDPYTYLALRVKSDGRRYTVNIQSDSIVETDIHQ HRLYTRHHRVYQRQQEALSSPSAAAAESSEVGDINDTLYPGGIPPSLSDIPPAETIIS ATTSGTSGWETVLLPLQSFVRTNHGMIVEPQHSLLKNRIKSIGIGLTDRVEGPYDLRI HRIWATNGLSEEELEEEKRICGENALPVDEGVRTLWGSKKEAFPTAESELEAQEQEKK QRTKAEKKVSGTKGLKGLKEEWS TSTA_102600 MENAPSDNAEGWFEHVIVLDIAMDIDTSPITRMSLTTTCENGSD PELKCVREFCQAIQ TSTA_102610 MDWSSAADLNAVVNATIDNAFESSIDDVVQDPALALRVAAAPVE VEAREVVDPPEDFETRDKWLLAISDT TSTA_102620 MSRYLTPSKVTLLCLVSLYADGIVPNTSAVPVLSFLISHILPAR PGDSDKPPRAFTRDKKHAVSIDELEEVLSYHASSIPGRSVWDLLLRKMWSLDCCDALL SFFADINNIVEKSREEQIQDRDRGIEHVSDRMLLSRSSPLGAFVRRGQLEFTRLQFHD QVKLWRGFIKYRLPTYRAWARRHPSGGESAVDANLAELGLDSDSHIGQVVYRNIEHDS DDEAGVSTKDVEQLLSFQVEELQRIGGRVPDEVRSQLEYIISAGVTVPSMSHYIRFLD AWKAGDYPSSFDNLHRYFDYTVHSRDRSFYQYALLNLAILHADFGSYAEAVSAMQEAI SIARESHDMSCLNFCMSWLYHFGKAFPEEMKDVQNTGMLGSEKEGLAFLKAKAKETES WGLLSTMHLSEAKLDLQNGESLASVFENVVRSFYLNVTRNLRTAMGPQLLLATSLFSR IGLTHIALLHCDIFRECYAKGSPLEDMLKITYRSSQLISHSGGYKHAIALLDGIAQTD LRSLKANQYWAYYSGILKLQRQIHRDDAVAVEHLFAQLQDIGIPDIDLVLQLSFLEIE FRLRKGHYSQAMAIVEGIAQTMQQENFDVLTQIRLLSYKARILEKTGLPQRGFSLAMR AASIAHRCRVLPGLWEAIGVLATILLSMREFEVAVEMMESIMPQVLELDDRYLTARSY SILVDANMGLAGECFSPSPSLSDDDESEGVINKVPDPLKKKEYVTRALTYIDFSYDEY EAIEDLLGQCEMMAKKATVMHLSGDLVLANDYASKYLDLRKQALSERR TSTA_102630 MAEALTKTDLQGALPLKARGKVRDLYEIDDKTLLFVATDRISAY DVIMENGIPDKGKLLTLCTKAWFKILQEAIPSLRTHFITLDLPPQIPESLRPALQNRS MQVRKYEVFPIEAIVRGYITGSAWKEYQKSGTVHGIQVAPDLKESQAFPDGPIYTPST KAELGQHDENIHPDEAVKIVGEKYASKIASLAISLYHAAHAYAYNRGLIIADTKFEFG LDPETDEVVLVDEVLTPDSSRFWPKDRYVIGQGQESFDKQYLRDWLTSQGLKGKEGVK MTEEVALKTAEKYKEAWEIITGGGEL TSTA_102640 MRSRAVRASSGLLFSNRQATAVLPRPTTSICSQCLRDELLLAVI PSVHSRKYHPSRRRDVSPIGAAVSAAQTLFTKGFPKAPPGVSVDPLRIVGKELKFLTK NIRKLLGSGHPMLDKVAKYYTWSEGKHVRPLLVLLMSQATALAPRNPHTHSTSISSQQ QSYISEPISSPLVLADANPDTSPLTAPAAELEGIFGEDPNILPAQRRLAEITELIHTA SLLHDDVIDNAVTRRSSSSANTQFGNKMAVLAGDFLLGRASVALARLRNPEVIELLAT VIANLVEGEFMQLKNTASDERSPVFTDDTITYYLQKTYLKTASLISKSCRAAALLGNS TPDIVEAAYSYGRNLGLAFQLVDDMLDYTVNSAEFGKPAGADLELGLATAPLLFAWKE NPELGALVGRKFEQEGDVERARELVARSNGIEQTRALAQEYADKAAAAIDILPDSEAK NGLLDMCEKTMKRRK TSTA_102650 MPVERRSLRSNSKGDTSSSTNGEKARSNSNTASSKDKAAPTTRS AANKAKSAPAKKPVTGKGASSSNTSNNNMSGDQPQRNGTDPVENGEDVEMEEDTAVPP AKGARLSGQDNKKDKEDDVAMEDSDDKDAQSDEPEIDPRVKAVQDIQNNFGLLERAVT HFDSRFTLRVLRSISSMRKHITPDVLAEVIVDTYSSSSQTAAFLLEAIGKPDAFDVAS SKMDVDSDKPKSREILPEVDTYLSILVQIYLYDQKETQRGADFSSKLVERLRQLNRRT LDSLTARVYFYFSLFYEQLAPLPPSPAAAVISIRKPLLAALRTAVLRKDVDIQATVIT LLLRNYLSTSHITQADLLIAHNEFPAAASNNQIARYLYYLGRIRAIQLRYSEAHEHLT GATRKSPTSHSAGGFYQVSMKLLVIVDLLMGDIPDRSVFRQPALEKALHPYFLLVRAV SAGDLDGFLNIVNTHCITFRKDGTYTLILRLRQNVIKTGIRVMSIAYSRISLRDICLR LGLHSEESAEYIVAKAIRDGVIDATLDHEQGYMKSKDAGDIYLTSEPGEAFHARIQAC LSLHDESVKAMRFPMNQHRLELKNAQEARERERELVKEIQDGDMDDDDAGGDFDSI TSTA_102650 MPVERRSLRSNSKGDTSSSTNGEKARSNSNTASSKDKAAPTTRS AANKAKSAPAKKPVTGKGASSSNTSNNNMSGDQPQRNGTDPVENGEDVEMEEDTAGGP TPSIPTSREHDGDQMTVVVPPAKGARLSGQDNKKDKEDDVAMEDSDDKDAQSDEPEID PRVKAVQDIQNNFGLLERAVTHFDSRFTLRVLRSISSMRKHITPDVLAEVIVDTYSSS SQTAAFLLEAIGKPDAFDVASSKMDVDSDKPKSREILPEVDTYLSILVQIYLYDQKET QRGADFSSKLVERLRQLNRRTLDSLTARVYFYFSLFYEQLAPLPPSPAAAVISIRKPL LAALRTAVLRKDVDIQATVITLLLRNYLSTSHITQADLLIAHNEFPAAASNNQIARYL YYLGRIRAIQLRYSEAHEHLTGATRKSPTSHSAGGFYQVSMKLLVIVDLLMGDIPDRS VFRQPALEKALHPYFLLVRAVSAGDLDGFLNIVNTHCITFRKDGTYTLILRLRQNVIK TGIRVMSIAYSRISLRDICLRLGLHSEESAEYIVAKAIRDGVIDATLDHEQGYMKSKD AGDIYLTSEPGEAFHARIQACLSLHDESVKAMRFPMNQHRLELKNAQEARERERELVK EIQDGDMDDDDAGGDFDSI TSTA_102660 MSLLESHLEQITLSANAIADLSFPPPKIFTNALLNTPDITALIR DTEVHERALFTLDASAKPSQRRATRRGTTFPADTQRETMISRINAARNNRNQSAVARV LGNDMMEEIRRSAGSSTRLQRGDINVEVLLKGAEILCNVYPVAGAAEKIADIRYRYSQ ISESLVRLEARVADNAAELEAMNEAYDRDNEIEYPELQQHDIQVTDEDIERELEEIRE LERRKQILEDRVTGMSRDLGGLMR TSTA_102670 MDGQAATNQPVPPQQQPSLIRTDQVAKLPHLNDAQKSQHTQLVR GLWDILNSRDPSTDEYRNAQMRLMQISQNLMKGMQLFRNKIQQQQQQQQAQAQAQAQA QAQAQAQAQAQAQAQAQAQAQQQAQQAQLQTGQQQQQQAQAQPAQNPQAAQSTGNITA FSQLLPAIQAKVNSVQFQLPPNGTREQTANWLNEAKLRYGMALQKQEIGRQRSLEVRQ QVQARQSAGGAGSISTEEMQAFRTRQLQAEKLFREGQEFLRKFSEQQEGFRAARAAGT AQQGGAQPVPQAPNQPATSTATPVSTATPGHPAQQAPAPHTINSAVNAARVQAQQPSV PALTQAGQVQATAAPQAQIATPTTAATPVTTQPAGQPVQATAQTDAATMAQNQAANAQ GHPRPLSHEAAISQAAKSYQGNQQQQSNQQTQQAGTPQSAATTHAHPPGYIQNRSTEN TTRNHNMAIPKNLNVQTPEPVAMGTPRPTLSGGPSHGAMGVMGQPAIQKHPGYVLEGE GQRVLSKKMLDILVRQVTGGGEGEGLTPDAEEFILQMADDFVDDVITAACRLAKLRPS STLEIRDIQLVLERNYNMRISGFATDDLRTVKKPQPAQGWTQKMSAVQAAKVTQGKAE TSTA_102680 MSEENAWQAPPLTVTASSSPTDRRNDTRFDAVDGEKDSRDASQS RMSDHIEIPDDKNTHDLKDDGKKERNRRNARLLQGPSLKWHKEYSDLDPQAGRVLMID FARSDKSRSHIRKVAAQEIFSIHGLRRFYRVSGQETPTMRVIHVQNADWAVPFLMHKF NISTHKSRSDGSGSDFGRYLRYKNPELRGGKPFLAGRTWKVQYDPWMGVNRTSFGLDY MKSFRRGPRMREGRRDRMMQLNDFDDNDEPICGHDVYVQRLSCYIQHKQAPSENRLSR EDLPLRKHFYPPDVYDEGDDDNMPDGKDKDSKQRLRLDNGNVIIIFDNSSSGNIDDTL IPARRDLECRWRRLPFHLAFESRDPVEDDDGKLALNCSKAILSDIFKSVVGTWDKFLD HAGTHMTILEDKIYERPDDESRAPELWANSSAWLKVEKLVNVHGSVVQEMRMRLHELT DDVDSEDNWLEDIPSDFERLTNLIAEDLTKPTESLISLLYQSVSIRDSRHSLELGVSM WRLSWITFIFLPLTFIVGFFGMNVDTFANMPSIKWYFIAAIPFMLGVLTFYFLMKGGS SDSRRRSPRERIVYETFFQDMASQNPTLWSRNGPRDYVRVEGWFVRLKWRLIKYWLRP VDLVGSTTFTSATTTTPIPSSDNEISTGVRASFTGSGDGLSTLSRLQRYLSRRWTPQI AAHTTSTSAEDTEMGLMSMNDDEFENLARLSGDERLSMREHYSIGDGLSEVTEIVAVP AVPRAGRPGLMLWNSAPEGVSGNGSGSDDGGKGGNTHLTVPGRRVLTATTHDEHEDVD TSDIDEINTSNIPNPVIAAALTRERARQHRLRSSSSPSPRGRGHRRGGSGSGSSGGTP GRGSDRVLIEEEDAAWLNERGRKGKDWIWRFGSGSVSDDDKDETKKEGDRKSGSNEGR TSTA_102690 MPAIVSDGPYHQPASASPSNSFDIASRKSHEKTSVGNTFLYTPG ANGDVGRLEPHSFQHQHHHQQHHHQQQQQQQQQQQQQQQQQQQQQQQQPDHKQFLTSL KNGSVPSLNGGRSSIGSYSRDRPYSRTDSTPSVNSVSLRDSQYSYQQQQQPSYNRRGS AMVDGNGQQGSELELPQPQVNGNSSVRSRSPTSKSVVPNGDTQRLSGSDDRTSYFDAN HQGMKSYSHTPLSTSLPDDTNHLPPEPDRLAVPNKRSQHRYSSPPAPLNYDSPSSPSS PTPYSHRHTLQVPRSTSRRSRELSLDEARTYSSGRPEHHRRASLNLVRRATRTSAQDL PPDEALTDEDAARWAEAIKQKRARKKRREEDDDDRVIVGTKVDQNHQNWVTAYNMLTG IRFVVSRINAKMDRELTPADFNAKHKFSFDITGNELTPSAKYDFKFKDYAPWVFRNLR AKFKLDPADYLMSLTSKYILSELGSPGKSGSFFYFSRDYKYIIKTIHHSEHKLLRKIL PDYYKHIESNPNTLISQFYGLHRVKMAYGRKIHFVVMNNLFPPHRDIHQTFDLKGSTV GRDLQEENLEKNPRATMKDLNWLRRGRHLEEGPMKKQVFMAQLRRDVRLLQRLKIMDY SLLVGIHDLGRGNEENLRDKTLQVFQPGGDEDDYPSTLLMRTPSKLENARKARELRLT LKRERPVPIQQTAAKMPDEILDERKNLVFYSDDGGFRATHENGQPGDEIYYLGIIDCL TPYGIVKKAEHFWKGLSHSRAQISPIPPEQYGERFINFMEGITMSREEAERLAQARAK GETTDEKVKNTEGIRPEAVERTMSEAEDMTRNTGPEPHARTLSTMRDPQDVNSISGPN TLPIVDEAGEAASQKSGRSPRNRTRSEISDKMLQEVQAEDSPRRASAWP TSTA_102700 MPPKKASTAASAASKKAAPSHASYRDMIKDAIINLKERNGSSRQ SIKKYVQANNKITVVSPAAFDSQFNKAIKAGVEKGEFSQPKGPSGPVKLAKKEAAAKP VPKKPAAKPAAAAAAPKKAAAKKATSTTPAKPAKKATTTKKTATKPKANTAKPRKTST AAPAIVDAPKVETKTKSGRVTKTTAKPAAKKAAPKKKATTKKAAA TSTA_102710 MGIKHLYQVISENAPDAVKTGEIKNHFGRKVAIVRINRISMSIY SFLIAVRSDGQQLMSDAGETTSHLMGMFYRTLRIVDNGIKPLYVFDGAPPKLKGGELA KRSARKREAHEAHEEAKETGTAEDMEKFSRRTVRVTREHNEECKKLLKLMGVPYIDAP TEAEAQCAVLARAGKVYAAASEDMDTLCFEAPILLRHLTFSEQRKEPIQEIHLDKALE GLGMDRKQFIDLCILLGCDYLEPIPKVGPNTALKLIREHGSLEKVVEAIESDPKKKYV IPDDWPYKEARELFFNPDVRKADDPQCDFKWESPDVEGLIQFLVTEKGFSEDRVRNGA ARLAKNLKSAQQSRLEGFFKPVTKTEAEKASLKRKHDEKIEEQKKRKKEEAKAKKEAK ARPRGAV TSTA_102720 MKRNRIQFETNGEYSSSSPAGGGGGRVPQNIDDDTRNNSRHIPK ISRKIRACTECKRHKVRCDMKTGDQICQRCQRMGLQCVVNKSLQTLLDDEAEWKTMIE LAMSDLLRKAQLPELSYYQAGGPSPPQTRYNERSRKLSTASVEAMPHMEEHTQTNGTN HSRRPSSGYRTHREQSHYSPEREEPGQATLVTAPMGSLFEVTQLSHSRGNSPTRQHAP DRMVAADLISRGVVDLAEAEELFAYFDSRLNHYLWDSMVMNHANLMSVRQSSTLLTAA VLAVTALHIPKKERVFDTCYAEFARLASDSMLNRHHSLDDLRALCIGAFWLSDVSWKL SGYAVRIATERNLHQCYRKAIQGSPEHREQTQLWYILYILEHHFSIAYGRPPIIHEDT CITNHEIFLNHPSSGQRDIRVHSQVALFIVLTRIYHAFGPDVDVEVLEHELPRIEEFD KDLENWRRVWRPRITGNPYLGDYPFKAVNLNYNFSRLTLNSTALRTYHCATSTRPLSA ARKKHAEVAINSAIATLTVVLDEPEVQESLVGTQLFLHSMITFAAVFLLKIAVKAHPN CIIGPNSQRNSLAAAGLNIDIPYVLEVIEKIVKIMISASEKASERHVSHHIARGLGKM LEGFREWEQRNTQHSNGQPLRPQPPSWVHDTPSLFSTVSLTNPQTLGGRATICNHPPP MLGVAPLSSERGNTTATNNNSHNSSNHNNHTNHNNNSNAFPPSSSTAYLSAKSQIGLS EGSLDPMMTDMWGFEEEYFPMGVFDFLQSQMPA TSTA_102730 MAPFNPEPFSMREKLGRTLHTGYMGSTHYELISLVEEHYNPYRH DMTGVFEACRVDTHTNERTNCVLMICEQLNPGLLAPNIPREPCRDEYDREATYYANEA IFETVFNPYYHEDHEIIEGIDETQDHTGAYPGGYLDIFSGTRPSGTRPVSTILSDLEE EDLFEIKRFLLILLARVGYVLKDECVLWYDPVKKYVWVQGETVKGHNHDHDHDHHHHH HHHHHHHDSDSDGDGDGDNDPKNPTPSPPKPPEPNPTFEEFWSSFVTKWQHARIKSGK PSNVPASMQLCFRNRVNANSTHDFSDSSASPSGEETEWTMVDDASG TSTA_102740 MNWLLESDIDLASASLIVQLQIEDAEHYFNTSKGKSRDPTSEEE AFQLQNEELQKLSRLLLDKRMAESVAAAVRANGQMLADGIAQEETAVKDRDIAHRWTG DQFPVAAEETAKSSQDPSSLDDKTLKKLRILYVSNLQGSSGTYGTETVNEETERGESS AWAAKRTRQPASSMRRCVACGEETEFVNVVRVPCRHEYCRTCLEDLFKASMTDETLFP PKCCRQPIAMNFARIFLKSDLVQQFEKKKIEFETPNRTYCYNTQCSVFIPPAHINGEI ATCPNCGFTTCTSCKARAHTGDCLDEATQQLMATARENGWQRCYSCWRMVELNYGCNH MTCRCGAQFCYNCGERWKTCQCEQWDQHRLLSRAHQIIDREQNSPGAANPALVAPIPR TQQPTPIENEEPRSVTETPQPAQQEQAPWATLVVEAIGILRNNHECEHWHWRWATSLA DIYEITILITGCSDGGLGSHLALAFHKAGWRVFASARNLTKLKQATTAGIEAIQLDVT SDKSIVSAVSQISELTHGSLDVLLNNAGGGYSMPLMDLELDQARKLFDLNVWSIISVT RAFLPLLSKSQYGGMVVNNTSCSSVPAGMQPFAGAYAASKAAAASITEILRLELAPFG IKSINLMMGAVKSNFFENTPASTLPPTSLYNVAKETVERAMSGQDNMADADDPTK TSTA_102750 MDSYPPDYKVEFKSERARWPPLTRMLMSGEMSGIEPKELTLKQR FDRWMINEGWRRFTVFLFILVQILVYIFGFMNYAFKDNLNGARATYTWTYMVARSAAL VLHFDVSLILFPVCRTLISLLRQTPLNGIIQFDKNITFHKLIAWAIVFFSWVHTIAHL NNVAQLSAKNNQGFKGFLAAGFITGPTWTGWIMLIALMAMVFTSTEKPRRANFERFWY THHLFIVFFVFWSMHGIWCMIPTDFAPFCAGNGVFYEYWIYSAVVYLAERVAREIRGR HATVISKVIQHPSNVVEIQIKKEKTKTKAGQYIFLCCPEVSLWQYHPFTLTSAPEEDY ISVHIRCVGDFTRALAKTLGCDFDSGKSKGSDGKNNGSAVVGIDKIGTGDKDLDPTIR RILPRLYIDGPFGSASEDVFKYEVAMLVGAGIGVTPFASILKSIWYRMSHGKPTRLRK VYFFWICRDFGSFEWFKSLLSAIEAQDLESRIEIHTYLTAKIKPDDATNIMINDANAP HDTITGLRAPTNFGRPNWNMIFRSVRKIHSPAEAGVFFCGPKGLGSQLHIKCNQHSDP DFEFVWGKENF TSTA_102760 MSRSLFRSAARQLRASTLPRHQTRRWASSTATFNWEDPLAASEL YTEEELAIQDTARQYCQERLMPRVLEAYRNENYDRKILEEMGEIGLLGASIEGYECAG ASTVASGLITKEVERVDSGYRSGMSVQSSLIMTGIHEFGTQEQKDRFLPQLAKGKLLG CFGLTEPNHGSDPGSMETVAREHPTKKGYYSLSGAKTWITNSPISDVLLVWAKLESTG KIKGFLIERSKCPPGTLETPAIKNKTALRASITGMIQMDNCPVPEENMLPTVEGLSGP FTCLNSARLGIAFGVTGALEDALSRAREYSLDRKQFKGNPLAKYQLIQKKLADAATDA AFGTLAAIQVARLKDAGKSTPEMISMIKRQNCDRALANARTLQEIFGGNATSDEYHIG RHVANLFVTQTYEGQSDIHALILGRAITGIQAFV TSTA_102770 MALKRINKELTDLGRDPPSSCSAGPIGDDLFHWQATIMGPSDSP YSGGVFFLAIHFPTDYPFKAPKVNFTTRIYHPNINSNGSICLDILRDQWSPALTISKV LLSICSMLTDPNPDDPLVPEIAHVYKTDRARYDATAREWTRKYAI TSTA_102770 MALKRINKELTDLGRDPPSSCSAGPIGDDLFHWQATIMGPSDSP YSGGVFFLAIHFPTDYPFKAPKVNFTTRIYHPNINSNGSICLDILRDQWSPALTISKV LLSICSMLTDPNPDDPLVPEIAHVYKTDRARYDATAREWTRKYAI TSTA_102770 MALKRINKELTDLGRDPPSSCSAGPIGDDLFHWQATIMGPSDSP YSGGVFFLAIHFPTDYPFKAPKVNFTTRIYHPNINSNGSICLDILRDQWSPALTISKG IYSSSFSILNFV TSTA_102770 MGPSDSPYSGGVFFLAIHFPTDYPFKAPKVNFTTRIYHPNINSN GSICLDILRDQWSPALTISKVLLSICSMLTDPNPDDPLVPEIAHVYKTDRARYDATAR EWTRKYAI TSTA_102780 MPVSHLTLTVSHLPTSTSFFLSCLQPLGYKFIGRHDEYIGFGSK ADEPADFWLAQEQPGTPAGAAHIAFPAPSKEAVNTFFISALKSGGKIHGEPKLRDPET GYFSAAVVDFDGNSIEAVYRPDTRSEIDTRAGPALQTIKNGSVVSRARSVRTTETRSV VQTAIENIPPARVKMLDQQQQQQQPLQPLQQPYQQPYAPTQQSDGNSISAKTIIGTLL GATAGAAIAYAMIKGDSSSSLTQTSSNPPAQSQYTQPPPPPPQQQQQQQQQQPPHQMS MSLPMLMPMLGPAPTYQDQQQQQQPVYRALEAPPSAIGGAARSTYTANDAISAYTRSS APKSKSPRADTIYEETEYYPPIDQSGPRSLYSQEASSNAGSGVRRSSNGSIYATRDLP IRAIEYSNSVASSQQSQQSSKHGHVIGDIAESEFSSISTIKPAKSTAPSRHSRDDVSM ASSHRSLKSSASKHSHRHDENGSTTSRTTAHKIALPDSRPGSTYTSATKSSKRSNAST IKPSSSSPRDLDAYEIPLPASAAPSTVFLDAAIDITPEDSISQVGCSHAHHSHNDTKS SSGRSHRSRSGPSSQSHVSSASKRETAETGSKFDQPVKPSDSISQVSSNHSYRHSSHH SAHKGSSRVSGMSKKSHSASRCWCSVICIMIQEYLRQTDSEGSDIGARDR TSTA_102790 MIRNSNNNDNKQNKSDMSTSSNSGAEDGGKRKRPRTQSCPPPEL PQLVAEQHVPVAPGDKDTRRLIVVLSNASLETYRSSHGGRSGREEKYSLLNSDEHIGV MRKMNRDISDARPDITHQCLLTLLDSPINKAGLLQIYIHTAKGVLIEVSPTVRIPRTF KRFAGLMVQLLHRLSIRSSNSQEKLLKVIKNPITDHLPPNCRKVTLSFEAPVVRVNEY ISSLGPKESICVFVGAMAKGRDDFADEFKDDAIAISNYSLSASVACSKFCHAAEDVWG IM TSTA_102800 MPWTVTNSFKRGLMKTYGRPVRRIYDDDTFHASKKRRVEAADDS DDAESNLRYAIRESSIAITASSPSRRNSVIFSEITQEDDDDDDDDLSTPPSSPPPPRL TPPPANTRKPTFAFLKRKKSSTVKEVNGSPLAEVNSNSVRSSVDQPKKSQSAQPRVLR QLQIDAGVTVRRSCNLCGMEYVPSNAEDADLHDKFHSMNAVGIDLGKAFVRANASRWV YEAARCDEGYVVIVDRKASPSARNQAKKVLDVVNRELSSPPIDDATLWSQIEPPKRLR KNGAKEETDRYKVFLHMKDSKCMGLCLAERIWEAHPVKLDASNGVTVSNGDGPHRSSS VTVQNTLDPAIVGVSRIWTCGSARRRGIAMDLLDCVISNFIYGLDIPKEQIAFTQPTE SGIRLAECFFGAGEPWHVYNEGVVS TSTA_102810 MSGSNPFRRSKIITSVTTAATDESVLPSIPMTPNTSGYDGIKNH SSSHQQKIVRIATPPTVQASSPTGSSIEKESSYFPAVSFGGSTYRSPTPPPPSLQAQA EKRYEEDSESEDSEYDGFDPRSSGDQPRGKQLADDSSSAGRNGGKERKNVNNMLDVDA FTRLLLTGSVDGSGISTGVGASRRTASNPDTVIDRAQTWIPTDNNETGKNGVESMAVP RASTFSSTTTASTDVQRTPSLRKPKPPPPRSHHGKPIKPDESGRDTPNSSTPTGSPSD RRESVSSTVKISSPTTEHPDDTSSLHRSSSQSKRPPTPPLSRRHSQMRSNTKRYSLTE KPNRLSLPPPKSLSQLPGPISPGPKTPPRPPSRRAERPISGIQPEQPKSSLSQSESTS FSDQVSVDEPAVQIAASTSLPPSRTPSIKQAPATGMLPPPPPPPRRLRASSKGSTATI PSDNKEVPTEDGHSSSSQAQDILADLSRLQREVDDLRVHYEGRKVSQ TSTA_102820 MTDRMSTPTLINLPPPPSDPVTPSDMGPGTPNSGTTSLSALSTT AIKDGHQGHPHPYGRHAHQSSSASATSTSTLEAERADRISRLAGLERIATARAGGGSQ NVMSGMGSLTPTAVSGGYFTQQQQAYTPNTIASNNNNNNSSNNQQGYFDSYPQHLLKE RSTVGSASATGSIGGRTTWASGSDAFDPDKMSEDRSSIGDDDDVDVDVDDRMSDEGNA SLVGFGEGANSTISGPISTVSAGRLQSSSSSSNPRTSVFGSPTAGNRGVNSNVFAQQQ QRQQQNLSPSPAGSNTPEPFDDARMVDGMTYDADVVDTTVRTPQLVGGLNDCDEQDSE R TSTA_102830 MAAPTQIAFRTLKGIGLLDAAPLYQPVKDFERPEGNLRCSAYSP CGRYFAWASPERVTIIDPSVGHVITTLPADNVFELGFSPLGTYVITWQRPSKDENGDA VKNLKVWSVEALTKESEGAGENAVVGRFVQKSQTGWNLQYTSDECYCARVVTNEVQFY KSHDLSNVWNKLRVEGVADFALSPGKSQAIAVFTPERKGQPASVKVFVVPQFNNPVSQ KTFFKGDKVQLKWNNSGTSVIVLAQTDVDRSGKSYYGETTLYLLSATGAFDSRIDLDR EGPIHDVTWSPNSKEFGVVYGFMPAKTTIFNFRGIATHSYPLAPRNTIVFSPHGRFVV VAGFGNLAGQMDIYDLEKGHQKITTIEGSNATVCEWSPDGKHILTATTSPRLRVDNGV RIWHVTGALQYNEDMSELYQVCWRPQGSVEQPLGDPFHPLPTPHSSALEYLAKRKVPS KPVGAYRPPGARGQTTSLAFKREDEGGAAFSREGGSSLSSGAAASSVNGFGKPRRREV PGAEPVEFVPQGAAPGGGVALPPGAEAVVATGAADEKLSKSAAKNKKKREAKKAKETA DKEGELSAAPQNQNLHPSPDRREHRSKSRNRSRAESNVNGSAKAAKIAATAAAAAPAA PTAAEPNPTQDKRIRGLLKKLRAIDELKMRLASGEKLEDTQMKKIQTEDSVRKELEGL GYNV TSTA_102840 MAGSFKTPMVRRRDDGLQLSYLLPHRIFTSKPYPALAPNGSSIF IYGYDNGLRVVWRGGRTFLPSPRQRNVPDTRQKETPNNTTKNDDAIMIIDSDDEEPAP AASSNEDNIDYEIFEDEEVEIEPSRPYEPIIRHVDIPLGTKVLKLAVPSILPEKARSL EYTVPPILTASIVISVVCADSKLRVISLPLAPPHPSTKASDLKIQTLTLDATVSQNEI PKSVSVTFTCESNEADDDHSRSRSRQIQSPVTTGQWSLLVATHAAEASGTLLVYSLPI AQGPNGSYTLPTKNIKPLQKHLLPSSARTISFNPSPYPSARHTHLLVSFPDSCAKILA CSTSSDKDATDEKPDQEGKWLLTLYPGFGQSANGLTRRKTIVDAAWVLSGKAVMVLLS DGEWGVWDIEGAGPGSEPGPLVGQSSIHGITGGSLTAYSISGRILGGPQTTNKSQTAV TEVQEQRGKFAPMTPSSRRVREDTLFKGAQPLAKPSFDGEISVVQINSSRTAMPEESI LIRHGDQIATIPSLLSLWRSSVKASGTFDASNRCRVSPLAGINLYGERLNGLAHLPSP FRAETDSEEQQDYEILITAEHRLIILGRDLTPTTTTKPAQAPVPQPDTDTDQLMLSQG ELDVDGMSRVLTTMSMRGTLQPNLQPAHQRNGFKSPIKRARIFS TSTA_102850 MASVRSLGLHHPPALPFLINEGLLPLEPSDDDYVWYTQRAESDD DITYTKNCAVWSRSGVVKRVFKVEIEKEEIRHVVLTTFPSVTGRENTRKSDTNVIGVK DVSIGRAQNVEEEDTARVVVAPIDHGFTKGRLRSQEDDAKALVVVLKTQAHIFFLAGN THVVPLPFEVDFVFASPRGLIFQRRIPDVPTSVPGAPPNSFLSQTFTESRKSDVFRSS RTRDSRFSSIAQPSRPQKKSEDDMPRTFSLTDPQSEMGHVVANQSTLITHGAQDSWAF DSLDPTEEIVYVSAVNELTGDNVSLTENPLVLVVTMNTETGLYTIWTAKFRTDASVRE SKKRKKPLASGARSKRRSSHYGMATGATTPVARPSGLRESIGAGRNIHAASFSHSFQS EDPPEQTDFASQMGQEFDDIGVPSKTSRRVSSLLARTDLGASQDRQTFSDLATGNPGG ATNHGSLRQSIGAYSTRASFGYNLRSSLAGNASIFSNTSSFLDAPVDRLLEELNSGGD FEGFESMDLTGPMSGLPKEMMLRKVESFSAGLATGSFSFSELKRNRSKSKAFTLRPNE NIHQKTPSSARLALCLLDPISKCLVVVDLKVQSVKSSERDKKKRKTSLKGNEISTVVH AIGIQHSPNVMDVCKIVDNGISRMLTLKSTMDGKGELALQSPWSPSIKIELPSKLSLH TPDDFSIVQNRAPDTGLKRVIGDPNLKLAAFGHSCSRGQVDVIDAEKRCHRIEVQLQP RNPFVKKALAVCRFALRESLRAADGLYTAWWEVLRWLSSRDSDENDLEWTAFVVVLFT MGVGFIDSPQAQTLATKKRRRKANILRSSSGSYIDQESWESMTEKIAGSSGVAASWMM NSSWAWASDQKDDKAFLADQNSRNDQSKNSYLLRCVSLARDFFESPQGEAASGSEGYL PTALCQSQETRRTALCSILVGLHLLREEQKLSICDSESSYQERGMLAPVLAQIGGWVG WNSWTWKEDGYYGTEMATMSRWVFEDSRISGLNMPPEPFVPPSIFAHLQNSITTSPST PFSTLLDVFPGSSRTSDKQSLRNEVSKLTPRTLAINGFMSEVHQATSTVDKVKILLRW GLTINVIESLPEGVSAPLFELIVRCQMKPPVSCTAALIGLIDRDDLYMSMEVEATTQP TSRPQIGTSHVSLRDVHHIGASTLDFELSNSFEASVEADRMAITRLMYREDRRLQEAS KLLNQSKAPVAQCVPEPEWTDSELLEAQKEVVQLVTLRTLSVPAGRALFMFSCRAPLL TEKLPIPSFSLQCLMKPSNVTISADRSAFNEEKVCWAFFHNGAATGLAISKASKGIDT SWILYNKPQELTNRHAGFLLALGLNGHLKNLAKWVAFKYLTPKHTMTSIGLLLGLSVS YLGTMDTLITRLLSVHVTRMLPQGAAELNLSPLTQTAGIMGIGLLYCDSQHRRMSEVL LSEIENVGQEEAAITPETLRDEGYRLAAGFALGFINLGKGKDLQGLRDMQLVERLLAI AIGTKNVDLVHILDRATAGATVALAIIFMKTNDEMVARKIDIPDTIVQFDYVRPDIFL LRTLARHLIMWDNIEPSFEWLLRSIPKSYRKRHTLSTIKRLSSDDMSFFNIIAGLCFA IGLRYAGSAHAGARDLLIRYLDQFRRIVRLPAPHYDSQLARNSVRHCQDILAISAAAV MAGTGDLNLFRRLRSLHGRIDPDTTYGSHMAAHMAIGLLFLGGGCYTLGTSNLAIASM LCAFYPLFPTSVLDNKCHLQAFRHLWVLAAEPRCLIARDVDTRRPIAIPVSLHMKDGT TRETTAPCLLPNLEEIVAVKAHSRDHWPLVLDFNQNEKLRGKFRKGDQSIYLRRNTTY DPSGSSVFASTLLGLSEAQDILPSTTIGSWRRPIPNSVALLMSQGRRSKAINNVRQDV WDWIFSLRPLRGLDVGDRSVVLPPSATFQFQSRALAANDEDGTALAMIPPWLRVSSVD SRLVLESIASETVAGVTATGHAHSFDEIKDRLWQLRLLFDWVDRQVKTEREEQDGEEM TWVQQSIKVQNRASPVVTAEIRKRKGGGLWLNYDVIEQARWKIWGIQVGDLDQE TSTA_102860 MPSRQRKQPENRRRTGCFQCKEKHVQCTEEKPRCKRCERLGLQC VRGIRLLFREDAIERGIHFGREGTWSKRSNRIKKPPVLELDNDFTGVPLDQYFGKWIW LNTTYEDFTGKINQWDDGENEVGLLSPIDNSAGRLVLASAHQQVVDQTLKTRTLGNPL SYYDYSEAYLLDYFINAIGPNCSLSSSQNPYMSLIPLLSYTTFRNTILAVSANQLSLL GDTRYSRDAYMFKQKALTGLTQVDTTSTADFGVVASVLMLCFHDISANCSPSWVTHLR GGLDMTKYLPTGSAQMESLKRFFTMYFVAHDIMSRTAPLDYEDEPEHEWLENDDLDEI DTTVGCSRRLMTLVSQTTKLARERRKVGDINNNFIKRCEDVGFDLESLHQKLPALSTP SESFILQTAEIKRLSALLYFTESLGDLVIPSSLSSIAHSGQSSYHNCSMSKCSIYPSP SSSFLSTCSASSSSTSSKTPTAAKQRLVSAIIDLISTLPNPNTASVMWPLYIIGHSTG LEDETQRRFVLERLRAIQSTRNLGNVRQVRLSVERNFRKLDLELSSSSTAAASSKSSK RRDSVAQGMIISLA TSTA_102870 MDQAAIYIAQTARYPPLSPVEEKRLIRRIDWILVPMLLLTATLG AVDKVAISTAAIYGLRDDLHLTGNQYSWAGSILYFGCIFGMWPSSYALQRIPSAKYLA SCSFGWSILSLLIPACRHFSHLMILRFLMGCLEGIIVPSISLVIAGFYKKSEQPPRNA VAFAAISSVINGFLSWAVGQIPSSAPLAKWQYLFLITGSISLAWSIVAFLYLPDTPMN AWFLNDQEKYYIITRLAENKTGILSKTWKKYQAIEAIIDPKTWIIFLFNIAINVPNGG LITFNGIIVHNLGFTAVQTSLLNMPTGIMSTLSAFVFSWIAAKWTNRRCLVTMIAAFV PAIGAVLVYALPRTNIGGQMIGIYLLYTYFGPYVLGGISLGQANTAGHTKKNAQYSVM YIGYAVGNIIGPQTFRANQAPAYTGGFVSMLICYCVCIGLMGSYWILAAVLNARRETI SLSTLPSAITTQPIPAANLSSNNYVSDVDSISEYAFADLTDFEQLDFRYTT TSTA_102880 MNAICMACGCLPFAQSKSLTHVVHVTRKTNHGIRHGHEIRDADP TENDRSKGERNAEGYLSSTPSITRKNYYHLTFNAASEEGTIRDSPGNRISSWPEVVAR IAFGGFVPFATRELVDSVRFTVQGRQELSLEDIHYIRKTLRRLIISCHRKHQEKLLFG QFIEDEARTRKVTVTYDNPSRVDTARAGAIFARYMTLLECMTAKIPNGDKPRAAYEAC CNEVRSSYKEALDKEAKGSTKCQPEFLPDGRSKSLQDQADKIFDDFDQNQVTADKPSK DVLADMTSPMEWAKLESNSDALLVPLVLFHTLGFEVKSSNEVLPRELSATASQFYA TSTA_102890 MANQSAEDEAVRWIPVIVSVPTGLSIIIGTLKWIYKAQHDWAVS IYGLAVDKDKMDPLLPTMSKIIKLGEYEFNSSMFEWMSPVYTEISWVPLYEEVFRELE WNLEIRGHGEQFWEKCPCTYHRFLRFINDAWLHIKNILRRQPSRFPPVIRQALKDAKK DISKHIKEYHNWKLEQKPRREFLVEEWRQNEWLQGGLAQSEISQSELPQGEFEEDEWP RTRWLSVNWKGAPISWRPNNWSQSKELNYRHFHYPRILQNCVLRLDGPRCWEPFRPER LFKTHVQDLDLQTIVICDGVPAIPISSKELGSL TSTA_102900 MAIPGERFVLDLSDDEQDVQPPTVAGGLMIGEIKERTPKAPSAP TLKSTTTGFPVSKNRLGGSAFKQRRNDALPSRFASRNQITTQPRATSQVESMQDEEKR IIDEENKQRIAAMSETEIEQEKAELMANLSPSLIERLLRRANIDDEVAAAPTNKTELK RDTAEAKETKKTGKSVSFGMPDIKQTETVPETTDFEDRPPAIHPPDLRPASEFPSGPI HFPTPPPRQTPMPNLDPSSPSFYDDLQAHYFPDTPHDSSALSWLKPLDKDTDAIQNGP ENLSAYHPASSTTQIVPSAIRFSFRGEMLPPSKALDLPTSLGLHHHADDPEAAGYTIS ELSILSRSTVAAQRCVAWQVLGRILFRLGSGEFGADGSDLVDGLWKVVELEGVVSRML EEAEGGPQHAESESEGTNAGVKSNIGRHASAKAWAVEGIWLWQKGGGKRGIAMNDIKQ GFGGAWRFVLKFEDSVPSHTYEDNFLKMSSADRPEILLLSLCDYGFLNESYASLFKQL HDSAHIERTETADAAIRYLTEKNPKAIIITDEGLTLNENTQVLEKVVEYVRNGGRAII GLLFPNCAQWDDIGDLFNRAFQLPWKSANYMRETFEVNPSCTLPDSVSVSSLPKSYMA KALHIKNARPHEKILVPENEFDEEDYEDRPIPERGLTPAYLEQTQAMVTGAKVGDGYV AYCGDVNGEDGSDKVILALCGLR TSTA_102910 MANEAPMNNGLAKEDGPEQQKAPSTITNSEMNPPEIVSNQTGTE ATTINSNDKDKEKESVGGGRDTRTRRASATNNNQPSTPATATRRTRRKKDDPDQDKEK DTSKEKPKEKEKEKEKEKDIPKEKKSKKSSSKSKTKEKDEKEKEKDKPEPTEKEKEKE PAKEKPAKESKSNARRAREKSNASTNPPQTSRKKPKLEHNPNKDDDNSLNIVNNKKET SKTEEKPPVVAPVAAPAQTIVPSQPGNHEVLFSRPSQQPPPQTPVQQPASSVAAATVS PVKVAGSSTFAPTPLPSSTAAATSTPPTAMHAPSLARSHSLENMLSTHTTPSYMTPTH NQPPPQASPPRSSGRIFDPIRSAFGDSAPPPAPVSQSHQPSSSHMGTPILSSQTHFSP PQHNISPQRVYRASASPAISSIIDPPAPPVPVQQQLPPQQHSSYSNHTQSYPPYGSNA STPVHHYGQSPPKQAPILSQITNPTPPPQPPRFSTQQLPSQPPPPHPVAQQSQYSPPQ HDQSPAVPPQQLEKPAEKISLLQQPVAMEIDSEPSVSAPAPVPALAPVPAPVAETTTA PSTAPAKATKTAKKDKTTPTATPSSAPSPKPARGAKDSVPPPPLPQGSGLITGALFGV DDSSSTASKTAPNIILHVPLSRDSNKIISFARLAEEKYGFAALHPRIAAQKERLARVA AASAALEREQKGGSALESAEDDLSVDIDRDSDPDGDISMGGVGTNAGEEPADGKKKRR KKIEEYDRDDPFVDDSELVWQEQAAASKDGFFVYSGPLIAEGEKIQVERADGTIKRGR GGGRGRGGARTRGGATHVPIASNVPISAETGLPLRGPGSRGGSMARKPRTTKAKQQGD DKSEPRATSASKSSSSTRGAHSAGGSTHASSKSASKTSSSSAANAPIVTGMIGLAPAP TAPAPGPQLAPAPAAPNGQAIL TSTA_102920 MHDLRRQALLESRKTVSRKAASREASRSNSRVVSAQTSRQNSRQ SSRAASRYPSDEEDEGNLSDETGTWSTSSLDDLAENPESDNADWPEELADCIQDILDR KRSSVQGREEALSSFCRLSKFHYVEEEIRNHIQDLLAAFSRSIKYESSVRESVLALKA LEFLAVTAYDDTIYDAVESLLTRTIRDSTSGLIKVAAINCLGTCAYFGGTSEEAKMDQ MEMLLDIVASDGQSIDASDDAAVVTVALQQWGFLATDIEDLEGESEEVVEIMIEQLAS ADSNVQIAAGENIALLYEKSYTPQEEEDESDEDEDNEDEEDEEDIPGSSGPKLIKRYT PYHDTYQLERILASLATVSGKRISKKDKKSLHTNFASILTTVENPRLGPMYSKAINQN TNRQYGSKRQVKVGSEGVMNIDRWWKWLRLASLRRVLQGGFMEHYFQGNRAVLDALPV MLRDARRTNADRGGHKKAARMRNSTKRMILEEDSL TSTA_102930 MTGLQVHHGGKPFFHCPKGPMILGEAPIYRASDSTLHWVDCLAE PCELYILKVDPITGHALPNSLRILKLNDSVTVQFFRRDKPGSYIAAYYQGVCFIDEAS GKIDIVKEIIPTEKRDELRFNDGGIDAKGRFWLAEIDKVAMSYGPNQLPQEYGRPKGK LWRYDPDGSLHEMLDGGVVCGNGLGWSPDNKTFYFHDSVAMVVYAFDFDLESGNISNK RLLIDRRDSFGEPDGMVVDTEGNLWIAMFDSNRVMVFSPSGVHLKDIKFTARNPACTT WGGKDWDIIFLASGKWRGKREIEGDEGGHMFKFKPGDARGQAKYEFAG TSTA_102940 MEDHDQPSRWTRTKHAFSSWHSFHNAIKLKTASSMLTNEDLLPS PPERQTWTVWNFFAYWWSESWAVSTWSLGSSLIALGATVRDALLVIIFANLLSAVVIV LNGRAASRYHVGYPVLARTTFGIWGSYFFVVLRAILGVVWGGVQMFFEGQFISICLRC IFPGWTKIHNSIPASQEITTQVMVGFFLAFLFTLPLMFIHTSKIRHLFSLKAIVFPIA ALGVVCWATTANGGVSSNALQATASKPSSTTVFVWGIISQFNSVMGANSALLVTVPDL ARYSKTKNAQLWGQLLGLPVAQLVCASFGIITTSAVHHMWGQTFWNPYDLLNGILDHS YTSKARAGVFFASASFAFATMGTSIACNIVPFAADVTCLAPKYVNIIRGQFICLILAF AIVPCFLSGYSIFQGSVVSIMTVDYFLIQRGNLHISEMFTTSRSGRYYYTYGINWTGV AAFIAGFCLPLPGFIQSFGTVNISNPTAIYLYDLGWVLSYLVGGVAYFVVSLITARRT IRENRSLPFEGNVPRSIAMGGQNGALVVDGTEIVVGVPGHEQEGSVNGSVGLVKGGMT EKVAV TSTA_102950 MAGMEELEIHSKSYLVRWVNVRGGHTISWSIQPHKKSINFGIFK RLGQPTPSGSTTTTTTTTTNHDSHSELDLSSTDNLPASALIDKLRGAGLKPIQWVGKC EADKISQGTCDVPLNEGGNYALVFDNTFSKTTGKMATFVLVTYPTATPPQSVHQVHPP HALASVNNIGAPGNRVSPRLRPTNSSSTSIDNPRPAAKGGRSVSGGKSIKQRPNSNSV SSINGAPELVHTGILQKRRRKRHQGWARRFFSLDYASSTLSYYHDRNSSALRGAIPLN LAAVAANAARREISIDSGAEIWHLRANNETDFAAWTAALEKASKQSKEDKTARPASPT LQIPSHSASRTLPNPAEDLEWEQIESLVSKISGSRDAVRRLAKDTDPKYFTSSASSAP LPPLERRRSQSPHLQASPADGSPVNGEDYFGDSHHKRPFWKRKTSSNTTSGSAKRQPN GTTHLATPSPSTDAFPVTATEDRKPRSVISHHTDKDDDIHENLMAILRDLDKTVVEFT TLIAESKERRHPQTTTISSRVSMDSEASQEFFDAEAGPTSPLLTIQHDSDVEAENEDE EEDVDDDALSSCSEGDTRSDLRKGIQKESSAFLFPGKPKSMIPLPLDRVQRRNIVPAP TVPPPSLIGFLRKNVGKDLSAVSMPVTANEPLSLLQRAAECMEYSTLLDKAASAIDGL ERLVYVTAFALSPLSSARVKDRAIRKPFNPLLGETFELVREDRGFRMIVEKVSHRPVQ LAYQADSHYWSLTQSPKPTQKFWGKSAEINTDGKTRLSLHSSGDHFSWGNATSFLRNI IAGEKYVEPVGEMVVVNETTGQKTITTFKAGGMFSGRSEEITVKAFDVHGNELPLGLA GTWTNSLQLTEHGSTTNKTIWSVGPLVDQAAKRYGFPVFAATLNETTPIEYGKLPPTD SRLRPDQRALENNEIDAAEDLKAKLEEKQRERRKELEDIGGVYKPRWFLRVDTPEILS QSGSGTEEVIWKLKTGRDGYWEERARGEWNGVVPIFKL TSTA_102960 MSTRDLIEGEALLDDDENDEEFDEETGEPIHGPNLGEPNTYDDS SEEDDEEEEDEEAARAVREGFIVDEDEEIEERAERRRERKKRRREEREREEIVLDQED LDLIGEQNPDFQPQSTESKFKRLKRGHKSDRPADVFNWDEEEEAADYGRTGHRRRDEL EMDDFIEEDEFSDEERQRREDDEEIAHPVRKGISGLAISEATGLDENALEDMRAAFGD GTDYLFALEMEEDEEEQQEEETKHLDLKDVFEPTLLAEKMLTDEDNEIRFTDEPERHQ IARKPYKGVVLSDEEFREEAIWISNLMLLRKQHVVEDNLQEPFQRAVAKVLEFMVTDD FEVPFIFQHRKDYLIHAAKVPASPDPSNPDGPEFVVRAQKLLNMNDLWDIFEHDLKFR AYIDKRHTLQKTYDRLQEIDVKDEIVDEMLTAATTMEELQDVQEYLHFQYGPQIKDLS LNSEETNGNGEADGEVNGQTNGQTTRRKAGANSFFERIRKSNVYSLVRSFGITADAFA QAASQNRRGQYAEDPEKPPEELADTALDTDYSNSTSALRAAKAMFVEELVMNPRMRKV IREQCYRNGVVDCYRTEKGLRRIDEQHPYYEFKYLRNQQLTDIARRPELFLSMLKAEE EGLINVTVTFQNFERYRQSLYSHIESDSQSEVADAWNRERREVLDTALGRLVKLMTRS VKENIRQNCENHVTKEVREVFSNKLDQAPYKPKGMVLGTVPRVLAFSNGDAPVNGDHF IHWVWVEEEGRPQENGKFAKLQIGDPERGIPDGEDVAAFVELVRRRVPDVIAVSGRSP ETRKLYKLLCDLVDRKDLRAAPYTVGEGKESKEVSDRLEVIMVNDETAQLYANSERAT VDFPVKPSWLTCYCCGIARYLQNPLKEYAALGKDLVSIQFTPGQRYLSEDIVAKQLES ALVDMVNLCGVDINEAVSDTATQNLLQYVCGLGPRKASHLVKIVNMNGGIVNNRVELL GVEAQYPAMGVKVWNNCASFLYLDWENVETDADPLDNTRVHPEDYDIARKMAADALEL DEEDIKAETDESGPGAIVRKLLKDDLQDRVNDLILEEYAEQLEKNLNQRKRATLETIR AELQQPYEELRKQFVFPTTDEIFTMLTGETNETLAEGMVVPMSIKRIAQDHIEGKLDC GVEVLVSESDISDRHDIPPRALFQIHQSVQGKILYLNKKTFTCNMTLREDKVSKGYQR PIEKHRGEWDDRQEQEDHELLQEKAKTESRFVRVIKHPLFRAYNSKQAEEYLGGQSRG DCVIRPSSKGPDHLTVTWKVADGVYQHIDVLELDKENEYSVGRILKIGGKYTYSDLDE LIVSHVQAMARKVEEMMSHEKYQSGTKADTEKWLTTYTMANPKRSVYAFCINPKYPGY FFLCFKAGQNASLQSWNVKVIPQGFELQRNPYPDMRALCNGFKLLFTNMQAGRVGQGL PRR TSTA_102970 MADQFKPRTMKRKNVKGLALNATPKPASNPSEGDAQVPGALGNT DSNRSDTLEIGLEFKLDLRSEDLVTLKEVGAGNGGTVAKVMHATTKVVMARKIIRVDA KENVRKQILRELQVGHDCNSPYIVTFYGAFQNESRDIVLCMEYMDCGSLDRISKEFGP VRIDVLGKITESILAGLVYLYETHRIMHRDIKPSNVLVNSRGNIKLCDFGVATETVNS VADTFVGTSTYMAPERIQGGAYTVRSDVWSVGLTVMELAVGRFPFDATDSAAGDRASA GPMGILDLLQQIVHEPAPKLPKSDAFPPILHEFVAKCLLKKPDERPTPRELYDKDAFL QAAKRTPVDLQKWARKMMHAQDRHSYLDPPAPASLKTATTTSSSPSPDMTPRDTPATT VSAAPSHPTPTFGEIPLRNDVTSAQKQHFSPSTHHSQQPQHASHNSRSSQTSSRQQSP FLSLEHLSLESEEARAGKGRSPRNYSGEPRSAIDAPSRPHFPRALSSSQQAGLHNGTL PTGAAPPPSGPLPPPPQAAGESWRNQYRARENMT TSTA_102980 MAGITEPPYPLHPSISSRIHPEYAAFYNKYIINNQQVHLQPVSA SRTSGILIPGGGPVLPVGKTEDIYIKRVETEGPDVLLRVFTPETPAPEGGYGVMLYMH GGGWVLGNINTENTVCTNICKRAGVVVVSVDYRLAPEHPFPAAVHDCWEALLWLHQPL TITTLTINPAQKLSVGGSSAGGNLAAVLTHKSLLEKPGQINIVKQALSVPVTDNTATT SNNWAWKEFEFTAALPAQKMMWYRKHYLPDGKDWGNPEASPLLYGNEDGHWAKLPPAV IVVGELDVLRGEGEAYAAKLKENGVEAEVHVMKGMPHPFLAMDAVLEAGREAITLLVE AVKSSL TSTA_102990 MATKTPVLTSKAPKPLPGIYSQAIIANGVVYCSGAVALDPETGK LIDGDVKAHTHQCIKNLTHVLEAAGTTIDKVVKVNVFLDNMDNFADMNEVYTQYWGDV KPCRTCVAVKTLPLNTDVEIECIAVL TSTA_103000 MPREHSCTEPGCGKRFLRAEHLARHRLNHSPKQIYQCPSCPKRF VRKDLLRRHEERHAKGMWFRNSGGFVAANNSSSSHDSSETPTQSFHAAQMEHAAMFTE REQEEDVRMSSSDMHPVKMSPYEDSGHEHNAQMEVMDATRHESVANHAMSYNQQLPNM EPMDFRHPGTTVHDPGPHNMDYALPQFVEDQVTSLFFDPSTNIPDPALDFEWLFDNLS ADMNSTGGSAGIPSGTSPHSSVTGTDISPPALPVPPPAHIRHPLSPQSSLSSPWAEVR TNLLEALSTLDPEITMSSFFYPSNLAAFWDLYFENYHPHFPILHKHTLNPVKASPLMV AAIVTLGSTLSGDAVHWHTSIIIHDTLRYLIFGTPDFDPPASLWCVQTLLILQAHEKM FSTRKHHQLAHIFHGAIITLMKRGVAYHSAAQTPGRTPLEQSWHQWIEMQSSNRTAFF GFIMDAQHSFMFGHTCILSVHDVRLPLPCADVLWECTKAEDWERMMRKTPESPGFLPV LKRLLARAPIPPHCSPYARFILLHGLFSVTAHLKARDSATLGVGRMSMANSPRLDAID TWKETLERAMDTWSFSLVSRSSSLALEASRPLHRMAYVAIYTDINDIHILAGAPSLLG SLLSNNDRLRATARVRAWSERGESKKALYHCLLLIQETIFTGQFYRATKDNIALRPWS IYHASLILWAYGAMARERSQPVTQISAGRESLFSAEEYLVRMLMLLRQDSADIDVVAQ QTSELLQAVRESLEGCRWELLQEAYDTLGRLIVNL TSTA_103010 MNLDSHIQAMVLPQLNEAESSTFWDYNTILDPLARVYDNPNKTH EAADRLCALKQGATETMPVFVAKFERVLFEARASNNNPSSSQQYPNKMDLSKAQAELA KTESDDEFLISL TSTA_103020 MSSTLRLLQLNFLIFSVYIPPLDAHQAASTTAAEPILAEIKNTI EEYTKEPNKTTRLILAGDFNRHHPAWSHRPVSHVFTSQAEELINFFQTYKLQWCLPPG TPTYWSPSLPGKASVLDLTLTNDPAKLMKCQLYRDNYGSDHRGTYSEWDLRPERNENP KPKRAYDRADWDKIGSALLELLGQGPEISSAADLDYEVNRLVEATTTVLDQQVPLQKP SPYSKRWFTPELKSQQVIVNQVRRRWQSSCATLGSSHPITTSLFNDMCHKRREWTRTI EKVKAAHWKEFLDKAQEGHLWKAATYMRPRDPYTNIPPLKVGSEEITENDAKARVLLE TFFPKMADPEIEDPVPPSEEIPWYPITELEVHRSLKAAKGTTAPGEDGIITLVWKHLW PYLRKMITYIFARSVELGHYPHQWKRARIIVLRKPGKPDYGVPEAYRPISLLNTLGKI LEAVMARRLSFWAESYKLLPDTQFGGRPGRNTEQALLTLANAIDRAWLRSKVITLVAF DLTGAFNGVNDSSLDARLQAKGIPTVARRWIRSFMENRYAGISFDDFQTEISPLEHAG LAQGSPLSPILFGFFNSDLVDQPVDHHGGASAFIDDYFRWRAGQSAEDNIRKIQEEDI PRIEAWARRTGSSFNVKKTELIHLTRSKRQHGVGQITINGTVIKPSDTVKLLGVIFDK EMRWKEHVQQAVKRATQVNIALGGLRHLRPEQMRQIYQACVTPIVDYASTVWHNPLKD KIHLRTLGTVQRTALIRILSAFKTASTAALEVEAYVLPTNLRLKQRAQIVAARLSTLP EDHPGHTVVTRAATRSNHIGSGPRFPLAETLRTMNLTRLQALETIDPTPPPPWQTPAF IEIDIEADHDKAKEKASARQKAAGITVFSDASGQQNVLGAAAVALDQNQHIIQHRKVC IGSMEYWSVYAAELMAIYYAISLVLKIALENWDTTASQQEPATILSDSMSALQAISNA RNKSGQRIIQAVRQSARELKARGIPLRLQWVPGHCGDPGNEAADRLAKEAVGLDKEHP FQHLLSREKGFIHNRIQEEWERGWKTSKNGGHLRRIDRNLPAVRTRRMYGSLPRNRAY LLTQLRTGHSWLATHGKLHGHRENDKCECGAIETVVHVLIHCPKLKTIRQELRKKIGT AFNNISDMLGGGSQGKQGKEGDMQGGSILGAVLDFAEASQRFQSRAP TSTA_103030 MRRATVIEEVCRTGAKNGLMAVPEGGPQTPENSARNEAIPTAPG RRQNHPAETLRAPVVEFPSLDDGKRITYQTVASLVSSLKKVITQQTNIIELARAEVRE IKTEQITLREQNVKLQEEIQALRTQIENQATTILPPNPWAEVASTIPPTINILPRPQK ELNCVRISTAQPEATDNNGNNNDNFTRFLPTDTANKHIRTALSNTESTKDVQVAGVGT TKTGYVIRFRDAQSAETARNNTAWLEELGNETRLVKPRFGIVVHRVPTEDFDLEKEKR EGIEKIMEENDLAEKGFEIEDIAWLKKKDRPLGKAASIGI TSTA_103040 MSSPRRPTGLWEAQQHQRAPSSTSSSFLEIGPQNGWQMMSTRGQ SLPGLLRRYSFSEDTNKNDSADDVENGTHEETISGVRHLTASPTNERPRRRGSILIGD TKPAFRWSDYYTPPEKLVKLRKPVREYYERMNYLVSRYSFVDRLLDSSIARDLLEDYD RFWATTQRSHLQPITEEPRAISPRYERAQPNLTPTESQDTDRAHRQLNERTPLLLSAD DADESMEFSQFSKEQDTRRIVMLAIYINLIANLILLIAKIVVTLMTSSVSVLASLVDA ALDFLSTAIVWSTTRLTVRRDRHRYPVGRQRLEPLGVLIFSVVMITSFFQVAILSVQR LGGEDRNLVELTIPALAIMGSTVAIKGLCWIWCRRINNSNVQALAQDAMTDVVFNIFS IIFPLIGTFTNTWYLDPLGGFLLSMYVIVNWASTANEHIAHLTGAAASPLDRSVLLYT VMRFAECIRWIQNLEAYYSGDRLNVEVDIVLDGHTSLHDSHDIGESLQYMLESQVANV DRAFVHLDYAEYNLPTHVDQHS TSTA_103050 MSDPRLKSEGNTSNEAEPPSLRWSAASTFYNSSHEDRENIPPTS PFEPPELTRSPAIQDDFGQYGRDDRNERIIKQEPKGDDNGYVYTFHPNSYVSTPIERQ PLPSVLSDEIEFQDYMLGSMDDNGLKRRLRSDWYGEDAAKLEGSTFRFTPEDLREAAA ARHGFDQTFHEYMMSIGAVEDTREREGEREGDGTLTEKQRAEIAEPSIIARFALAEMN ERRGLLESSLSFSSPSSSSSSSESSSESSDGSSSNSNDDQDDTGEPSGDGARPESGSE SLSKGSSDRDKSSKSSSRPSEKLPGSSDSGNRADDEDGIPSPDGAKIIPSIELQSSTS QSSLKRKASDGRSEIPIPQSPKQENSDEAAEQQLMSQLMHHIHLDTTSEQRRQEQERR QREQQQQQEEQRRRPGCLIQPPVLAWVQNILNRETEQQGQQPQGQQQQQHESQATTQG GPPPIPPWLMQLPTQEEVRRTRDERRAQQRQQQYEIYLDAMQLPGSPLPPFQEYIDFM DSLGHARSPELTYQEYIKYLDIMAAEESQESSFETTTHGRRYPHDLWPEQVSPTPIRP PKRKGLSLGEGGDDGAPPKRSRTGALAPVATGVSALGQGLERPPLKEEGGQVRDQSRR RRRGSSLEAIKEEEDQGASPTKRARTGGEEPGHPSEQQPREGAGEQPGQHWVQNDLGQ REEQWRQHQEQQSQQQPGRQPGQAPGQVPVRQLLPSIEFPDEPESLRRAHAEREGTVQ ASPIFTNERTMEMVRGVSYQPPDDQGRWNVPRDLANQARNTDAARIFRRQHEEHQETL AGPRRQSIRALLEEGEETEIEESSEERESANRSSSERSLPQQSRRGRDPRPVPPTTVW SLVPSKPRLGGVTAAAGVPPSTPPSQRGRLGSSVFGSEESRSAEKLDSSPPLISGGPR PSPASVQSEGPGPGPGLVPGPDPAPASAQPQPDPAATPATPAPVVAYRDPVANPASSR AVSDPPFATHTGGTPSPLRPFSAPPTAQYTEPAQPPAQPPTSNQPQRRRSTRQIRQTS MARESEAQAEYGRRRSQASSGQIQTGGGRRQTQSRSKAGKTKTQTNAGVRKPKTQTGA GTKKNGGQTRAIPAGRRTTGGTTTRTRRPNTRTQSNTQPPQPQQQPQQQTQQTQAGAS GSRNKMRSGRISKKPQRLGFE TSTA_103060 MTRQIPEVRIVEVGPRDGLQSIQDTVPTETKIELIQRLRQAGLR SIELTSVVSPRKIPQLADCQEVLANPAIKRLIEDPEKLGLQLPVLTPNLKGLEIALSR GVKEVAVFISATERFSQANINCSIEGGLERARAVARKAIQAGVAVRGYVSCVFVDPYD GPTNPSSVLHCVQELLQIGCYEVSLGDTTGAGTPAKVATLLRYLEKNSIPLKRLAGHF HDTYGQAVANVLQAYICGIRVFDASVGGLGGCPFAPGAKGNVSTEDVVLMFQNAGIQT GVNLTELIATGDWINQQVRGSSDTLPSDYCIEMVDMPHYKQPQWREKDLHLSSFRPMT YMKASIRRVKHADKIRIFQLGNGDLKIVLNRPNRENMLTRSMITAMIECLKTCHNDSS ISRIVITGTGPYFCAGVDTKRESFEDNNPGTTAAHDLLIQLFGIIDQYPKSVIACLNG HACGAGVALAFACDERIMMRTATINLNPTKETNILTDLVNRYFPSTATKQDDKKEKWH RTLSSRPINGPELQLLGLVTEIAENKKDMQMKLENCLCVKDNISSGRTFGSLTKL TSTA_103070 MTTYLQRPRRRELGSSISTPKTPIFAESENPEQLSAPLQSLLDE KQWTLGEGRMMIAKTYYFKTYTKCLDFVLVVGIRSKSKNHHPTITLKSGSVSIHWTTH FPRGLTRKDVDMAQYCDQQAASIGTVQPSEANKSCLRSDRPLEGLINIYFNSRYRNSI MDEREVDIEKAAVQADSEQDGHKMFPPAPSICASSEHDREHHPISRSPSRAEDTAPSV ISKALTLVRTRESTQCPSPPPDGGILAWSQMVLAHLVIMNTWGYVSAFGVFQSYYTKQ LNESPSTISWIGSTQTFLVFFIGTFSGRATDAGYFKFIWTCGMLIAIASLFLTSLCTE YWQIFLSQGLMFGIGCGLMFCPTVALLPTYFDKHRALAMSTTAAGSATGGLIIPAMVN SLLPKIGFAWTLRCLGFFTLATLLPSLVFLRQRLPPRATGPIVEWKAFLEVPYTCFAL GMFFILLGLYVGFFYISSYARDALGASMSTSTDLLMVMSAVGFPARIIPGLVSDTFTG PLNSLIPFAFCSAITAYGWAGVSNMPGLYVWAVIYGIMSAAVQGLFPVALSSLTDDLK KTGVRMGMVLTIVSFGALTGSPIAGALVQADNNTGDSKGEKYLYMQMFMGSVILLGGG LVIVARVRRFGVGVVKG TSTA_103080 MAARQSTPSSEHSAHSAHSDSNVRKRVCKACDRCRLKKSKCDGA SPCGRCRADNAICVFGERKKAHDKVYPKGYVEMLEQQQIWLVNGLQEMYRRTQEGEGW IGEPLKTEPNGHPLTHDLLTRLGALDHSKGEHFEDNVESMQQKLWQQNAGLMQRQESS DGSSDIAHSPPATTLNINTHAAHQHQHRHMNSHHGRRHHPYSVSQYADALARHQLPPT PPGSYSPSSTQQMPSLPLIKSEPLMTTSSSFSTIPTPNSTISTNSSTTNTFLQQQNIN PMALQPQTPWTTTSSTDLNFDDFDLSGNGGNQFSSLFDDISSSSSPMFGRSSQQQQQQ QQQMPINCIPPSMLFEPGNDDFSQYFNTNTEISI TSTA_103090 MATTIEQAEAPHQSFDTLLVLDNGSQYSHLITRRLRELNVYSEM LPCTTKLGDLAFKPKGIILSGGPYSVYDPIAPHVDPAFFELGVPILGVCYGLQEIAWR VGKENVVAGTEREYGHAIITAQRHNDHVDRLFKGLEDGMQVWMSHGDRLAALPEGFVT IATTTNSPFAGIAHKEKPVYGIQFHPEVSHTPRGTDLLRNFAIDICGAESNWSMPNFI DKEIARIRKLVGDKAQVLGAVSGGVDSTVAAQLMKTAIGDRFHAVLINNGVMRLDECT QVQQTLQQHLGINLTIVDGSELFLGRLKGVTEPERKRKIIGETFIDLFEEEAKRIEKE AENTPNAGKVEWFLQGTLYPDVIESLSFKGPSATIKTHHNVGGLPARMMNGQGLKLIE PLRELFKDEVRDLGRQLGIHDDLVMRHPFPGPGIAIRIIGEVTPERVEIARKADHIYI SMIKEAGIYNEMSQAYAGLDTSKAVGVMGDNRVYGYIIILRAVTTTDFMTAEPYDFDH SLLKRIATRIVNEVHGVSRVTYDITSKPPGTIELE TSTA_103100 MSNPDESAFTFLPLGAIIQEFNVGGTNIVQGFKTQEDYIKYNTP YFGATIGRVANRLKDGLLQNVNGQTYSLEKTNAPNALHGGSKGWDKRIFEGPKAVNRN GKEGLFFKYLSKDGEEGYPGTVEVRVWYTASKEDGKTVLETEYEAELVGDEVEETAVN ITNHSYFNISGGPDISGTKAKLATDWHLPFDSTAIPLGTIDHFSKIKVAEPFILGGPD AEIDDCFLIETDPSKVPLDTRPQALHLLAEFSHDNTNLHLEVYSTEPAFQFYTGNFID VPAVNGLPARGKYAGFCVEPSRYVNAPNVPEWRHMAVLKKGTIYGSKVVYKAWKE TSTA_103110 MKNALSILCAFGAFHVSSVTAATLYLAGDSTMAPGGGGSGTDGW GQYLASYLSLTISNDAVAGRSARSYTRERRFNTIASDINPGDFVVIEFGHNDGGSLTP TDNGRTDCPGEGSQTCSTTYNGVHETVLTFPAYLEDAAATFRAKGAHVIISSQTPNNP WETGNFQYSPSRFVGYAELAAQKSGVEYVDHGAYVASIFEQLGKSTVDSYFPNDHTHT SVAGANVVAQAFLKGVVCGNSSLRSYLTSTDFAGQCL TSTA_103120 MAPRGRGGKFSKPTRGGGKHFSRDVQPVDKDGNPLGMWRDPADE PSSEEEEDSSEEEFSEDDDDAPGPSRVAPEEMTREQRKAAAKAKKEAAIRKKNQQVAQ PGDLPPTDSEDEDDDDNEDLPANPNHSAKSRSQARAALPADTAAAPERKPKTTEQLSR REREALEAQQARERYMKLHMEGKTDEARADMERLRLVRERREAEKARREAEKEEKEAQ QKARQEEITEREMKLREAAMGKPRGGKKVSKK TSTA_103130 MNPHISVPRQHSIPSSFGGSSGAGSAGRGSSSIKMPRFFKRLFK FPQMDFEMAIWEMTSLLIAPKKVFKSMYYHKQTKNTWHRPDPSFTYLLSFFLLLTALA WGLAYSPSFGSIFRLSILFVFVHFIGTSLLISTIAYFAVGRIFGPKGAAASLTGLSRG SRMPRRGAAQGLFAQPGEKEQIEFGYCFDVSNRAFFPLYLHLYVLQFLLLPILTRNPP NFLTTFLGNTLYLSALLYYTYITFLGYNALPFLHNTELLLLPILVLSILWLVSLIAGW NVVTQGRGVEGLFWGV TSTA_103140 MPEVDYFLNLLNLEHLPPLPEDPVKKADIESVLKNGYVALDNLL TEEDVQSLREEVDRLTGESPRKGRHMFEGRDTIRIYSLLNKSRKFDKCCLLDRVLALN DYFLMKGYTISATSTIQINPGEKPQLFHHDDGYTYLPRPRPPMGVAIMIAIDDFTAEN GATLMIPGSHLWDSKRRPTMEEAVPMVGKAGTVFYFLGTTWHCGGPNVSDKPRRAATI QWCQPYIRAVENQFLAVDPRRLAEIPDDIVKMMGYGLQKPFIGYVDGLDPLKGARRMV DWLQQPLDAHPPAFATMT TSTA_103150 MIIFPLLTAEEHRFNSIYQFDINNSSRLFSIGSSTATSGFLQQT QQKLLCFRGRLGGIFRRKLFRTIWLTPRLGTSLHEKRAMSGTGTLEIDSRELYDAETI LSTEAESRYSPSLQSYNFENGRRYHAYRDGSYMMPNDEKEQERLDLAHHIFKMLLRGH LYRVRLPQSLRHVLDFGTGTGSWAIDFADVHPDSHVVGIDLSPIQPSNIPPNCRFFVD DIESPWTFDSKFDFIHGRGMAGSIKDWNTLFHQAMENLNENGVIELQEYEAVYKSDDG TLNRAEAIKTWQQKLNEASERVGQPMNSVETLKARLERAGFVDVRDDAYKVPVGPWPK DRRLKELGYMMLFHCFEALEAFTLAPFTRVLGWSSDEMRQLMERVKVELSSARNHLYV VIHFIHGRKPGKS TSTA_103150 MELYDAETILSTEAESRYSPSLQSYNFENGRRYHAYRDGSYMMP NDEKEQERLDLAHHIFKMLLRGHLYRVRLPQSLRHVLDFGTGTGSWAIDFADVHPDSH VVGIDLSPIQPSNIPPNCRFFVDDIESPWTFDSKFDFIHGRGMAGSIKDWNTLFHQAM ENLNENGVIELQEYEAVYKSDDGTLNRAEAIKTWQQKLNEASERVGQPMNSVETLKAR LERAGFVDVRDDAYKVPVGPWPKDRRLKELGYMMLFHCFEALEAFTLAPFTRVLGWSS DEMRQLMERVKVELSSARNHLYVVIHFIHGRKPGKS TSTA_103160 MASDDTIRQPPLAGLKVLELEGIAMAPFTGMVLADLGCDVVRVD PPLKPQKKTQKRWLDSLCRHKKSIIVDFEVTASRQAFLRLLEAADILIDSYRPGIFDR MIGMNADELCRRYPRLIYARVTGYSRYDARYAHAMGHENNFVAVSGAIPALQHVPPTN SNSNHNSTLSKPTVNYLADFGGGSMSCVVGILAAVIHRSASGKGQIVDASVQQSTSYL ATFPLQRRHGQPDNEPSLTGVNNAPWSDIYETSDGKYMMVSSIEDALYERLIRGLGIE PASVPSRTDRGNWPAIRGLLTDRFASQSQDYWRSVFDKMPACVSPVLDVDDVDVHQPL VYLSRTPSLPTIAESMVSENKIPELVSGRGNEEVVRRWLRADDICTDDGSCIRVVSKS KL TSTA_103170 MHDGPIDDWRVLDPVNFGYEKLTKTFYEENGSQNKASISPYTRE LDLIGPKDGFYGCFRRRLNTSSGLQKSDLLACHAQMMGDVSRATNDILQELQIDRLRH FGWHMGYCVPASVLGQSGYIPHFQPNLRSLSLKVDSACVGGSLAGLASLKNLRHFSWR GIDSVRAFSLIRLVLKNNAEHLVSLELEVLRVEQTQENIQLHEHDLIWLGLLVPNHNH FPNSDMGVWLDKDVRLKSLTYLSLRHVRLLTWQPQDTLTFDLSKLENLHLYKCPGTLD FFQTWTISDCGMSLRSLRAVINEPMAGRIHFTLEHLLIVHGCQLEELYLTFIDMTDPI MSSNAWDKTSVKRMACSFYETRLSRANDVPPPFCIIRGMTGGALPSLEGMAFWCPPHI LRRMLLEIRVALPSLKVMHFRVTNKAKNTNKSLWEEPITGGKSEDIANMIRFLDRYLV LGDEACRLSEVIDFASWAFENPTFPNLEIIAFGNFSNPDTCLVLCRKKGFPRFKERTE IFNNIAEYWKKSVQTRDFSFSLPFDFVHPEDLRPWTGLEKCRDMLTANNADKPWYEKP GQS TSTA_103180 MPGLFSGAKESKGSQSLVARQNASKPHGIRGMFKNPYVALTCVF VSLGCMMYGYDQGVMGPILVMENFMNHFPGLMGSNIQGWLVSALELGAWAGALFNGYL SDAISRKYSMMFAVFVFTLGTGLQSGAQSPAYFFAGRVIGGFGIGMFSMVIPLYQAEI APPELRGSLVSLQQLSITIGTTIAFWLDFGFSYIGSTHCKPEGIANPYDSKNNYNYDA NHTHGCTGQKTVSWRVPLALQLIPAWILFFGLFFFPFSPRWLMLKHREDEARDALSRL RRLDPHDPLLTAEFLEIKAAVMFDEQTEAELIGSGGVLAPWKALFAPNMLKRVFLGSG MMVFQQFTGINAVLYYAPQIFRSFGFSSTTTDLLATGVTGILQIIFTLPAVFFLDKFG RKTFLIVGALGMFICHVIVASVEGVYKPQWDKNEHLAVAQGWVAIVFIWLFAVNFAYS WGPVAWVLAQEIFPASMRSRGVAIVASTNWMFNFIIGLTTKDMLDSMKYGTYIFFAIF SLGGGFFIWAFFPETKDKTLEELDVFFGGDMGSIANRDRERMQRIYEELGLAYATEVS DLKVNEKENIATHEE TSTA_103190 MSSLRQRRVDDEITQTITQETLPQHHKDAESATNEKTLRPRVLG LFRIQSTGESGRKGFHPLHFLYICFRSTCTLSMIVNVLWPFVPAAITMGFARPDLHVW TFALNYIAMVPTANLLGFAGGELARKLPRVYGVLLETSLTSVVEIILFMVLIKKADTN ELVNVIQAAILGSILANLLLCLGLCFFFGGIRRDEQILHEAVSEVGSGLLLVAGFGLL IPSAFNATLSGAESPMTPEELQASTRTISRATAVILLCAYLMFLWYNLRTHNTIFDEV LEKDEDNDEDGDKEHFRPKLTLFESVLAIAIAITCVSLSALFMVEQISHIVERGVPDN FMGLILVPLVEKAAEHLTAIDEAWDNQINFALFHCLGPSIQTALLNAPLVILVAWGLG IPEMDLNFEIFMVVLLVLSILVVGNFLRDGKSNYMEGGLCVLVYVIIAVTTWYYP TSTA_103200 MALSASAIIVIVVCVSALFVTILWTFSGYYGRASTMDEAKTQIS HEQDTYMRQVRQRNHEYAYAESIFGSGGASTTRTSQVWSNTNNGSTPNTPMATRGAGT PAWGAKRMDSNGKQSLSQSSMNVQQGKDGSRVNYSTYEPAEYFEQSPYESNEYYYGYE QTQQANERVNQVPSEVV TSTA_103200 MALSASAIIVIVVCVSALFVTILWTFSGYYGRASTMDEAKTQIS HEQDTYMRQVRQRNHEYAYAESIFGSGGASTTRTSQVWSNTNNGSTPNTPMATRGAGT PAWGAKRMDSNGKQSLSQSSMNVQQGKDGSRVNYSTYEPAEYFEQSPYESNEYYYGYE QTQQANERVNQVPSEVV TSTA_103200 MALSASAIIVIVVCVSALFVTILWTFSGYYGRASTMDEAKTQIS HEQDTYMRQVRQRNHEYAYAESIFGSGGASTTRTSQVWSNTNNGSTPNTPMATRGAGT PAWGAKRMDSNGKQSLSQSSMNVQQGKDGSRVNYSTYEPAEYFEQSPYESNEYYYGAN ERVNQVPSEVV TSTA_103210 MSLQVVVGSITFLPCGYKRHLVFNRPHGAGAKLLEILLIALHTM RIARLFKAFATQSQHVGGQNFPHRTSFTKAQSRFFIGFIIAYLLALAFFRYRVSHDPG SYFFTVQGYEPKYSLTRVQQAQSYLENLDKSSQNQPVGIQHHENKAIPQLCIGMPTAK RPKEQHVNVAIASLLDHLTPQERDQIQLNILIAHANPQEHPFLNNSASVVNASQLADN VFTYHDIAGGSQIITEVIQDLEKAKRYNEKSFYDYSLVLHQCSQSQAPWVLILEDDTL AQEGWYTRTMDSLRELERKHNDGNRAEDWLYLRLFYTEKFLGWNSEDWPTYFFWSLLA VMSTMGIGLYFRRLSSPSSSLPYTALPSKQSDPVPSPIPLSFIFSVSFLCVPALIILY FAAGRMTVQPLPAGINVMNNFGCCSQALAFPRNMSLKLSHWLEKNITATTLNLPSNTF TDNSWKQDQGAAVDSTIETFANERNLQRYALMPSAFQHVGAETHKQGITAAREWFSVS GARGIWNFGFEELGSSP TSTA_103220 MSFRSILSLALASKAALSAGVAPRDTYYCTFYTDDLCENRSGSV NYATNNDGIFQNGGPYFSCHTPDEISLISYPPGDSNGDNPDHCHVFAPNEADACTHLD DLDFTTGDGGYYRITFDKTCPSVSKRETEMPKRNANYLIFYDDPACEQQSGSVHYSVD NQGCFENGGAYAAFDGGDDFDWHIEQYSGTGDYECTPSMEACVHSDPFVTSIPNPCVH LDSIGLKSGTDNELLSNYCS TSTA_103230 MVIPKSQVKYLDLPTLKSRIFYRESGPVDAPVVLLLHGFPSSSH QYRNLIPLLATKYRVIAPDLPGFGFTEVTDNYEHTFDNLAVTVLEFLDTLAIAKFSVY IFDYGAPTALNLSLKRPEAIQAIITQNGNAYEDGLGDFWNPLRQYWASGDKDNVEIRA TLAAAVLTYEATKGQYVTGTLPNKVIAPESYTLDYALLQRPGQEKIQIDLFWDYQNNV KNYPRFQEYFRKSQVPLLAVWGKNDPFFIAPGAEAFKRDLPNAEIHLLDAGHFAVETE TEEIGALILEFLKRNGI TSTA_103240 MPPIRNKNRKNLDEQERQILLAISDLQNGRIQRVAQAARIYEIP RTTLQDRLNGIQQRSLVRANSHKLTQYEEESLVKWVLDLDRRGLPPRHSLVREMANYI LSQHGKPQVGKNWITKLIKRRPEIDSKFARKYNYERAKCEDPKIIQEHFDCVQAAISE YGILPEDIFNFDETGFAMGLCATAKVITGSDRYAQPKLLQPGNREWVTAIEATNSTGW AVPSYVIFKAKKNVREGWFDDLPDDWRINISDNGWTTDQIGLEWLKTHFIPYINGRTV GKYRMLILDGHGSHLTPEFDHIFCMPPHSSHLLQPLDVGCFAVLKRHYGQLVEQRMRL GFNHIDKMDFLTAFPQARTVAYKAQTIRNSFAATGLVPFNPDRVIQQLNIRLKTPTPP PSRSSNTASSCLQTPQNIRQFIRQSTTINKRINERTESNQNQEINQAVVQLSKAYEMI ANDVLLVRKENYDLRAAHEKEKQKRQKSKKQISIEQAVTKEEVQALVQGQVEASHAVT TTPAEPELPASQAVVRRQYRCSGCNVMGHRINQCPSRISS TSTA_103250 MDQRLGSFLYNIFGPQVPARTLEVYEYHSLVQEGMVSCQDFFMM VWIQTLRISQNLELHMIPFIGLKPRSLPTDIHSEPPSVPARRVSTSTPWYMTKASLKG LIKILYVESLGQIRWELDMIQVVRRPKYIESDTIDGRDYIKNVLSNDIEDYEGNLMTN VLLTLRQWGALFWWFSHIGDMIELHGE TSTA_103260 MGITILAPAVENAGYTGREIDAQDSEGDVDMDRLPIKRPRLDQA SGFGTGIVVPGETVTNDPQWMRGHGTFTTPLSTSIIATVAGTVSKTNKLLSVHPLRAR YMPEIGDLVVGRIVEVQARRWKVDIAAPLLAQLPLSAINLPGGILRRRTSADELQMRN FFVEGDLVVAEVQSVHQDGSATLHTRSLSYGKLRNGVFMAVTGTGGSGAAATTSNRSK TSGAGSNIAAPPSAAAVGGVVRSRRQKWTVNAANGGGDIEIILGVNGYIWISKKADTS TAASSTTEPVSITRMEELATSSIYSSQNDEIPRQTRREIARLAGCIRVLVESSVRIEQ DTVMSAYNASLEVDLEMDGRDYDDAAAATGAGQEGQDYLGGEKAQRILEMIA TSTA_103270 MACFIQLRDNVPSWISEVNKLSAHVHTKRAEFAAEAENVIVSPS SLHRKKSISSSVTSVRSQRSVKHTGGHGLKRLLSRESLRSNEGVKKQRIMNNDESTKA EPTAPVPPSRRGKPTQVISYDGHTQKVLEAMVRDIWMAKSSIRSSRISASMRTAFGGR IKMQIPLKKSPETGIPDITSDTQANIEVDPDASTATDDDGLEDQLQLRMYMMKQRSRR SLAPPYTPRQQQPTRTKESPYDFIESQLENAQNLCETAAYKFLREGNCLDELDSILRA YELILEASTTMAEQEEERLKVEEEQEPSQEFTTMTTDELKRPAVAVTAATKELPDNDP EEMNAAILEVDDRSDTSEVSIDISAFRMTRTKIDILRITQRIGKVFH TSTA_103280 MDTTGVVGSLTADHEARLQQLWMFLLNAFENQEFFANRRSSFSR RSLSIDEPPLSPSSMNSTFSGGRRTMSMSSSHNNNFSNPFVATGPNTPISPGFPPMPP TKNQDLNVPKPQYQHSFKKLRRRTSLLSNGKSSSNNNGPFIEPSTPNPLRRSSTRKGG LAFQTQSMGGVDLRPSPQNAKIMQRVLASYKLTPDELRLGLLASLKQDHPDALLLRFL RARKWDVGKAFVMLVAAVAWRTKKMHVDDDILPRGELYALQQLRSSDERQKRKGWDFM KQFHMGKNIIHGVDRAGRPIVDIRVRLHRAENQSAEALERYVVHTIESVRMLLRPPMV DTAILIFDMTDFSMANMDYTPVKYIIKCMENFYPECLAAIILHKAPWFFSGIWKMIKT WMNDSLVSKVHFTKTLKDLERFIPRQNIPPDLGGTDDTYQYHYIEPDPDDRAENLSLD THSPTRDFLSNQRQRIAEDFLLTTRLWLQTIAMRDGIGQAVQQDRRAELIEELRLNYW KLDPFIRARCQLDREGVIVSDGIGTIDFYPHLRSVERRISMEDVTTADAVEVATASSS FFSRESLNHSSNGQVGLGLLSPSPSTLSMDHNAEKVDQHTEDEKTRPDSLNFLDDESI DDDESIDDDEEDESSTARDEIMQEYMDDNYDEYEHETPEYYHSAPVRPPPPPPTHLLH PTTTQYHQNKETDIHSETSFDLDDLDEEDEEEIEIHDAVTRRIPYPRGGGFVERAAVR VVNVR TSTA_103290 MAVPTSTDPSPDEGENNGHGQQSRTSPSELPAHHDQDPRPSELP SHTVSNVESEALPNYSRRPDSNAPRLPSYARVERTDRLRRESWQTFIESKMYGPDTFG GHKGITDGPPNDPLKPFRWIRRKVKGEKNVWRKLSVEERRKWEEEGGPVNYDAGECLL YLTRGLASLIKLAAAGD TSTA_103300 MATSNTLPRAIRASVPSEQNGDGNLAQVLESLDLDGTRRNLQNV KAVALLNPEIKSIAIERGRQRENGQIMGPLHGVPFLVKDTFVTMDEMDTTGGSYALLG AKYEVESTVISRLREAGAIILGKTNMSEWGWSRSPKCPNGWSALWGQALGGFHEKQDP EGSSSGSAIAACMNMASFTLGGETCGSILSPAKRNGVVGLKPTIGLTSRAGTLPVNPE YDTVGPISRYVKDCAIILQAIAGRDEHDSATADIPFDKIPSYADFYSTDGLVGVRIAV PRSIYNVADSDLEVGVAFREAVEKIQSLGAVIVDDIDFNLWKPGSEQREGVFGNVLLR EAFEKFFGQVETHPHEIKNISDLITFTKATLKEHASDVHITSKDDEFSIIKSRMEALG EDLVHMLDTHGCDVLLATSSADLPLDLGRLPGISVPLGLYSKDRCVVTDSKGLVTEGP NIPFAITFTGRRYSEQKLIAYAYAFEQATLVVEQNKDRLIIQPGKNLRK TSTA_103310 MGLDDFPRPPTTQHRSTTANSSQSNNSRRIDQNYSPPSTPPSNG RKQQSQRRQYPPSRTSNSGYTYTETFLDHGNTVEQLLGRASESGDELDPHDLALSPKH VTRTSVVDNMLLSLDQFTPGSPLFSDTRFFNDSPEPDPYLYSSSSHRFSLAQQQRFRS HTLSSSISSDIGPALYGDDDYPQIPRSSGRRSNGSSSHPLSQRRQDTVRSRDSAGSGY PSRAYDLDEMTATLPPVSSRRHAPRQASKDSAESADFAFDEHYLNYSHRRSMSLDYGS RPAYLSNPLQGSGLNLDDLDAAPTPTVPPGPRRDPLSGLPGSLPPPRAPALSRRNSNR SARSTATRKSRPETLGTSTIKYHDDPPRPPLPSSFIDPSAPSPTISYQKPPVLSSADT TPAKEKQGFFRRVFGSSKASSEQSTPEQSKLPMPRSDNPATPSQPRQESTHPVVAKKA SSFFRRRKKSVVDSIPPPLNLAPNAPRGLDVHPEPSPASSLRQVMDPYLSTAASSSKR GTKNNTEIYSDVPEHSPTYLRTDHSFIEDTTPRPKLRQSRTADGSNNALPMAKSNCTN ILSRSENESSTNNKQEDRPQTSPVGPYSNATGGSHPAMPEKKLQVNTSSKNLIPPSEV QNSTVLHSGLSPNNSSRGSLRRESSIISNLSASDASQYHTASNSPRIDTPLIKTTMSP HTENTENETSDEFKDTPTAEDREIARRLFDNPDEVVENEPAAWLGDVDRAMVRKAYMD LFNWTNMDILAALRSLCTKMALKGETQQVDRVLDALSTRWCECNPNHGFKAVDVVHTI CYSLLLLNTDLHLADIEQKMTKTQFVRNTLPTINRVASDAAPDGFETIRASGNKSRGS LNIAAKSPTLPQGELTLPPTETETKARRSSVLMDGSSSYGPLISTPFQGTIKAWEAQI EIVLKDFYNSIQKQRLPLYNAPAESEGLAPPTTATGNILRRSPSVLSKTGSEIIPRGR SADSRMTTGRWSSKPRSRARLYPASTMASSRTSLDDQSSVWSPSASSTWSKYSLGKTM TSMSVDSLGSEYHRGGYQQSIGFANALSHAIIREDTAHSISSYEDPGATKPLLEDETL ELLGAPWAKEGSLKHKQHLEAVDKKAKDRNWNECFAVIQRGWLRLFSFSANTKSMRVK SKQRQGGGGGVVVGGGNWMENAEEVWKFLLRQTIASALPPPGYSKSRPHVWALSLPTG AVHLFQVGTAEIVREFVTTANYWSARLSKQPLVGGISNMEYGWSDAIINSALVNMDNT RSPPNSSGAGPQPPPARPSFQSSIRSSLDQQGGVRAKLPADRVHLSDWTPPQQSMNAS NLSEADQLTALQTYVKNVEDDLQKHNELRPAVLLAFSPRHPNAAKAMANWERKSSYLL REIVKFRTYIDCLVAAKAQKEKIYAEREAEIDAEGHASNDDGEEGDDTILAS TSTA_103320 MPSDQDTHSSPGALPDAPASTTKQPCHSFKKKFAKLKVKFELEM RESEALVREQLRIEDVSKKLQETNDQLLEVLMEFNESLYIPTNLRYDLSVPGEATPPL TPDDDDDIAPSTYTPTAAKAALKEARAELDAGEITADTYRRLERGIKRSTTFRPSMQY ASLRQIAQHGASLVASSNSIFPELDQDKTALLNMNYMTPEHEHEYLLALDAKMGNIAA EAQLKQLPDKPTFADREREAILQNPNSVYNWLRRNTPYVFLQDNEVASEKSSQPQTQP QRSAANARSSKRSSLATSRQPAKEEDMYDEDGIALEVPAPSASKAKRKRDEDTGYRPK GGRSGSNKKKKQETDSSHSGRRASKRGSGVGA TSTA_103330 MPGFKEEDHDMNEIKEEKDTSHKKRKLNDVPEIEIDVSAPEPPS KKALRKAKKKDVGPTEKTAVKSETEATEATTKNGKDGKRSEYATKEDIRKFFVEGCTF TEATITRIHLPMGPSRGFTPQNKGFAYVDFANKKAMDEAVGLSEKFIAGRRVLIKGAQ NFEGRPAEHQRAGSSKAGAAATSAHLPSRRIFVGNLGFDATKETVQEHFEPCGAITNV HLATFEDSGKCKGYGWVEFETIEAAQHAVNGFVKIAEDDEEEEEEVKDESSSSEDEQS EEKTGKKTKKRISADKKKKPRTKKVWVNRLLGRQLRMEFAEDAATRYKKRFGKDSKKG GSFGDEEQDGGSGHRGRNDRRPKEDSRYSHDTVQRLTGAIVEAKGKKLTFD TSTA_103340 MAPARRHRIGASRRRREDDGEDEGSTVGHIEEDDDSMSDGSLPS HLGDDDDADGEGSDLSEDESSVTQHGGATRNGHVHAGGGGKTGGGGVSSGEKLDVPFK PTLTATVSDTEAMLKGLKLSGSPGQEMEEIEFDNLPKAEHKIGRPPSAPPLESRRETF AERKRREHEEYIKARDENPAFVPTRGGFFLHDKRSTDGSSNGHRSHANRSKSRPYGLI VDGNVGRRQKPDASDGQWTHDLHDTVVRDDKPTFTPPSHPLQNNASAGFTNGSATVTV APRSTPPNRSFSTTLLIGNVPVRVFLPGMEAAIPFAAVPKRQYTRLPQHRPPLRRDKP VRISLPGSPPKYILPAPERSFIFIPRALRPNQQSFRGRGRGGGYYAGRRPSFYHPGSA YSPSISMSRRSSLREGMHSPAASVLSRQNVAVGESKPIVRLPPSVRPPGVMVPGGPIV STIPVLPPANTAYRETRGGPMTMHQPRPQKTVSLADIESPASFNFNPPQPQQEQPFHQ QVPVVMAAPGYGSDGTYIHGRHPSHPSQPSGTPLSHIPERAIHAAPFQPYGQPTYYPA GYPTYYVPSNEYPHYTPPTVNNGMAYGPPPPHPAQLPPGPQAGGQQYMLHAAPPPPPS APPHTTVEQQAPHTGTVAHEANGTVYFYDAQDLYHPNAAYNATGGVVGMGGMMTPPGM TYYYPQSSGVYYPSQ TSTA_103350 MDVRSLDDLFSEAYQVDPFPVGVLDMLRRGAAHDHFPSGHPGRT ETHTILSRNYFWNGMTKFISRYVKNCMALSTLQVLSRPLPGFIGASTCSNEALESHIS RLYRQASTVRKLRLKHGHRRPPNEDASLPCTENIITEDAA TSTA_103360 MSPTIYGLVSGLFNKLFIQIPRLMRTAIEVGAAEYVNAHWNHVH IADLASLYEPLLRSALDGKDGLAFGVQGIYFSETGEHTWLDLSLTDYIAAVGETVGEW LVAVWGVGVVFIMSSRFASSGFHGSMSLTGGGLRLGLGQSGSRQGEEEI TSTA_103370 MAAPTPPAPPVGPDTLITIKVLLNESVNRRFKIPLRDLGARVFP QRIRSLLSVPPACSLLLERYSDSLASYIVLDSENPAVYKQLYRAAKAKLKLRIKATTK PEEIIPASTVYVEDSPIQEQSHQRFRYLETVLSPSNTQTGSQPNKNMTSTLSSRVRSF SPVPSVHEEKQPAFPLRLANNRLANAFCIDCNNCGGNIPSEHYHCSICDDGDYDLCLA CVEAGVSCHGEDHWLLKRFVQNGVIVNSVTEIAPKRLSESVATDKPTEEPTPVKEEPR VEEKETVPEPEIKEEQKEVVDERTCNACFRGFSEMTMVHCDDCDDYDLCVSCLLMNNH GHNPAHAFTIIKDHQLGLKNLVVSRCRPGRHFHHAAICDGCENRIVGVRHKCLSCPDW DYCWSCVKKADQLHPQHRFVPIYEAISEPKFTQDVHIGIYCDGPLCRNKPSASYITGV RYKCAVCHDTDFCAACEALPTNTHNQTHPLIKFRTPVRNVTVSTLGDDGFGGQTMVMG DRTPAAVHNVFDVSSTNSTVVEAPSVVEKAEPPAAEFKDVPEVQDAPEVEYVPQIKSS PETPETPETPRQSSGDFADDYSAFFMKDAVSDGTTMPPSHVFRQTWTLYNPGPSTWPA GTSVRYVGGDAMFNVNTEHPSSVVALAEAMSSNELTHTVAPSESADFSITLKSPQRTG TSISYWRLKLPNGTPFGHKLWCDIKVVDEPVEAEPVVEKEVVEAATETKAEAETETEM TGSNMVFPKLEKESPVSSTHEALAEHPAPSVTTVDDQALPEDVESLTLEDSDDGFFTD EEYDILDASDQESIMGKH TSTA_103380 MTNPVFMSPKDCENVFSLEYDTFKQQISSCIAPPHEAETFRALP VRASHLIFTNLFLLSLLFRKFNDTNDDPEIMPSTNTTSSNSKISLSNWLRPKKSRQKL RKRGAEAGALTSASSTTLASIKTTTTQCSYYNGSIVKNDDVPPLPPIAPLQAHRAKYR ARNAGLDTQLGENIDYTTLLHSMSVQEGFESDEPLNYVSEDRPRGEPGVASLPSPIWC YIADSLDPKTAVCLALASKTLYSKLGPRRYLNALNNVPENRQHKLEFLVFLDRYLPYH LLCIPCAQYHRRIRMGQEKLAPTHTQVLNPVFDCPNERNALNPPSRHRIAHGRSIPFT FVQLATRAHRYGSLRYGIKAENLGRRWKYSEPGGGGTWSISTRYHIHENRLLMRVVSQ CFAPPGLPPSGKRLLLYSRDDYWPFFSVCPHWRDGELMDVCKCALDHIPVPRNTAGLQ GIEHKFKDAIHGRGKFDPYAIPSQCGKCQPMRRCPRCPTEYLIEVKITEDNETDPSSS SAGGSVSGVARKQVRFRHAIVVTRWSDLGDGSTPASSAEWAACNDIGSTEGEEYDSFK RLGRRGICGIFESAFTHDTIPGRRIISMNPDNKTGGEKRNDWY TSTA_103390 MSSPIALILGAGARVGQAVGHKFAANGYEVALASRSSNTGQGQD GLHYFKVDFSDPANVKTLFESVVASLGVPSVVVYNAYSMIPAKEPFSITPENFQQSLN VNMTSVFAAAHEAVNGFEKIPTSVPKSFIFTGNALDEIIIPGLSGLGAGKSATAHLIK AAAAAYLEKGYTFYYVDERLSGGGPVGNSIDGPAHADLFYELAGDKNQRNWKQMFVKG KGFVRF TSTA_103400 MDSLLEHRYRIGVDVGGTNTDAVLIALDSMNIITSHKSPTTPDV TTGITNAVRRVIDAANVSLSSISCVIIGTTHFVNAIVQRSESLRRVAVIRLCGGPEDG FGRGIPPFIDFPLDLRACIESSSHYFCHGGYQISGDEISAVDVEEICRIAIKIIEDGI ENVVVSGMYAPLNHKQEVAVRDTLLQTLRKQNKPGFKPRITMSHEISGLGFLARENAA ILNATLRPLAEKTIYGFRRAMQEIFQGSPYTLYLTQNDGSVLSAEEAIGRPIRTFNSG PTNSIRGGEFLWRAAGKANGKEQQNRTDPLVVIDIGGTTSDSGLLLSNGLPQMSSIAG LVGGVLTNFALPAVESIGLGGGSIVRETDGELTIGPDSVALELLQKAKLFGGEYLTST DIVAASNIYTPTEHNPFRGMGDPSRLRSITQDTVSRAEEVMRQMIADLVDRTKTQKGD VDVLIVGGGASIIKTDKPLAGVRSLRTVKGAAVANAVGAAISRVSGIIDTVVDTSNQT IKEAQKAVSWAAVEKAVANGAKPDTVNIAEITMLPIQYVEAKARIVVRAVGELAIVSQ GVVDADTLDVVEAEEDLSTTKPTNSPRPISVEKPVDFQTYRPVIKDGEWIISPTDLDF ISQGCKILGCGGGGDPYQEYLKVSAIVRGNPGTVKVISPSYLPEDALVGWTGCMGSPE VSMERLENDECHKAHEELMRVTGSLAVSGLVALEIGGGNGVVNLGVAARLGVPCIDAD YMGRAYPTVWQVTPNVYGTSRGEALVPMTIASGDGSVVTMTTSRTDMLVDKILRASCV EMGCRAGSAGPPKTSKTVREQAITNSVSLAWWIGRAIALEKTIADRAKRIIDELGGPE SAAILGEGKITNVERVLKTGHTYGVLEVEGKLEDGTKALLRVPFKNENAFVEAVFSNS DSKILASVPDLIAVLDAETGAGLGTPEYKYGLKVMIIAIAASPRWTDTPRGMALGGPG SMGFEDIEYVPIGKYSKPRSVIDVFAI TSTA_103410 MGTIAEAARIPIIDLELAHTGSPEDVAKVAHDVYQAFKHVGFAY IKNHGVPQELINEAFGWSSKFFALPQADKEKAPHPPEGWYHRGYSGIGREKVVQMVFD KDGIAEKRKVPDVKESFEIGSESDDTLRNIWVPEEILPGFRGFFNNFFQICSGLETLM LRLIAIGMGLEENFLLDYHKNHTNQCRLLHYPPVEEELLRQGKAERIAAHSDFGTMTI LFQDEVGGLEVEDIHDKGKFIPAPHIPGTAVVNIGDLLMRWSNDELKSTLHRVRAPPL VDNEGESKGRMTKARYSIPYFISPDRDRLIECLPNCHGPDRPKKYEAITSSDYISMRL NATY TSTA_103410 MGTIAEAARIPIIDLELAHTGSPEDVAKVAHDVYQAFKHVGFAY IKNHGVPQELINEAFGWSSKFFALPQADKEKAPHPPEGWYHRGYSGIGREKVVQMVFD KDGIAEKRKVPDVKESFEIGSESDDTLRNIWVPEEILPGFRGFFNNFFQICSGLETLM LRLIAIGMGLEENFLLDYHKNHTNQCRLLHYPPVEEELLRQGKAERIAAHSDFGTMTI LFQDEVGGLEVEDIHDKGKFIPAPHIPGTAVVNIGDLLMRWSNDELKSTLHRVRAPPL VDNEGESKGRMTKARYSIPYFISPDRDRLIECLPNCHGPDRPKKYEAITSSDYISMRL NATY TSTA_103420 MSALPWSLGLTRSRNNTYYREILFSTKTFTLNTGAAIPTIGLGT WQSKENATRDAVKHALQHGYRHIDTALNYGNEREVGDGIRAPGVPREETWVTTKLDNH WHHRVKQGFRTSLDNLGLDYIYLYLIHFPCSTDPEDRSKHLSDWDFVKTLQEMQRLLE TGKVKNIGVSNFQISHLRMLLNHPSCKDVPAVNQIEYKVLHNLIHNKRYAPEIKQCRN LQKLWIHKLLSTCTWHTSKWEVDPLTSVEGLLSPARKNLDDLGNGDPWKNFAQILRRR YPKVQKDKEFFANDNVIILDEAQGSYEDDELWNQIIKDIRGKIRHEIKLCLFASYGSP STGLPYNMRNYIRPVDFAPEQCISLTPSVEQGSPPIVRDEFEIVVTKLCSYDSVEKYT IDGDARDYIYNFTNGHPGAVGSIVYYLSSATNLNFQVYRSQVKHGVFAIITEDSVI TSTA_103430 MYSWSWPEIKRRLEWFVSPLLLWSIGRKNKRGSDHTLICFSPVD EDAEYENTTWCNRDLIPIPSERRTYGVWSYFGYWTVSGSCISAWSTGSTLLSFGLSPQ QAIGVVIIGGFLSGLLAVACGWMGEVHHIGFTVSSRFSWGMRGSYFPVILRIFVSCMW FGMQAFWGGQAMRVMIGAIIPGFVHMKNFFSASSHLQTNDFIGLVIWMCGFIPAVLIR PEKLQIPFFACFILFCGSCFGILSWAVSQAHGAGDLFHEPGTTPNTGWAFMFGITAIL GSWGSGTLGQSDWVRYSKRRYAPTLSQLVACPATIAITASMGIIVTSASSKVMGGEIQ WNPVYLLADIQEYYHSSPRVRAGVFFASLGMVASQYSISVILNSVSCGMDMAGLWPKY INIRRGSYIMAIIGIAVQPWQLLSTADKFLVVLSGFGVFMAPATGLMLADYHIIRRHR LKLSDLYRGDSSSIYWFRSGVNWRSFVAFTMGMWPLLPGLAANVNSWAGKWTGWLRLY NLTFLVGLAISFLVFWALNLCFPVNGVDEDGPFIPESDGVDEVLNNSGEERAYLETRN EKALEIVSAV TSTA_103440 MLSILRYRQLRHELEKNIQFYPPKQNPAGDSPPQSQIANGPLRA AGRPFESLENGESNLASLVTLQNDQRAHVPGVEVVCTGSLPGNGKSEIFVVGFDSEND QLNPKNWSLAYKWRTLGIVGMTGFLVGWASSIDSMVIKQAQEEFHVSDVTESLTIALY LIAFAFGSLVAAPFFETVGRNPVYLVTLSLFMIFIMASGLAANIGAQSAFRFLAGLFG CTPLTTFGGSMSDIFHLLDRTYAFPVCCSLSFLGPFLVPMVGAFIGQSSLVSWRWSEW STLIIAGLITVSIFLFIPKTYSPVISLEMCEMIRHHGKLGIDQIKLSMSGEAIMESRS AEESFSEDEETAACVDEAHRLGLRVCSHARARDAVIQCARHGVDVIYHASYIDDEGMD MLEKAKRKHVVAPAINWLYTTVHEAKPYGYTLEQAEKVGYKKELEVAIRALREMRRRG ITILPGGDYGFARCPHGTYARDLEHFVRLLGFTPMESIIAATAGIAKLFMQEDELGKI LPGYYADCILVDGDPIQDISILQDHSKLNILPLDSLPQSLGAQTSAAFMQRNNFNYVS YVDEFGKPRIGHLDFNNNEISPLTMSSCSPLQNLYEVIELGEEDVVRGEGSPVNLSSV KVLPPLPDQDVLCIDVNYRKHATEYWDSGYSRNNGESQVFSKRSTSVIAANEEIFPHP KFTQSIDYEGEVGVIIGKTGFNIQADKAMEHVWGYTIINDVTAREKQRDHHQFFIGKS PDTFCPMVYDTFTPSQRLPMLHIQTFVNGEKRQDGTTADLVASIPRLIEVLSSVMTLQ PGDVIATGTPHGVGIGFRPPQFLQPGDIVEIAVTGLGKLSNQISLPSSKNPQLSKTLE KTNIPIYNLDRTWGGTSLTQIGPKNYINIRELGTANTKKDPIVFIHGLGASLEYYLPL IQAAGLEESGHRIILYDLEGHGLTPTRASHTATLKTFAADLELLLSAKSIDSATIVGW SLGGLIAMYFAQMRPSMISKLVLLGPGGSPLPELAVNMFKQRAALVREQGMEASGVAQ LVATGATSALTKATRPLAFSAVRQFLVSTHPEGYAKGCIALAKSPETVISVEALRMPT LVVAGRDDAISPLQLAEKYLYRLPNGRLEVLDGVGHWHVLEDLEATASVVKTFLEQE TSTA_103450 MDRGAPSEQVHRRRTRLACAPCKIRKRKCNGESPCASCIRYDYE CYYDRPVRTRKAATALQRQQRPQVNVQGTSSQEPVTNDNAVSVENHSETNTPVTTSDR YMEANAGVVFPRTFGLKLNSRNNAQNGDCPGWNLGIRHNPRRSEKSVTWILAYGAWKV LCNVYAQHVHPIYGFLDLQSLYATAQRRWEDPHATNEYDSVFCGVAALGSLFSPQANW EQERHLVECAKEILETSGTIANPSFQDAAGWLLRTLYLRCSSSPHAAWMASCISLHIV EAMGLHQESATGTVSLVYADTATPLPVGIGHAWDVETQTRIFWIAKLLNTWISFEYGR SRIILHGESCPLPKVEDRGNDHTSAMISLFQLSEDLDPNKDIPATVLEDSLKQLESYD FGVDALTLSQSVLAFTIYRRLQLLGLGVNKTVIEHVISLGRRGLEASRRCVDANHPWW HVNNVPFQFTCILLAIDTPESLFHVRDSITLLKKTACHFGTAKSHQAFETIDMLVRLS LSRKEQEAIVLKGSILAGESCHPEIQQQQQQSLAPDGTAHNGDRPLQHDNPNGLTNLP DIVNSTDWERHFSKHAMT TSTA_103460 MASEQGAPDKIPPNQPNPVIVGPGLSALGEEAYVDASTATPAVV PATTTTANADGAADSYFSQVPGTATAIKDAYAKSPMSPADAASGVTSGPELLRRLSLM GGAHLTPATPVTDPRADHPGLQLTGRIISASLCIPYKVAHQPGADWELSPRSGTSALF DSFAHLASDRSPWNHTLVGWTGEVEEIVSKRAPLQPVSANGVPTAPLPVNKASAPVPV DLSQQVQSPVDGVLVSAADRERLERQLKSSKYGRILPVWAIPESDEPQDDILLQDQSR WRRYAERELYPLLHYKQNGPSDGRSERKWWTDYMRLNRLFADRIAGTYQAGDIVWIHD YHLFLLPNLLRQRIPNIFIGFFLHSPFPSSEYMRCLAKRKEVLTGVLGANMIGFQTYS YSRHFSSCCTRVLGFESNSAGVDAYGAHVAVDVFATGIDAQNVQRAAFGSAETEQVVA NIKKLYAGKKIIVGRDRLDSVRGVAQKLQAFEAFLEKYPHWHDKVVLIQVTSPTSMEE QKEDPENKIGSQVSSLVSTINGRFGSLSFTPVQYHPQYISPQEYFSLLRVADVGLITS VRDGMNTTSLEYVLCQQGNHGPLILSEFSGTAAMLTSAIHINPWDTSGVAAAIDQALS MSEKEKVERHQVAYRHVTSNTVSMWSQHYLNRLLTNLSSFDQSIATPALDRAQVLKQY RKAKKRLFMFDYDGTLTPIVKDPQAAIPSDRVLRNIKSLAADPRNSVWIISGRDQAFL DEWMGHIPELGLSAEHGCFIRKPRSDDWENLAAQSDMSWQKDVMDIFQHYTERTQGSF IERKRVALTWHYRRADPEYGAFQAKECRKHLENTVMKKYDVEVMAGKANLEVRPTFVN KGFIVTRLLNEYAKGEAPEFMFCSGDDFTDEDMFRALRHSNLPQEHIFSVTVGASSKQ TLASWHLLEPADVIATIGMLNGTSMGAEYS TSTA_103470 MEMASGTVACHDGNQRLSLASLRSLTPDYDQHAEDLKMGYTHYY AVDQWSSPEWQSAWPQLIQDAIKIIDAADVPVSGPASDTLEAGREVPNPPVVDVNEGL CINGNNDEYCEDFVLKESDPDNFCKTAKRGYDLVVSCILLRAYRLAPNTFHLRSDGIW NDDEDWVPTRALYHDIWPNDTDEKPAELDERVEDENNLVCHL TSTA_103470 MEMASGTVACHDGNQRLSLASLRSLTPDYDQHAEDLKMGYTHYY AVDQWSSPEWQSAWPQLIQDAIKIIDAADVPVSGPASDTLEAGREVPNPPVVDVNEGL CINGNNDEYCEDFVLKESDPDNFCKTAKRGYDLVVSCILLRAYRLAPNTFHLR TSTA_103480 MTLPKFLVLCIDHGDIEILHQTLQKSWPTHHQSVNITTIHENLR KLSPETYKFDLMVSPANSYGIMDGGFDDAISRSFCLPHHDYRALTNVAQQKLYEQWRG FAPPGTCTLVSLPSELRETNRWGCKYLAICPTMRTPGDARWDREVVYECVWSLMCEVD RWNRNVDRDHGDESTIDTILITPLATGTGGFVLALKHFVDALERPDRWSRLKWEDLQE VKKVQKTWQT TSTA_103490 MRFGRQTHSLQCLALGDSRSLLCDRGNGRSLFFSTSTPTINLRR GYSSQRIVPLINRRTRYPVIRNSLKKTLEAQRTVNRERLIRKVYNEPVEGVQDTVRDA RLEANEPITEGLTKAKPSKQIKSKRPSTVIHKPSHVPWVAPLQDGLAQQPWLGFIQRS ERWKINARSTLNNEISALAEYLKPSDTEQAVVNEIVDDVSSQLQGVVPSSPQLVGSRP TQLASSNSTVDLMILVPHTHAPDSPHHKPAPMNPRISKSFADVITRAELAMKNSDTFN HCHVIHDKSPALVMSHKTSDLSIRLFCRTNSPRSDDFMRNTLAELPSILPLYMVTRVL LESKALFGWGAASLDSYSLFLLITSFLRQNTDCQRLGEQLLSFLHTYGTQINLSITGI SVSPAEFFTPSTIREHERSISSNKTTYPAYLIGQRALMRYKINASNKANLPAARHLCI QDPTNYLNDAGLRCLRTTELQETFSGLYTDLQMALERWSGGNVLGQVLRADFGELVRR KNLGIFYMSLF TSTA_103500 MFGTCGRCVASAIQLTSRQHRVLSNHTLIFAQTSLKGSSIYGLH ALDYSIGHISCRRHASSASDKKKNPSAVKTASSSNPTSSLTNNVNPPLTTLPADLDLP NNTSSSSEHITKKVSRYISIGRAYLGFYKTGLKNVYLNYKSCLPIRRELGLSSYIPTS PPTSPRTPSSGATSLSTALETLHITRAEYQLVRRATYDVHRIIPFGLLLLICGEFTPL IVVAIGDAVTPYTCRVPKQIEKTRAKRLQLKKAAFAAVQGGLGSMKPVPTGSAEEMTW LAEQFGSIEFVTRASAEQVIRASRLRKWNEYLALDDGLIVKGGGVGALSAREIRLAID ERGGVGVGADARSEEVREKEERKWLIEWLKRRSFV TSTA_103510 MPPLTFTEPSALVLIDNQFAFNHPTHWGPSRSNPSFEKNLSALL KVFRAARQRKPAVPIYIIHIFHSSLEPGSQLHHSKTDQIKPLDFAVPASDGSEPVFWK SANSSFIGTGLESFLREHGVRQVFFADGSLPEGIRIERGRVVLVADATATFAKGEFDA ETVHAVSVASLSEEFADVFTTEAVVEALGV TSTA_103520 MASAHGNLGHLLPLNYKRLISEWLEEDCPSFDYGGFVVGESEGE ARLLGKSPGVVAGVPFFNEVFSQLGCTVEWHVQEGERITPIKKCATVRGPVRKILLGE RVALNTLARCSGIATKTSSLLQSLRSHGWNGTLAGTRKTTPGFRLVEKYGILVGGADP HRHDLSSMIMLKDNHVWACANNASNATTTGTGTAAGVSEDAIAAAIPAAVQAAKSAGG FSTKVEVEVRSIEEANAAISADADVIMLDNFTSEQVKIAATQLKTEWATKGKPKGTFL IEVSGGLTPENAAGFVCEDVDILSTSSIHQGTSIVDFSLKVSLR TSTA_103530 MEEEQQTTDHHHGDEAMMSLQNTSHETNVSSIAANEVSRTQEGN EEYSELPPPNDPNADDSRTDESILHHSLDIDHEDEDDNDAHRPNNQTILEEKEMRRKL QDIESSFLPEPSTIEMARTENLGADDTYLVGVPMENREHEEEVSYNPDMSRIQEESSI TELPRIVTHDETGEQIYHVDPTTTPATDRESELNTSALETLNSSPTAAAAERTISRAL SNVSRNAQDSAFEDSADLHRSLTPRKSRRNLSPALSDTRRSREAFVDSNEEDSKLAGS QADRKRSRPKYLSSRQSSHRYSASSITSTNTETTTSEATLGADYALQSGGAVPSRGST GRKDLSRTISLGSMASGVSALSEENVLDRRVLSGATDGGLHTLDEEDPGSIHPKDLDE NVPVTPRAGSRDLNLPMDTVVAQHVQDIQVPGTFAREFHAKFSTNSMSPDRRPGAPTP AFGRSGKSMTLKEQSSTIDRLSKENFDLKMRIHFLNEALNKRSEEGIKEMVSENVELK SDNIKLQKEIQGMKRTIRNLEKQLKDSQSSKDAGGDTEVETSEDDKRNDVEEEELIYL RERIETYQVEIERLRSESIVRESEKRRLAEIVKSMGENRPTDSEAGAREERGMWKDML DAETAAREQAEEENRKLRDELMRMREGNTTGSEAGRFGRTVRKGSFISHSTTSERDHD RRLTVPSSTSSTLLEIELLKKENAELRREVSVQTSMLTSRNREKERLYQEIEDLKLQR RDGGRSVAGDSIFERSASRAHARSSSRASNGTRESRMSDTERESLEVRNGELRDQVSA LKLENQSLRSQLDEYIAEYEALDKAYQADVDQADEDMQMLQQERDQALQVAEHRALEL QDLKEEAQEEIDTLGDELDQKVDECNRMEMTLRTQEENLKTLQAEMRSASEGILRLEE DAQSNLRKFKQVQQELEDSNREIESLEKSLFEANGKVQRLTVQIESSQGEITFLREEQ DADKIRISELDSELKTYQVNLQVEKEKAKELETRLAEERHQREVVGSKEKQEVQRIMN DLNREASAAKDEIRRLKKTLSTREIEAATWKERLMELENGLREALGDLNGTRSSLLNS IIKLQKELESKSLDLESTRSKLDEKESLLQSREALLESHGLESRKLADLLDRERHARR ADKNSFDQALKSQQQASRTISQHNSRISDLESARNQDRKRFASLEQQLKDQLSERNAL FLTLWKRLSAMCGPDWAHSNSLINGNLPSVEVIGNILFWPGFSRNLLLSVKTVENMLS GFKTRIRTIERDLTKEYQSLEHNFGIRVKKLERLEEAVRNIRSQQRAAAVSPEVTKLR GEVRFLKAELNLMQSNPSSRRTGSNLSHATTAVEQDPLPSPTRTQSTLVRSDSRSKKG TVSRGSGTTLVETSSTSTSSQRNTRDSEQDNVMIQRLRELERRLKSERVARLADRDGA RKRLEERDAENEELRAELERERMRRSARGALEAPSASLGRSNSSRKTSRTLVVESGPP TSSGEDDKRTFGSDNEDTDAHSSYGEGITVDIEM TSTA_103540 MADKISITICGDGGCGKSSITLRLVRSQWMHEYDPTIEDSYSVT RNIDGTDYFLSITDTAGQEEYRGLWAASNLKSDAFLLVYDITHKPSLEALDYFMDMID IEAEQRLEDNQRIMKELGNDARNLQVGMPPPVKIVAGNKCDLKDARAISARDGLEYAR KHGCGFMETSAREIVNIEETFALIVRRVVEARRLHYQAQQLEARMGSSTARTPALTVS ERQATDQQSKDERSRHGGFSFFNSKKDPSRRVSFATDTTKKEGREQNKPSRNEGDEYE SPSWWRRLICV TSTA_103540 MHEYDPTIEDSYSVTRNIDGTDYFLSITDTAGQEEYRGLWAASN LKSDAFLLVYDITHKPSLEALDYFMDMIDIEAEQRLEDNQRIMKELGNDARNLQVGMP PPVKIVAGNKCDLKDARAISARDGLEYARKHGCGFMETSAREIVNIEETFALIVRRVV EARRLHYQAQQLEARMGSSTARTPALTVSERQATDQQSKDERSRHGGFSFFNSKKDPS RRVSFATDTTKKEGREQNKPSRNEGDEYESPSWWRRLICV TSTA_103550 MNPFDSTQYASQLSSQDHEHARRNSFPARTTAASVGLHTGQGNI NLGITFDMSATQSVVPPQGQTNQSNPQTARVQQTSMDFGATGGDTMGTYQFNPMVTQG NDTLLFTGNEHMSIQQFQQNRELYQDADSFSLNATYDPTSFTPAMDPMAAFQQGYTGT VNFQENDMTFPLGYDAADGAQPQSLDMLFANSTFVPLPQSSMQPTTAPEQLITQHNWV DLSNFNLSLPGQRIKITSPVGSGSQQSSLVSLPRRSQSTSQPQSMSPFMQQQPPKAPI TSPSFRNPFIPSEVAASTTPKPGAGKVASEYYNIYSSSGFDMFGILAKIASRPNPEID IGAVDMSCAFILCDITSHDDPIIYVSDAFERLTGYTRHEILGRNCRFLQSPDGKVDPG TKRKYVDNQTVYRLKEKIQARAEVQVSMINYRKGGQSFMNLLTMIPINWNSTDYRFYV GFQVDLVEQPHAVTKRNADGSYSINYQRDQLSRYVLHAPESRKSQTAMSRNISHNEAT SILRTIGAGIKDGRFLDRVILENSDDVIHVLSLKGLFLYLSQSSSKILEYDPSELVGR SISSVCHPSDIAPVTRELKQSTAGSPVSVIYRFRRKNSGYVWFESYGSLHIEPGKGRK CLILVGRERPVYALDRSSVLEAGGIGENDLWTKISISGMFLFVSSNARALLDRTPAEM VGKGIQEFMRSDSRGELGKALEVARTGRQATFKHEIRHKRGNVLQAQTALFPGDATPG TKPSFLIARIYLLKNSRSTYLQQRNSPIVLSTTTTKLNNRATSAGTIINTTTALASQS NQKPATVATEAGSHGLPLGTQDEAMLSGNNIFEELKTVRSSSWQFELRQLEKNNRLLA EELQGLLSRRKKRKRKKGTGPLEKECISCHTRNTPEWRRGPSGHRDLCNSCGLRWAKQ NGRISPRKPSDQRDKTSSPASGKASPGSLTDEKAQSQVQAQEKADS TSTA_103560 MADLNDSSQLGSVSHANNNNNNNNNNNNNNNNNNNNNNNNNNNN NNNNNNNNNNNNNNNNNNSNSNSPGRTPKKFLRQHRPASPGKDSSSSPFIPPTNDFGE HSDVFTHNKPAKYPSSSRAGTIVDQPIQEEIELDGKDGEVHDGTGIPPEGQNNPASTD HELAERRQSLDFAAGQEDGNNDISAKDRKHSLFNKLRALAATPSFPSHSRSASVATAP IGTGIDNGPINHDLLTPGSERGEYPFPEAASDAGSEGDADAEESAGEQRLRLRRRRKT QRPRPTKELKEPHDSAPATPRPNATTPGIFSFNSFHPSEMTRPSLFLRRANTDMSGHL RDPVSEDEGRNRLNMDSQWRKRSNAWLSSARGLTYSGRTDTQNTNNTNNSANTDERRP MNFRRLTAFAGPSGDQEGIREVWRRHKAERGSSLSAQKWRQIKAGLKMIGQRRKADNT IDHAKSAELLAELTSGIPAALILASMFQRDEHGSKRIPILLEQLKVQITDSRFDSHSG DRHLVFRIELEYGSGMTRMKWIIHRTLRDFANLHLKYKLQIGTQKYIQLKTQESGHFL PRFPRSAFPYLRGVRGLDSEREEEDDDGGGYETGAEATSGNEARRKMKKRRSSFAITR RRSSTGVTLENDATAGEGAATATSERRSYSERQRKKLENYLQKMIRFLIFRPDSNRLC KFLELSALGVRLAAEGSYHGKEGFLIIQSAKGLDFRKALRPALLKNRHSPKWFLVRHS YVVCVDSPEEMNIYDVFLVDPYFKLQSQKLRLRDQKAKEIARTAKDSAAHPQHHTLRL ENSERKLRLLARNERQLHQFEDSIRFMVENTPWSKPNRFDSFAPVRKNCFAQWLVDGR DHMWLVSRAINQAKDVIYIHDWWLSPELYMRRPAAISQKWRLDRLLQRKAQEGVKVFV IMYRNINSAIPIDSEYSKFSLLDLHPNIFVQRSPNQFRQNTFFWAHHEKLCIVDHTLA FVGGIDLCFGRWDTPQHLLTDDKPTGFESPDGPKDTDHCQLWPGKDYSNPRVQDFYDL DKPYEEMYDRNVIPRMPWHDISMHVVGQPARDLTRHFVQRWNYILRQRKPTRPTPFLL PPPDFNPADLEALGLDGTCEVQILRSASSWSLGTPDVTEHSIMNAYVKLIEQSEHFVY IENQFFISTCEIDGRKIENLIGDALVERIIRAAHNKENWRAVVVIPLMPGFQNTVDSE GGTSVRLIMQCQYRSICRGETSIFGRLRAEGIEPEDYIQFFSLRTWGKIGPNKQLVTE QLYIHAKCMIVDDRAAIIGSANINERSMLGSRDSECAAIVRDTDMIWSTMDSKPYLVG RFPHTLRMRLMREHLGIDVDEILDHDLSAEATGRTRRDSEVDAASLDSQANGGVHNGE REKQDEREMIERRHRIQDEFLVRSEDMHSFNHDVDWEQGNNPNLKSSRKLTADPRVTE NAEHRNEVAGNGADHMKISLEAGVGFGRDSAILQDTTEVLVNDVAAEGKGTLSNPRKT HKPSEQEQLRKDSAKEAVLPPTPSQIEPALDVPETPFSRLQPLPQTNDADIGGPMLPH KEPNGVGGHPLASSIRQPFVDEHCMRDPVNETFYFDTWHKIAENNTKIYRTVFRCMPD SEVKSWKEYKEYTMYGEKFVEAQNQQIEARKSQSTGAVNNNQSAVSMETTVQPEAEKT EKTEGVTSNGESQTSVVDEKAALKETDISNDTIQQQQTRTTDIAEAENVPTPTEEINK EARTPSGSTPGPAPGSQPASVGYSGALNMNMTQPGSTTRRRRTATRGSRREFHASDGV IDLRHAEELLGMTQGHLIAWPYDWLEKEEQGGNWLYTLDQISPIEIYN TSTA_103570 MPSPISPLNSYNAPPTPTLSTQTFHIAGIQTTVYGLSELVSDVS EVVCIWLLHPRLDSQKDMAEVAKRIVHDHYQRYSDDLTAGKKRTKRGMIAVSFDARNH GSREVDRLANEVWRTGNENHASDMFSVYSGTAQDVSTLIDFLPAYIFPTSQHSITAHF ALGISLGGHTTWLTLVHEPRITTGIIIVGMPDYISLMTDRARLSKLSSWFQPSNEEPG SQFLGSRHFPSSLLEVVLKRDPAAFLMVSIPDDLPEEEYDVRAVGVINRYLGRKRILC LSGEDDKLVPYRFTESFMKWLMRHSISGSTAVMGGGKGPVKKADVYLEDTVYECTGHE FTEAMMSDALQFIHKSVLELDERNKAEMKSNL TSTA_103580 MAHQFHHPYTPYDIQLEFMSALYDCIEAGKVGIFESPTGTGKSL SLICGALTWLRDHKRKEFLKSIDGLSADDDEPDWMLEYSKKERTQALTQKWRELEERL ARIRKEEERRKKLAQNPHRPSKKQKTDIVASNDEDDSFFELDEYESDTETGKSVRIPG FSDIEGLSASTVALLDRFKGRVTGSKESENDNENQTRIYYCSRTHSQLSQFAQELRRV TLPSSLPPLQENADAEQKEHAELEEVIKHLTLGSRKQLCINPRVLNLGNATAINERCM ELQQSGVAADKKCSYLPRKEFEDVQLDFRDRVLSTVQDIEDITQVGKQLSICPYYAAR KVIDQCEIITLPYPLLLQRSSREALDLSLRDHVVIIDEAHNLMDAISNIHSVSVTLDQ LRTSLFQLTTYARKFQTRLKGKNRVYVTQVIRLVSALAENLQSLSQKHKSSEAVVQYS DLVSGKGVDQINPYKLTRYLQESKLARKVDGYVEHANNKNDKIASGKETRDKTTVPVL FQVQSFILTLMNPSDEGQLFLNKTDGGVILRYMLLDPTNHFRDIVDEARAVILAGGTM SPMSDYADHLFSYLSSAKLDTFSFGHVIPPKNLTARILAKGVLGNDFNFIFDQRTSDS MITDLGQTIANLCAVIPDGVVAFFPSYDYLNQVLNTWRKPSKTGGSIFAEIEKRKPIV YESNNQKKESNTDDVLLEYSKKVESGSGALLLSVVGGRLSEGINFSDKLGRGVLIVGL PFPNIHSAVWKAKIGHIEKQTFAKLTQNESELPVSKRREAEITAKAAGRDFFENSCMR AVNQCIGRAIRHRNDYAAIVLIDRRYETQRIQEKLPAWIRQSLVSSTSNIREGLRSFF KSHQ TSTA_103590 MSRPPQGRGQPRVGATFYPGGTDDYYVPEVISPSPQRVMPEVPE NMQDNLAHMEHEARSPRSFSNSSYQQSSYNQSQFPDRSSSMPSPGYNNNTFNTNNGAP SPSYNQSTYDSMDHPNFSPFPVLRNPPPNVPPTDEQKEANLEKGRVAVLSSNDPDMQL AWAQDALTYVEISMQNEARMAQIQPPRPHTPQIEHQLRMDAMNIVTFLAEQRHPHAEF IKGMWLEFGKFGFRVDKKEAFRSYSRAAEKGYARAEYRMGMQFESSNEPAKAIRHYEK GVSMRDSASYYRLGMMILLGQHGQRQDYEKGLEYIRLAAQTCDENAPQGAYVYGMLLA RELPQVTVPDQFLPVDINGARINIEKAAYHGFAKAQVKMGAAYELGQLGCEFDPALSL HYNALAARQGEPEAEMAISKWFLCGHEGLFEKNDEIAFTYAQRAAQNGLPTAEFALGY FYEVGIHVPVDIQEARRWYAKAAASGNKDAAGRIDSISRSKTLSRKDHEQVAIARIKS QYGSANRPRPSYGGAAQAAPMSPPQENLEMPDPSRMRISDDGYGAPYGANGQQGYGRG SSYGADRPSSAFGINPNIGSPGYGPGGPGLPASPAYGRVPSAGPGAMGYRQGGSGPNS PKLPPSQGDPYGSPRPDIGYSAPMDRRRLQRPETQGMGGPPDRRSGKTPDYNRPNPGA YPPRNQSMTPSSMPPGGAGRPSPGTSPRPSVGGAPPGGPMSAPPSSNPTPNPPAAAAP PPKKGPKTFEEMGVPQGKSESDCVSSFPLLI TSTA_103600 MADVSIGKQIVYHAIQQIESDDATGTDGPDPDQNNKDLEEKISI LKIREKDLREKLATLNAVIPISVLKDQISNLEEKKTLLISQISTLSAEMEESNSCVCK EDFDQIDQEWRKWHGQVTSRKRIFLEFWSSCTEVLPPDMTPEDLKETLGIEGSF TSTA_103610 MRTRNNTAAAAVAGQNNTTIPNGQGHGHARTLSGAAPFNEMAAR SPPNSSTKNTKHVPCKFFRQGACQAGPACPFLHSTDAAIDSAPCKYFTKGNCKFGAKC ALAHILPDGRRVNRPNYGQGGGSHLNLGGRVNPQAFQTQDSALTNSVLSQQRLNGQDG RYGGGYQYAGQGQEELLGVPQSHLHQQHQHQQQPTLEGLGLSTGENGLVADSKYGSPV DESRLQTSPRIGALTLRDVQLPASFDSQGISHVARYGPVAASVPSQFGLDLASPPVHR APSDALRNLRDTAFGSDLRKPTSNFGSSPPAILEDSFGNSRFLHSQRMAPRPKVLSAS VPRPTMLDDWDDNFAMEEDYLPVNLHDDVLTPQEKMRRLSRTEHELSSSQRDFSGFDR IAGTSATKVGSPLGSSPSRFGALFARQRQRKEEEQQGLTSSSFNHLHIGSPLRESSLH SFSNATNNNNTSSPSLRPIGSRPASGDISPFVSSPNTRQSSMSMISQQLHNMSLHPST TTTTASNPPRQPPSVNNLSNNSRTASSPMTFAPTRIDEEEQSDLVFSMEEEEKENNKR NSATWTDLNKQAAASSTSVSSGNTETNGF TSTA_103620 MATPEADVAGTTNSSAAEQPVQSIEQDADGKSRENKNSNGNKGD GSANPGDAAPSATGTATPTTAGPAQPAVNEVTPDQWRSMMDVVMAIYEFREEDNYDPS RLFHRSVNKRYVPDYYDIIKEPMALSILKQKINKREYTKFEQFVRDCALITHNAQTYN RPESQAYRDALVIKDVFIGEFKKLVDSGIITAEQAEMPDLGEIPEADPLPAEDEEDED DDDEDDEDEDSDDGDGRRRRRRGPRKKDDSQHQDQEVRKKRGRPPRVDTPMEARIKAV LKGLRKFKDNTGQLKVRHFERLPDKAMYPDYFMEIREPMAIDSIKRKSKRKKYNSVDH FMRDVDLMFNNAKSYNEPNSQIYKDAEDLQVEAHKLAEQEKRKPDSEYLMEDGRLPLP QGIVHNGELWKVGDWVHIQNPNDVTKPIVAQIYRTWQDSEGEKWVNACWYYRPEQTVH HFEKHFYPNEVVKTGQYRDHRIDEVIDRCFVMFFTRYNRGRPRGFPLDKEIYVCEARY NEEKHKLNKIKTWASCLPDEVREKDYEMDLYDVPRRIKKIPSPIKHLLPPNAKETDDV PKPTWGAEKAPPLVGAVHRRPRDENESPPPEPTPSPPPQPMHRPSLPASLPQQPSLPL PISRAPLQDSMSQSPMGTVGNIAPVRSPAVGAPLAMGNMPNVPVQPYQAQVPSPAPYY QPLQARAASYATPSAHPSTYQPTPVQQPVAAQPSPQAYTQASPYTANRYPAPTAAAPA PAAPVYNPNAPRPVEVFHLSDTANAAIPTEVRSQFHCDDHGRVLFFSTPPMDIVASTS TKKPLGHSLKYLAAKAAKEEKRKRRLEEEQQQQPVTKQARITLVPEKETLDPSRLKNL ISKTVSLLTQNITTGTEELYNSLYGNKKSEYQAADQRQLDHRVKTYTLMKQAATQLRT QTVNELAPKETDFRRGGVYLEDIN TSTA_103630 MRVRVRGPTGQNTITFDQSTTVADFTQLIKDNTGLTSFEPLRLE EYDPTQKIAAIGVNLNGEQLIVNSKQSTEPARVSQQQDRSASAPQQQQQKQPPSRVTP EEDTEPPEIPSPEHGGTVVLRIMPDDNSCLFRAVGGAIMGGMDTMTELRSIVAQTIQA QPDVYTDVVLERNADDYCRWIQSEDAWGGAIELGILSKHFDVEICSIDVQTLRVDHFN EGQRTRCFVVYSGIHYDMIAFSPSAPPYTHANASPDFDTRIFDAADPVIMEKALELCR ILQQRHYYTNTATFRIRCNTCGGMFVGEKGATEHATKTGHYDFGEAS TSTA_103640 MSDTSAVAEKGHDDSSKVKTFLSILRKFVGVADIASVRFSLPAQ LLEPTPNLEYWNYLDRPETFASIGTSDDELGRMLEVLRFWFTKDLKYIKGKPCKPYNS TLGEFFRAYWEVDNATAPVLPTSNPTNGAAGSTNSGSAKVCFLTEQTSHHPPVSAFYI DCPERGVSARGFDQISAKFTGTSIRVGPGQHNLGIFVTLANRDNEEYQLTHPAAHLSG LLRGSLYISVADSCYITCPKTRIKVILQYLEDGWVSKAHSRVEGVIFRYDPDNDTKTK MKDVPSNDVLARINGCWREQVYYTLTAAAASGSSSISSRSSSSTSETNKPQLLIDLVP LSIVSKSVPPEEKQLSNESRKFWSGVTSSILSKQFSQATKLKQEIEERQRSKAAEREA TAQKWKPRFFTDAVTPLGRPDLTDEGRRALEKMFKGDFELEESEVQGA TSTA_103650 MEDRIDFNINESLKYYLSDPATVPTPDAEPELYDCENETELLSS SLIDGVIDPIVDGVAANPEALMRPSFFDSLQVLLKCCTVLPSKSLSKILDLIVSGLAV EADIIHSDLEADEQEAVQQHKQLLEMYGFLLQWALSAVEVKAAEKPATAAPARRTGKS GKSKQSAKDGNWDWTPQIQISMETMCKVLKLKLGKIFLTTSDRDTFVNLFTRSVYLLF ENEQRVKNMAIRMHAFKVLCIAVKHHGHAFGAQTSIVQSLTYFEHLSEPMAEFLHILA EQYDYTQLGDEILRELANKEFNSNDTRGPKSVSAFIVKLSELAPRVVIKQMTLLAKQL DSESYTLRCAIIEVCGNLIADLSKEEERGDSSKSQINAFFDVLEERFLDINPYCRCRA IQVYMKLCDLDQKFPKRRQKAAELAARSLEDKSSNVRRNAIKLLARLVSTHPFSVMHG GQLAHKDWAARLEAVDAELNSLKPPPETPGLGETHIDSELLDDATQLPDDSPSKAPKM TDDERNAAMQKAAEEAATSELLARLQLTRKYYLEALRFIQVLHLASQNVCQLLSARNK SEVIEAMDFFVVIDAYKVETSRTGIRRMLRLIWTKGNSDEGKGVQTHLIDCYKGLFFD APDSFSSNDAANYIARNMISLTFGSTQAELTSLEQLLSTMMKSGHISEAVVAKLWQVY SVQRREISKAQRRGSIIVLGMLALADPDIVVRETEAMLRIGLGDLGRSDLVLARYTCV ALRRMIPGRQAKSKESGVAKLPNDHPVLAKLAAITEISSDSKEWYGVAEQAISAIYAL SKHPDVLCSDIIRRKTREVFQANSRQSASQTMLNEGQRPGTASSENSASGNKSSSSGL SQLLFIVGHIAIKQIVHLELCELDFKRRKAEQEKNKTASNDAQKNDQTDDNELDLIGG TTEDDFTEAMAHVRERELLYGESSLLTNFGPLVTEICANNNAYPDPNLQAAATLCMAK LMCVSSEYCEKNLPLLITIMERSEDPIVRSNAVIALGDMAVCFNHLIDENTDFLYRRL NDGDASVKRTCLMTLTFLILAGQVKVKGQLGEMAKCLEDDDKRIADLARMFFTELATK DNAVYNHFVDIFSLLSSEKNLEEGSLRRIIKFLAGFVEKEKHAKQLADKLAARLTRCE TERQWNDVAYALSLLQHKNEEITKAVSAGFRVVQAAA TSTA_103660 MNEGTLATATHPREQSDSFGRFRTPEIRPSEPWTEQKHNFQDDV NENDDEKHDKDEHNEDEDEEPVDPSVKEDMKRLEDTFAGISKRYRLINRIGEGTFSTV YKAEDILYDHYQNDWNTEDDPEENNWQIPPPKKRRLGDNVTEDLSSNGKKKKKPRYVA LKKIYVTSSPLRIQNELELLHDLRGSTSVCPLITAFRHQDQVVAVLPYFPHTDFRIQY RTFMVRDMRHYFQSLFTALAFVHKHGILHRDIKPTNFLYNPELRRGVLVDFGLAERQG SDYTGPCLCTNPAQVRQLRLQSSYYTNQSAHQQSGYPKNDPRPARRANRAGTRGFRAP EVLFKCTSQTTKIDMWSAGVILLTLLGRRFPFFNSADDVEALIEMASIFGNRRMKYAA ALHGQIFETNIPTIGEKGYGWEKLVIWSSCVEDLTESEQQGIKLLAGLMELNPNKRLS AKEALQHEFFTKPVEHDVEWGGELLDEEGESAAEEQPHEDAKDEVDILK TSTA_103670 MAWFCSGTNNTELIENLWSAGLIKNKRVKKAMLGVDRDHYAPSS PYSDSPQPIGYGATISAPHMHGHACEYLIDFLHPGSRVLDIGSGSGYLTHVIANLITD PSSPPTDADGHVIGIEHIQELVDLARENMGKSEDGRNFLKSGKVQFVCGDGRRGWPEG GPYDAIHVGAAAVELHAPLIEQLKAPGRMFIPVESEEGEDGLSHVGLGGGQYIWVVDK KADGTVVKEKVFGVSYVPLTDAPK TSTA_103680 MRLPTKQFAVLLACAGVGSSLQADIPADLPVASLISSAKSHLAT GSPRDALAYFDAAVARDPTNYLTIFQRGATYLSLGRDTQAIDDFNRVLELKPDFDGAL LQRSRIKSRSADWNGAKADLVKAGKKSSPEYVELEEAQTAEKAAEHAAAKEDWETCVS QSSVAIMKASVALNLRRLRSHCRFEKGDIQEALGDLAHILQISPGSVEPHLQISSTLF FALGDQERAIAQIRKCLHSDPDSKSCSRLFRKEKQYVKSLNKLKEFMEKRKFTNAINL LVGTKDESGMIDDVKGDVKEAREAGHIHPNAPDELYTSLVETTCEVYRAMNSKKAKTY CADILKLKPHSLHGLLYQAQTLIDEDEFERAINTLNTAKEHHQGSREVQELLQKAQVL LKRSKQKDYYKVLGVSRDADDRTIKRAYRQLTKQHHPDKAMSQGVTKEEAEKKMAAIN EAYEVLSDPELRARFDNGDDPNDPESGRGAPFQGHPFAGGRGGSGQQFFFQQGGSGGG AQFKFNGFPGGFPGGFPF TSTA_103690 MFLKNISICTRCLRNSRVLLGDQSPLLLQKRFQSSATSELSAAL LNRARSIAKEHEKLRGVLGENFDTKVAKRMGELAPVAQAVKDWDKTHETITELNSLIN DPETDSELRSLAIEDLEQTQTQLPIVSEKLKSALIPRHPFAALPCLLEIRPGAGGDEA GLFAYELLRMYQSFCSRKGLRTSIVKQDMEESTSNDRVTEAVVEIETPGSYDILRTEI GVHRVQRVPATEAKGRTHTSAVSVMILPSFPESGANEDNLNFEDPNSDYYVNPQEVRS EKMRASGAGGQHVNKTESAIRLTHIPTGLTVSMQDSRSQHANRKKAWQLLRAKLAERR REAREEEMVKLRRGAMGGVAKMGRGDKVRTYNFSQSRCTDHRSGITVHDLDSVLDGGH GLETVMDSVRTWLVDRDVQMMMIEEAEKENNSRSL TSTA_103700 MASNKDQDQRPKPSSFRSIIAGSTAGAVEVAITYPAEFAKTRTQ LNRRLPDAGKLPWPPFGKQWYAGCTTLIIGNSLKAGIRFVAFDKFKTLLQDENGNISG PRTVIAGFGAGFTESILAVTPFESIKTQLIDDRKSANPRMRGFLHGSKIIAQERGIRG FLQGLVPTTARQAANSAVRFSSYTTIKQFVQGYAAPGEKLGVLSTFAIGGAAGVITVY ATQPLDTVKTRMQSLEARRNYKNSFACFSQIIKNEGVLTLWSGALPRLARLILSGGIV FTMYEKTMEGLDKLDPERKYI TSTA_103710 MVDAVTSQLSNTKLGETEGSWKDQLKIPAKDNRTQTEDVTATKG LEFEDFYIKRELMMGIFEAGFEKPSPIQEETIPVALTGRDILARAKNGTGKTAAFIIP TLERINPKSTKTQALILVPTRELALQTSQVCKTLGKHLGINVMVTTGGTGLMDDIIRL NDTVHIIVGTPGRVLDLASKGVADLSECPTFVMDEADKLLSPEFTPVIEQLLSFHPKD RQVMLFSATFPMIVKSFKDKHMRNPYEINLMDELTLRGITQYYAFVEEKQKVHCLNTL FSKLQINQSIIFCNSTNRVELLAKKITELGYSCFYSHARMLQHNRNRVFHDFRNGVCR NLVCSDLLTRGIDIQAVNVVINFDFPKNAETYLHRIGRSGRFGHLGLAINLINWDDRF NLYKIEQELGTEIQPIPQSIDKKLYVYDSPDTIPRPIASGPQPGTEGQQRSEKQGYRQ GNHHPGGRYSNNNSSRGRGNYRGRGGQGQRRGGGYQNAHAHDGTKPQPTPAS TSTA_103720 MAPHKRTQADSDEDDASSNSSNATPSKRRRTSDSISISGKSDGS VITDQSEARDREETEAPFDEVDLEDEDTLEQQQTQFIRDKHHGDEPNIPADCGIIERV DCFNFMCHEHFSVDLGPLINFIVGKNGSGKSAILTALTLCLGAKASVTNRGQSLKSFI KEGKESATIIVRIKNQGDGAYMPNEYGKSIIVERSFSKSGTSGFKIKNESGKIMSTKK ADLDSITDYFNLQIDNPMNVLSQDMARQFLSSSSPAEKYKFFVKGVQLEQLDNDYRLI EESVDAIKEMLDSRKEDLKVLRAAKERAQRRMELSDQRATLRQRIKKLRSQMAWAQVE EQERIRDEIQEEVAKLDGQIAFAESETAIFDRKYEEAQRELETATELLNNTKEALSKA EEERNVLKEANAKEMAEHHNVRAEQRRIHESVKTLDSQIEDLKQHIAEENRRLADIAG GDFARRREEIVQRRAEAEEADQRLTQHQGGSRALQEAIHVAEQNVKQAVAPVQKQKKE IEQAENLLRSLSRDRGQTNSGFSEKMPQLLNAIAREKSFNQRPIGPVGHHVRLKKPEW SAVIEQSLNNTLNSFIVTSKRDMNILMQTMQKVQCVMPILIGSNGTIDTSANEPDPRF DTILSVLEIDEDIVRRQLIINHAIEQIVLIENVEEASKILFEGGRVRNVRRCLCIDAR DRRRGVTLSYGRTGEPSQAPIAPFTGRPRMRSDIDSQIRLQQDNIQALKRELNELQSK VSTSQAELQRCKDALAEHKAMENELRIEAQRISDATDALEDALEKDQVVDGRLETLQN TLNEREGEQKVAINSLDDAKAAIDTITDELKRQRKAMSAKDAEIRPLQENVRIAEQER IKVDEQRRAVLADKNQAYERVNDLKQEREARMADKGDLDTRVTRYIEQASLISPRVPV DEGETPESLDRKLDKIQKDIERYDKQMGASREEIAAELVKASKALKTAEAVFAENEKA EKELKRTVNYRRERWKNFRAHISSRAKAQFTYLLSERSFRGRLLMDHDAKLLDLQVEP DITKNSKGRGTKTLSGGEKSFSQICLLLSLWEAMGSPIRCLDEFDVYMDHINRKSSID MLMLAARRSIGRQFILITPGSRSDISLAPDVRVKELAEPERGQSTLTFRAS TSTA_103730 MQPKIIMPPALPLKHLSFTASKCSTTTPFFTTSSLRSCLHKHRL GSLTPRISLKPHLSRRFYYGHISDPKMAPQLEPFFKQVDDLSDSFIDRLRKAVAIPSV SAADEHRPDVFKMGQFLATELENLGAEVHQRPLGKQPGKEHLALPPVVIARYGNDPNK RTILVYGHYDVQPALKEDGWATEPFELTIDEKGRMYGRGSTDDKGPVLGWLNVIEAHK KAGIEFPVNLLCCFEGMEEYGSEGLDDFIQAEAKAFFKDTDAVCISDNYWLGTEKPCL TYGLRGCNYYSITISGPGQDLHSGVFGGSAHEPMTDLVTVLSKLVDSKGNILIPGLMD LVAPVTAEEEKLYPGIAYSMDDLHESLGSKTSIHATKERTLMARWRYPSLSIHGVEGA FSAPGAKTVIPAKVTGKFSIRTVPDMESEDVTRLVKDYINSEFAKLGSKNTLDVSLMH DGKWWVASPQHWNFTAAGKAVQQVFGVEPDMTREGGSIPVTLTFEQATGKNVLLLPMG SSTDAAHSINEKLDKGNYIQGTKLLGAYLHYVAEEPINA TSTA_103740 MLQAVGGTVPPNTDHAISVSLPTWKSNVGYEEGQDWVISRMQCG YPRFFVHPLIQSLAQEVLRRCGNADLEAATLFPSLRTATICRNFVVARIPPEESSKIR IVGFVPSPKADSDIRSHVNSKLFGVIYPKEYAPIAKQVWQHTGDGVQSRRSEFCSSAL QDGYLVEDTSIGPDGKPSIFSKGPRRYQQRTPTPKEHEHASKATESSDGQEFSAFVEE RFGRNLSATLAQNAKRAMRRRIAGCLTANVDLQEALESEASSGRIAGLTEEDVFLYPT GMSSIFNTHRTLLNAKGSLKSICFGFPYTDTLKILEKWGPGCLFYGHGSSEDLQDLEN RLEKGERFLALFTEFPGNPLLKSPDLKRISGLAKKYNFYVVVDETIGSFINVNVLQHA DVVASSLSKIFSGDSNVMGGSVVLNPQGRHYKLLKNAFTTDYEDNVWAEDAVFLERNS RDFISRIEKINKTTEFVTEILKVSPLVKEVHYPKYSSTRQFYDALRHPTGGYGGLFSI TFHTTKDAITFFDALEVMKGPSLGTNFTLSCPYTLLAHYGEADWASSFDVPFDLVRVS VGLEDPEDLGGCFQRALDARLRARPGFFGSTAQKTFISPVVSTRHFNTTSWWLPTHFF QFDSVPLSQKIALVFFLSGEWHSPILGPLNLGGLKFAYPDHSTPLTSYCNIAIEQIVQ LAINTLEKKMQSSLSAARQSLVSLPFLLPSWCELASISGRRYQSTYRRTKQRLRVKPD ASFEPTQGKLDSIIFNPPSSAPDATHTPNIFLPKSDIRRNLVQPEPTQIILPEELPWA TTAPKRQKYHLTVEDVKEIRRLRMSDPVEWSRWKLARKFDCSARFINMVCEPIPQEQK QEIHKKVLEAVKSRWGAKRRMAREDRELRKEAWAKDE TSTA_103750 MSDPSTKRRKLNGPASTHQGHDQNNSGYSSSENDDDRKVASSSK QVKQLKPQNRTDTANRFAEVGRATGLSKSSLFKLQTDELLAGLRPDYDKLVFTVQDNL RRIRDILQQVPERESKRADVAAKELRDKYHVTVPFPCHSSDDLAKYHVSFQPPISIDL VGSLCLRAGLQATETFNVDIAVTIPNTLFQPKDYRNYKYFQKRAFYIACIAASLREAK LPFSIQYSYQDGDLLRPIVVLEPTENAAENSPGQPICIRILTAVEDDLFPTARTLPSK NNVQASGAEDEAQSSEETKSSPFYNSALRFESMVIPYQKWLQNSLKKYTSMRDASLLG QTWLRQRGFASCIEKGGFGSFEWMLLLGLLFEGGGANGKPVLLPSYSSYQIFRAAIQF LAARDLMHPMSLFANDVEFPAGSPVFYDGKRGLNILYKMTIGSYKLLRHECSLTISLL NETRFDNFEKVFIFQNNDPMLKFDRLVSLSPPRQVADPLHSVYYQNHVYDILERSLGD RAKVICLWTDSTPPRALKSKSLNDTHPQQISIGLILDPENANRIVDHGPAAEMQEEAA AFRAFWGDKAELRRFRDGSILESLVWSDQGLSRHLKVPRHSIKCVGDGFDETLQQLAG PENQTAAMFQGIRDAFQSLQSSFQNMDDIPLQVRQLQPASPFLRSTAVISDPKDLGLT PVDINLQLESSAKWPDNLDAIQMTKVAFLVRIGEALKDNGDVHSFRVGLENESKLFMN RAFLDIRHTTGIQFRLRIHHEREATLLERKLKETGLSPHYKEEVGAALFEYKRTFIHS PRITQVIQTLSNRYPLLSPTVRLMKHWFNSQLLLSHVTEEFVELLAINIYVSSHPWTS PSSLMTGFYRTLTLLSKWNWQQEPLILDMGGLTAEDVKTIETRFLAWRNIDPAMKKVS MIVASNLDHDGVTWTLNEKPPKVVAGHITRLARAAVEVLKQQHGREEKTSLETLLFRP ALSPYDFVIHLNDPNKKSKKHSTQQFANLKGSASLSLSSEASSRDIMVSYLYELQTIF EHCILFFYSHEENVIAGLWKPTSTTSRPMNLKTAYSTRPVHGEDSSSVEVTLNKDSIL NEIARLGGSLVHNIDIKNV TSTA_103760 MNGTPQLRSAFPQSPQTLGRDGRRQTASPAVATPQNVNVTNASR PAQPGADGPLIPIDVIDAPSQRFYVAALYIALGAWRMYDSMGAADELDSTWLFLKWNF IDGVFLFGIQALRVPWLEWTFPTFLAIFLLHAAANVFLMFRIPIPLGAWGGILWKVFY DRELSISERSVKPGDIIHNASLILGKQIIHILPEGSAVLNPDGRPLCLNSQQTTVALP MRINQTSPILLEILRYDLSTGHNETISISHKQLKSMKKQAGESAADGHIDLYFPIKKT GIYRLARVLDESNLEVQIKASDMLVPACPRAVPKNTFVDKCKGDLSDINLEVEGVAPL KVKYSRRINGFDGGFSFQNVHAEGSLVPITSRKPSGILIDPKQPDTPFWAHTVNLRIA LNETLDASGEWVYTIEEVHDAQGNVANYSSYLDDTDRASLKGVLQWHQFSVHERPRLS MIGCNAQKFLQTAKGETAELPLHFHSTGRDYSDDAPFQVTYSYSNREETDSQSDVSKI RQISLKTAESRPKIKEPGWYTLESASSRFCRGEILEPSSCLLHNPPEPELSLRQEKLF DKCANNSVGLLVDLDMIGSPPFRLRYSIESDKGTKTFSEVISGLRGQLDFTPTEAGRY RYRFLDIADSVYGPQPLKDKVQVLEQDVKPQASAHLIGTHKPQRTCFGESATVGVSFI GEAPWILQYEVVHNGKKTKHEIESHESLATIVTEPLYSGGEHIISLTGVTDRLNCKRA LKDSTVVHVRPKKPQASFGFIEEKRTTQALEGKTVSLPLRLSGQAPWIVKYRNLDVNP GRIFEKKLWDENGAIPVSQNGVYELIEVFDATCPGNIEESASTFEIKWIPRPAVYVPG RTGVGENVSKRSVCQGDDDILELKLEGKAPFNVKYEHHERAGVKVRNLKSTSNVASLE LDTSKSGNHVYKFIGISDALYDADPKEQNQISISQTVNALPSARFESPGHIYAFCKED SDGDELIPIVLEGQPPFYLDISIKHHSSAKPEVVPVGPIHSHKHKLPIPRRHLELGQH VVSIHRVGDSLGCQRIFEKDLSSVRVVVSDVPTIIPLESQTDYCVGERLSFSLSGHAP FDVFYTFEGVKRKASSHTTTFRRIAEKPGVFTITAVSDGASGRCQAHKNITKVIHEMP SVRISRGRESIVDIHEGGEAEINFEFGGTPPFEFTYTRSSNARKGKPAEILDIKHDIS YEYKKTIKTSDEGTYEVVAIKDKYCSFSTQKASAKIAKQTKKSITN TSTA_103770 MRYRTKRRRLNSDASPIPETIIDKSSWNGFCEIESEPAFFNVML REFGVEGVKVQEVVSLDKEMIELLPKPVYGVIFLFRWHEDNPEKQEASCPDGLWFANQ TADNACASVALLNIVNNIDNISLGDTLASFKEFTMPFTPALRGDAIANFEFIKRIHNS FARSMDILNSDLSLKNEATASRSNRQNDNSASNEAAYHFIAFVPALGRAWKFDGLERQ PQDLASCTDGDWLEQVRSHLVTRMTEYEEDQIEFSVLSLVRDPLRDLTEQLARNVKSL QSIKHRLNESQIDDIKAVLGTHDFDGVLLEANLALGLTEQCLIDLKVPETENCSGDHD LPQEYVRLANNQQGLRRSILEEIQLRRDEDAFAEGKRHDYSSAMEYWARALAHKGVVK ELLS TSTA_103780 MDPETEFDTDIMILDYVCSRATHALLLTRIAELSDRPAHAHLDL LNIFDTWQLLVSHKHSATRQISIDLQAKLQLISFTTRFFARARKSKWRDDNSRQQPAK KGGTFSNTAYMTMLEILRIPREERLDDRFRVLSLMDMFQGFLELCATMIGGMAVPDED GIVEVLGKFIIQAVLEQYTLFGKTASDAIVEASSLLSRQNPIETTTKLLSTIQSSYLN LLHPPPVSSQQRESQETHLNRLAQQFPAFDFESMLIMKLQEFLFGLETPVLVKLETGE MDLYNEKNIV TSTA_103790 MASRTNLARLTRQNVLRSVHNVQKPLLSSRAYSAVALTSQRALL RPQRPVQFTRVQSPEFKLSLQQTRHYADTIVKVPEMAESITEGTLKQFSKQVGDFVER DEEIATIETDKIDVAVNAPESGTIKELLVNEEDTVTVGQPIVKLEPGSGDGAAAAEKP KDEPAPQKTEEKTETAPSKPETKEPAAPSKPEPVQEKKSEQPKPKPAESKKTEPEPSK PAQPGSREERRVKMNRMRLRIAERLKQSQNTAASLTTFNEVDMSSLMEFRKLYKDDVL KKTGVKLGFMSAFSRACVLAMKELPAVNASIEGPNGGDTIVYRDYVDISVAVATEKGL VTPVVRNAETMDMVGIEKAIADLGKKARDNKLTIEDMAGGTFTISNGGVFGSMMGTPI INLPQTAVLGLHAIKERAVVINGKVEIRPMMYLALTYDHRLLDGREAVTFLVKVKEYI EDPRRMLLG TSTA_103790 MASRTNLARLTRQNVLRSVHNVQKPLLSSRAYSAVALTSQRALL RPQRPVQFTRVQSPEFKLSLQQTRHYADTIVKVPEMAESITEGTLKQFSKQVGDFVER DEEIATIETDKIDVAVNAPESGTIKELLVNEEDTVTVGQPIVKLEPGSGDGAAAAEKP KDEPAPQKTEEKTETAPSKPETKEPAAPSKPEPVQEKKSEQPKPKPAESKKTEPEPSK PAQPGSREERRVKMNRMRLRIAERLKQSQNTAASLTTFNEVDMSSLMEFRKLYKDDVL KKTGVKLGFMSAFSRACVLAMKELPAVNASIEGPNGGDTIVYRDYVDISVAVATEKGL VTPVVRNAETMDMVGIEKAIADLGKKARDNKLTIEDMAGGTFTISNGGVFGSMMGTPI INLPQTAVLGLHAIKERAVVINGKVEIRPVCDILL TSTA_103800 MPLTPAASLYRRSLKLALDWAVHRHIWRGQAVYIRSLFDANKDV RDPRQQKLLLRETEKLLETWKHPDPYKSPTAPGGSKYERNLPARDLPYADAPGAH TSTA_103810 MTGGKSGGKASGSKNAQSRSSKAGLAFPVGRVHRLLRKGNYAQR VGAGAPVYLAAVLEYLAAEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGHV TIAQGGVLPNIHQNLLPKKTPKSGKGQSQEL TSTA_103820 MPPKAAEKKPSTGGKAPAGKAPAEKKEAGKKTATAASGEKKKRG KTRKETYSSYIYKVLKQVHPDTGISTRAMSILNSFVNDIFERVATEASKLASYNKKST ISSREIQTSVRLILPGELAKHAVSEGTKAVTKYSSSAK TSTA_103830 MNNIDLGRYKRMIQYFWDAEPKNEEGPGSKIWCLGNEYVTPEKD EFPAGSNSNINAGQTADSSRNTAPENIQIHDSKDHRPSKSDNQDPTTTDSAPTTTETA AGTESTKSLGWPKAFLDDFECRIWMTYRSGFPPIARSEDANAAQAMTLSVRLRSQLTE HHQGFTSDTGWGCMIRSGQSLLANALAISRLGRDWRRGSNSTEENRLLSLFADDPAAP FSIHKFVRHGALYCGKHPGEWFGPSATATCIQALSDEYKDAGMNVYVSSDNTYVYEDK FKAVAYNQSDRMRPTLILLGTRLGIDRITPVYRKGLEDLLKLPQALGIAGGRPSASHY FIGVQNSFFFYLDPHHTRPALPYKTGDLAYTQEEIDSCHTRRLRRIHIDDMDPSMLVG FLIRDENDWMDWKRRITSSRPENGKAIIHIVDTKNVPTPTMEREAALDEVEVLDDDED SELV TSTA_103840 MSGHEETHDPAAPSHSENTLFFIDSYGERPLNEPVKSNTRFSAR PRSNSDLNSSEDEVVFSGRQNQTARINSVVYDSRVQPQPSFSRTAVQVVDDDVQFLAP TPPDKRSATTDPRDPENLQDSYIGLASKRQARNKRKGRKNKRSIWDDDSVFADYVRNV ARNEKSTDSSSEDDDASEDEQGLTKEEKAKFRVSSTLEVVEESSMLISEEIEDDDSDE DDNEDSDDTDDSTMLDDIISQIRRGASFTELEAEWASSNDMSLDFFEGERHVDFDIVD MQQDGPLRKNKKKKGRRQMGFDLSDSELEIQLEQAWEKDRNKKKAKKREREQLRAEGL LGKNKKKLDRRGKDKGFGIEGLKIEVRTFLQSQSESLDLPPMKKQHRRVIHELANALM LKSQSRGKGNARFPVLYKTGRTPNFNGKHSVKLDKILAQPRFNLRQSTRMSVSERSSP GPKNIRRRQTTNMGASYLEGEVVGGSAPEIGAENKGRAMLEKMGWSSGTALGALNNKG ILQPVAHVVKNTRAGLG TSTA_103850 MGQSQSTSSNKDNSGQDTERRVDYYELLGIERDASEDDIKKAYR KKALELHPDRNYGKVEAATKLFAEVQCAYEVLSDPQERAWYDSHQHAELSDDGQAAGQ GQQPPSGGFKMTASNITSLVMNFNPHMEFSDSPSGFFGGLRDIFDQIATDEGIACRWE GSEPVDYPSFGGKHDSYDVVVRPFYAVWTSFSTKKSFAWKDKYKYGEAPDRRVRRLME KENKKMREDGIREYNDAVRALVAFVKKRDPRYKINIQTEAERQRMLRESAAAQAARSR AANQAKMQDHVVPEWARTHEQAGEDVEHEGQFYSSSESEVEHFECVVCNKIFKSQKQF EAHERSKKHIKAVKQLRREMLLEDEELNLEGDEEPEVVKEEEFEGEAIPANGVPDDEG DIAEAQTKDKIKDTTMEPLTSRSPSPSSSEDEDQDYVDLGDMKPEKTSLSSDDSDDDD LKSKPTTAKLGKAKQKRAKKLAAQQASSTDTQSKCANCQATFPSRTQLFSHLRETGHA QPVATAQSKQKKGKKSRK TSTA_103860 MPANLDDLPDEILHLILHYSDPTQCLALERTSRRFRGVTNEPLL WRQYCQSHFRYWDDKHDFDEKLRRPPSTVAWKELFAERYFTDWVTTKVLDDILSSQSG RINKAQIIIDHGYDAKDTLLRHSRASTDLDDYLARRYYSNAMLGCLQRNIAIPLWADL KENGKETPLERALGCFDLFVPQCGIDSLDQIQDMLDEIADNLSQQNPHIVNLAPRQRA LLIASYLLSNNYIGLRAGRHYHTLEHNFLGFALRDQGHNSLPLISATIYCAVAQRFGL NAQPCGFPYHVLVIISPAVGFDLDGVELLHGNPGSPMYMDPWRSDIEVPASDLQSQLN MLGNLTAPTSRFLGQTSTPDIVYRCGRNILNSLQNGPEESSTLDTELARYAGLWSMML STPQSSFNEVRRSLPWFMELFFKEFPWDISLVEQYVLSKLRDSIEVDHVSEGLRVMRT VDEIPKKLRHRGPEHQNVKYRVGQVFIHRRYEYQAVITGWDAECDANEEWMRRMGIDQ LRAGRKQSFYHALVEDRSIRYVAEENIQIITPTMSELSSGLLAIAGKHFKRWSEDERK FVSNIRDEYPDD TSTA_103860 MPANLDDLPDEILHLILHYSDPTQCLALERTSRRFRGVTNEPLL WRQYCQSHFRYWDDKHDFDEKLRRPPSTVAWKELFAERYFTDWVTTKVLDDILSSQSG RINKAQIIIDHGYDAKDTLLRHSRASTDLDDYLARRYYSNAMLGCLQRNIAIPLWADL KENGKETPLERALGCFDLFVPQCGIDSLDQIQDMLDEIADNLSQQNPHIVNLAPRQRA LLIASYLLSNNYIGLRAGRHYHTLEHNFLGFALRDQGHNSLPLISATIYCAVAQRFGL NAQPCGFPYHVLVIISPAVGFDLDGVELLHGNPGSPMYMDPWRSDIEVPASDLQSQLN MLGNLTAPTSRFLGQTSTPDIVYRCGRNILNSLQNGPEESSTLDTELARYAGLWSMML STPQSSFNEVRRSLPWFMELFFKEFPWDISLVEQYVLSKLRDSIEVDHVSEGLRVMRT VDEIPKKLRHRGPEHQNVKYRVGQVFIHRRYEYQAVITGWDAECDANEEWMRRMGIDQ LRAGRKQSFYHALLVYSPCCAWNGLC TSTA_103870 MNSTVFSTSPETYWSHYEEVSKYNVHLNVFEKLWEAWYAYMQND VLATGIMSFVMHELVYFGRSLPWIIIDTFGLFRKYKIQPNKIPTLKEQWECARLVLLS HFTVELPQIWLFHPMAQYFGMATSVPFPSLWTMAYQIAIFFVMEDTWHYFSHRAFHWG PLYRGIHKIHHQYSAPFGLAAEYASPIEVMVLGFGTIGCPIVWCAFTGDLHILTMYIW IVLRLFQAIDAHSGYEFPWSLHHFLPFWAGADHHDVHHEKFVGNFASSFRWWDFVLDT EYTPESVKRWREKKAEKAAKKAN TSTA_103880 MEESLQRSNQDSKKHDNPVELTLQSQWATPSITQILPVTLRHGD LHAQPGEQPLDDREWASRDNKVLNRLGQRLAKHLAQRLNRDPSGGIERTEQCELQGFP GSIRVLDKEEALTEANQQRAGTTFWSDGSRLDTGRAGAGVTLQAVPEGPWEHVEVPMG HGHEVFDAELVGVATALEWALERQFLGPIWVFLDAQNAIDRLRSTRPGPGQALVLRAH RAAEKLALRGQPVTIQWVPGHSGIEGNEQADQAAKRAASKQTAPGFEHLSLAHVRRAC TEARRAAVSEWAQINAVQGRHRDGCVYKMPRGWNLDPVAGKAPKRVASRYYQLKTGHA PIGTYLYRIGQRESPECQACKEPHETVRHVLFECRGRRAGRRTLYQALKKAGVPLPTA AEENPEARLFAEPRATQGLLQFVAEANLFNDKERTAREAESSDAWGWDTLEEGGLGVT LEDE TSTA_103890 MDKLSHLPKKDKLGLGIYQAIRRIVAALLGDKGALQAAKDTWNE LAKTQPRLTDSTSTEEVEREAEWIERTLTEVLNKYCKLIRVCARSKRWWNSEIEAERS AYSKARKAYQAGEISEEEHREARKSFYSLVSRTKRECWEGFLQGTSEGSLPDQKRCWT ALRYTKLQTQGTTPALTDEASGEVIAATFSEKEEVFRHRAFPQAPNSNIESQLPEPGS AHKLVNEEAVKNALFSQGLEKAPGTDLLNFRAIRLLWNLDLERVVSLVKQCLRLGIHP RIWKIAKGVLLRKNGKTNYTLASAYRVISLLKCLGKVTEKLVAELITNFAEAQDLFHD GQFGGRRQRSAIDAVACLVEEIHQAWANGKLAAALFMDIKGAFDHVVLARLIEVLREA SVDGDLIRWVVSFLSDRRVTPVIDGHIGKEASISSGLPQGSPVSPILFVLYVHGLSRA IERSVPEIRCLSFVDDQGLITAASSVKEACRTLEKAAEVAIE TSTA_103900 MKEAHREAKQNADDIVELQGERDHGQGGSEEGTLRPTFTPKSTK LPKGAKLSDGINPTFKS TSTA_103910 MRTHRLENKYAVRGYDGKTATPITHAIIFTLIVNGYRQLDVPFL IINLGKHNMILGRIWFAKHNILVDYAKKRLLWPEEVSLKDEIIIKGYTPEEKLEKEEI RKKLPAYLKDFVDVFSKHESDKLPLYRPYDYKIELENPNKLSYNPLYKMSGDKLEAAR EYIINNLNKGFLEPSSSSTICSTDYHGIEAGRRIAENMVEYQIHIKMVLERLRATRLQ AAIHKCEFSVE TSTA_103920 MTDAEFNYDIHNKELLAIVLVLKEWRAELVGLQRSERFEILSDH MALRYFIMTKRLTARQARWCELHRPGKENTLVDALIRRESTSVDRKKGRMQLMLPKKC LGPSPVKDSLSVVEEEEGGEDNNKPPNQGSVELSPMDTTIDVISRVIAANTRSPEYEQ FRELARTGDKNWTLHEEVLLFKDQVFVPDEGDLRAQLLDKIYCQLSTAHPGKNKMKQL V TSTA_103930 MQIYDVFTLEKLRCVSSTKPLRGQILERAKPVEINKKILDSKMR WNKLHYQVKWLGYDPNPRWYLAANFKNAPRQLKSFHNRYPEKPGPPVNLQRWIEAAER DEFVNDDRKDNAIEELEPSA TSTA_103940 MRAASAPAEDSLVMGLIEDLNGHLQEAVHQLSAELTTARNVINT QQGLITTLNARLESLETYVNALQSRQILPLDPFTATREVAAHGPPPRAASTGGLASTP IQLDAAPESRAINSTAPQPQPQYQNPTKATKQAVQPPEGPKKYKKQALAPSKALSTTN LKPVSTRSKEERRLIFRRRYPKDAPTALKADILLALNRALAKAGFPDFVRAVDSGYAA SGALTVLLERGTRSSTLVPVYNDTLLAAVRQTDPAVISVEISEQWHRVKVQAVPVDRY MYNDQGLALAQEEIELGTPYRLKREPTWLKRAKTIQASNQRFATIVITHLIGSQILRV SAPDAAESATPASWLVVAGLLDARSAQVTMRQ TSTA_103950 PSHRSASERGAMIVLQHNCACTGAVVHTALEAALQAGAGMACLQ EPPVRGKYQISHPGFLLYWPEGPREHARVVTAIRRDLVRDLVVEARTDLANHPYFMVV DVLEQGRRTRIVNCYDNWLGARHTYLGESLLTRRALSDLDWGPILEGRCLVLGDFNAH SPMWNTPIDQRVNARSLEDLIM TSTA_103960 MIWASWEPPVTAITEPTRKEVTGWQIEALLGDKEALQAAKDTWN ELAKTQPRLTDSTSTEEVEREAERSAYARARKAYQAGEISEEEHREARKAFYSLIRRT KRECWEGFLQGTSEGSLPDQKRCWTALRYTKLQTQSTTPALTDEASGEVIAAIFSEKE EVFRHRAFPQALNSNIELQLPEPGSAHKLVNEEAVKNALFSQGLEKAPGTDLLNFRAI RLLWNLDSERVVSLVKQCLRLGIHPRIWKIAKGVLLRKNGKTNYTLASAYRVISLLKC LGKVIEKLVAELITNFAEAQDLFHDGQFGGRRQRSAIDAVACLVEEIHQAWANRKLAA ALFMDIKGAFDHVVLARLIEVLREASVDGDLILWVVSFLSNRRVTLVIDGHIGKEASI SSGLPQGSPVSPILFVLYVHGLSRAIERSVLEIRCLSFVDDQGLITAASSVKEACRTL EKAAEVAIEWGVTNRVQFDRKKTEAVTYDQSNQVIFTI TSTA_103970 MLTALHAAKEKLSQYYAMTDEIDSDLYAIGTIISPQQKLQFFRR KEWHDLKTDWHGQYRKSLEDYLEVYKWRLSDIQLVSKVQSSATVILELEVFCEPEESS GLHHSSSSHCDELTQYLKSTLASLAWDVLSIPATGAGVERLFNSTRDVCHYRRGSLKP ETIQDIMMFICTSKLEIEEDQRVLINAYLSYEEIQAVKEEKDTQADEFEPISDKDEAE DEDQDEDVVEDYYYYY TSTA_103980 MAVTGYYLDQEWEYQEILLGFEPLSRTHSGINLGEVVLKILHQH QITNRVLAITTDNASNNNTMISSIQESIQSLELNNNSNIIRVPCIAHVIQLSLKDLLG QMKANPKNEMVEMQWSEDRIGPIHATNQRRMIVDTLNKVRNLAVYINASPQRWEAFCN LQAEGPKLVPIQDVRTRWNSTFLMLRRAKKLQSAFDKFCSQYNQLILH TSTA_103990 MAQFSDIYSSSAYEGDTLDPFYFSFSNETQSSTPDPTGSQGTLD SGSDSGFLTPLPILSIPDILTRVGPGRTKTFVLYSDMSKDEFVAWWLNTEFGKKKKIN WSYQHIASCWSQFDQVADGKTGKPGAMCNQCFKVLEHPAYCRSGTSSLNKHISGPTCR KSSSKKPNIKQLLAKAGHNALSEPKVFTQEVWERKLLRLLAISRLPFQFIEHPEFHEV ISLSRLAPIHPVIPSAKTIRSRLRDFVKEQQQGLPQKLPQDAKLSLAIDCWTSPF TSTA_104000 MRMSKAYETTMNDLVLVQKENRDLRAAHGKEKQKRQKSKKQISI EHGITGEEAQALVQDQVEASQAVTTAPGEPELPASQAVFIVRTQPYWL TSTA_104010 MELQLPERGSAHKLVNEEVVKNALFSQGLEKAPGTDLLNFRAIR LLWNLDSERVVSLTRQCLRLGIHPRVWKTAKGVLLRKNGKTNYTLASKVIEKLVAELI TNFAEAQDLFHDGQFGGHRQRSAIDAVACLVEEIHQAWANRKLAAALFMDIEGAFDHV ILAKLVEVLREASVDGDLIHWVISFLSDRRVTLVIDGHVGKEVPISSGLPQGSPVSPI LFVLYVHGLSRAIERSVPEVRCLSFVDDQGLVTAASSVKEACRILEKAAEVAIEWGVA NGVQFDRKKTEAAFFYRRHRRQVAQNVSRARIRVGGELATVKSTVRWLGILLDSQLTW KSHYNARIKTARNTIIRLNSLCRANGLPPALVRRIQKATVQAQLLWGAEIWWQGQKTW TQRIQILINKQARGITGMFPKTPIGALIREAALEPATVLMDARVARYTARLLALPDTH PTAQILPVTLRHGDLHAQPGEQPLDDREWASRDNKVLNRLGQRLAKHLAQRLNRDPSG GIERTEQCELQGFPGSIRVLDKEEALTEANQQHAGTTFWSDGSRLDTGRAGAGVTLQA VPEGPWEHVEVPMGHGHEVFDAELVGVATALKWALERQPLGPIWVLLDAQNAIDRLRS TRPGPGQALVLRAHKAAEKLALRGQPVTIQWVPGHSGIEGNEQADQAAKRAASKQTAP GFEHLSLAHVRRACTEARRAAVSEWAQINAVQGRHRDGRVYKMPRGWNLDPVAGKAPK RLASRYYQLKTGHAPIGTYLYRIGQRESPECQACKEPHETVRHVLFECRGRRAGRRTL YQALKKAGVPLPTAAEENPEARLFAEPRATQGLLQFVAEANLFNDNERTAREAESSDA WGWDTLEEGGLGVTLEDE TSTA_104020 MRAASAPAEDSLVMGLIEDLNGHLQEAVHQLSAELTTARNVINT QQGLITTLNARLESLETYVNALQSRQILPLDPFTATREVAAHGPPPRAASTGGLASTP IQLDAAPESRAINSTAPQPQPRYQNPTKATKQAIQPPEGPKKVPGTAAKTTKQPETTA KPLTKPAPTKWAAIAANNTQSRGWKTVQYKKQASAPSKALSITDLKPVSTRSKEERHL IFRRQYPKDAPTALKADVLLALNRALAKAGFPDFVRAVDSGYAASGALTVLLERGTRS STLVPAYNDTLLAAAVPVDRYMYNDQGLGLAQEEIELGTPYRLKREPTWLKRAKTIQA SNQRFATIVITVGSLEEARTLINKGIKFGGRHHRVAPYWESNPESICPRCCGIGHSGF MACGGKPPKCAICAGDHEAIEHSCTVVDCRVGPAKPCKHTVIRCANCKGAHEATSPKC PRAREARQRAIRRMREQSLQDLIPLDETFAVVPPKPVLTLEERPGQSLEEEMSTPEED ELLPEMQLEADIHEGNSQQPLEPELKSATEAPQSEDL TSTA_104030 MPPIRKKDPLKSTQDEGKIELAISDLKNGRIRSIREAARIYMVA RTTLQDRMKGVPYRQITRANNHKLSQSEEDSLVKWVLDLTKRGLPPRHFLVRDMANYL LSQHGDQRVGDKWVYNLVQRRPEIESKFSRKYNYERAKCEDPKIIQGHFDRVRDIISE YGILPEDIYNFDETGFAMGLCATAKVITGSDRYAQPKLLQPGNREWVTAIEATNSTGW ALPSYMIFKAKKNVRLGWFDELPDDWRINISDNGWTTDQIGLEWLKTHFIPLTSSRTL GTYSMLILDGHGSHLTAEFDRTCTDHKIIPVSNDALLVWKENRDLRAAHEKEKQKRKR SNKQISIEQGITREEAQVLVQGQVEASQAVTTTPAEPELPTSQAVVRRQFRCSDCNVE GHRINRCPNRTSN TSTA_104050 MAETVQPLDEFETKTGNRIFKTCSTCRTRKQDNRVFKRKSHEAE VPEPPVQETHVPHFMRPTTSSPRCLAPQRISSQQFQQQFQEQGTQQSAASSPAPSHHR RGFQFHRAARRASEDVPPTQELDVYLARQ TSTA_104060 MTTSEDVLRPLIPSPSSEIPQASPSLHRTASSVSILSSNPDPLA IFGSRVSTSVMKSTPTGFTLAKYKRRSSQTTSNRRIANVIDICQYGQNASIPLEDQYK VCVSCKCDVPTSAFFDDKSQEHAHCNLCRVSSLTHETNCSYVPPSSDVRSNDIPLRAS THAHEQSEQDPLYVPGNPDALIQPVLTENDWNYVNDFHESLDKQRLEYCHRCYERWFN LWLNYQGICDRCVRADKGKDVRLFSAANNLHSGQMPDLPELSQTEERSCVNFLRDTAH VYDILPLLPRHLEVILLRPVNAETDLRLQRQFIHGFRVRRDHFVNYRDITISQEAIYI LPQDSSVVDDTFSQIIDPVKIGPNDVSNKIEIPEHCAVPDLIAWEDEIQRLENNSVPS SHVESIWKFR TSTA_104070 MALDSDDKLRELQPLRAKSNGPHESQVFDAEAEAALAGAQAAIA YPTAQFATNLWICLDNLEVAIRLLSPSTGSSQEIFESFRTLAAAWPLRKRLPHTKSGS IQIRWVPGHAKIPENEAADLAAKEGAASIPPAPHKSSYASLKRYAKTQSLSAAQSQWE KVAPQSYQDLEITTSPKRPGELQLNRLDLGHVIAARTGHGDFADYHERFNHDDAYLLC RCGARKAPLHFFFCHIAKRRAPRPPGPPSEVISFLLGTAKGAQKLATWLAETHFFEDI CPRQPLLST TSTA_104080 MGVKKKVRKFAQVKRAITLRDSRLKPQEAEKNKKKDDNVVREIP QVSSSLFFQYNTALTPPYSVLVDTNFLSHSVQHKLEVIPTMMDCLYAKCIPVITDCVL AELEKLGQKYRLALRIAKDPRFERIKCDHRGTYADDCLVDRVIKHRVYIVATNDRDLK RRIRKIPGVPIMNVARGKYVIERLPDAPEK TSTA_104090 MGIPYLTRHLASFSKSTLLGTGHDPSCETIKSVVIDGPGLVHYV HSVLLSQASNSLNQVERQPSCDEVSVAVMTYLVLLQVSSVNILKVYFDGALPLNKRET RLSRLEASRKQLETFCLSHREGFTWCPIERQFPAINPLRLFQDGHIPIKRSLLPGNPF MVSAVAEDLKSRWTCSEMHSYLPDSLNGIYETTDSRLPWSDIVEIIPGEADGFCAALA AQNPGTAILTSDSDLFLFDLGPESAIIFFDTVRMEHSEDKTDPKIESPVTIRAMKYCP ASIADRLGIPALPYLAHELNQSPRSRLAELVRRAKIASDLNPKTPTYLTFLEEYDLKY LLQYDPSEAQILQHLDTRISELFVQCFWTAKFQDKHQAPRIYLPFLVEDHSRKCAWAE SIRIRRLAYSLLDLNHSSPCSPSDGPKYLTVTECVRRGRRFCFDDIDLHSAEKGEIEK EAAYLLDGLESFRRQYHLHHHTAYETPIFWRTYALYEIRNDWFATYHNPPAFQNHIAL KTFLGFNRGTDYNTIEWDDIHALAQLQAVLYSLRMVYQIIQGLTLDHRGALMRLRVLL ARLPPLHVLMRPFHEVRQELYGFEPKPTTIAKYPS TSTA_104100 MPSLTGDGFDLPVSKRQRIAQPQLLAREKKESQSRIFSHFRTLG LVSPTTVPFTSVRLGKSTYQITTSVGRVLQTYDLKRGLGLVFASQPRTPGLITATFAW RNYVFAAWGGLRPGEESGVWVFQRGKRIAELESVPHGTGPISRLLVFGSWVVGCGESR IQVWKNTSFEHYTSLVPEVARGASDLPVFTGHVCNMPTYLNKIFVGRYDGTVELWNIS SGKLLHRFSPVTANDDAVTAMEATPVLSLIAIAYRSGTVVLRNVDTDEVLLSLNASTS KSYPITSISFRTDGLGAGEDGRKAGVMATASVYSGDVTLWDLNNGGKKTSVLRSAHDM TAQSSDSGVNKIEFLDGQPVLVSSGRDNALKTWIFDDSPFSPIPRPLHSRGGHAAAIC SLRFLPSVSDGSDSIGKWLLSASRDRSLWAFSLRKDSQNVELSQGKVKATAKKRGISS AAEAAEELKAPEITSIACSLNRDPGMGASSQQVWTNSRDLKKHPQGENGWESVVTAHR GDKYARTWYWGRKKAGRWALETSDGTEVKSVAISNCGTFAAVGSSGGIIDIYNLQSGQ RRQRFPPLPSSKNKISKIASSGHTKAVTGLAIDNLNRNVVSCGLDGKLKFWDFTSGNL IAELDWAPMAAITGLQFSSINELAALSCDDLSIRVVDLETKKVVRELWGCRGQINDFC FSNDGRWIIAASMDSIIRVWDLPTGHLVDIFRVPATCTALAFSGTGEFLATAHADEVG VHIWNNKSLFTNFRPIHIDEAALLSNVTSVTSTESGSGIVDTALTAEADDEGEDKTTI SFEQLDRDMMTLSIVPRATWQTLINLDIVRARNKPKEPAKAPVKAPFFLPSLRDDQST APIAGIEDQKETAPAPISKSLLSQQDSPVSKLLRSGSSNKNFSPFVDYFKSLSPGKVD LEIRSLNVQLLPDGYCELLSFVDALTERLRSKKDFELVNAWMAVLLKVHSDLICGDGD LSTVSSDEGLVDALRTALMGWKKEQENEAKRLSELMGYCRGIIGFLRSSR TSTA_104110 MSENASGQPQQTLATTINDGTATASPSPQSSSAPSATNREDRLF PSALITNDEPRQTYISDRIKSSSTSSVNELGVGDNAAQTPTNSASVQPESKRMSFASL RSLGSVSIAGSVSGAHDAAASNEDSQKTNAGYTRSSVSSADYPASFRSGHALSGLNHT PIGLSSIRSDAPSEGRRLSRPTRSSSRAPRRLSGSTAASSASEAEPRPPYVGRIGVCA LDVKARSKPSQNILTRLQSKGDLDVIVFGDKVILDEAVENWPICDFLISFFSDGFPLD KAIAYAKLRKPFCVNDLLMQKILWDRRLCLKVLDQMGIPTPMRVEVNRDGGPVLESAE LAHHLYQLTGVKLQGPEDGVGGGAVKSQSISLSEDGETLIVDGQTIRKPFVEKPVSGE DHNIHIYFPKDQQYGGGGRRLFRKVGNKSSEYDPNLVVPRSVTEKDTSYLYEQFLRVD NAEDVKAYTVGPDFCHAETRKSPVVDGVVRRNTHGKELRYITNLTKEEAAMAAKISNG FGQRICGFDMLRVGDKSYVIDVNGWSFVKDNNDYYDKCAKILREMFLNEKRRRDRNFE QSEPPSPDTVSFKRGVSGSHRSAFKTILKSPSMSRIHGSPHTFKDHFMASDVVSNPTS APAGDMPKPSMSQSREELSLLPASGSPSVTHSPALSISNEEEVVPLPASKHSWKLKGM VAVVRHADRTPKQKFKFTFHSQPFVDLLRGHQEEVVIKGESALASVAEAVRVSMKQGL EDMDKLKLLKISLEKKGPWPGTKVQIKPMFRKRRPEEMSGATAGTTSTQTKDAPLPED PTTPSQEVLSDGEKLVRSQTRSDSISGATFSRFSAAENDLILDKLQLVIKWGGEPTHA ARYQAQDLGMTMRDDLKLMNKEALNDVRIFTSSEPRVSTSAQIWACSFLDEKELPEDF IQVRKDLLDDSNAAKDVMDKVKKKLKLLLREGSAPSQFTWPKDDFPEPSVVLATVVQL MQFHRDVMRYNFRRLARSDDADVSGTPHKQTESPSVESIQGRWCTGEDAALFKERWEK LFAEFCDTEKVDPSKISELYDSMKFDALHNRQFLEWVFTPPEGFKAKEQLQGLPSQQA AENDIFGEKADTSTLVERIGFKKRALSIVESRRFGRLEDTYDNYFKLHGNPNSKRKKS DERLAKLRELYKLAKVLFDYITPQEYGIKDSEKLEIGLLTSLPLLREIVRDLEEVQAS SDAKSFFYFTKESHIYTLLNCILEGGIQTKIKRSAIPELDYLSQICFELYEAKDSETD TFSYSIRISISPGCHTFDPLDVQLDSRHAIGCAPRRSLTAHQDWKEVIETLKEKFDTV KLPKSFIAVNLSDKHFDRGEGVMG TSTA_104120 MLITPRTYQLSQEDTDRWNRTSDNVHLSPRFHTLLGWRADKAEA IQAYKMLLVHQSGYVRVGEVVRYTLTYTPSLDQILPTPTELHVKIKNTSAIPLRAAYL HGPYTLYTSCYPSDFDPNQQYHDSEIRGIPQYEPYLKAGGSWTASIPVPEETRLTVPG ARHANYSSSNVGSDSDEEARRRSITWIIEITSQVIFSSSAAVHFELLVGRDQKSLEFG INGSLSSSGIPSPAHINDHQSLRSVAHGDSPFIVTKGVFSKSVTLVFDDLKSLWNRPE CSAFKEREEINSKLIASSNKSRSRHPIPAADLSPRLEHHAGSNKKRRIHLVILTHGLH SNLGADMLYLKESIDAAAEEAKKAKKKSGLSDTNYTGRGSEISGKKEDDEEVIVRGFP GNVTRTERGIQYLGKRLAKYVLLMTYPDQPYLPVKQRKQKNNAIASPEISIHNDPWAD RPSDCQVTSISFIGHSLGGLIQTYAIAYIQKHSPEFFERIKPINFVALATPFLGLSNE NPVYVRFALDLGLVGKTGQDLGLSWMSPKVRSRWDAIISKFAGNSGPSQQQQAPASKP LLRILPAGPAHQVLQRFRNRTVYSNVVNDGIVPLRTSCLLFLDWRGLDRVQKARRGNG LVGTMAEWGWAELTGANSVSPTTHHHPHHHRRSHSNLSVGDGTQHQTVEGISTPTSHG QVSPGLHPTQSPTQGHLLGDSPLHTEPEPMSPVSTSSNSRELPPPSPNPFNNLFGMFQ SKQSRSSSSSKKSTKIIRRSQTLAPSGESEHNMNSVESYPPIVRGNSLYDDEDLSAPP RTTIFESAGDVLRPPLPPTEFIIDPTSRPRTIFHDRIYHPEDIPPPVLKKRGTIFSGS RGSNNDTDVDVDQVAAQGYKGSGLRVEEKIARAYHQGLSWRKVLVRLEPDAHNNIIVR RMFANAYGWPVIKHLVDNHFGDTYSARTEDALESNRERAKPLDFRVTDSGEETFGQTN TESPQQLPFRQHASLPPDIDAQEHALETSEYDGTATRPADKGSPASRKSNDSAKWTDR YFSGGDSASDAQSDHEQSI TSTA_104130 MSESIDLKEIHDVLIDIAAEAGKVITSSLPTINSTDSKKNSSDL VTEYDKAVESMVSQRLKAKYPDYDFHGEETYSPDHPLTDKPTFIVDPIDGTTNFVHGF PSSCISLGFAINRKPVVGVVYNAFTSTLYSAIKGQGAFLNHTTPLPLKQGDKLEALDG LDKALIALEWGSERSGPNWETKLQTFASLGKTRENGGAMVHSIRSLGSAALNICAVAS GVLDLYWEGGCWAWDVCAGWIILEEAGGIMVGGNKGDWDPAIDGRAYTAVRPSPGGKG QKELVEELWRHVHGRLEY TSTA_104140 MSSRTHSGGHRSSTRRGHGDHSSRAEMNEENHESSRTHCRTGVQ PAPQHTRQHRPSWMRFGGRTEPLVLLTTVKKSQRPALHTKTSSQGRGSASKQNNPDSS KDTSTRPSHTAQESSLKSSTESTTRKKQKQGPTSSLLSFFFSNKHTHQAEPVKQVKPV KKYASTYGTPFSLRDMLMSKDRVTCISCLADDIPATRAAKLACSHRMCYSCLRRLFTL SITDPQQHMPPRCCTQAHVPNKFQKKWKSKYKEYTTKDRYYCAAEYCGKWIKPSEIVK DSAGKPRYGKCSRCKTKICCLCRGEWHKNQEECPKDENIRKLEEMAKENGWQRCYSCS AIVELVHGCNHMTCRCKAQFCMKCAKPWKTCECPLFNDHPDREEGDRIFGDDAGWVDE DDDDFYVRFPPRPEAVWRRQEYLARQYIFAHRALDFPRNLRMRMHDQEALNRILGVA TSTA_104150 MPTELEELVEFLGSPNPQIRQIAAANLTVFSSSQPTLFKRQNLQ PIKDLKILVLDHPTIAKDALTMLINLSADKQILRFLADDDGFIEILISKISNKKEPNA DDIAMLLANLAKADSFSRIISFTRKKADDVSTSTNALNQLLDCFVKGAEGNLNKTANY DYLAYVFADLSKTEEGRKFFTTKQDYDGVIPVTKLLVFTEHMSDIRRRGVAWTIKNVC FDVQSHPMLINEEDDEGANLLPYILLPIMGPEEYPDDESSEMLPDLQLLPPDKRRESD HQIILAHLETILLLTTTREARDKMRKVQVYPIIRECHLGVESEEVREGCDRLVQVLMR GEEGEVNEAEQEAIQRAGVHTLLGQQKRSITEAVEEDDEEDEQIVDILA TSTA_104160 MATPTDHKASRVPTEGAQMMKIRRPRAADPLVRPKKRPLPGRPA ANPAAAKGAPALKPTTATPLGTKTATETPPTRLPRPNVRDDMTVNGFSGPQLSNIVTD YPLVTTKRALREGLKHHVAKFISKKSIDPRDEQQFTRPVRLQRRDPRAKPPDPDAVKR EDEKPIPYAMRDMTEAERVEFEAKKAAREKEREENLAQIAPSTATSQKQKNLPKPKTQ QVMKADMTAEEIAKARIKYEEALPWHMEDFDNKNTWMGNYEAALSETYAMFVLEPTGK MRMVPIDKWYRFSARNAFKTLTIEEAEKFMSKKIKDPRWFMEKQQEVVRQKELEAYAK QRKVYRGREVSTTGLDGDDMDFEEDRFADDEEVDAGLFEEDEDTKAAEQRIKQDQLKA NVFDLKEEKDYDEEELREKKEREARKVLGKKVRKALQRREKNYDYSSGSDVNPYSDEE SSDEDSEAENEKEEDKKTEDEKALAKEKEKEKESGASTKASTPSGRPKHTDALKKTSG IGRKRIGSPNLSDASGTDTSRKKPKHAPPHSVPSPSGLSQTNKKRNRVGGPGSGSDIE GGAISGADLSDAGKAKKLKLNLSRAGTPLGSRSGSPAAPLRAGTPEGGSNLKGPLRTS TPRPSVSSTSTFPTPAEIHAAIPASGITSRDLLKIFNTRIGDSKENHRRFIAIVKDVG IYGKEDRLLRPGVLRE TSTA_104170 MYGTSTGPQTGINTPRSSQSLRPLILTHGSLEFSFLVPTSLHFQ AAQLKDTFNASLPPPTDDLAQDDEPSSVLELVARYVGHVAQEVDEGDQDSHPTNLEVL KVVLNEFERAFMRGNDVHAVAATLSGISAKKISVVKAYYAARAAAGRSTKPYDSALFR AAGDERASVYTVFGGQGNIEEYFDELREVYTTYPAFVEDLISSSAELLQSLSRDPDAV KLYPKGLDILQWLHDRDSQPDTDYLVSAPVSLPLIGLVQLAHYMVTCKVLGRQPGELL ERISGTTGHSQGVVTAAAIAAAKTWEDFAKAARDAITLLFWIGLRSQQAYPRTSLAPS TLQDSIENGEGTPTPMLSIRDLQRAAVQEHIDATNQHLPQDRHIAISLVNSARNFVVT GPPISLYGLNLRLRKVKAPTGLDQNRVPFTQRKTRFVNRFLPITSPFHSPYLASAYDQ ILEDVEGVEISGKSLVVPVFDTKTGEDLRAVGDKNIIPELVRMITRDPVNWEQATVFP KATHIVDFGPGGISGLGVLTNRNKDGTGVRVILGGALDGTNAEVGYKPELFDRDEHSV KFAVDWVKEHGPRLVKNSVGQTYVDTKMSRLLGVPPVMVAGMTPTTVPWDFIAATMNA GYHIELAGGGYYNARTMTEALTKIEKAIPPGRGITVNLIYVNPRAMGWQIPLIGKLRA DGVPIEGLTIGAGVPSIEVANEYIETLGIKHISFKPGSSDAIQQVINIAKANPSFPVI LQWTGGRGGGHHSFEDFHQPILAMYGRIRKCSNIVLVAGSGFGGSEDTYPYLTGTWSS KFGYPPMPFDGCMFGSRMMTAKEAHTSLNAKKAIAAAPGVGDEEWEQTYQKPTGGVIT VLSEMGEPIHKLATRGVLFWSELDKKIFSLDKAKRVPELKKNRDWIIKKLNDDFQKVW FGRNSAGETVDLEDMTYTEVVHRMVELMYVKHESRWIDESLKKLTGDFIRRVEERFTS TEGQASLLQNYSELNQPYPTVDKILSTYPEASTQLINAQDVQHFLLLCQRRGQKPVPF VPALDENFEFWFKKDSLWQSEDLEAVYGQDVGRTAILQGPMAVRYVTKVDEPIKEILD NIHNGHVQYLIRDIYAGKEEDVPVIEYFGGQPLKPLDEDLEIDGLTVSQDGNKTTYRL SNSPSATLPELDTWMQILAGRNYSWRHAFFTTEVFVQGQRFETNPLKRLLAPTRGLYV EIVSPEDPERTVISVREPSQSSKLVKTVEIKLVGPKEIALTMFEGRTAEGGVIPLTFR FTYHPETGYAPIREVMENRNDRIKEFYYRAWFGERNVPFDTPITAIFDGGRETITAQA VADFVHAVGNTGEAFVNRPGRVTYAPMDFAIVVGWKAITKPIFPRRIDGDLLKLVHLS NGFRMVPGAEPLKVGDVLDTTAQINAVINQDSGKMVEVCGTIKREGKPIMHVTSQFLY RGTYDDYENTFQRKDEVPMQVHLTSTKDIAVLRSKEWFRLDEPDVELLGQTLTFRLQS LVRFKNKTVFSSVQTIGQVLLELPTKEIIQVASVDYEAGLSHGNPVIDYLERNGSSIE QPVNFENPIPLSGKTPLELRAPASNENYARVSGDYNPIHVSRVFSSYAKLPGTITHGM YSSAAVRSLVETWAAENNIGRVRSFHVNLVGMVLPNDAIAVKLEHTGMIAGRKIVKVE VRNKDTDEMALQGEAEVEQPVSSYVFTGQGSQEQGMGMDLYASSEVAKEVWDRADKHF LENYGFSIIDIVKNNPKELTIYFGGPRGKAIRQNYMSMTFETVNADGSIKSEKIFKEV DENTNSYTYRSPAGLLSATQFTQPALTLMEKASFEDMRSKGLVQRDSSFAGHSLGEYS ALAALAEVMPIESLVSVVFYRGLTMQVAVERDEQGRSNYSMCAVNPSRISKTFNEQAL QYCVENISEVTGWLLEIVNYNVANMQYVAAGDLRALDTLTNLLNVLKAQKIDIQALMQ QMSLEDVKEHLVQIIHECVKQTEAKPKPITLERGFATIPLRGIDVPFHSTFLRSGVKP FRSFLLKKINKTTIDPSKLIGKYIPNVTARPFEITKEYFEDVYRLTGSPRIANVLANW DKYEDPKEAVAATRAPAS TSTA_104180 MRPEVEQELAHTLLVELLAYQFASPVRWIETQDVILAEKRTERI VEIGPADTLGGMAKRTLASKYEAYDAATSVQRQILCYNKDAKEIYYDVDPIEDEPESP EPSADSGSAAPAAAAAAPVAAPVAAAPSAGPAAMVEDVPVSATDIVRTLVAQKLKKGL ADIPVNKAIKDLVGGKSTLQNEILGDLGKEFGSTPEKPEDTPLDELGASMQATFNGQL GKQSSSLVARFVSSKMPGGFNITAVRKYLETRWGLGPGRQDGALLLALTAEPASRLGS DADAKAYLDGIANKYAAHAGISLSSPSAGGDSSGGAGGGMMMDPAAIDALTKDQRALF KQQLEAIAHYLKMDLRAGDKAHIASLESQKALQAQLDLWQVEHGDVYASGIAPSFDSL KARIYDSSWNWARQDALSMYYDIIFGKLRVVDREIVSQCIRIMNRSNPLLLDFMQYHI DNCPTERGETYQLAKELGEQLIENCKEVLGHAPVYKDVAIPTGPQTTIDARGNISYAE VPRASVRKLEHYVKQMAEGGPISEYSNRTKVQNDLKNVYKLIRKQHRLSKTSQLQFNA LYKDVLRALSMNESQIMPQENGHAKKGRLSKPNGTLRPGKVETIPFLHLKKKDDHGWE YSKKLTGIYLDGLESAARQGITFQGKNALMTGAGAGSIGADVLQGLISGGAKVVVTTS RFSREVTEYYQSMYARYGARGSQLVVVPFNQGSKQDVEALVDYIYDSKKGLGWDLDYI VPFAAIPENGREIDSIDSKSELAHRIMLTNLLRLLGCIKTQKQAHGFETRPAQVILPL SPNHGTFGNDGLYSESKLALETLFNRWHSESWASYLTICGAVIGWTRGTGLMSANNMT AEGVEKLGVRTFSQQEMAFNLLGLMAPAIVNLCQTEPVFADLNGGLQFIPDLKDLMSR LRSEIMETSAVRQAVIKENAIENKVVNGEDSEALYKKVVTEPRANLKYPFPELPSWDE EIKPLHEQLKGMVNLDKVVVVTGFAEVGPWGNSRTRWEMEAYGKFSLEGCVEMAWMMG LIKNHNGPLKGKPYSGWVDSKTGEPVDDKDVKAKYEKYILEHSGIRLIEPELFNGYDP NKKQLLQEVVIEADLEPFETSKETAEEFKREHGDKAEIFEIPESGEYLVRLKKGANLM IPKALQFDRLVAGQIPTGWDAKRYGVPDDIIDQVDPVTLYVLVSTAEALLSSGITDPY EFYKYVHLSEVGNCIGSGVGGTSALRGMYKDRYLDKPVQKDILQESFVNTMAAWVNML LLSSTGPIKSPVGACATSVESLDIGYDTIVEGKARICLVGGFDDFQEEGSYEFANMGA TSNAKEEFKRGRTPQDMSRPTSTTRNGFMESQGCGVQVLMTAQLALDMGVPIYGIVAM SSTATDKIGRSVPAPGQGVLTVARENPGNFPSPLLDIKYRRRQLELRRQQIKQWEESE LLYLQEEAQALKSQSSESFKVSEYMQERAEHIQREAIRQEKEAQSSLGNDFWRRDPRI APLRGALATWGLTIDDLGVASFHGTSTVANDKNESDVICRQLTHLGRKKGNAVLGIFQ KYLTGHPKGAAGAWMMNGCLQVLNTGLVPGNRNADNVDKVMEKFDLIVYPSRTLKTDG IKAFSVTSFGFGQKGAQAIGVHPKYLYATLDKAQFQSYRNKVEARQKKAYRYFHNGMI NNTLFRAKSKAPYEDNIQSKVFLNPDYRVSLNTKTSEFTYPAVFSPAPATPVVESDKT RKIVESLAAATAGPNSQVGVDVEDVEAINIENDTFIERNFTEREQEYCRKAPSPQASF AGKWSAKEAVFKSLGVQSKGAGAPLKDIEIINDTNGVPVVTLHGSAAEAAKLAGIKSV NVSISHSDSQAIAVAVSKL TSTA_104190 MARTTRTDSLLSGVDTIDTRSILSMQELDPSPVDDDPPDIETGD YFPEPANTNGEQARSFGISRIGLKSHSWDYWLSAIQRYSTYPPTVFFALHAINTSLIP LATRSIPSSDSFLLLTRPIYQSPSLEPIMVALPIVAHIASGIALRSIRARRRAKMYGA EQRNQRYLIKSWPVPSLQAKLGYAMIPLVGLHVGVNRVIPLEIDGGSSSVGLGYVAHG FARSPVFWNLFYILFVAASVWHLVGGLATWMGVRVTTARMERGSTSKTGILGETREET ARRRKNKWLVHGIAGITAAIWLAGALGILSTCKFDMKWPVRPKYFFLFDDSDLITPRQ SVECYGRPMKRILPRFYTSFRSAIESITPTVQIQYPKLLLLRNYRSTGAMTSTSNEAP ASAPTESPKTIDLNNKKYEPVKEGLAYILKPISDKPPNLKPRGSGFEQQASVFYNPIQ QFNRDLSVLAIKAFANHHVALVREQNLNRLNRRKKGKEGKKRKREEGEEDDVDVDGEE VMKKHHGEGDEGQRRAADEVVTGFVPPEAGADAQKSASTKPVNFQILDALSATGLRAL RYAKEISTATKIVSNDLSAAAVEAIKLNIKYNQVENIVRPNVGDARAYMYSLQGETHT NDGTGKKFHVIDLDPYGTAAPFLDAAVQAVNDGGMLCVTCTDAAVFASTGYPEKTYAL YGGIPCRLPHGHEIGLRLILHAVASSAARYGLAVEPLLSLSIDYYARVFVRIHKSPIN VKALASTTMLVFNCDSGCGAWSTQYLAGTKVKQGKKDGQIFHNYVLAQAPTTSPRCEH CGFKTHLAGPMWGGPLHNPHFIQRVLDLVPESDPNVYHTLDRIEGMLTTALEEDLDLS PPFESDETTAKETTEELKPEEESAIIPRMSPHLKEKFPFFVSLSALAKVLHAQTIPTD AFRGALHGLGYRTTRSHTRPNSVRTDAPWDVLWEIMREWVRQWCPPSKDVNGEKYKPG TPAAGIMRNDRSHFDGSNPLNVLKKEVQTALEEGKDLADLSMRIEAALYRKGRTSPPS AEPTTTTTTTAENKTEERPHPSTLTIKFDESKGKKFSTEMGRGRKKVVRYQMNPELNW GPMSKATGH TSTA_104200 MMAPRGFTNPAPKTDSARSALNSFTCTLCNKSYSRHPEYEAHIG SYDHQHRKRLQDLKQLSRDPNAAERARRAERKADAEAGLLRVDNNDISSSVAATTTTT TAAGGSGGGGFKKGGFKSSFTTVKGPATSAASTTAPVRKNVLGGDDDDDVDMNGTNMP RPSSTATTDSKRLDAGVESDTDEEYQTGDAETAYYDPLKPTGCFDGCTGLKTVSV TSTA_104210 MAAPRFLRPAGRLLQSRIASPISRPAFRLPAQSISYRRTYASEA SKEVTVRDALNEALAEELEANEKVFVMGEEVAQYNGAYKVTRGLLDRFGPKRVIDTPI TEAGFTGLATGAALAGLHPVCEFMTFNFAMQAIDHIINSAAKTHYMSGGIQPCNITFR GPNGFAAGVAAQHSQDYSAWYGAIPGLKVVAPYSAEDAKGLLKASIRDPNPVVFLENE LLYGQAFSVSEEFRSSDFVLPIGKAKIERPGKDLTIVSLSRTVGLCLQAAAELKEKYG VDAEVINLRSVKPLDVETIIKSLKKTGRFMAVESGFPMYGVSSELLAVAMEYGFDYLT APAVRVTGADVPTPYAAKLEEMSFPQTDTIVGQAAKLLRL TSTA_104220 MIARVLPLVALVTLSVHAAQPGSASPLPAKLRDITWGKLNFLHT TDTHGWLAGHLQEPSYSADWGDYISFAARMREIAESRDSDLLVIDTGDRVEGNGLYDA SDPKGKYLYDILKQQHIDLLSSGNHELYKKNTSEAEYLITVPSFADSYIASNIDILDP NSGELVPLGPRFRKLTTKKKGYRIMAFGFLFDFTGNYNNTKVQRVEDTVKETWFQQAI RDEDVDLFIVLGHVPVRSIEYEAVHKEIRNVRGNITIQFFGGHFHIRDYARYDAQSSA LASGRFMETVGFLSIDDVKSSTPNFSRRYIDNNLFSYYHHTGLNKSTFPTEHGQNVSQ MIQEARHALDLDYVHGCAPTDFWVDRTPYPGNNSIYTWLETEVLPQSLQNPSRQGKPA LAIVNTGAIRFDIFKGPFTQDSTFIVSPFTSGFKYIKDVPLSTAKRIVTLLNGASKIL TTTNEQPHGRYCPLAPPQQCARQASDGVESTTRNPIMPQQHAQAVISDSDVEPFPGYT TIDDAGDDGDDTVHSHISFYQVPNVIQTLIPPLDHSSSGEPTTVDLVYLDFIEPYIAL AAKFSGFPVNLPADSALYMDGTMTELIQTWIKKNWKCT TSTA_104230 MFTPRTLRPSAPCIRQATSSYRSSIESVRAPFIRSKVQGGYQAG AAAQRFVSAYGYTQAKVIVYPKYGEPKDVLQLHKHSIGPPSGSQVNLRLLATPLNPAD INQIQGVYPAKPTFTNILGTTEPSAVAGNEGAFEVLSTGPQVQSLKKGDWVIMKRTGQ GTWRTHAQLEESQLIKVDKEGLTALQVGTVSVNPVTAYRMIKDFCDWDWMRSGEEWLI QNGANSGVGRAAIQLARVWGIKTLNVIRQRENPEETEALKKDLLDLGATAVVTEEELL SKEFRGIVKQLTKDGKEPIRLALNCVGGENAAAMAKVLAPDSHLVTYGAMSRKPVALP SGLLIFKNLVFDGFWVSRWGDKNSQAKENTIKDIFELTRAGKFKDIPVQEVKWTYDKE PKELVEAVQDTLSGFRSGKTIFVYEGDD TSTA_104240 MSLLREAEKCIANQKLFTELNAFITPLQSLGSYADRIRDADVRR ENGTLKSPLDGRLIAIKDNICTRSLPTTCASRMLEKFTSPFDATVINSLENAGAIIGG KTNLDEFGMGSHSTHSHFGPVKNYFDIVNGDGSERSLSAGGSSGGSAVAVATDQCYAA LGTDTGGSVRLPAAYTGIVGFKPSYGLISRWGVIAYANSLDTVGILAKRTSIVREVFN ILNHHDARDPTNLSTSTRSRIATAVSQLHSSSRLSSRPLRIGVPIEYNLTEMTSDVRK VWLSTLQTLEKQGHTLHPISLPSTKHALSAYYILAPAEASSNLAKYDGIRYGTRAEGP DKGEEPNDYLYARTRGEGFGDEVRRRILLGSFSLSADAMDNYFIQAQRVRRLVQNDFD NVFALKNPLGDDAEVENRAPSPAETKVDVIIGPTTASLPPSLASVTEDQDSAVSAPLH SYVTDVCTVPASLAGLPAISVPVPAPTGKSLGDGKTGFDKVGIQIIGQYGDDNLVLRV AELLEVN TSTA_104250 MASTQYGIGSSAASSGINSPAPSVPNMTDTQAPKASPAPSVPTT TGEQRSKRNKRDSRKKREAKGLDTDVTALPPRKKAALAAAASGRPSTLLSMLRPALLA EPRTKSSNVIGQSWDFYEVVDKLTNKNGFRYSYAISDPKFTNIKYRQTDVAPYHARFS FEDSPAAIAFTKDGLAVTTTDAWHSARANVCAREGAYYYEAKVISGIVKDPQPNGSEG NTSPASSRGHVRLGFARREAELDVNVGVDCYGYGIRDVNGEVINRMRCEHFFDKGESI AEGDVIGLLITLPPLSVHKKVAEGTYDPSADKQPTKYPTVTNFIRDRVPFHLKSDFCW QQSNIYPSKQLRDYAFNLKETPTFGPPSPFNSEDASLRTLPGSSITIFKNGVKMGTPF KELFAFLPPASRLTNGTNNLGIGERENADDGMIGYFPTVSCYGGGAVECRFEPPWWFG PPPVVETDDSKDEEHKNEEVTVVKPFGERFNDQIVEDIVADIVDEIEAMFLWGSETTD TDLAMRGANNAGAAVAKQNGKHHALLSPGNTSASAATVPTVISTEFVDGSSSTVVPAT GQRSVDVVQEGVGAVYEAAMLATDAPPTVTVNVGTEEQGTSNDINGNGDHMSGLVTGT VLGSVGNGHDEDVEMT TSTA_104260 MPKATTPSRSTARRHNPLAEDILSNGHLRTTSKSGKKRSRKNDD DDDAENGGRSIGEGYIDAKASRKILQIGQDLAEEEAAEQQKLLDETGAGQQHNKAFDF SARFGDENDYISEDEEKFEEEDWEDEDLDKGEVDPNDLDMFNKFIPAGDQDPIFDPRP NEEGEEGSGVNLADLILQKIAAYEANQSSDGQQVIKGGGAPEDAVQIPAKALEVYEKV GMILSRYKSGPLPKPFKILPTLPQWPTLLDITRPDSWTPNAVYAGTRIFISSKPAIAQ QFINMVLLERVRDEIHETRKLNVHVYNALKKALYKPACFFKGLLFPLIASGICTLREA HIVSSVIARVSIPVLHSAAALLRLCEIAAEQTSASLSSEGTGATNIFIRVFLEKKYAL PYKVIDALVFHFLRFRAMDNTENDHMMIDGAHNSAAASMNYKLPVLWHQSLLVFAQRY RNDITEDQREALLDLLLVRGHKDIGPEVRRELLAGRGRGVVVPSADSVAAAAAGDDTM DVEM TSTA_104270 MENLMSPMEMLRDTTPMDVPQLTISPADTSLDASPPAEVKVEET KTEEKKPVKKRKSWGQELPTPKTNLPPRKRAKTEDEKEQRRIERVLRNRAAAQTSRER KRLEVEKLEGEKQRMEQQNQFLLQRLAQMEAENNRLNRQVAQLSAEIRGSRGTTPMTT IDTASPTLTPTLFKQEGEDFTMDKIPFPTPSISDYSPSLKLEDLAESSDMTQHPAAVL CDLQCQSKESKDLPLPTHSSLKAFQMVLLQLLFLTMTSAAYSTVILPLSQILNSMKAG SPLTFSTEETYRHLPLIHWLISTPTLSSSSTRSSTPRSVFRMRVLTRLLACSPALARP LRDATGKALQLAVEAATLRQTRSEVGDVVETTTPSWESLLTMAWAIDSIRLGRYKVTR AGRDKRVLKSQHKIMKRTSMRSFRSYGKWASSEAS TSTA_104280 MPVRDPIFEARTNVKLHSNRLKKEALRAEATYKSEKAKADKAMK NREFQIARIHAGSAVREKKRQVNLKAEAARADVIINELKAAQSTRDTSRTLALASRGL DAASKSVNLEHLLAHANNFLARSEDFKIASSAISDVAQGVSQQEYGAEGESEVDKLME QLADDAGVDLRNALDAVTAAAPKEDVKEHANKHADAEVEDALGARLRALRAEG TSTA_104290 MLIPILQELGLISLWHSSLDTKLLCSQRFVRLFAYGGSTLILAS YLSTLGISDDRIGLFMTLTLVGDVVISFFLTLFADRLGRKAVLLLGSAMMCGSGVAFA WSGNYWVLLLAAILGVISPNGNEIGPFRAVEESTIAHLTAKEHMSDIYAWYSLIGTAG TALGMMVCGWVMSILQETKRWDFIPACRVVFMLYAAVGAVKFVLTMGLSSNVEAGKKE QPDNAGTSNETDPLLGSSQIDETVVTPKQKRLFFLPGVEAQFVGLVTSLFLLFALDSF GSALASLSWVTYYFRRKFNMTDGELGSLFSGTSVIQAISMLLASSIAKRFGNVKTMVF THLPSGLFLALMSVPNSLPIAMIFLIGRACLQNMDVAPRSAFLAQALPAEQRTAIMGT INVVKTTSASLAPLLTGVLSSRGALGVSFVVAGCLKISYDLGMLITFGGMDREHQRKA QVEAEADA TSTA_104300 MKAAVILSTASLAAAFVIPGNDQQLLSALSQYADASSVVQESVS KLESSKESIGHYYFDSNDEYDNEHDGYLAGSAGNDGTLEKWYGLAELVDGLQKSVEQF EEIDLEWLERSDFEEKHFKGKHHKAKHGDDEVDAFDIPACGHHRPGGLLSKTMAQLKH LLGFPAPIFQNDGYHPHHPHHGGHHDHSDFTIYELISKSNHTHIFTHLINKYDDVVKL LNSTSGKKHTVFVPVDSAFKNIHHPHHNISKEAILHLLEYYISPEVFSAPDFFNVQTV PTLRQEETKSKFPQRISTSLTRKGLTLNFHSHVIRPDIYATNGIIHAIDNLLLPAFYS KTIVELVPSVFSTLDLALMKTGLIDEFDPSTPTIGGTFFAPTNDAFAKLPLEVNAFLF SPAGQKYLKALLKYHLIPGHTVFTDAYYKAKSDDDDDEEDSLVAKKHFDLPTYLDKKP ISIDIRNFHRLALITVNKHTPVAVANVPVKEGVIHLVPSVLIPPHKHDHGASTTEGGY DGDHGISVDELMGRLEPYLE TSTA_104300 MKAAVILSTASLAAAFVIPGNDQQLLSALSQYADASSVVQESVS KLESSKESIGHYYFDSNDEYDNEHDGYLAGSAGNDGTLEKWYGLAELVDGLQKSVEQF EEIDLEWLERSDFEEKHFKGKHHKAKHGDDEVDAFDIPACGHHRPGGLLSKTMAQLKH LLGFPAPIFQNDGYHPHHPHHGGHHDHSDFTIYELISKSNHTHIFTHLINKYDDVVKL LNSTSGKKHTVFVPVDSAFKNIHHPHHNISKEAILHLLEYYISPEVFSAPDFFNVQTV PTLRQEETKSKFPQRISTSLTRKGLTLNFHSHVIRPDIYATNGIIHAIDNLLLPAFYS KTIVELVPSVFSTLDLALMKTGLIDEFDPSTPTIGGTFFAPTNDAFAKLPLEVNAFLF SPAGQKYLKALLKYHLIPGHTVFTDAYYKAKSDDDDDEEDSLVAKKHFDLPTYLDKKP ISIDIRNFHRLALITVNKHTPVAVANVPVKEGVIHLVPSVLIPPHKHDHGASTTEGGY DGDHGISVDELMGRLEPYLE TSTA_104310 MPQFKDEHVLLIAPGSQTTLAQLGLPESFTPASHRFPTRMFPAE KKGEYEPFKIREKKKPATSTSQNTNGQEKPDVEMTEAPKQEEGAETANDSTEEKNPGT SNAAPAEGTNGNGGAETNSEEAEPKEETFLEEDIISDEGAIYPIQNGHIVDWPCFLAL LEHVYNTLSPPFHTPVLIISEPAWTARDREILTQFIFEKFKPPAFCLMDSALAACYAY GTVTATVVDVGYGKANVTAVLESVVQDQGRGIALEGCGGETMTDRLEELLKPKGFTRE MCEQLKRSNITEILPPGTPLPGSTGTSSNQNGANPAAAASTGSSEANNASKLPRGPGR GTQTENNENGDDDEGVLDVAAIITSGNTTEFLAKREKEKAVSGPGKKGGADANKPTRL PNSKRETATFQFEEFVRIEDSVQYVRQRREIEVGPERFLLASPGKDRMERGSGGILED LAAQIHHTILAVPDAAKRSDLWDNLIIIGNGSRIRGFVPALLSTITQKYILSPSAGIF TSEIPSNISTPMATGTTTPANLGSQTPNPLPYPAAHGVNPLLVAATHANTPGMQENQA YLAMSSMHRSTGHSQTPTSVKTVKPPDYFPEWKDQGGSNAPGATGAGGTNTTSANPAG APRPGMEESVFLGAQIAAKIVFVNDSQGQYKGYLPRAEYNDNGPSAIHEWRSKHVPHP NIYHRNSYKSNFSTCKPPNNPKNNKMPRAEAGSTKAISNKLKSRGLTRLRWYCQPCEK ACRDENGFKCHVQSESHVRQMLLIGENSKAAIDKYSAEFLKEFIDLLRTSHGEKKVHI NHFYQQVISNKSHTHMNATKWTSLTQFAAYLGREGIARVEETEKGLFISWIDNRPETL RRREAVMKKERQDRGDEEREQRQIQEQVERARKAELAKAAADKSAGAGAGSSGEESEE KTAGILERKEGEKVKLSFGAKPAMPTSSGEDKAEEKSEEKPEGPAKTAISFSSSTSKP KNVFSLAKPNAVKPSNAFASVKKSTFVPQQQKISQQEMIMRKEMEAMEQKKRKFGGNV FDAGKKQRVS TSTA_104320 MGSSTHSEPTDLPTPPPTSPKVVVGYDLPTWRKYLILFVISWLT LVVTFSSTSMLIATPEIASDLSTTPEILDVTNAGVLVAMGLSSLIWSPLSDLFGRRKI YDVAIFFMFVPSIGAAVAPNLAAFTAMRLVGGLTGTYFMVAGQTVITDIFEPLYRGQA TGFFMVGSVAGPALGPCIGGVIVTFSHWRDIYWLQAAMSGFGLVLSIIVIPSIKREVE VVHVAGAQKLSLYKTLQKFNPLGVFRQLLSPNILLADVTCGCLAVTQYGILTSVRHVI NPRFNLTTPLISGIFYIAPGAGFFVGSIVGGRLSDRTVRRYIAKRNGIRLPGDRLNSG LIGLFVILPISLLLLGWGLQEGIGGLALPIVAAFWAGVGLMGTFNALNTYTAEVNPAK KAEVICTKYIVQYLFGAGSSAAIVPLIDAIGVGWSFTFLVALDFIGGGMVLLITRVWT KVPNE TSTA_104330 MISNSRRTAALPWEHWPVNNKESPSIDHFPPAAYTAHIESSYQR MSLAATQKPLRDFLSALQTSDDQVYDVACSFSHVFRDLAANNDTDESFSPVPITRLPI GKERGRYLAVDVGITTLKVAFIELLGGENDENGNGSRIGSRPRSMSNVIRQVRHSRVR RTLEKAWRIEERLKQDQPHELFLWIGNCIAEVVKDDLLSNAGLNVEQPAFIETGIAFG LPIKQDSLEAATLMQTGKGFTIGTDLDLRQSILQGYERHTRRSSGREDEEMTRATKRQ RHYLLPQLKIIALTNDAVATLLSLSYSIKSYPNSRVAMGIVLDEGCNATIPMTLSDLH PSKCRNILSREPTAAQTLVSTEWTLHGASAPLREHGIITKWDLQLDARSARPGFQPLE YMTSGRYLGELIRIICNDYFVNVLGIAEKYLPSPLVQPYALRTETIISTISAQLPLQA LVNTLNHSHNPTTVTAGGNDHFLPPPPFPSEWSWTIETANALREIAQTVQTRSAALVA AATVGLLASNHEVSLRDPNLPVHTSATSPFGIETQFLSSSPSKSFHEFSFGLTSRPST GRGKRASWFGGPEELVVAYIGSIIQHYPNYKENCQRYIDRLLIKGGPQEGGKSVFLRE ATDGVIIGAGVLAGMFSR TSTA_104340 MSDREFTNDDLSLPKATVQKIITEILPPSTGQTFAKDARDLLME CCVEFITLISSEANDISEKEAKKTIACEHVEKALRDLGFGDYISEVLAVAEEHKEALK TREKKASKMEQSGLTAEELLRQQQELFASAGQKFNAGSE TSTA_104340 MECCVEFITLISSEANDISEKEAKKTIACEHVEKALRDLGFGDY ISEVLAVAEEHKEALKTREKKASKMEQSGLTAEELLRQQQELFASAGQKFNAGSE TSTA_104340 MECCVEFITLISSEANDISEKEAKKTIACEHVEKALRDLGFGDY ISEVLAVAEEHKEALKTREKKASKMEQSGLTAEELLRQQQELFASAGQKFNAGSE TSTA_104340 MSDREFTNDDLSLPKATVQKIITEILPPSTGQTFAKDARDLLME CCVEFITLISSEANDISEKEAKKTIACEHVEKALRDLGFGDYISEVLAVAEEHKEALK VGTLSKRRQIRIWRILTTV TSTA_104350 MSKTIIVTGASRGLGLAISKYLLTTTPPNNIIALARSAEPLQAL KAEFPHNVEYIAGDLTDQSISEKAVELALTKFSGKLDGLVLNHGVLGQVAVIEKADTE LWKEGFDVNLFSLVAFTKAALPALRKSHGKVIFTSSGAAVSATTGWALYGATKAALNY FALALSQEEPDVTCVSVRPGMVDTEMQREIREDHAKKGDVPAGSFTRYEEAHRDGKLL KPEQPGNVMARLVLDAPKELSGRFISWNDKDLAAFQD TSTA_104360 MSTVIIGGGIIGASIAHYLSINASQDHEIHIIESSNHLFSGASG YAAGFIAKDWFAPALAPLGKFSYELHKRLAAEYDGNRRWGFMPGTALSLDVNSPDGTT GYDWLLDGSSRALAASGEASKELEVPEWLTKQKGARVEVISGEDTVAQVDPLRLCHFL HETTVSHGVKWHYPAQVVSVTTDPTTNLISSVTLGLQKPSASPEDRPLISSLAGYSLL VRSPRHTLLHEHDQYKGKAHAVFTTLPEEHGGFSPEICSREGAEIYIAGLNSSEIPLP ARAEGSKAIMDEKQMALLRKVAVQFLGKLASSEESIENEDDLEIIREGLCFRPVSNTG LPIVSRVRDELLGDQISVRSDHTAVGREIGGVFIATGHGPWGISQSLGTGKVIADMVD GIEPEVDIRIEMANSMYEGNYNDSNRAFLQAFMARSTMTFEEAKPVLAAIFSAQENRQ ILAEDITQADLNSYISAANSAISPFDLEIRSAKPQIALDNNSTNNNNNNGSTIQLVYA LVNTTSDPLTQLATSYTVDEIAFVKRLLDAMFETYNTRRSEAMVLSSIQALQLAKVSS SSSSSTSAENATNQDGAAQSLTMPQAETMLARLVEEGWFQKSAKGFYSLSPRGLMELR GWLVDTYNDDEEEGNRGKRIKFCAACRDIITVGQRCANRDCMGRLHDTCIRNFFRMQQ SERCPVCKAEWPGNRYVGERAITTTEQYMQGRRRSQNTAAARQSVINSQINGDEEEEE EDES TSTA_104370 MYGAKLLQALCLLPLVLGLPLNDRVDQVLRSYGPTSDLEARSRK PGHYETYETQFPGVTWDQRNWRLQSTVLDQGHYESRGSIANGYIGLNVAGAGPFFELD IPVNGDVINGWPLFSRRQTFAGLTGFYDLQPTTNGTNFPWLEQYGGDSAISGVPHWGG LVLDLGNGEYLDATVDNSTISDYTTTYDYKAGALSWDYKWTPKNSNGSFDISYKIFAN KLDVNQAVVQLSITPSANGSASVVNVIDGYSAVRTDFVSSGNESDLVYTAVKPIGVSN VTAWIYTALDGDDAFDFSSATLVNDKPYVHQNDSSIAQSVNVNFTAGSTVTINKFVGA ASTDAFPDTQSTAREAALAARRRGFDDLFRSHVSEWAQVMPDDSVDDFTLANGTLPDD RFIIESAIMAVVNPYYLLQNTVGKNALRRVNNAPVNDWSIPVGGLTSDSYAGMIFWDA DVWMQPGLVAAFPESAKRITNYRAAKYSQALANAKTAYTSSQNQTSFSSDAAIYSWTS GRYGNCTATGPCWDYEYHLNGDIGISLVNQWVVSGDTETFQNVHFPIYNSIASLYGDL LKKNGSYYTLTNMTDPDEYANNVDAGGYTMTLISQTLANANAFRKQFGLDENTTWTDM AENVLVIRENDVTLEYTTMNNSVAVKQADVILSTFPLDYTKNYTTSDALKDLDYYALK QSPDGPGMTYAIFSIVANKVSPSGCSAYTYAQYSYDPYLRGPFFQLSEQLLDDYATNG GTHPAYPFLTGHGGANQVVLYGYLGLRLLPDDVLHIDPNLPPQIPGVKYRTFYWRGWP IQAASNYTHTTIRRATEVAPLSTADKVFTNKSIFVEVGQDTINSTTYSLPANGSSIVV PNRQIGTINTVAGNIAQCVSVKSTGTYQPGQYPISAVDGAASTKWQPKFAANISSVTV DLTGSNASFVSGFYFDWAQAPPTNITVMFHNSSSEATTSSRGGSGGSMVSLNITISKP YNASSAANDANIISLPTSNTTNYTFLAPVPVPRFATLFVQGNQALDETDRRFGNGTGA TIAEWAILS TSTA_104380 MTRLSQSSIPPKLTGVIASKKYEQYIIEAPESPVKIAILDTGVD QTPPDIDACIEQIKRQHNCTNEKFPQPVDNYHKHGIFIAGLFLEYVHDAELYIAKISD GNPCSSGNIARAIDYAVDEWKVDIR TSTA_104390 MKLSWVVGGAEQRNKRCKPGASSVNVQCNNAHHEENEELCSGML DRCIEGLGKQITLLHRLSDTIREASSKIQNVKDAKTFKILDKGNDVEPLQEVIFTNTV RDKFPSIEEQTGSADATASGHRNSAATVTPPARVQDSNQTLTPNQLERIRRFVKILEE DLVAEDYEQ TSTA_104400 MLDKGKHKSTEVDVEPSIGLDSRLSITAIEPERRKATSSHAVNS WIALAYDILRFVIRDVAKPTSSHALKYHRQGKIPIKQRKEVDHVSDLPATGTVPEAAS REGLASGPILANYNGNLPTNLDKAVLDDMIGRLPTSPGLSSRTLCLSNEEIITLCMVS RDIFLSQPVLLELKAPIKIVGGIYGMFDLCGYLSNANYLFPGDHVDRGKLGFFLLRGN YECANFTRVYGFYDECKCRCSLKIWKTFIDVFNCLLVAAIVSEKIVCVHGGLSPSLLT CMEDICVINGPTDIPEYGILNDLLWSGPADMKIIGRRTKVV TSTA_104410 MKFFVPALLVAAAISHHSIACTTDDDCNLNGWTASDCGQLDLRP ATRYTGYNRTAEGISSWGAKIIHDPFDSKTFHLFMAEFVNGCGLNYWSPYSRVIRSTS STGPEGPYHFDGEVVGTFAHNPTVVYSEADQLYILIHIGCPVTVVPEGCSSTAPFSCG PGNTYAGDSGISAWSSTDLRNWTSHGQVMPGNANGTWDSDTTNPSPFPLWSANDHTDQ MLMAYRGCIWNCANGLEHIGIAVASNFTGPYTRAHGQPIITENTEDPFLWRDKRGNFH MLVHSLDSGDGLGHGPNVGYHAYARNWDGEWTYNNNTLAYSTYVSFTDGTATNYSRRE RPQLFFSEDGNQTPLFIANGVQEVDSPQSYTIVQPIGDGAKEYIKSLGF TSTA_104420 MDREQFRAAAHAAIDDIINHFDSLPERRVLPTIEPGYLRPQIPE NPPIEPQPWSEIQADIESKIQPGLTHWQSPNFMAFFPASVTYPSILGEMYSAAFTAPA FNWICSPACTELESIVMDWVAKALGLPKCFLSTSENRGGGVIQGTASESVATMLIAAR ERRARELTFAEGVKDNGSAEYEDHMCAHRAKLVALSSDQAHSSVAKAALVAGTRFRSI PTSLEDNVELTAKSLRATLTKVEEEQGLVPFFINFTMGTTNSCAVDRFAELKAVLNER ESWRRIWVHIDAAYAGAALVEDEYQHIAQNFAEGVDSFNMNMHKWLLVNFDASCLFVR NRTDLTNALDITPAYLRNPYSETGTVVDYRNWQISLGRRFRSLKIWFVMRSYGLNGMK AHIRKGIKLGNLFAEKVQSRPELFEIVTKPAFALTVLRVRSSAASSAFTSNGTNGDNG TSEVAKHGVAQVDEKANEITKKVYELINSRGEVFLTSSVIAGIYVIRVVGVSPQADEA HTLRAFDILVKTTEEVLRG TSTA_104430 MSNLKMISFRGDILTRNINNLILQDLNASQDILPSTMSTNMVDQ RRKGFPRVHRYITTHNHDGEAIFLSGSQVPECAPFRSAGEDGELALLYATDTFPVQCQ NEVDVAVYDSYLHMPPGLTPSLGTMFRVIDMQPLKETPMHRTTTVDYGIVLEGEVDLV LDSGQKRTLRQGDVTIQRGTAHSFRNRSDSRWCRVLFVFLPMQELVIAGKRMDEEWYE ERYETREPQERIEQEGCHD TSTA_104440 MLEKRRSPHVQEPNDLAKRRAPRQDPVSCQSCRKKKLKCDRLQP CSSCRSRRIPCKYAVANVAHQYVPPPMASAPAVAATGIPSSTNNSITTTAQPQVYRAP EVQINSQDATEEERSTDWLENIMMAPRVPDALPMSIKNKLITANHSAVPVTNLTSFLP RESEALTQFKYYVDYVGYLYHAIIPSQVQSHINAIYQSMHYVSSAPASSFPSAAVNLN HLALLFSILAAAFYFQNLDADAASAKQVEERCHEYITLVAAALMQSDYMNYPTVEGLQ AALVVSMILPNDGQDTSVRALFHIGALVNQCRQMGLFQIDSAQNKAFRRKHGYDPMEV ELKRRLVWLVVSSDWFLAFLGGPQEGSYLVNPAHMALDMPINISDEDLCAGGGPVSDN VPTTMSYFIFRLKLATYCRDIVDYTCRERLEGVDIPYSKIMELDQKWHEYNKELPEFF RLDANTRRKYAQLYASSPQIAWQRLLLQQGHHSRLCRLHRSYFIRGAKDPAYSYSHMM CLQAARRVIEIKRMMDKEFPNTPSVSTTWSVMHHVFMAVIILLMDVCFNWDDILADRR KEEIMEACRMLDKAQRNSRLVREGINAMTEVLQSRCRSVSSDHLPLSQDTEYPVHQGT VVQSTQEIENTGALRRTEEGSSSAALYPSIDVGNNASFAINATEDMCDLENMWSEFLD NGSMMGGSPNEWMGLLSDLTETAPAV TSTA_104450 MSNSDIGWIGAINNFLIFAGSVVTGRILDMFGPVVMLWFGSVTT VFSIMMISLCKEYWQFILSQGVLLGIGNTLLLCPAVALVGQYFKKRLALAVGVTIAGS SLGGVIWPVVVHALIQKPGVGFGWTLRIAGFIMIPILVFTCVFSRPLLTPKKMPDDGT DNGANPSKPAWDWSIIARKQTLFTACGFFFIYFGMFMPFFYTTEYALSKGFSSNLSFY TVSIINGASLFGRIIPGMIADKYGRFNLCIAMTVFSGIISLCWTTVTSVAGIVMFSLS YGFSSGGILSLQQACAAQIASPTTIGTVIGFVMASTSFSALAGTPIGGALIENYGYLS LSIYSGVSMLLGASVLFIAKLTQKSQPFAIV TSTA_104460 MKLSLSKVLLSYSLLFVQHVHSIGFVNTPTTRDLYLAAALGLSA DSVILNPVAFRKMVDTSYSRTIPTEYADIPIDHDNHTVGTYRNRYWVTTKYYRSGGPV FLYDVGESSAYSSAQHMLGESSFLREFLQEFGGVGIVWEHRYYGESLPMGLVNENTPA ENFKFLTHEQAIADIPYFAQDFHRPELPFQDLSPKGTPWIMMGGSYSGMRTAFTRNEY PDTIYAAYASSAPVQARADMSIYFEQVYRGMVANGYEGCARDLHAALSYVDSQLALNG TASDDIKKLFLGEGGEVNSNGDFTAGLAYIYSTFQSYGMGGGDTGLGSLCDWMEAVPT GTGASPTATSTATATNRDENKPVSRIIVRRIQNSPEELIDEPSPATATPWTGWAPFIG NRAVAERFASWPQLLPLINSYAATECSMKAGSHYCNLGGRLTDPASISWTWQYCSEWG FFQADNISPDPTHGLLSTYQSLAYNQEICYRQFPRALEKGVLPAVPATEKTNAKTGGW LIRPSNTYWSGGEFDPWQTLSPLSTENFAPDFVTFRSNIPQCNRKTAEREIFGYVMQN AMHCFDLNMRFQGGEASRDLFKSALKEWLPCWQGKARRAWAA TSTA_104470 MAPTYTLYHGTFIQLPRHIPIDTKPSLGINTGVLWVSNRDGKID GFDWSVNPDLEEALDLFVKSKGWSVWRDGVDAKDGVETVVIVKGGRDGRNGFFFPGFI DTHIHASQYPNAGIFGSSTLLDWLKKYTFPMEKSFGAEEEGERAPPQAYTVYNKVISR TLSHGTTTASYFATIHVPATNLLAALCHQRGQRAFVGRVCMDNLVFCPDEYHDAFSEE SVSATKEVIEYIHKLDPEGKLVAPIVTPRFAPTCSHAALSGLGRLASSYSPALHIQTH ISENKNEVLLVKELFEKSEGYAHVYDETGLLTPRTILAHAVHLTPEERALVKKRDAKI SHCPASNSALGSGLCPVRTLMDDGITIGLGTDVSGGYSPSILEASRQACLVSRLVASQ ASVTTGRETLSVEEALYLATRGGAQVVDMADQIGGFEKGMYFDAQLIELGPAVESISH ESSHDVVLGGTEDTGNVDVFGWENWEEKIAKWVWNGDDRNVRAVWVSGRLVHKRGV TSTA_104480 MALSVAARAARRQLTASPTQLRRTIVPVALRRPHQFQRVFSSSA TNPRLQPLSGQTIPSERRGVYTTSLDEHGEPNPRDLFQPLDTFPRRHIGPSPDAAKEM LATLNPPAASLDEFVKQVLPADILSKKDLVVTSPKVPAAAELYRDPVDGGLGESDMLK LLGEYRKQISTVGKSFIGGGYYPTVVPPVILRNILENPAWYTSYTPYQPEISQGRLES LLNFQTLTADLTGLSVANASVLDEGTAAAEAMTMSLATAPMAKQKKAGKSFVVSHLCH EQTIAVMRSRAEGFGINLVIGDILADDAKIVKEQGDNLIGVLAQYPDTEGGVLDFESL GKTVHELGGVFSVATDLLALTVLKAPGEFGADIAFGSAQRLGVPMGFGGPHAAFFACT DKYKRKIPGRLVGVSKDRLGNRALRLALQTREQHIRREKATSNICTAQALLANMSAMY AVYHGPQGLKVIAQRIMAMTALLREKLLGLGYDVPVRSNTADGGVLFDTITVELPDSN AAETLLAAAKSSKIYFRQVAPNKIALSLDETVGKSELREILQVFATQSSKGGDVTVDN AISPIPVPASLERTSPYLTHPVFNSYHSETDMLRYIHHLESKDLSLAHSMIPLGSCTM KLNATTEMIPISWPEFSQIHPFMPADAVEGYTQMIDDVEQQLADITGMAEVTVQPNSG AQGEFAGLRLIKKYQESVSGGHRNICLIPVSAHGTNPASAAMAGMKVVTIKCDTKTGN LDIEDLKAKCEKHKNELAAIMITYPSTFGVFEPGVKEVCDIVHKYGGQVYMDGANMNA QIGLCSPGEIGADVCHLNLHKTFCIPHGGGGPGVGPIGVAEHLRPFLPSHPSSEYLQS KRSDSTASSPISAAPWGSASLLPITFNYINMMGAKGLTHATKITLLNANYILARVKDH YPILYTNANGRCAHEFILDVRHFKETCGIEAIDIAKRLQDYGFHAPTMSWPVANTLMI EPTESENKAELDRFCDALISIRQEIAAIEKGEQPREGNVLKNAPHTQRDLLLGEWQRP YSREKAAYPLPWLLEKKFWPTVTRVDDAFGDQNLFCTCGPVEDTA TSTA_104490 MSLIQHVSSIEGVDREPAADAVISPRKAVHRVLSYDAFPRRNED DSQSSVAAYKVFVTKRIAQVIATILACWVASGIVFGFAALKPVLLSEGVYEWLCDKDE LENGVEVCFKQELQLNLFFTIASITANVSALPIGTMLDRFGPRFCNLAGCLFLAVGSL LMYLAFRIPEFDGYLIGNFFLSLGGTCIFVPAFQIANAFPKHSGVIVALVTGAFDASA AVFLFYRLAYEASDRGLRPEYFFLGYLSVPLAIFLTQIFLLPAKPYKTASQLEEKIEA ARDSSRDIHDSDDEISDANEVASLRAARRKKRQAKLRNIDNVLGGLDERQHREEQEEE RHAAARVWGVLHGEPIQRQFMSPWFILITLLTVLQMLRMNYFIGTIRAQYEYMLNSEA LAQQINNLFDAALPVGGVITTPFIGFLLDHLSVPNTLTLIVFLTTAVGVLNCLPYLWA GYVTVILFVLLRPLYYSAMSDYATKVFGFSTFGRIYGAIICISGIISFAQTGLDALTQ GTLKGNPTPINIALAVLTFIIGTILVVFVKVESRRWLAEGAESERQGLLEGVEEESEE EY TSTA_104500 MAQSTQSNTYKQGYSNYTLATQQSRTAESHAAFLLPHIEKGDRI LDVGCGPGSITTGFAKYASEGSIVGVDISAEVLQKAKEAAAEAKIPSEGPGSVVFEQG NVLEGLPYPDESFDVVYASQLFGHLPPPDLPLRALAEMRRVLKPGGILATRDAADQHF YPRSLNLDKLWVHNFHRVASLKLAPEDDPTSTRMPALLRSAGFDADGGKVVVGAGTTV YSGPETRKCLAWRAKGHLQQGDEFRQSWLDAGITDETIQETLAAVEKWANTEDAWYAA LQCEMLAWNLNSWLVSMPRSAFGSHLA TSTA_104510 MSTIPKARQSNITGLTVTPEKWGSHPELSRSTIKNCTFKNLDSA TKISRSTISESSIFYEISAMKPKRGTVIERSTVDNSRVSYSSTVDRSEVNGCSITESA LDRSKFHNCTVSAGSNVERTEAHASTFTGAKAVSRSVVNNSVVMGLSTVERSKVKDNS VVTDKSVVERTDLINAVITRSRVERATIKDCDVTDCIIERTDFTGMILRYGIWKRGDL VGRTSTKHEVVIKPRQKSGASNARGVSLPEQSVQNPGLGWKATEAGAERVVDDEESSP DDWDDLEESESDEISDPSSMRKMKQTRTQNTTRQTSAAGFGADSNDPPPPYEQ TSTA_104520 MNRSSTVKSMKRGKVVCDNATDGFSEMEDCVGDSIMGSKFIDIW HGEEVVSKPTNTRLQYPHQQQQQHQLNNAVVASTVKAIAEENNIETLVFKPPKRIASS SDEGSDEGSIASDDSNNDVPWIRPALDIEIRKQHSPYIVDLVAKVVRQAPGLFVQGQS FFIHPQVYGNSLPRPVRDIHALCALYENDKDYQLSPVKLSTLLQRQIVSLIQTAKKTT DFEELVACVQALTLAQCLQLSEGNIRSPAVEKSLDILAALAHRMWSLVPCELPSSMSP WHAWMFGETVRRTIVFSHLVIAAFQFLTRGYACRTPFIDALPFDGRTYLWDARSEQDW AKYSTQSDFPMVSVIEYADLLQSGRALCFSSFEGLIIATCRGLDLPIHDPAKVMQM TSTA_104530 MSSVAPLALQPLDSPPTLNLPHQSDNPPAPLNSTDTKSNDLITQ RIIQLQSLLSTLHAYRETIPAIIEAYKSGKLTIEPGQLTFWTGNCQLGPVRKFDVPTT EDERLGQQVKVMGMLDEMLKVQDRGDLLWIENPLPSEGG TSTA_104530 MSSVAPLALQPLDSPPTLNLPHQSDNPPAPLNSTDTKSNDLITQ RIIQLQSLLSTLHAYRETIPAIIEAYKSGKLTIEPGQLTFWTGNCQLGPVRKFDVPTT EDERLGQQVKVMGMLDEMLKVQDRGDLLWIENGADL TSTA_104540 MVSQSDVTSALTADGIIPDILPTGTTVPRNLKISFHTTTLDKPG QMIDRDDTQVKKPTVFVDPPLEGDRGDNYTLLMVDPDLTIRHDTRFGQVRHWLISHCS ISPAGEVLDVKGVTHSPYVGPAPMPVFDITGKPHPSRYTFILFKPKSSLTKSDDVKIS QSEQYPGLASDLGKPSQDLFDRWKFSTKQFMEENNLEVVAATYMLVEGNLKSSVANAG LMANAIGHKIGEIGH TSTA_104550 MANDFPPYDNGKDLVEADVAQRDGSDLQDARQKNVGELAFEEYT AGGLGRHLGVLSTTFLVVGRIIGIGIFSTPSSVTAELGSVGASLMFWALGFLLAAAGL CVWLEFASMIPRSGGEKNYLEAVYKKPKLLITIVFAVQAIALGFTASGCVVFAQYILT AADVAVTEWAKRGIAIGVIVFVTLFHTFLPKWGVRGMNVITIIKVIILLFIVVTGWVV LGGGVKNVPDPHASFRNAFAGSSHSGNMYASALFKVLNSYAGWSNAMYVLNEVRNPVR TVKIAGPLGLLICGILYIFANIAYYAAATPHEIAKSGVTVAGYFMLKVFGHAAQRALS VLVAISAFGNVLTITFAQSRVNQELAKEGVIPFPKFWASSWPFGSPSAGLLLHFIPSF IVIIAIPFGDAYNFILDLEGYPGSVINFLVVLGLFYLRITQPHIHRPFKIWWPVAAFF MAAQAFQLVAPFLRPPSGKGDTSLPYWLAPVVGIAILAASVVYWFVWWVVLPRIGRYH LEPRHETLKDGTHVVVYKSVKTSYRS TSTA_104550 MFWALGFLLAAAGLCVWLEFASMIPRSGGEKNYLEAVYKKPKLL ITIVFAVQAIALGFTASGCVVFAQYILTAADVAVTEWAKRGIAIGVIVFVTLFHTFLP KWGVRGMNVITIIKVIILLFIVVTGWVVLGGGVKNVPDPHASFRNAFAGSSHSGNMYA SALFKVLNSYAGWSNAMYVLNEVRNPVRTVKIAGPLGLLICGILYIFANIAYYAAATP HEIAKSGVTVAGYFMLKVFGHAAQRALSVLVAISAFGNVLTITFAQSRVNQELAKEGV IPFPKFWASSWPFGSPSAGLLLHFIPSFIVIIAIPFGDAYNFILDLEGYPGSVINFLV VLGLFYLRITQPHIHRPFKIWWPVAAFFMAAQAFQLVAPFLRPPSGKGDTSLPYWLAP VVGIAILAASVVYWFVWWVVLPRIGRYHLEPRHETLKDGTHVVVYKSVKTSYRS TSTA_104560 MAEVMEVDMEIEWDMEDHIWEVGIMVVVLKEVRMEGDEGDMGDT GGDVECRLIHRIWKMTGKCALESSGRPFGSLKCIRVLTI TSTA_104570 MLSTIVAALAGHATLTSGHVIHKRVTTPAAYASNSDGSYTLTSI TAPVQGAGSPGSESTWDLSIDDTSSGYKQKITGFGAAVTDATVTSFNKLSSSKLSELL NVLMTSTGADFSLMRHTIGASDLSGDPSYTYDDNGGKADTSMSGFNLGDRGNAMASML AKMKSLQSNLKVLGSPWSAPGWMKLNSAIDGNTNNNNLNDGYMGNGVGSSGYSSAFAQ YFVKYIQAYKALGATIDAITLQNEPLNSQAGYPTMYMYAEEQGDLVQNYVGPALAKAG LSTSIWAYDHNTDVPDFPQTVLNNAGQYVDTVAWHCYANNLDWSVLTDFKNSNPNVTQ YMTECWTPADGDWNQASDFTIGPLQNWASGVMAWTLGTDESDGPHLSTGGCGTCQGLV TVNSDGTYTLQPAYYMMAQYSKFMPPGAIVLSGTGSYTYDDGTGIQFVASLNPDATRS VVIENTFSNDIFITLSTKSGQKWSGNIPTESLVTWVLPAV TSTA_104580 MLKSLYCIGVVSLYYVIQGLDISRYQQTLTDHAIHCFDRNEGLK STSLPETDNWNWVLETNQFVPNQYQRTPYISNGYIGQRLPAEGVGYWIDIDKDGEYVR NSWPLDQPRATFGTVAGFWNLQSRMKHVLLPDNLKKGGESVLSGIPDWTGLILTTRDG HAYRPGVDKKTVVGFQQSLSVRNGLVHTNVTWSPTHGLEYQLNFTVLAHRARPNVGIV RLDLSANKEVNCSIIDILDGAGAVRAQFNDKAFETADNAIWTSVKPRGINYKTAHIYS TVTYESSDEGMLKNIEQTRKDATFSPWASQNASTVAQSWDILLKNEQSVTFYKYVGIA SDDAFPHAAHKTAIRSALEAKATGWHSLLEEHESAWSQVWDSADIIIPGDTKLQTTVR ASLFHILTNLRSESEDKRGMSDNSITVGGLSSDSYAGLVFWDADTWVYPSMLALHRGY ASTINNYRGRLLPQAIKNAQFYNYSGALYPWTSGRFGNCTGTGVCKDYQYHLNTDIAL AHWQYFQSTHDTAWLRNKGWPVIKNVADMFAAYVVLNETTQEYKTILLGEPDEFAYFK NNGAYTNAGIKRLLGDIAPAAAKTLNHKIPRNWSRIAEKIRIPIDDKSNITLGFDGMQ GDWKVKQASVALLNYPLQYQISEDHTRNDMAYYSLINTADGPAMTWSIFAISEAQLQE SGCAAYTYLLRSGEPYFRQPFYQFSETAVDNYENSDDFNPAFPFGLYPAFPFLTGAGG YLQVFTHGLTGMRPHLDYLFFDPTLPPQIPEGIIVKGIKWQGASFDISIQLDYTTIGR RKLSVSADKVPAAIRIGKQNLSHGDYKLLPGEILTIPTRRPDRNNLHNGNINLALCKP IDANTGLMGSAEQSWVFGRYPSSAVDGSNATVWQPLSPEPASISVALEQRVNVSQIII NWGSTPAYGFTIHGHKGETDMTTTSILYHTSKVEISAPYNSSDVYEVKIREGNTTIVQ LPSIFEATSISLTIEGTQGEEQRLGATVAEMVIL TSTA_104590 MLHQICAYGNHFDCMFDKSSSRSVNTSMTAEETIPKDTNSELWR TLVAKKQIGIEQQIPADWRLPKEFNDSLFEEKKTAGINLIAADIPRRSGLLSESELDI TENYTATALLELLASGKFSSVEVTTAFCKRAAIAQQLISCLTETCFPKAIERAAFLDE YLAKTGKPFGPLHGLPISVKDSFKVKGLQSTIGYVSFLTHPAETSNSPLIDILLNLGA VIYVKTNIPQTMMTGDSENNIFGRTLNPHSTSLTAGGSSGGEGALVAFRGSILGVGTD VAGSIRIPSLCCGVYGFKPTADRIPFGDSKSELATGYPGIVASAGPLAHNLEDIKIIM DTVLSAHPEDLDVTAIGAPWSYRFEKTGQEKLTIGVLWEDPSFPLHPPVRRNLESAIS ALQKKGHKIVRLIATESCSVAYGNRLAFQYFTYVPQKDHIAASGEPPITSVAKRSHPM YTGPPPVDPELDFGNKLAALARARFRYMESWRQLWVDNHLDAILTPGAQNTAVPHDTY GWPPYTLVWNVLDYPACIIPYGEASKELDPEPMTINDGVQPSYNPEAVDGAPCALQVI TRRFQDEMCLYVSEIIDRDIKDSS TSTA_104600 MRGVPWTMHLEGIYNILCAQGFQNMNRENESFRNHLVEVMGVMD MSVFILGRQTPYFGIWRQHRHGPVNMSKQGDVETVTGLPRSLLDLYSGIGETTSEQDL WNWPGEKGNLLQCQLWEAHRLSAILNRAVAHRIEHRHRNPCHAHSQLSDAILRAHIDM DKEAEENQVVNALNYPALIAAQEVEILRNNPDSMSLIRRCLQSHSKAGFGSKLLLNLL VEFWEFNDPNLDLDSLASFHHVEAGIL TSTA_104610 MATETSPNCHSHEEDLPPGTFLLVRHESNEDHTQSQDTVMLDPV PSNDPNQPLNWSMRRKAVNYTLVMAVSCLIFTSITIQQNFWQLMVVDLNVTYKQLANS NSVNYVGLSCGCIMFIPFSRKFGRRPIYLISTVLMLATALWSARMKSMWEVYVTNLIQ GLAGATNETLAQMTIGDLFFVHHRATANGLYVTTVMIGSFLIPVLAGVQATHQGWRWS YWTLSAVNGVLVLLFFFFYEETKFSTEVIEGLQTPTQVPTERPLDKKCDVASGECEVQ ADDGTKQNKHASASHPSATNHEFDYSIPMNSWKKRLALITPSSEPIWPYFYRPFQTAI YFPTVLFVGIQYAALIVWLAIIANVIALVFPLPPYNFNPQQVGYMSFGPFVGNLLGSI YGGLLGDWTILFFSRRNKGYYEPEMRLYTLHIPAIFLCGGIIMFGTTVAQGMHWILPS IGGALFGFGLGSIGDAALTLVLDSYPEIVSDAMTIIAFMRNAISIGIPFALVPWMGKG VSNMFIECGCMSLAFSGLIIPMIIYGREARRRTAPKYMAMAARLKGLH TSTA_104620 MSFARIILDNPAVAVQDRQEAIDRIYSDPGYPDAAAKTTESFWL QQPDPNVHQVQSSGLPSTADTVIIGSGITGTSIALSLLQFRENEKQDEMDSNISMSAI PAVVMLESRNTCSGATGRNGGHILETAEEYVYYEETYGVKAAKKILRFRLSHLQIMLD VAKQLGIESECQARKVRFLSVYLDQETWAVAKAHLKCLKEAMPEETKEWAGYDRENMP IEFKLPTAAGVISGPAGALWPYKLVTGILNHVRRKFGDNFRLETKTPVTKIERNPSAQ GSSDQYSVTTPRVHCTNAHVSHLVPCLRGRVYPVRGQMSAQTPGEKFPAYGEKYSWQF NYAKGFDYLTQLPESSNVSCGEMMFGGGFAQGEHGGLRDMGVATDGDMSVYTDIHLSG ALSAVFGRENWGVVPGPSVKSMWTGTMGFSSDGMPWVGRLPASTTQREGEKSQDELPE GGEWVSAGFSGEGMVNAWLCGEALAITLLAHDNKLKSSISPDISSWFPEQLLVTEERI KSSFIPQYTGESS TSTA_104630 MDFEFVQGTVLHTEGNWSLYTTAASDLPLYGYYNPLSAQSVLDT EESLLELIKIEGPFDGVLGYSAGASLAGQIICSDAEKHPFKSPDERPFRFACFINAIS PLKAFRLENEKVQDGVIDVDSVRNPVVKAALDEYLRPSATRARKSFHKRADMPDSDAI RQEVESLQTRLLEDGTPFFTDGVYGMTRYDANFHGTLITIPTLHVRCPESGDAHDNGA HTLALCESDLVQEIHHPLGHDFPRGAQLLKKISAAFRELADSA TSTA_104640 MGRSIPISQQSPTTTSISLHKTLQKIVFDTSCFTFFGNRVHQIC PDIWSHWNSFNEAAYIGVRSNASFYVRPWTLRGRRLMLETFDTWCNTELEPWDETDGV WNEKWGIKLNWEREKMARDHKMTLRGRSCVQVSFLWVIITNAAPMLTWLVTALLTHPE LLQKFRSIAEHYISYSGNGSSRDIKFDLPRFCVEPFIQGVWKEALRLGVTSASARVVT RDSEIEGYIVKKGSVLLLPTRNMHFEERVFHHPYEFNPWRWVLLDEDSSSPSGFGQVS PEQLKRQNNSLRPFGGGTGICSGRFIAEQEIMMTAAILLHLFDIEFEQGQSIPSPNLN PQGLAAMYPLVDPKVIVSGRKTPRL TSTA_104650 MTIASASNGPTMASAELNEVTFKATGSFEKDPIAIVGMAAKFPQ EARTVEDLWNFLLQARQAMTDFPADRINRHGHYHPDPEHGGTFHVKGANFLLDDPVDF DAPFFKVTKTELYTLDPQQRLVMENTYHALENAGISMKDVTGSKTSVYTTGFNVDHAA LLNADPETTLKYRPQGTTNSIIAGRVSWFYDLKGPCMTIDTACSSSMVALHLACTSLQ LGESDMSIVAGANYLANPMDIMGMAHHGFLSPDGKCYSFDHRANGYARGEGVGSIVVK RLSDAIRDGNTIRAVIRGSGVNQDGRTAGITLPSASAQEDLIRKVYASAGLDPKDTRM LEAHGTGTSAGDPVEASAAAKIFGPHRSTFDPLYIGAIKSGIGHLEGGAGVAGVIKSV MVLESGIIPPNVNFEKPNLKVPVKRWNLKFPLENTPWPTEGVRRISVNSFGVGGTNAH VILDDAYSYLTSRNMVSIHNTVESVPTQDDIRRKLSELEKPATTEELIENGAMIEQLQ TNGHVTNGDLPNRVSEVNGHINVKGLESRPKNRIFSFSSFDEQGIRRNATRIADYLKK YETLNLTTESEVLERLAFTLSEKRSKFPWKSFLVASSLNDLSENLTGDDTLTVIRAGN KPRIGYVFTGQGAQWHNMGKELLEYPVYRRSMEEASEYMKSIGAEWSVLNELLVSKEN SRVNSPALSHPCCAALQIALVDLLASWDILPSRVVGHSSGEIAAAYAAGKLGRRAAWK AAYWRGVASAKPLNVKGAMLAVGLSTEDANSYIDRVNREIPGELIIACFNSPKNNTIS GDEAKIDALKVLLDKDGVFVRKLNVTNAYHSDHMNLVADHYLQGMGDLTAESPDSRFR DALMYSSVTGELVTDSLLNARYWVENMVSPVLFSEALIAMGYKLEEKKAKLQLNADSE YQVDVILEIGPHGAMKSAIKETMASQIRGSSVAYMNVLDRTAPGTEIILNAVGSLSSR GYPVNIQAVNQTPGKKMPKMLVDLPPYSFDHAERIVYESRLSRNFRLRKHPRHDLFGA PVTDWNQENPRWRQIIRLRELPWLRDHIVTENFVYPGVGYIIMAVEACRQIADPALTI TGFRLKDVSIKRALIIPDSKDGIETSLSITKMDEASMLPSQIWSRFAISSYNSVGDDW IEHCTGYISTEYEAKANPIDNGREASCEAAKWRETLDTTFRQCRSPMDINSIYDNLVT TGLNFGLLFRNLGDVKGSGQNRGEIAATLTVPDVAKVMPKQYMHPHLIHPSTMDSMFH YFLLSVLDLTGKATLECAIVPVFVKEAWLSAKIPSAAGAQMKGYGKSSMIAYEKYESD IMIWDSTGKEGLVSIKGLRATPLESNITTDVQKRNLCHTIEWPIDVDFLTIDLFSRVP RSPLEAEKMYLYRINRMQLAVMLNVTDALNDLATDFDPTLLQGHKRRYYEWLVYQKEN LEKDQIIHLSYKEWADRAHDAKFKNQLYEEVAGYNGDGALAVRMGTNIVPFLKGETDP LQLMFSDDILDRVYDEVVALGDIPALFKEYLHHVYRNSSNLRILEIGAGTGASTAPTL NILSPLSDDDTSSNLTSRIIQYTFTDVSAGFFEKAKERFSAWSDIIEFKVYNAEKEPA SQGLGVGTYDFVIAGNVVHTTTSLRKTLSNIHKLLKPGGKLLMQEGTRQNFLYSPVAF GQLPGWWQGTEPSRTWSPWVPAAVWETQLRESGFDGINLEFPDCQNPDLHTQSLFVAT AIEGAKKLKGDDVVIVTSEQSSLELVRAVEDALKSRIGKASYRILDFRNLQSDKSLSS SVCVCMVELERGTLSQLTEEEYLNIRHLLSYAAGVLWVTGDIDREPTLGMIVGMMRSI RWERDVDEANLILLSTTEPRPALNDFVAQIIRVFDKQFFSGASESRPNAEYTLKDGII YTNRLKDQDLSNEYLNSKFARSTPKMIPLGEAGRPVKLSTSAPGMLNKIEFVTDTVYN EPLADDLVEIEVKAVGLNFRDVMIAMGEHMAYSLGNEAAGIVSRVGAGVPDLKVGDRV VYMCGFEAVGCFHTYGRVQWQNVVKIPDSLSFEVAAGLPCVYSTVLYGLYEIARLSEG ETILIHAAAGGVGQAAIHLAKNVGAEIFATVSSHEKRTLLMNEYGIPEDHIFSSRDVT FAKGIMRMTNGRGVDVLLNSLSGEALRKSWDIMAPFGRFIEIGKKDSQLGGRIELSPF LRQAMMASVELPMMMKHKPLVFRRLIAQTVQLYEEGKIKQAKPTQVLSYDKIEEALRT LQSGRGMGKIVLVSSPTDVVPIVPEQPADYRFDSNATYFLAGGLGGIGRSIGQWMASK GVKHIVFLSRTGKITESVQKMINDLDSKGCQAHIFACDVSDSKRVQAVVEEVTQSLPP IKGCIQGSMVLRDGMFENMSYDDFQAAILPKVQGSWNLHEYLPRTMDFFVMLSSATGI LGNRSQANYAAGNTYQDFLARYRVSQNLPATSIDLGTVLSVGYVAEHRENMTTLANVL EVIREDEIHTLLEYVIDPRCKNEEGLGRAQLVVGLTTTEYLRQRGVPPLSYLSYPLFT HLNTTSVSYRHHKGEDPAHLAVIALPYATTKEEAVGIVRDGIRHKLASLLAIPVDNID SNKSVSSNGVDSLVAMEFRTWLVKDLGAEVPLLEIMGTESLATISEKAATVSKLVR TSTA_104660 MKPIILYTHQLGTNPWKCAIILEELKLPYENRFVEPGNVKKFPY TDLNPNGRVPCIEDPNTGMVLWESAAINEYLVQTYDSSGTLWCPQGPDHFIMKQWLYF QMSGQGPYYGQAGWFVFRHHEYLPSAINRYRQEIRRVIGVLDTALANKEYLVGNKCTI ADLAFVTWDEMVPDICEGESFMETLHEDCTNWIAWRKRLLARPAVQRALRAKAKSLEE VGPSIIPRNWEHEKKANTTTTM TSTA_104670 MADEQQRFEGIRSIAVIGAGISGILATKYLLQAGLDVTVFERNE KPGGVWLYDEKKAPEPPYSVTEPLEASQALCPWYKEDNQQDGIISAEDALKHAPPGAC YDGLTNNFSLATIELKDLPWLWEIPMTTPECPDHASEWTTHRNVLRYIQKAATQVGMQ DRVYYRTLVERVKKGGDKWKVTTGTLIPIVSENTEEEKFQISHRKWEFDAVVVASGHY NTPRLPSIPGLVEWRETYPERVEHSKSYRHPQKYSGKNILLVGAGTSSTEIARELGPL VQKIHQSGRGSRFDLPLDFIPENCQRVAEIESFGTLQKVAESLTAKGHIPGKVTLKDR TVLNDIDIVIVCTGYHFAYPFMPDLHADNGFANVDVSKVLVKDGSCTLNLHKDMFYIP DPTLAFGGISQFISTFSFFEYQAMFIAEVFSGKASLPSQQEMRRIYEKRLAQKGDTRL MNGRHDEEVPYVDELIELINASNPARRVEGFSPKWHAARDAGKLQKIRARFAREKVER ERKELAA TSTA_104680 MSKPTNEPTKEPPNMSTNESTNEPASPKQYSTRLTRDDHIRVQT LREAGFTYEQIAKQLNITQNKVQYACQSSQVTPKKARGAAPKLSESQMDEIIEWISSS KRNRRMPYPKVIKALNLNVTSGSHTRIYVTRKARKELDDTCVRSKISRRRGWMFWSSF HRNMKDPCLFWEKEWSSINAERYCERTVPLINGYLRLMRQEGLYLQLMQDGAPGHSSS FTKEELHS TSTA_104690 MADAITEATAKLQLDEETGEMVSKNELKKRLAKRAKKAKTAANK AAAPPKDTTEKESNAAKKPKPEETQLDPDAMFKEGFLAKVYDERPTGKDTVTRFPPEP NGFLHLGHAKAIAINFGFARYHGGVTNLRFDDTNPEAEEEIYFTSIIDVVEWLGFKPH AITYSSDNFQRLYDLAEKLIQLEKAYVCHCNEAEIKLQRGGEKGTSPRYRCVHAEQDV ETNLQKFRDMRDGKYQPQAAFLRMKQDITSGNPQNWDLAAYRVLSKPHHRTGDKWIIY PTYDFTHCIVDSFEGITHSLCTTEFQQARESYEWLNKTLSLYEPMQREYGRLNVTGTI MSKRGLKKLIDGKYVRGWDDPRLYTLIALRRRGVPPGAILSFINELGVTTANSLIQLK RFEQSVRRYLETAVPRLMMVLDPLPVVIEDADSLASSERDLNIPFSPKNPEFGSHPLR LTNTVYIDRSDYREVDSKDYFRLAPGKTVGFLQMPYPVTATSFSKDADGKITEVRAVF NKDGKRPKTYIQWVPEGSRKVEVRVHTALFKSEDPASVEGGFLNDISPNSETVYTDAL IEPGFEEVRRRAPWPDTEGEKKKAGPESVRFQGLRIAYFAMDSDSTDDKVVLNRIVSL KEDAGKS TSTA_104700 MSILKTAGRWRPSTVTKLSKGRNMKSVAATDPVFDLDRCKTTET MHVPWSMNEFKPRTMLDNHSIHHPEQGGMKSDGPGKPERRENDEDDEDKEPAKRKRGF GLLTRMKKFWDVEKARTLAQKLGREPHEGDGLTSSVPEKTWAGDGNIPVSDITDDHHK TDEDRQRDQAHATSEAHRLVRELTQNHAQPRPRPRRRHPSETGPATPSGAEDEEAMAR MSGGGVLGNLLKLYGASQQQEPGQASRAGSTSTEFDSDVSRSPGWTTPTSGATTPKKE KVKWYNKDKNKSTSSLVGAASHLTAAGSPNHSNRMMSAAAKRRKQGGKPKLEDEIRVT VHIAEIISRHRFLMGLCRALMKFGAPTHRLEEYMQMTARVLEVDAQFLYLPGCMIVAF DDSTTRTTEFKLVRVSQGVDLSRLADTHSVYKNVVHDMIGVEEATKQLEEIMNRKPKF PTWFLVFMYGLASATVGPFAFQARPIDMPISFILGCMLGFMQLVLAQKSALYSNVFEV CATVLTSFLARAFGSIRWGIVDGKQQYLFCFSGIAQSAIALILPGFLVLCSSLELQSH QMIAGSIRMVYAIIYSLFLGYGITVGVTIYGLMDGNANSNPNCPPWPISNPYIQRFPF VVAMTIWIVIINNGKFKQAPVMMVIAFIGYVVNYFSTKRIGSNSEVANTLGAFVIGVL GNLYSRLWHGHAATAILPAIFVLVPSGLAASGSLISGIASADEIRSNVTAPKNESSTG GGGGGGGGGGGLSSASNTSVFNLGFGMIQVAIGITVGLFMAALVVYPFGKRRSGLFSF TSTA_104710 MWKSNNPFIASSCTQVNQPKPSDEEITNLHDAIQQVASETLVDR RFILAIILQESKGCLRVPTTSWGVSNPGLMQDHGGTGTCYGVNSYPKENIVQMVQDGT SGTKGGDGLVTLLDKGVTPCYASDIANRLTGWVSAEYACTF TSTA_104720 MYIELVWMAFASTAAAGILPLLPEPTELFAPDNGLLDLPPKPIS ALGAAMLQFLFTQSNTPGTANTQAASLPATLSTTASSSTTTPPSVSSSSPSSSNNQGS MASSAFATSGSTTASSSASTSTSGTALDQNYCAGQSNQQPTGYWLQAQDHTSDARGYA PYAQGNIQYPVYRVVTQYGAKNDGSGDQTLAIQNAINTDGVGGTREGKGSTNMPAEVF LPGGVYTLGSTLTLRLGTIIVGDPLNRPIIKASPNFIGNTLVNGQDPITGHPETVFMV AMRNVILDTTAIDPSYPFTALQWGIAQGCSLSNVEINMPQGSTAHIGIHMNGGSTIAV TDATISGGGIGIQDSNQQVNFKNIHFNGCRTGFAATGGFTVVLQAATFENCGLGIDMT KNSLGSMVVLDSTSINTNTLVKFHDSANDPGKRNSQIVIENLTNNGQYPIAVTSDGRT VLNAQTHVDTWIYGNDIPGSFVAGETTYTSRPSALLDGNGRYVIRKQPDYADYPADRI VNVKKVPGFIVRGDGVTDDATSLNAILRENAANCRVTYFPYGVYILRDTLFIPPGSKI VGEGWAVLSGAGGAFADPWNPRAVVKVGYPGDVGVTEIQNMRFTVAEVLPGAKLLEIN MAGANPGDVGLWNTLTTLGGTADTTISTSCVSQDTRTCMAAFMHVHLSETSSAYIENH WGWTADHNLDGGPSAIIVSGGRGILIESTKATWLIGTSFEHNWLYQYNIHSAKNVYAG MMQTETPYMQGQGAIETVPSPWTISNQYHDPDYSWCAVGDQHCRSALSTNVDGGSNIM LYGSAAWTFFEGPWNGLYNTPCASGICQSNMIRVTNNPRNLAWYSISTKSSDVMVSDG YSDPREFNNPGGWSGVIQAYRQFT TSTA_104730 MSSKTLEQDSTKPSPDDEANTVVQSSEIGASLPIDPRAERSVVW KFDLRILPVLATMYLFNSLDKSNLGNAKTAGLEKTLHLHGNQYNLILSIFFIPYVLTA PFLGILGKMYGPNRVLPCMMLCFGLCTLLVVAVYNFGGLLAIRWFLGMSESAFFPLVI YYLTTFYRRGELARRLAIFYAAQSIASAFSGLLAFGVFRIDSGLLAPWRYLFLIEGAG TIIFSIFAVWYLPRSGPETSFLTESERLVAYERMRLDSSSVVNEKLNIREAFTIFKHP TTWIILGIEVCLGVPLQSVQLFLPQIIKRLGYSTVKTNLYTVAPNVSGAIMLLILAFL SDWTRWRFPFIALGFFFTFVGFIIYVAIDVEHDINVAYFASFMMTWGTSAPSVLLDVW YNNNIASEGKRVVLTSIAVPLANLMGLVSSNIFRNQDAPKYIPALITTAAFGGTGIVL TLVLGAWMIIDNKRRDAKQGMTLRAHDVPTERLREGPDNPDFRWFY TSTA_104740 MIALDLASIEKTPVLYRHLELALKSNNKFVKDVNSSGSMEICSL RLVENRTMQYSIGACGLLSKEVDHSSMGLRDGEGRSEKGWYNKEVLQTYDSERRAAPR HLLQTDKVLPTVICGQIPKEYKTASTHCRKLFSESRAPDVLVYQPGSHIPNRLSQLRY DMDSWPILLFTGQHKVTEAKLPAITNKLDNMAKNFPSYMIGSITIVAGSMEDNQRCNL ATVGYTYYDPGGWAHLTYRISPKTGALVVLRPDFLIGYATTPDDAGSVRAFLANPILA PN TSTA_104750 MTGRLLSLSAATANISETSTTTQYRAHTFYYIAPELLHPDSSRT VCGHRDLFMGDPVAARVLQVVASVATIPTISYVCAWAASIFVQNQRDAYSLRLRQVVT LADRAWMNPVMYFRLLFYPSSFKRYGSSMLYLAIFIHIFGAITHPIQSLFLSTRSVSV QSADRWGRIDLDNNYIGVPSIPRLMVTYDSTERSSIFAVRHLAQSEGVNGYQDNLWGN TSQLSGYGSNSSWYAPLSHNFSTGLRSDQYIPRINSSAEVLEIAAEEFPSNCSDPSSF YASYTYPNSINNLTLEVCLPQLSPWQSIKSRQDFSEVLYVNTTAHFTDPSDPHRIKPF KVIMNTTAGLFELPSVQNGLIAGPLDTNSSRCSSLTDCKGLKVRRQFAANSALPGPLA MIALALFGPGSFADTQQTAPSSESLYSSESDSKRYHDIFAADDILPLTPLGFTTNVPG AIPIDGWMSGFGSNKEGASEAFTRASFLAVKAMFDNPAQFGGDISVAYASSDLPQITL PSIPIAAMIACSVLFGLYMVPLLCLALYAAFSRSWTNTLDAFTMLRMGAAFSQQDLPL LIGKSKRKIEVLDTFPGVVRDISGPDDKFRQLALGIEGGGPLQPSKRYLAYPGNDDWS GLRL TSTA_104760 MTPKLYKEEEELIAKTLSACQHEKKPNFSKLSREYGVSRKKLSR APPTNQQIEESANYLLGKDFSGPGEAPRAGKNWVHDFIKRLPKQYVRIVQKPQEKERT VAEHYGEVERWFIDLELAIQQYKIRPQNLWNFDETGFIVGQGKDEAVVTAYPKTSKRV SSLSSRESITVIEGINAEGKIIPPLLIPKGKIHLEEWYRHIKNDDWLVAPALNGFITD EIAFEWLQHFNHFSRPGAFPDWQLLLMDNHTTHLTIQFVQYCEIWHIRPFRFPPHSTH FLQPLDGVPFQQYKHVHGRVVNKIARLGGFDFDKNDFFEELRDIRIKTFTTRTIRHGW RERGIWPLNPRLILDMMLQPEEAFEALVAEGDALKIYSEADDTIPSSPTTKSISPPST AVKLRRYVNKIEKSIDGIKDILDKVSPGLSRRIKVVNQGSLTLAELGDLHRESFAKVR DIATRKNQKTTKRQVKASGALYVKDANRLIKRRHDGDLLKIYKSHVVGVPQPMEEVAS TEPQNSGFFFDTQGDR TSTA_104770 MATPKSLKETLDRALARAIISGNQPFNLFTQPVMRELLHLLRPN YVPPDRHTIADKILPQLYTECRAKGISELRRIQYLNITVDETLNINLERVIVMTITTS QRSWFYTLKNMEDQELNAPMIADWIFQQLQAFLTELFGERIDWKVINSLSTDTCPLMR AVSKLLLQKPQLKHTFMIPCDSHGLQLIFKDLLDMKASKTVTVKQIFKEASEIVSFFH NSPLQYARLQKIHIKHKNRRQVLIASVITRWGSQYNLLSSVFEMKQSLLEWAWIMGNH DTKQLVEVIGTIQSSDFWLLLESLCAILKPLHIAQKESESSNANVMEVIVRWLKLQND MTTTASYMPLDPDIKSYFTEGGFEKRAKLQLLPVYWLGYWLDPSRILQPLDAPTEEDI RLLLEPQNAWVDFLHFRQQGGPFYNATCWQAKDISIFWLEATDLAPNLASIAKRLINT IASSAAAERAFSLMNLQHTKIRNRLTVEQAEKLLFIQINEFRIWEKSTWSQSQEGIQK ELLEDDEEEMARIYTQQNHELTTILNSLNSMDSLPSETGQQITPYNDL TSTA_104780 MAPAKLEKEDHKRDAEFAKAMHGKSAQNAGGFAAMRAKDTKAQQ VAVDEYFKHWDNKTAAEETEATRESRRAEYATLTRHYYNLATDLYEYGWGSSFHFCRF AYGEPFHQAIARHEHYLAHCMGLKEGMKVLDVGCGVGGPAREMVKFAGVNVVGFNNND YQIQRATRYAEREGLSDKLTFQKGDFMQMPFPDNSFDAVYAIEATVHAPSLEGVYSEI RRVLKPGGIFGVYEWLMTDEYDNDNQEHREIRLGIEQGNGISNMVKVSEGLDAIKAAG FELLHHEDLADRPDPIPWYYPLAGEFKHMQTPWDFLTVLRMTRIGRGAMHRLMGAMEK VWLLPRGTQKTADSLALAADCLVAGGEKKLFTPMYLMVGRKPE TSTA_104790 MPPQIKQDLNRSGWESTDFPSVCENCLPENPYVQMLKEDYGAEC KICTRPFTIFRWKADRTARTKRTNICLTCARLKNCCQCCMLDLSFGLPIVIRDAALKM VAPGPQSGINREYYAQNHEKEIEEGRGAIEAYEKTDEKARELLKRLANSEPYYKKQRR IGSAPEDDEEKTTTTQAEEQAPRRSMYGNGPGPIRTSDTRRGGSFNGRGGGRSGRGGR AFPSAAQLPPSAEDIKPPADPKITSLFVTGVEDDLPEYEVRAFFEQFGQLRSLICSHR AHCAFVNYAARASAEAAALHCQGKAVVKGCPLRVRWGKPKPLDSMADEERQRNAREGR QLVAPSRGGQGQRAITASGSTSETEKHKYAVAPPPGSGEVQYASLSGE TSTA_104800 MTTPSVSAISAYRQLLRATRIAFKDDYRVLLAARTEARKQFDQH KRTAVDTPMQIQHALETASILRHNIVQGARDAEKEDAKWELRIHDDIERGDNDSVKIG GKKVKIEKACS TSTA_104810 MATVTSPLPPLPPLPAGWSAEKDYKVLSSLTSAVQRNIEPVGPH FLAHARRKRHNRTFSEDERIQAQKNVKKVDEDEDDEISEPEDPLMLQRDAKDWKNQDH YAVLGLSKYRYKATPEQIKRAHRKKVLRHHPDKKAAAGQTDENDNFFKCIQKATEILL DPAKRRQYDSCDENANVEPPTKKQLEKGNYYKLWDRVFKSEARFSNKQPVPTFGSENS TEEEVEEFYNFWYNFDSWRSFEYEDEDVPDDNENRDQKRHVERKNANSRRKKKTEDTT RLRKLVDDALAGDERIKKFRQAKRAGKDKKRLEKEAEAKRIAEEKEKAKQEEERKKKE AEEAAKAEREANKKSKEAAKNAAKKNKRVLRNSVKDVNYFAEGGDASASQVDNVLNDV DAIMAKITPEELAALASKLTSAGTDAAAVKAAYVDEVKRLIGEGKLKETEIKALN TSTA_104820 MSSDGSPPTDLGKLRVLSSTASIHVSPLALGGMSIGDAWASGMG SMDKESSFKLLDFYFESGGNFIDTSNNYQDEQSETWIGEWMSSRENRDQMVIATKYTT GYKTYELGKGRASNYVGNSRRSLHMSVRDSLQKLQTDYIDIFIEEIMDSLHILVEQGK VLYLGISDSPAWLASAANYYARAHGKTPFSIYQGRWNVMLRDFEREIIPMARYFGMAL APWDVLGGGKFQSRKALEERKSKGESLRKIFGSTGEQSKKEFKISEALAKVAEEHGMD SVQAIALAYVMSKAPRVFPIVGGRKIEHLKSNIDALRIRLSLEEIEYLESVVEFEPGF PNNFLGPHPRVVGKTSGWLMTDPVNLTFGAPEYDYA TSTA_104830 MLSKLNWLVGLGLLAATSPARAAHLSKHAQDLFDESMNFQDMIY DPSAHYLRWFYFPLAAGVHETRSTVWRTIGLLQRNHGDDAEEAAKILELIIADQEKDT SKQWFGDYTVYPEQPTVGGPAYDPVIYNSWDPNWRGFIGTTLIMVYEEYKDLLPPHIQ DLVLESIYNSTVGDTYRVGGVDDDNLYPAYTNPWLMRTVASSWTGMKMNDSNMTYWGD KWTQEFLDLYNVNHTISEYNSPTYVGVSLAALTIAAKYMTNITNPPLIAQHSEQIIRD IWEVESQLWNPMMRNFAGPWDRTYGYDMNNYVAIISLWIWSLVGKDVAYDNVNPIGTF AHADDLEIGPLLAVLADTQKKIIPESVLRRFSSSHFIGEHTYHGQAYAPPVDYEPRNV TTWVSANLTIGAESFNQTALGGSREDTNAWNPAVVQWLRSDGSVGYLTWYSTEKAMQA DVSPYTLNLTYPAGNETSVFTFALSSNPLGAKRDIYSLDAIDGLKVEVGGSVKPEPEV SFCGFVGGTCPIIHDFEFWNLTFVMPANSTEVPNIHFKLSLE TSTA_104840 MSTSRIIQLAQLISSQTSIIDSHLQINNLPQPSFDQNGPTEPIQ NETPEIQRAKTDVIEAAIELRQLLEGPLKLLLPESNFSPLVAVHRFKIASYVPLDSII SFKVLATKCGVQEHDLKRIIRYTAIHHRVFVEPTKGVVAHTAASRLMVENPVAGHLTG LTFDECWPAHNHAVEAISQQSNRANISGYALANNTPLNTFQYLSQHKERATRFAFAMA TTSKASLDALSTHFPWHTLPSNSKIVDVGGSKGHVSLHLARTYPHLRFIVQDLPEVID GAVDQLPEDDEAVVTCKEKIEFMAHDMFDEQPVKNAEVYLLRYVLHDWGDEYCLEIIR NLIPALKTGAKIVVQDHVLPEPGTMGLLQEMQMRSMDAIMMSLFNSREREADEWEDLF KRADESFGRIIVNRIGENGSSGVISAEWLG TSTA_104850 MATISINTPGNGLVYNTFLNSQLSTPRLCITSEEEEFDTETIRN WQNEGFDVTYVPLNEGGKDYASRLESVKEGLRVGESYAIIAYGEAAAFCLDHFHKPAT GARLTALVAYYPTAIPDTRIRFPPSLKVLVHLAGESVDVVVTPQALGIQGRKKRISKR RIDPGVGIGERKDISYTAFTYDFADPGFAEHDLDEYDHASAELAWSRTLDVMRKAFRK DADLERPWEINTESSFFRDNLSDTMSTFVTHKNPAVTVGPTLAGGVGAKALRRFYEND FLQTKPPSMRLRLLSRTVGADRVVDEIYITFDHTVEMPWMLPGVPPTGKRVEIILVAV IALKAERIFTEHLYWDQASVLVQLGLLDTKLLPQGVSGVKQLPVVGREAARRILGEHP ARGDDYHRRLIANARAPPVTNNSRASRQSTPKPAHRAGGKEVEQRNGEQNRANGASSS KLKGDIADAHASGNESTATATSTPRKPKKLGTPRKDKDKSPIVDDKSNDQKDEKTADK MNDNGKTDDEKTSDNAINGKNEQKEKQVESIENGKDVDDFADGESEAGATPSRPMAAR VESAGQE TSTA_104860 MADHNDAENTASGSRLKWEKPEKPDEETGLSRPQHLTRTLSTAS SSGMSTRAGRRGTVDPAVTLPIHYRSLSFDIDEVQQREEIKTKNDGVAIELSNIEWHT LSIPSIEKHFQVDISHGLSPDRIHQQLRQYGKNVLSPLPHRWFLQIFGYFFKGFGIIL LIGCILVFVSWKPLGDPPAVANLALAIVLLAVFFIQAAFNAWQDWSSSRVMASITAML PENCTVIRNDGNQVSIPASEIVPGDVIRIKAGNKLPADVRFVHVESDACFDRSILTGE SLPVSGTIDATEENYLETQNIGLQGTHCVSGSAVGIVVSTGDNTVFGRIAKLASEPKP GLTLLEKEVLRFVALIVLIMLTMIIVVAIVWATWLRKDHPGWINVPTLIVDCVSVAIA FIPEGLPISITASLTITANIMRKNQILCKSLKTVETLGSVSVICSDKTGTLTKNKMFV TDCAVSTSVYNPMTARDDMVLKGKSTGIHQLRALSGLCNAAEFDAAADHLPLNERPIF GDATDQAILRFSEVLGSVTELRRLWKKTWELAFNSKNKFMIRTFAVAESKGLGLALSP AESVQFKSEDILLTIKGAPDILIERCGRIVSADGSVQPLDAETLRQVRSIKDEWSNQG KRVILLARKVIADQDITSTPGSHEYESEIMRQVRSDLILVGLVGIVDPPREEIPDVVK TLRRAGIRIFMVTGDFGLTALAIARQCGIISGNSRVDDVTALQRYASGSDTVVDKPEL HSSAIVISGPELLSLNETQWDQLCKYREIVFARTTPEQKLRIVREFQSREEIVGMTGD GVNDAPSLKAADIGIALGSGSDIAIEASDMVLLDSFSAIVEAVQYGRVVFDNLKKTII YLLPAGSFSEFWPVFTNVVFGLPQVLSSFLMIVICCFTDCAAATVLAYEKPEADVLLR PPRNPRKDKLVDWRLMFNAYGIIGVIQTVCSFSMSYWYLQRNGIPFSSLWFGFGAVPD GIDQDYYSDKLNEASSIYFINLVVMQWFNLMAIRTRRLSIFSHPPAFNKQTQNLLLFP AILFALGIAAFWLYIPRFQSVLGTTSVPAEHYFLPAAFGLGLLILDEGRKAAVRRWPG GVVAKLAW TSTA_104870 MQPALAPHPSMQQSSSAQDHADQVLHDQLLAAQQHLQSQGQESR APTGQQGHNIDPAISGGAMLAPNTQASSLVLPQVMSGVQEAASGNDASRKTYGKRELS TSKRAEQNRAAQRAFRQRKEGYIRQLESQVKDYKVLSESYKALQAENYQLREYIISLQ SRLIDSQNEVPALPDNIDLTQPRPEPPLADSHQSESSPPAQPQPQSQQTQQQQQRQHT LAAAPTQVPPLAPHQHPHQPSTAPSSNTNEDIGQLNRIAVAGLGMRKHGLSSSQTDSN NNNNNNNNNNNRDGGYPSYPWKRPRGDDYADTRNSEVKIESIHGLPTIS TSTA_104880 MTITTERIIVSTRASSSSDKDSPKPPPKIYNVQEYPFKGYHPPQ PDGYEQSKASPHSSAIVIDNGAHTVKAGWSFDKSPRFIFPPVMSRYRDRKLNKACQFI GYDAYVDATTRGQLRYAFDPGTSIVGNWDVMEGVLDYVFLKLGVDGSSEGAVDRPIVM TEPIANLAYPRRVMNEILFECYGVPSVAYGIDSLFSYRYNRGTDGLIVSSSHTSTHVV PVLNSKALLSNATRLNWGGQQASEYLLKLMRLKYPTFPGRMVEHQMEDLLHKLCYVST DYNEELVHFLDWTGLEDRDYVVQYPFTEHVVVEKTQEELDKIAERKKESGRRLQEQAA KMRLEKLMKKEQELEYYKDLQQGLAQQTKKEVRRILEAEDMKDEAQLERTIKELERSI KRSRNKDLGVDENEENPEEASFPLLDVPDEELDEAGLKEKRHQRLMKSNMEARQRAKA EKEAENARLEEEQRLDDERRENDFEVWIGERRAAREALLQKIKERERLKADLGNRKSL ASQMRMKTLANLASDGPKKRRRGGDDDDFGADDADWGVYRTVATGADQSDDEEEEDLT ATLKTLEDQLLQYDPEFTHEHTLDAQSDWTKSLIHVFLRGPWPFDPESQREAHQIHLN VERIRVPEVVFQPSIAGVDQAGLVEIAADILNQRFTVEEDRNRLLKDVFLTGGNTLFK GFDERLRTELVRVLPANAQLSVRRAADAVLDAWKGAAQWAATGGLATGSISRAEYQEK GSEYLKEHDLGNVTSV TSTA_104890 MKQDIGLRAHYHFQIISIKMPPANATSDEHQHLLDSLDIASLPR PFRNRNWKPSQRRNKNVKQLLSESSRKEVSSMATQVNSGATTPFPLSTTDGSQTPAVA AGGAASTRPPNIAQAAQSLSTLVLEKNLKAASGMYTGGPAVTYTNIESAPSLSPANQY RYCDLTGLPAPYTDPKTRLRYHNREVFGVVRTLGQGVAENFLEARGAHVVLK TSTA_104900 MPTMWLSETQKIGAIFCTGGGLFLFGGVLMFFDRSMLAMGNILF LIGLTLIIGIQKTIAFFSRPQKLRGTAAFVGGILLILFRWPLIGFCVELYGILILFGD FLVTIGQFVGGVPVVGPYIQQAFNALAGQRRNAELPV TSTA_104910 MYLPWAFPDFKPSARAVLPVFCTTLKPVLYQESGFSPLEIELDQ IALLATVRLWRLDPYHPLRRRAEQIASNSNKLADLPAIYWPSQTLNR TSTA_104920 MARKGPGTDGPLQTALLESTSAVTTRASEGQKIFSPIATFLDKH RSQTTSLVPHLLRALTTLSDDLASVAQQHFNAYISGILTTSILPALFPSPSPSPTLNS LPSSPPSSRPPSGLEQSTYATITQYALVKPTPTTHSKAHIKKPMPLVKQPLPDNWLFP EKWKSMPSTLAYGLN TSTA_104930 MADKPADLPTHPRESRENAQTQIGAPMGRTKEQAAANFMAFQRT IPSSDIVIFSDGSRLVDGRAGGGYIGLQAHHQFLRSSLSYGHGKEVFDVEAEAALAGA QAAIAYPTAQFATNLWICLDNLEVAIRLLSPSTGSSQEIFESFRTLAAAWPLRKRLPH TKSGSIQIRWVPRHAKIPENEAADLAAKEGAASTPPAPHKSSYASLKRHAKTQSLSAA QSQWQRVAPQSYQDLEITTSPKRPGEL TSTA_104940 MDPLSVTASVIAVIQITSVVSTQCMQYVKSAKNTKSRILRLVQE LGGLQIVLGTLEDLAKRSSHALQDQGNDPDEESYLLPTIHRLCQLEHVFEECLRKLKQ LERDITPTSQANLTKKESFFRALQWPLKEAYMRNIMDDINHYISLFSLALTLDTTDNI LAIHEKTFETHYMVKYLQSQKEEETRREHGEKIIKWLSAPDTSVDHTHALQMKTQNTG SWLTGDHQYQEWKQIAGSSFWLYGIAGSGKTVLSSTVIEDLISHGRQDLSTAIAYFYF KADDNDKSSSPGMLRSVLKQLFDRGKRTSTAFDQVIGNGDQQPSPEQLLFTLKDIVSE FRDVYIVLDALDECHDLQHLFDVFEEFEKWTEAHIHLLFTSRELKDIKEFVEGLTTEK FMIKLSAAIVKEDIRMYIRDRLRTDRNLKRWRNHPKVQEEIEQSLIEKSDGMFQWVRY QLISLVRCRNPRELRQALETMPKGLNDTYAQILSRISDDDYDVALRILSWLLFSVRPL SIEELAELAALDLNAESFNDIERLWDPAEVLNICPNLLTTIEEYDDEHGGEPRILVRL AHISIREYLLSTDILSGHAARYQIKEPYAHGLITDCCLMYMRLIKDAVSVAAESLPLA IYAVEYWLLHYEKVPETIAETHQLAFGFFSNRKEVYSRWITHILTMPISKFPIIHHDL IGPGPILPSPLEVVCHNSLLIVLKLMLENDEVEIKKEDVLKKALRATYLPTLLPRDKA GTTRLLLQYGADFNGDGKFATVLNAASYFGLDDFVQNELDRGSDVNAKGGYYVTALQA AIAGRHDRHVFEMLRHFWPTRVSRPGYILEQEEPTTIQILLKHGADPDICGGDVGSSL LASCLSGDIPCVKLLLAHGADPNYGIETLDVWKRSCLAAACKSADIRIVRLLMDKGAR ADSPMALDIDIVRFLLENGANPNNSDRYQDETPLQLACVNEQPAIVELLLKYAADFRV GGGRFGFPLQLTSATFGSIETLKVLIAKGADVNQRGGAFGTSLHAAAQFGDVEMVEYL ISQGADIYAEGVMYKSVLRHALNYAYTDIFVLLLKEGADMETPGGRYGETLRQMLAAA PADRTELWFKTFETLDYLCAIVRKGETWQDFENIVVEDRGGQSGIFMQSGAGGSIPRP PRSCIACGLPQGSPVSGVLFMLYIAPLFRLGNPRNRFGYADDAANLAISTSLATNCVA LSNLLQEALNWGAAEGITFAPDKYELLHFSRYKADQDPTRTPSVKDGSIIISENTKRL YLRWLGILFDKKLTFKWHVGEAASKALTVANALRSLGNTVRGVKPHLLQQAVSACVLH KAYYGAETWWPGRTHPGPSQTSN TSTA_104950 MSWDGYLSQHIVGSGLVDQAILIDQSGQSVWGKASDVELTPEEM NKIAFAFNDPTAAQESGITVGGKKYFFGWIDEPADKIPVLFCAMGKEGIIAAKCTSSI LVSHFPDTVPANRAVTLITQQAKYLIDNNL TSTA_104960 MGIFGRSRANTTNEISQPVLTFSDAAPRDDLQSLRRVGSSRPRQ GEKPTGASINARGMRQKPQKNGIHAFDFTVTQPPEGPLTVDETSGFNGNGIDSNTIGI ALGSPSMVPPSQYRPPEWAGVSTPSEREDVVTTIVAAQGSLRRKPSKWKKLGGMLKGR QNNDGKQSVAAREGFYQLSMKNNQEDTSSPFVRQSQFPTYNTDDEKRPIVSMSPQFSD RWEDIQQPTPTDSLLAVNIPKVEMERYSVMFRSVLGEKPSSNLLTRRNQALNQLQVNG KEPGLHEPQKPQRRVTSPSTNRESTSTLFPGDPQNSAIANGLSKTTDTRGLAPRSPVR SNTFPRVAKNHHHENSLTVPDFTPALSTGTSSRFSYSSAEPSPIHFNGPITTARDYID GKNEPAWEMITTRKSSSVSPEDITPGAEASGKSTLTPTMTTPLIAQDQVEVGLKAEPT TKPSITVLPQRKASLPAYADRAKLKVRNSSNSSNKISGNITPPANETNGHPPPTRAPP SPPRFQLQRSQTVPLPLNITKRSSTTNLLHTSTDSFDNDNAAGIISPSLFSQTPVSQA PSPFIPQTPQTIEISIARSVSVSKRIIPRQTLVPLGPANPQHFNFGANENERFGELRP KRVPVVIDVGPPPPAASGTKDSDTGSNTAEGQSEGGGGGSPGHRHQKSEHIVIESA TSTA_104970 MTDIASLQSQWLQQLSQMKQSIADLKLEERRKSIESSIQTDIDL DLDDDEFSSGVDDIWDIISSDEESEDLDDANGVSQTAGYDQYDYTWLKSRCQELAAQK SGLDSEALLQQVEALLASDSGDDELQMSLAEIIGFDDLDLVIDIITHRKDILSSKAPK TTAQTDGLIAGRLQTRAQREAALRQQDYEHKHAALAPAQTREEPKYPHVYKLHDSRNT LSLGGKKYGLPMGSTQVEEQKYTEITVPASRGKSPVGPNQKLVQISSLDGLCRGTFKG YKSLNRMQSLLYDVAYKTSENMLICAPTGAGKTDAAMLTILNAIAKNVIPNPLEEPDA TEFTVQVDDFKIVYVAPMKALAAEVTEKLGKRLAWLGIQVRELTGDMQLTKREIVETQ IIVTTPEKWDVVTRKSTGDTELVQKVRLLIIDEVHMLHDERGAVIESLVARTERQVES TQSLIRIVGLSATLPNYIDVADFLKVNRMAGLFFFDQSFRPVPLEQHFIGVKGKSNTK QSRENLDVTAFEKVRDMLEQGHQVMVFVHSRKDTVLTARTFKQMAAEQQCEDLFMVPP DTEGYGQAVKDLKSARARELRDLFAAGFGAHHAGLTRSDRNLMERMFAEGYIKVLCCT ATLAWGVNLPAAAVVIKGTQLYSAQEGKFVDLGILDVLQIFGRAGRPQFQDTGIGFIC TTHDKLHHYLSAVTSQQPIESRFSGKLVDNLNAEISLGTVTTVAEAVQWLGYSYLFVR MKREPRNYGIEWAEIRDDPMLVQRRRELIVNAARVLQKSQMIIFNERTEELRAKDVGR IASQFYVLQTSIEIFNEMMRPRATEADVLKMISMSGEFDNIQARENECKELNRLREEA LQTEVEGDNDSSHAKTNILLQSYISRAKLEDFALVSDLAYVAQQSARICRALFMIALN RRWGYQCQVLLSMCKSIEKQIWPFQHPFHQFDLPIPILKNLDEKLPTSSIESMRDMEP AEIGQLVHNHRMGNTLTKLLDNFPTLSVEAEIAPLNRDVLRIRLSLYPEFSWNDRHHG SSEGYWIWVENSDTSEIYHHEYFILSRKKLYDDHELNFTIPLSDPLPAQIYVRAISDR WLGAETVTPVSFQHLIRPDTESVYTDLLDLQPLPISALKNPILEEIYGQRFQFFNPMQ TQLFHTLYHTSANVLLGSPTGSGKTVACELAMWWAFRERPGSKVVYIAPMKALVRERV QDWRKRLTAAMGLKLVELTGDNTPDTRTIRDADIIITTPEKWDGISRSWQTRGYVRQV SLVIIDEIHLLGGDRGPILEIIVSRMNYIASQSKGSVRLMGMSTACANATDLGNWLGV KEGLYNFRHSVRPVPLEIYIDGFPEQRGFCPLMQSMNRPTFLAIKNHSPEKPVIVFVA SRRQTRLTAKDLINYCGMEDNPRRFVRMSEEDLQLNLARVKDDALREALSFGIGLHHA GLVESDRQLAEELFANNKIQILVATSTLAWGVNLPAHLVVVKGTQYFDAKIEGYRDMD LTDVLQMLGRAGRPQFDNSGIARIFTQDAKKAFYKHFLHTGFPVESTLHKVLDNHLGA EVSAGTIVTKQDALDYLTWTFFFRRLHKNPSYYGLEISAEEHNTMAAQQIASEFMIDL VDKSLNELAESSCVVLDAATGEIDSTPFGKIMSYYYLSHKTIRYLMSHATRQPSFEQV LAWMCSATEFDELPVRHNEDLINAELARNLPISDLPEGMDDLPMWDPHIKAFLLLQAY MSRIDLPISDYVGDQTSVLDQGIRIIQASIDVLAELGYLPACRMMMTLLQCIKSARWP EDHPLSILPSVEPNALAEAVANMESKKKKDSANLPDSLVALSAMRPTAIVQALNDTLS STPSFRPLAVRQVDKIVTSALPRLSISVSDISAKSVTISLARQNPPLTSDFKIHAPKF PKPQTEGYFLIVTASTDSTDGNGELLALKRVTWFNPQSRNNTENSVGPRRNNNNLTAK ATVKFPEELLSPSQSTASAPRKVDVLIVSDSYIGMQWKVTGVEVPVSSNSNGEEPSSG SSRTVDVDAQVEKR TSTA_104980 MKIYYMGILRNETKPALELSGEKDLSSFSRFTRENYNEFMMLFT KTVAERTKPGQRQTIEEKSYTFHSMSRDGIAGVIVSDSEYPPLVAQQLLSKITDEFIS KHPHCEYANPTLPERSLSFPQLAEYIKKYQDPSQADSIMKIQQELDQTKIVLHKTIES VLERGEKIDSLVAKSDGLSAQSKMFYTQAKKQNSCCIVM TSTA_104980 MKIYYMGILRNETKPALELSGEKDLSSFSRFTRENYNEFMMLFT KTVAERTKPGQRQTIEEKSYTFHSMSRDGIAGVIVSDSEYPPLVAQQLLSKITDEFIS KHPHCEYANPTLPERSLSFPQLAEYIKKYQDPSQADSIMKIQQELDQTKIVLHKTIES VLERGMILI TSTA_104990 MPRTDEAEHWFNAVYEAVQEIPLGCVTTYGHIARLLGYPRRARQ VGICLKHLPSNRTNRTSSAKGGGDESAEEDENDEQGEIYHHFHDDNVPWQRVVNSKGT ISHRGPGSASRQAVALRHEGVTVEQDAMGDFYIDMARYGWFPARLPSEEGESEDSDSL EEEET TSTA_105000 MCYFVALDQYLKSNKLLLDESKLRKNFSPPPPHIIVPGSTPNLR SKQSQYKMSSLADYLAKNYLTADSQPERPKKKRKKNKPTDNSGDTGLIIADDDPPSLR ASAGDLMNDNEYDENSPYILDSTTSRSISAEFKKKKKSNWKVIGGESTGSKSEAKGQG QGQDEADAILASAVAESAARLEEIEADDAPTIEGGIHEAEDDGPRMESGARAGLQTAA QTAAMVAAQERRKKAELAAFQTSVKQQGQEGGGGGETIYRDASGRIINVAMKRAEARK AAEEAAKAEQAAKESLMGDVQRQQKQERIEQLRSARAMPLARTIEDEDLNEELRAKER WNDPAAQFLTSKKAGVSKTGRPLYKGGFTPNRYGIRPGHRWDGVDRSNGFEKEWFQAR ARKERIRDLEYEWQMDE TSTA_105010 MNGGDIKKAVINKGKQMASVASAAANGNGSKKRRKGTDLKPIVT NENNMAVDSNSGSTSSQGVPAASSLPHQKAAYGIPLSRSDSSSSGEEQETTADEEDSE DYCKGGYHPVHVGETYNNGRYVVVRKLGWGHFSTVWLSRDTTSGKHVALKVVRSAAHY TETAIDEIKLLNKIVQANPSHPGRKHVVSLLDSFEHKGPNGVHVCMVFEVLGENLLGL IKRWNHRGIPMPLVKQITKQVLMGLDYLHRECGIIHTDLKPENVLIEIGDVEQIVKTY VKEEQKMEKEDNRSGRRRRRTLITGSQPLPSPLHTSFSQMDPFKMLGSHSSLNQVMNT SKAGLSMKDSLGIKENIPTIPEDEKQKQREKTADLLEREVSGISLNTNTSESSSPEKE GENDIISVKIADLGNACWVGHHFTNDIQTRQYRSPEVILGAKWGASTDVWSMACMVFE LITGDYLFDPQSGTKYGKDDDHIAQIIELLGSFPRSLCMSGRWSQEIFNRRGELRNIH RLRHWALPDVLREKYHFSAEEAKQISDFLLPMLELMPEDRANAGGMASHGFLKSTLGM DDVPDLGIEPGTKGEGIEGWASEVKRR TSTA_105010 MNGGDIKKAVINKGKQMASVASAAANGNGSKKRRKGTDLKPIVT NENNMAVDSNSGSTSSQGVPAASSLPHQKAAYGIPLSRSDSSSSGEEQETTADEEDSE DYCKGGYHPVHVGETYNNGRYVVVRKLGWGHFSTVWLSRDTTSGKHVALKVVRSAAHY TETAIDEIKLLNKIVQANPSHPGRKHVVSLLDSFEHKGPNGVHVCMVFEVLGENLLGL IKRWNHRGIPMPLVKQITKQVLMGLDYLHRECGIIHTDLKPENVLIEIGDVEQIVKTY VKEEQKMEKEDNRSGRRRRRTLITGSQPLPSPLHTSFSQMDPFKMLGSHSSLNQVMSE SDTSKAGLSMKDSLGIKENIPTIPEDEKQKQREKTADLLEREVSGISLNTNTSESSSP EKEGENDIISVKIADLGNACWVGHHFTNDIQTRQYRSPEVILGAKWGASTDVWSMACM VFELITGDYLFDPQSGTKYGKDDDHIAQIIELLGSFPRSLCMSGRWSQEIFNRRGELR NIHRLRHWALPDVLREKYHFSAEEAKQISDFLLPMLELMPEDRANAGGMASHGFLKST LGMDDVPDLGIEPGTKGEGIEGWASEVKRR TSTA_105020 MIRNATPADLPQIRAINTHYILNTVLTFMQTPPPPGAILTKYNE IKTHGLPYFVAVDDELKYEDSSGLILGYAYLSPYRGHMLSYASTVELTLFVHPEHQSK AVGSKLLAAILKAAESGFLYHCHVYESTGSDEDQRTVFAGDNEMGVPVRNILAVMAVD PNGPDGGDALRRWYITRGFVERGRLEKIGFKNGLCMRLNQRINNRNPNPNPNPMNWHH EDWASMRFLVGAHNASGWLRSNVLLTLFLSKD TSTA_105030 MANPFIERPLVDRLLASPLAFFLHPMHILLLRLRGAPHSPSSTT RPIRVVCISDTHTLEWHDIPDGDLLIHAGDLSNDGSVREIQATVNWLKTLPHRHKIVI AGNHDSYFDPRSRLDEDRDTVSSSLANISASTASIRSVDIDIDDFDGGRIDWSYHSQT SEYDYPSSFDSKPQNTTHEEADEKEDIHYLQHTSITLTFPAQTPTQRSRTLTIYGAPQ IPTPMPMGPEHAFTYPPAQDAWTNTIPIETDILVTHTPPYTHRDLGPNFSIGCPFLLS EVWRVRPILHVFGHVHFAHGSEPVFWDEAQRAWERISGRCSARQRRLQQQSAGSLWAG RLGGLYDLVTPRNWIDAFKVLFYGVTGIIWSRVWGGQVNRGAGWMVNAAMMYKDTGIL RNKPQCVEEL TSTA_105040 MGKLTGQDVAQHNNKNSCWVIVHGKAYDVTEFLPEHPGGQKIIL KYAGKDATEEFEPIHPPDTLDKYLDASKHLGEVDMSTVEHDEKVKDPLEIEREERIKR MPALAQCYNLLDFESVAREVMKNTAWAYYSSGADDEITMRENHTAFHKVWFRPRILVD VEKVDFSTTMLGSKTSVPFYITATALGKLGHPEGEVVLTKAAHNHEVIQMIPTLASCS FDEIVDARKGEQVQWLQLYVNKDRAITKRIVQHAEKRGCKALFITVDAPQLGRREKDM RVKFSDTGSNVQASGGDSIDRSQGAARAISSFIDPSLSWKDIPWFLSITKMPIILKGV QCVEDVLRAVEAGVQGVVLSNHGGRQLDFARSGIEILAEVMPVLRERGWENKIEIFID GGIRRGTDIIKALCLGAKGVGIGRPFLYAMSAYGQEGVERAFQLLKDELEMNMRLIGA ATIDDLKPSMVDTRGLVGGHYSAPSDTLGLNVYDPLVSPRFAEKSKL TSTA_105050 MSSTPSPEDFGLTPNTPVHKYQDVHHFSEMVFREFKLLQSRQDS SQYVIFTDLDQERFSRDFQDHTDALAIESYFPRLQILMAKIETVTHANAADDFGIMFM EKPCLMKIDRRQLIKISTASIETDERSKRADRAYKPRRLPKYRDQRWPTLVVEVGYTE VRRKLANDTRWWLTASNGDVKTVVTISINQKYREITFEKWGPNTEAPEQPVVLQSIQV QQDADLQHIKISGKCPLVLSFEAIFLRHPQTACETDIEFSQDELEKFAVDTWDVQAVF SQTFSRNTSPEFIAANCGIRASNLCLRATPPPNA TSTA_105060 MSPRSLSTPDHCLLSFICSSLLFFFTLIVFFPPTGYPPGYANPT GDFHPLGRVSCRDSVNPSSLTMSSSLEAKIVVLGSQGVGKTSLVNRYVKNAFEPAKIT STVGASFVTKRVLDTTSDTIVRLQIWDTAGQERFRSISRLYYRGANACLLCYDITDEN SFREMTGWLQELKENLGHSNDTPGDSPLVIHVVGTKSDIVALEPSRRKVPFERTIAYV AEQLYPSQASTPPPTAGFGNMVVTTPMSGSLQSPDSKRSSGFWGQDIGWDCCHEISAK DGEGVEEVFRVIARKLVEQRNKQINEMNANGGLNGGLADLNTSDTDGGGGGGYGMNGM TGRGSFRIGLGDKRRSWLGFPPGMVVSEEMALPSEIGRHRRPCC TSTA_105070 MPSSEQASTSTKRNLIVISNRLPLSVKRSEDGSYISSMSSGGLV TSLSGLTKTAEFRWYGWPGMSPKESVEQDEIRRSLAPHNAIPVFLDEELAQKHYNGFS NQILWPILHYQPGVTYDEGKWEAYKKVNEIFADTIAEAAQEEDLIWVHDYHLMLLPEL LRERMAKKKRQACIGFSLHTPFPPSESLKVLPVAKDILKGMLSSTLVGFHTEDYRKNF SESCEYLLNARVQDSSILYKDRLVKTGHFTVGIDPDKFNETLKKDDVQARIHDLNERY KDIKVIVGVDRLDYIKGLTQKLHGFEQFLTDYPKWQGKVVLIQVAVPSREDVKEYQEL ETELSCLVGKINGKFSKPEYSPIIYLHRSIPFTELTALYSIADMCLLTSSRDGMNLVA FEYIACQEQRNGVLALSEFAGASVFMADGTIQFHPANIKEMSQCIHQGLTMSKEERKE RYDKLAKFVHTNTSARWGQSFVAELSKRHA TSTA_105080 MSAGSAARGAFSTLSSNLFITLPIPRTNLKGQTYIVTGSNTGLG FEASRHINKLGAEKLIMAVRSISKGETARAEILRSTGREESSIEVWELDMGRHESIKN FAARVATTLPRIDGVLANAGIMVDKFKLVGDDESTLSINVVGTFLLFALLVPKLRESA ERFNIAPRYTIPNSALHYMAPLKELENNMDIFTTLNDPQKADMAGRYPLSKLLVIYAC RELAEKINADGKTSLTAIIINTPNPSYCKSALAQGTQAGRSTAGRVMEKLLARSTEEG SRALVHGVVSGVQTNGEYLTNCHVECPSSSVTSAKGVRIQKQFVGVLVDKLVRIAPEV SSYLK TSTA_105090 MNTADRQIVYKDNKRNRRPTSCEQCRIRKAKCNRDLPCEACIKR GEKSACKYASNAIRNPKRRKSNVGERLRRVEKLVSELVGEPNLDDRVLDSQGDGIVNS SRERFATRNDHIYAHESASERETQPRVSKKARAHIEKAHWLSILDDIKEVREELAQSE IMTSPEENEDIIEQEEEIDLVLGPTQKLPTFQNIINSLPSRPICDTLLSQYFNAPMIL PIIHAIKFQNEYEKFWHNPLNAPPLWVGLLFSILALTASVHQVIKPPMADAQPSLAVK PLRSRTVQCLIMGNYTRAKSYALETLVMHLLSGYIGKPDSSFDGWFLMGTIIRLAMRM GYHRDPATQAGITPFEGEMRRRRWHAMLQLDTLLSFQMGMPSMIPPEFCDTEPPRNLN DEDIWPNMETLPPSRPLSDYTPILYTIAKSSIMSMFRKVLAHTHQSSSSYAITIDVDT RLRETYTSLPPLLKSRPIAQSFTISSGLILSRITIELLYLKAIIVLHRRYLNTERQNP HREFSRRACINAAMEVLSRQKEVHEATSWLHGRLYADRWMVSSLTAHDFLLADVVVCL ELSVMRSTTMAVGTLEGRNTAGFETLLDALLDSQRIWEEKSVDSNEARTAAAMLKLMI DKVKGNTVPVGERNVTKGHDNQPATESYSTSTTMSAPTELEMDVATDFPFADTMTDMI TGSEELDWALLDHYLQNTNTALPSPGGHASHAAGGLDNSFDEWGLLDYSHMEMNMNMG MDIGFGTGVDGTEGQHYQGYFG TSTA_105100 MTATPNDVALQSNYDVDYVIRYSFGGPDREEAKEQLNHLLQTLA GVGFQTEVRPGDRSSLLIFIRAPHKSLLRATHTTRVRDWLYGLRHTQPDRDSSPGPQA DAERLRAIYYMLTAPSDDGGANITPKFGKWKHVDSLFPLHDDKTNQAWMRDWSKKTFL TNEDLDQIRDKFGEQVGFYFSFLQTYFRFLFFPALFGFSSWLMLGYYSPVYAIVNCVW CVVFVEFWKRKELDLALRWQVRGVSVLSSRNRSFRPESEIRDEATGELRPVFPWTKRL QRQLLQIPFAIVSVVALGAVIATCFAIEIFISEVYNGPLKSYLVFIPTVLLSSILPIA STILTRVAKRLTEFENYETKEDHDVAFIQKMLVINFITSYLAIFLTAFVYVPFAHVIV PYLDIFRATVRPFVSPEDEKTIHHTEFKIDPYRLRNQVIYFAVTAQIVNFFLETILPF ILQRLSSKYKKYSEEKANGHEKASAAYDDHPDEVEFLKKVREEADLPEYDTTDDLRQM AIQFGYLSLFSTVWPLVPVSFLFNNWLELRSDFFKICKEFKRPVPERADTIGPWLDTL GFLAWVGSITSPALVYLARSQGLDSGDGIQNPIRGWMLMTTIFFSEHIYLAVRFAVEK TMTKIEMPSIAQERIQKILMRKALISEIPDESLSVGGNEDVTSGVGGEKEEKITRKTL EEDARARTLHDARPSDPFWERQKNWKEALQIGNRIIESYQSTEMKKAE TSTA_105110 MERRTAESPMDFEWQTRAPGDITSPFYQLGLQHDNQKKSFVFDS PLKKQSAPTQSFNFSQPSPQRNGSPNRPDAIFGKSSFQTPRKFDLDFSSGAENMSSPE NADNEGTPESIQVNKNERRNSLFRFYGRFAPSPGRGEIPRVTNHKTDHARRIHKRKIR DRDINRHLRRDSDYDSDRPSSREEAQQLSKFETKKFEQTPSPSLPPQGSTWAHFFAFL DEHPNLPAILSYWLQLVWNLVLFSLVTWVVVSFVLTIKQDIDHAADAKRNDILTEIST CKEFYIQNSCNLASRPPALNEVCNNWERCMDQDPNRVARATVSVQTISAIITGFVDAI SFKAFSYFLLTITTITIASNWSFIALRHQYTKKEDRRPYPNSQHPQGQPSYQHRQAPP VSYAADGNQYPLEYPKTPGRGEESPSRRQRNYQDDDEDNDRQSRRLLLENTPSRDMAF VTGRSRERELHARTPSPSKRERWM TSTA_105120 MSDLRRSATGVKRVHNHKAKWAHGARQYGQQASSFDKWTKDSAG PVRLRPVPPTSSATQSVLRRYNESTIRSARNANDTYFQHDVKEALTSDSSSDETTILH ESAAPDNMAIVDDDISAYAISGNNILAIAVSKAEVKYENKVTEKLAKEYEFVSREDDH VTGYIADVDDFEIIDHETV TSTA_105130 MSEQPTIRLATEEDVPYILQFIRELAEYENALDQVEATEESLLA TLSFPNDSTATKSQKRGSTYTALVFPPGSKVPVGMALYFYNYSTWRSAPGIYLEDLYV QPAARGKGYGLALFRYLAKEVVYEIKGKRLEWSVLKWNEPSIKFYKALGAREMVEWEK MMLDGEGLLNLADGGK TSTA_105140 MPSYFGHFIHRQWVLNVPKPTASFASKVAIVTGGNSGLGKESVK HLVRLGASKVIIACRSKSKGEQAKLEILSALRCSADVLEAFVERANKLDRLDVLLNNA GVSTVKYKLSYGTEQAVGVSVIGTILLAIQLVPKLKETARAFGVTPHMTFTQSALYRL AKYPENPGARL TSTA_105150 MQHERQTIPDPVPVHLYKAGRKARIPLSCDPCRSRKCVAQRPRL AMNGRAASIEALETHTAPIAHDQNNHEDLMQHRIEHLEDLVKRIIAQGQIGLPTNINK LKQTWSQSQDDQTNHGPLSYTEADGSSLLFGQVKQIERAEVLATLPSKLEVDELIRQF FDYTTFPLSVPRLLFSILGIVMLSYHQWEEPPEYEGVSESLFQLYRLRTVQCLLIGDI AKCLPYTIETLRFNATAELNRQDDNSRNLWIVAGVIVRAAINMGYHRDPSQLTSLSVL QAEYRRRVWNAVMQMDDLASFLAGFPCMMGNIYSDTREPRNIHDWELSEETTVLPPSR PLSECTPVTYIIVKGCIAHAVGRITDFNNLPGEGSYDTVLNIDKSLCEAYQNMPSHMK VFQGKRDLSSLNRQSGISGVQLESLYHKGICTLQKFIAKARLDPQYKLSRERCLSSAL AILDYQHLLDSSWYKFSGVRQMLAFAAMILFLELEHGRKGPDLKPPYDCAVLLHALET SCALWSNAKGSCEQAHAVYQILSGILSSFQTSTGSSYSQKTNGSPDSTFEMSGQSSHF QPLDRGMSMEKDMFRMLNGEMDIDWVGVSRLYVRKYLYTNPGTGYMGCVHRKSKF TSTA_105160 MDTRKNIVILKTTDDWRKWIEQLSTEAMKENVWEYINPDPNRMV LEPAPAKPTEPVAPEIDFSKTSEAQLLLQKYQIESNTYERQLSRYEKHQKRMKHMRSY ILDTVYIGHKPMIREISEVSEIIRKLRKSLLQTNREELYEQHRELIMTKNSLKPKELI QKWRDLIIDMKFAKFTEIPDDRLTRDFIKTTENVLPKFYETWTTRMIEFDLDSGATNL IEIPTVDEIISQFEQWEEVYTKSNPPSRRDIAMATFGDKSDQTEKEKQDTTPKQKTRT CICGQEHLFEDCPYVNSKKRTVNWKPDEAIQKKFEQLERRHGHPRAKMLQRIKKKLEK EGSSGTKVSFLTDAKNDNGNKESANLLYDSDEYIGILLNAKQPSLNPPISTALSASSR NLDIKEMTLLDSGATVHITNKRDKLINMQSNVRTIMAGKTEIQMYGPGQYILHPTDPI SDKVICKGIRILEMWYVEGFPTTIISMSQLRSHGIKYDGKTDRLWSERTNEDLCHVKC TGKLYLLEWNSNKNSKTSLSKELALSSFDKRILKDPAQVWHKRFAHVSNKSIENIEKA TEGANITAPFQKRNEEGFEEKCEVCAITKIRKKISRVPMTPPTRPFQKLFVDIIVMNL AMNKDSYALHAVDPYTKFHILTTTRTKSVNFNLENMIEEIEHTFKTRIEEIQLDGESS LNGISFRDYSQKRKIRLIVTVPDTPEQNGPSERAGGIISMKSRSLIQEANLPQGLWPE AMKAAVWILNRTPIKALGYKTAYEMAHGTKPYVGNLFLFGSKAYVRVDTKKSEKMALR AQIGFLVGYEAHNIWKIWTTGPNGSKVIRARDVIFDEMKKYDPEHPFAKEIVREGVQR YVDNVDIPNLEDIEQNDIIDSVDEDMNLQSMVSPVVSNIENTGGTLLHDSMDISRPGQ ALDIQQDVPQNMEIDEPTQPDQDTMDIDHENPENEAQEATQIDNREKSVVKKLKIDSA GGVEHEDNIKEEVDEDKNIPSDKQLPQSSSPVTMERLSANHDAEKANNVNNDLPTPPQ GASQHSSEKNESTGTQEPLSTSRAQEINADLSESNIVTGPRIRVPSKRALSPESSSLS RKKHKKLSRAFLARQKLLQDSTTDKILLAALEKLEKPLTEQLPPEPKNWTGVLRHKFK NQFIQAAKTEFEALNKKGTFEFVPRPQNKHILPLTWVFKYKFDKYGKLSKFKARICVR GDLQQPNELEKRAATLAARNFRLMMALAAIFDLEIVQYDAVNAFINSLLDEEVYTLCP DGFKQSGKVIKLRRALYGLRRSPRLWQKELTTTLLSLGFVPIPDEECLFIKNGVLILF FVDDILVFYDKDKKQAIFEETEKGLTSKYELRKMDKFEWFLNIRILRDRAQRKIWLCQ DSYIAKIASQFNINTTNNVDTPISGNIEASKGEATNQEIHAYQELVGSALYASIMTRI DVAKAVNELAKHTKNPSIAHFQQIRRVIQYLYNTRFLAIEFSPPQNPEKDAFICASDA SFGDNPDRTSSEGYLVQIYGGSVDWRATKQRLVTTSTTEAELRAATEAAKRLQVWKRV FRSIGFKPDRELSIQCDNKQTVALLTSEEPQFRTNLKHVDIYHHWLRQEISKKRLRIE WVDTKRMAADGLTKILRGQPFLDWRKHQGLVNIGHLTQE TSTA_105170 MPSGYHIDSEQRQDHDPPCVDETGDNYGYYRLISELSKVTSFII AREQGLIMNFLEGHCLGSCIASLSCFPCCFCFPKGYKIIEEGQSAAVLEFGKYKNTVG PGLIYINPYTQYLKIFNMNIQTITGEKQTARIEDETYRIKLTISYKIIDMSAAARFRG NIEEALKRHIQEEIHFALTDNDKWLARSCNSTGTAQYDICDKPHQLVFLLMNIEDNEN FYHTYIPPAQPSDQPPITGTSLLGGTRLARNRVQCALLAWPNLG TSTA_105180 MSSSLRVFKKSLILLSALVISSIPYNMAIAKNIRCKCGKRFATV EAMTQHTSDSPLHAQERAQPAADRDTGNETSTHNPSITDNKSQSSTLHWTKEPIAFVK AGANQPTKSWRKGGKAQRNGSNSYHSGSSYGEDYSDIGDNHALCDKDCGWCGHCADYV DY TSTA_105190 MSDHKTKIFIIGGTGAQGIPVITGLLTDKKYHCKILTRDPNSPR AKQLAALGGLDISFIQGTFADEQTLKAGFESCDGAFVNIDGFNTGEKTEMFWAIRAYE LALESGVKFFVYGNLDYVYKKSGYDPKFRTGHYDGKGRIGEWILQQTQQNGGRMGAAL FTTGPYMEMTIAKGTPMTPTVEEGVVTWRVPLGQGAVVHVSLQDSKANGMDLEVAIAH IDYAEMATAFEKVTGHPARYIDTDLETYWSSGPLSRNADAPAGYSADPTDKSTMTMRQ NFTGFWNMWKYSGKNAGVVKRDYELLDEIYPDRIKSAEEWFRREDQRGRELGLGGLWE RVQKDSIKPVLKIAEDGRRGRL TSTA_105200 MFQRIRGAIDSVIAEEQARQRSAQESIAGGRSTVARRSSTRTAR QRSNSALPQQQQQPPRSPDPREFEFSIGDDDASTSVSTTQSSRSGTPRLEVTQSTTGT TASERDGSERTDTGDGEKKKEPAEEGRDKPAASTEKPASTPELPIEVRSKLRRLDKIE SKYSDLLKAYKLAHARVLLIEPFESALKENTPLTSIGDPKALTEYLNQMSLKNDMLMD ELKRVTSDRDDYKKKLAEAEKSAKEVSDEVARLKEQKKTEEPSEEKEDKKSTADTEDF FSFDNEIPRLESEVQAKQDEIEKLTTEVDQLKRDLTVARESTESMVVTLESTTRELEG LREFKDKHESELDDLKSLKQKEIDEIKTKLESAESAIEKAKTELSDVKSQLNEKSEEL DRLKSEAANTKSQVDTSELDKKISLIEKDKADKEKRLGVIEGLVDKLKTQVKEGEDTV SSLRTELTEKGSQLEGLGRIAKFVDDGLDFSPKWKTTRESVVAGKMASFDDVRQILLS EKSEAQEPAAENQSTGSKKKKNKKKKTGKQNNEPATKETPEASKEQATDVAALEKKVE ELTEQLTEKEAAIDRLHAKLKGEEDLKEEIESLRDELLHIGQEHVEAKDQVKALQAEK AALEEARAKIEKEVAELRTNHASATADSEKVHTDLKAEFEELKHKSTTLEKDLSAAQQ LAASRFKDLTDLRNTMQKLTPELRNLRAESAELKTTKEELNKKTAEFKKLENKHDDLR AEVKSLKSSIADRENEVKTLNQKIRQETDSRLKAEDALSVTKSDLRQSEARKQQAIDT QERLLKDLSRAQEELKNLRAKMREAEEKSAQFDRELIGLREEIQLKTAQHASAQSLMT SMRDQTSEMAMQMKEARERCESLEEELAEAHRLLTERSREGETMRRLLNEIEGRTEAK IRDYKERLEAAIEERDKAEEEATTMGRRRARELEELKTKVRETEKALKTAENDKEELE YAQRDWKRRRDDLEIQAERSTQEAKEIRQAMVQLRDALDESERQVRDMEKEKAELRRS IEETTSRLERLRKANKSLTEEINRAGSTNNGNGMAKRPSTMESGITSSRSSIDSRRPG ITSGGSRERNPSVARSETPTERSQTAGSIDYIYLKNVLLQFLEQKDKNYQKQLIPVLA MLLHFDRNDEQRWMSAVMSR TSTA_105210 MAILQSTIARPLLLGTRTMQWVSSVIAMGIYAYFVHKQHHGTHI IFNLVISVLSVVFFLPAFLSPFKSTLLSKWVALIDMIFSYLWLTAFIFSSQSYNYGNV YFNAPFGVKVSVKHAAEAFTFLAFFFTVLGLLFETMTRWVDADHDPIVREKHHGDARA PLDAPANTTGAAAV TSTA_105220 MVSLWPWKGEDNSPASFEKTLSTLSTKATETNAQLDKLRQQARR FKALWTLYSVFIYLLYSTIDVLVLGWQNWGYWEWGAVLGGPFVIYTVRTVGSRMFDYR ISRSQSYLDSLNKQRDETIEKLKIATKYNSTQQLLEKYGGESPKPTKAANKNTAKKKD NNEQQQKTSSGNVPGRTGLQPPPTANIRRFPSNNNPQGQSPYNQPRQSPPNEYLQGKP LPPPPSAVPTYTDEPGFAPNAFPPSSQPQYASGGAPKWYDRLMDVLLGEDETSPKNRL VLICQNCRLVNGQAPPGIKSLGELGRWRCGNCGAWNGEETEARKVIASIQREATEERE AAQNAENEKSESEDINNSELAGRGDDEVDEEDERSEQEEEHIQSVPETPGTTQSEVQS PNGLNDLGSSGQLPSQNPMGCRRRLVTYYWQRRLGNTGSMATTRRNGQLSSCEPCRKS KLRCDHKTPTCDRCLHRGQANDCFYHPAPLTRTLPPQAFAPKGSSRRRRKQQPGNQVI FRLNKTPSTVTQTSPLATSLNTGINTYGQSVGQWTSMQKRPMAPGYLGLTFSEDIFNE SHGTISLKNRDQNQFEDAQNPPADLDKAQLGAQVLLHLEKICWFHEIIKFKNKVSPGW FLGPPLTDSLCIVMEKVYGSAIQGSSDTQASLLRLSYEIFTNTMERIAVTQTMTIVEY MSLIAARWETIGLLFAVLGSVTFHIPADDPVFTNNPWNIDRKQLRSISIANSEICSQF CNSSGLISDPLCWLMTQQTVLLTLVAGKNDFRTWQKLGDLSTVTYAIGLHRPDSALEE NCPFFLTEIRKRVLACAYSLDKELATALGLPPRICSRYVCIELPLDLSYDEIISTPSA VEIALQNLDPNGWNTKGDFTLEVRLRIALLTGFMRENILELSMSYQTGDLLDRVEKMM EDSRQMQQDLPQSLRWTPNEAVSNFIDESRSMTHLEFTYQELLLYRIVLKRLGIKSQS LIHTSCEIISTLLNLITLRTKSAKAIHDMSWDLCYMGLPAAGILATELLGIQPTSIPP PIHTRSEMIQKLSIFRLASQIFC TSTA_105230 MSKAVYWRQTLRFLTMIRSGLISMIYTQTLDMSATALKDSETIT LISTDVERIVTNMRNLHELWASILEVGVAIWLLEREVWIACIIPLVISLGSVLAMVPV STRFGQAQKRWIECVQDQLAMTSSMVSRMKTVQILGLSDILFKTVSRLREVEVQTSAR FRKLLIWQIALSNIPVTLAPFATLTIYAVIALVRQDGSILSTTAFTTLALISILTDPL LVFCQAIPANIQAIACFSRIEKYYKNSTPLPVSSTTLSDDNIEENKESQPYKVSQAVN SPLVSFKNAEISRSSEKEPALKNLNLSICRGVVMIIGGG TSTA_105240 MVSANERTPLLDSPPDNDAPEEQPLSARKSFYSIENRRVLYTIA SLCLVLFIFDISNYIAVVPQTAIFEEIVCRDYYSSGFSVLGNTSGDKCKIEPIQSEVA LISGWRDTFETIPALLVTIPFGALADKIGRKKMALLGAVGCLLSDLWVRTVCLFSDIF PLRFVWFSGAFQLIGGGATTMSSMIYVMAVDVCPPEYRTTSFSQITAAGLASEFLSVQ ASAWLMSKNPWIPYLGSSLILITGLILIIVLIPETFVPKDESLMDTSTEENLTHTAES PSWIDCSYPRIRQHISNLGPVFRWMRRNVPAMMIISSFFFANIGRQTAGILLQYITQK FHWTFAKAAFVISLRAVGNLFVLAFLLPTLSELISRRTRLAGEVKDKLLSQFSVVTII LGYILIFVADSPLALSIGVILSALVSAFTVTARSILSSLVDQRHLATVYTGLSVMTYS GMVAGGPLLASSFHWGMKFGDPWMGLPFIVAAGLYVVILLVISMARMP TSTA_105250 MGDTPSISTSLDGALSLPTSLLSISSAVSGNDNPSLSSSSLLSA TTASTMALRTLPAVPPPVETAMPGALGQVLVFVLHTIPSILFWVIGFATITIPTWLFT LFSMSLTFTMNFTTLMVIFAAFMSTVIWFIRYRYLNMYSRLPPEPQRKEPQIDLFPDT QEGDSKPGLANYLDEFLSAIKVFGYLERPVFHELTRTMQTRKLMAGETLLLEEEKGFC LVVDGLVQIFVKSIRGNESNPHASTFGMEDTDDEELHRMDGNQGYQLLTEVKNGASMS SLFSILTLFTEDVHLRQGSDDSTRNVSEKSLIPPSIPGSPGGFVPGPQLGSPPADHTA DGLGVAPLPTVPPLDLEDSAEQTKTAATSTADQSPQTPAPSSQPQGRRHANKNKSVHP DIVARAMVDTTIAIIPASAFRRLTRMYPRATAHIVQVILTRLQRVTFSTAHSYLGLDT EVLSIDRQLNKFTAHDLPNDLRGRALERLKDKFRQERERLGPKDDSKGIALHNPQAHR RRRSSSSLRKDAALQTKIAASRRHLSMSNENFLSSNITHASSGTSPGDLLSTIQLNRY GNRSDIGHRPPSQGQGTPFAEVTSPLAQVEHSPFRGGSMHMATSPFLRREAVDEDSIF RESVVECMMKGIGLTSSTRDALQKTYSGEQSPKLVSYDSRRQKAVFNNAFGFMDPFDD AESESMMSMSVTSAGGTSPVHNLREELRSEIEIVSFPQGSVLVEQGERNPGLYYVIDG FLDVGVPVNDRGDDLVGTSKESDESFPTLKRTTTSSSRVSHADPKRRKYPRRSLYLIK PGGMQGYVGSVASYRSFTDVVAKTDVYVGFLPRATLERIAERYPIVLLTLAKRLTSVL PRLILHIDFALEWVQVNAGQVIHHQGDDSDAIYIVLNGRLRSVLEGKGGKIRVLGEYG QGESVGELEVMTESTRPATLHAIRDTELAKFPRSLFNSLAQEHPGITIQISKLIAQRM RDLVYNPLVTDSSIPRDISTANTATSTVNMRTVAILPVTMGVPVVEFGNRLMNAFTQI GVTNGVTSLNQAAILNHLGRHAFSKMGKLKLAQYLADLEEKYGMVLYIADTNVNSPWT QTCITQADCILLVGLAEGSPSIGEYERFLLGMKTTARKELVLLHAERYCRPGLTRRWL KNRMWINGGHHHVQMSYRLTTEPANPRTKRFGTALKQRVQVIQAEIQKYTSRRIHQTP LYSQQTPFKGDFHRLARRLCGKSVGLVLGGGGARGIAHVGVIKAIEEAGIPIDIVGGT SIGSFIGALYARDADVVPMYGRAKKFAGRMGSIWRFALDLTYPAVSYTTGHEFNRGIF KTFGDSQIEDFWLEFYCNTTNISKSRNEFHSSGYVWRYVRASMSLAGLLPPLCDEGCL LLDGGYVDNLTVAHMKSLGADIILAVDVGSLDDNTPQDYGDTLSGFWTFANRWNPFSS TPNPITLSEIQARLAYVSSVDSLERAKNMPGCLYMRPPIDPYGTLEFGKFDEIYEVGY SYGKRFLDKLRAEGSLPVLEESTEEKKLRRTMAPRRASV TSTA_105260 MIRYLSTMKSVSLLAAVAAVVQSQEVYITTTGYEARPQCTEAPA TPTYRFQSFSYASLNGTVRCAISVPSPTTTKTYGPRYSEAVAKLSTTLSTTTWGSWVP NQTFISATDTADKYGQAAWSSQWLHASLANYTNIGLYTTTVSPTPLPTSELVLPPRDY FGPTDCYTFPEGFTFGVAGSAAQIEGAIALEGRAPSILEKMLPDTSPQDYVTNENYYL YKQDIQRLASIGVKYYSFSISWGRILPFTVPGSPINEQGIKHYNDLIDYILEVGMVPI VTMLHFDTPLYFVDQSDLRHAKPDIGYQNGGYWNEEFVDSFVNYGKILFTHFADRVPF WVTINEPLLYAFNFTGLDNVVKAHAQLYHFYHDELQGTGKVGLKLNDNFGIPKNPENQ TEIDAANRFNDMQLGVFMYPICLGQQYPKSILHTLPGAKPLSKKELEYIGNTTDFIGI DAYTATVISVPGDGIEKCAKQNMTTNPLYPYCVTQETVNAYGWDIGYRSQSYVYITPT YLRAYLSYLWNIYETPLILSEFGFPVYDESTRDLVDQLYDSPRSQYYLSFMSEVLKSI WEDGVNVIGAIAWSFMDNWEFGDYSAQFGMQVVNRTTQERWFKKSFFDLVDFMGARNG LGY TSTA_105270 MEQTGSLMLPLRPQTTKTSKKDNLPIRIAQINAQRGSFRNITEQ SLQDEIKAQKERSKDKLEEEEEESKSQENVNEVDATERQELLYKRRAEIIQFAAQAHM ETQFALDFISLLLSKHAPRQAETSISPYLKQNVPMGSLNIDVVKAPPKSQTALRDTQN VAHGWKIESFNASANRLLKAASRLESEVAAETKYWDQVLSIKDKGWKVCRLPRERQVL GVQYGFMEAAPTFRDRGLASLRRADDGTLVLDKGLVPSRARAVRVRVKKQGKITGSSS LSRFVAAAVSDDSVEKTILQSRDTLFEEELFHELTREARVLVSSGVTAHKDLIEFEYE AGEQILLELADVDDNPENDSEPSEGSTVNNNEAEAIAYALRILLSYAHRQNLRRRSQF PPPLTNQKRPTPQYQLLRPILSYMQHDAHVRSLQSFLQDVYQVLNSAGLASKYTTSKF SSLQFKSSADVSSSTSVETLLSQFMEPLESRFSGSMANPTSTYNIRIHTTMPDTSSRQ MALGTDYELTIRLPNYPYTQPPSRIGLKHEVELLLLRLFTLDLITYISSISSHNAAAA RGGDFTKNLLLWESPFPHHGELSGVTQDGKAVKQLAINLSRDSLGLCLKSRSQHDDKS DTNETAKKEDEAIDHDEDPFQSEFVVGPGGLQYTRPPQRLAGGEVVHVWRREDVKGAP KTLAEVIRDISSI TSTA_105280 MQPKLENSTAKQSAASASSTTSSKKFQKKKAATSELSMIIPSSY TIVSDESSDEDDILSTEYHYSNLEAAARVKQEIRQRHETAELIRSYGEIIDSFKSVCR KLSVPKGPVDDHKRAEEIDLFEREMTSVIMSRVSKLIQKQASRPSPASGTLKSSPVPG KKEKIGETVKPRSSVSWSDLGTSSAGTKGCAENLKTSSGDSGKAKSSGAASVKEAKSV DNLNTQVPTDQTSPSKMKTKAAPDSLSKSKSKSQNSDSKQPTGMLQTSSHQISADVKT NNISSPSPCISTVIIF TSTA_105290 MTTRYRVEYALKTHRRDQLIEWIKGLLAVPFVLHSQPTVVFHEE SIRLAAVATATQQRYAEIMRDVELLIKDHINHNNRSLPGKSKLKLLVPTVGHFFTPLL LEDAFIYQDQRRHISRRRFVAPSFNDVRLTLNTAQLMGLVRSSAIRLLTFDGDVTLYE DGCCLDGDNPVLSRLLRLLSQGKKIGIVTAAGYTEPSHYYLRLKGLLDAVKADTSLTP DQKSGLIVMGGESNFLFRYDQSSPHLLSFVPRSEWMLDEMHTWNDKDIDELLNVAEAS LRECVSNLSLPANVLRKSRAVGIYPVKGKKMHREQLEETVLVVQNMVERSEVGKKLPF CAFNGGNDVFIDIGDKSWGVRACQRYFGGIDRSTTLHVGDQFLSAGANDFKARTACTT AWIANPAETVQLLDEMFELESEYSKGE TSTA_105300 MPALRHTATTNTTTTTTTETDPDSASLYSEHAALLLPSKDGTQP GFAPEQSLSRGLQIPSKTSRLTSGFEYPSILGTQYNISRDEWEQFTHEITESAKLSPS QWTTVIGVGMGIMAVGGMMVGFFGAIPAVIAAKRKRARQENENSLSSKITAWNETFFK PRGIMIRIDMPYDLSAVEDGLDVSSTSRTAAKKSPRKSSSPSHASSRQGSVSSSLSGL SADKTDRVKERYKASHRSRIVIIPLNSDGRSGSVMSQATTLAEESPYIPAVYN TSTA_105310 MAKLSPALKALINSPHARPGTNPAPAQITSVYASIAKEAEPRNV GLKAWFSAATAATMTMNSPESLVQLHKLVSESRSKEESVYLAELIREIGLKCIGFNGI PRTINCLGEFRAGLPTDIKDALSTQARRQLKPEVIPDILSRGHSLWKSIYYPFETKLQ QKLALSHPDLPVHIIESEYGNLFADPTTPTEGVVPAKVGRVLTSIVAVACLRAQTGVG PQVISHVFGLRKAFEDGSAESDIQGGEWLASDEGSIWLIGVVDRIVESIGGGTSFTPG FKAKL TSTA_105320 MNQNQRRQRSRIPTPAPRAQFARPRLERVDNNPRTRLRDEATAR EQATQQQATQRATLERENRTLRAQVRKLQHEQAALREHAAQRARLERVTRDLRERAAR EQVAQDRDTLEQENNTLWARVRNFRNQRNRLRQQNITLDVRRRRQVNILLATLVAQRL RSNFLAHEHNEMQQQRDNALQEHDWMRQDYDQLSEDLQRTRNQNEEETTSLLIQISEF SKRADLLDKALLEAGLQITETTNDNPKTSINKSSRSRNKLRLQLVRWRSQGQVETIE TSTA_105330 MSQFHPTISRESLQDKVIVLTGGAHGIGKSLVELCTQHGAYVCF GDIDEKAGRGVEESANASQKSSPPTARFVPVDVTNYQSVLSLFKTALSLYGRIDHVVA NAGIVERGNWFDPALTVESVEEQPTTKVLDVNLLGCLYTARIASVYLRQNRREGQDRS LTLVSSVAGFKESPGLFVYQASKHGVLGLMRSLRKYITFPTTHNIRVNAICPWMTETA MVAGIEDGWRKAQLPINQPLDVAKVITGVLAAEGQEERVSGMAMYVEGGRAWEIERNL DRLQPQWLGEEPSESLEKGQAVLGDGMNWAQ TSTA_105340 MPLQSYYHVSSEKGLSSVTSLIVGSKEAVLFDPPFLIPDANDTV TWIKNTLSTQNQKLKAVFVTHHHPDHFFSANPILEAFPEARFFAAPYVLAGINNEYDD KVVYWPSIFGRENIPEKPRKPDPFEYSFFILEGDPDSPVHLLGPVQGDSVDHTIFWLP KERTLICGDTVYARSTHVWVEEVESAALLEAWQRTIQLIEHLNPMKLIPGHIESGWEP DVAADLAHMKKYLSLFGEKITYAPKKAQVNELYEFFKNSFPQADKNLDFFLGHLSNQF GEGGKVWEENRHQNAGERGRERLQGYWFS TSTA_105350 MSLMDRRVRALQENIKLNSAGASAQKTMAQNCKKPLGLSPNTAQ YEHRNKKEFFLNRDRELSVFDTSREGSDYIVFTGLNETTFNHDCDDNSLGTLGFKTFY PGLELLLVKMELRTHGFAP TSTA_105360 MSSTTASTASTASAIKPPIKPAKKRIAVLTSGGDAPGMNGAVRA VVRMAIHSGCEAFAVHEGYEGLVAGGDLIKKMHWEDVRGWLSRGGTLIGSARSMKFRE RSGRLQAAKNMVLRGIDALVVCGGDGSLTGADLFRSEWPGLLKELVEKGELTEQQVFP YTTLNIVGLVGSIDNDMSGTDATIGCYSSLTRICDAVDDVFDTASSHQRGFVIEVMGR HCGWLALMSAISTGADWLFIPEMPPRDGWEDDMCSVITKNRERGKRRTIVIVAEGSQD RHLNKISSNAVKDLLSDRLGLDTRVTVLGHTQRGGPACAYDRWLSTLQGVEAVKAVLD VRPDSPSPVIVIRENKIERMPLMEAVQQTKDVTARIHAKDFDQAMAMRDSEFKEYYNA YLNTTTVDHPKMRLPEKKQMRIAIIHVGAPAGGMNPATRAAVGYCLTRGHKPIAIHNG FPGLCRHHDDKPIGSVREIEWLESDDWVNEGGSEIGTNRGLPGDDLETTARAFEKHKF DGLFIVGGFEAYTAASQLRKARDFYDAFKIPIVVLPATISNNVPGTEYSLGSDTCLNT LIMFCDAIRQSASSSRRRVFVVETQGGKSGYIATTAGLSIGAAAVYIPEEGINIKMLA RDIEFLRSSFASDRGANRAGKIILRNETASQTYTTQVIADMIKEEAKGRFESRAAVPG HFQQGGKPSPMDRVRALRMAIKCMQHIESYAGKSRDEIAKDEYSASVIGVKGSRVLFS PMGGPGGLEFTDTDWEKRRPKHEFWLELQDLVDVLSGRKPHKEAGMLEDSHSKGKWGY ESP TSTA_105370 MSGQFIYPPPNSQDVTLNYNYRDSVDVSFQTTAQDPNNTFLSLW YYLDGQPWAIGYNTSVPPNGTITVDLNILDQPYYGQFNYDFDGHKVAYLSCFFNVAHN TTQNPVTWGQSAAAAYSSSLAAATSTITTTTSTTTTSAASKGTSTSTSTRSATTVGSL GSAASVISSPSSTPSSSGLSGGAIAGIVVGVVIGVLAVLGMGLFFWRRNRNGNKSAEI LASGSQHSPLPQQQELDNNQVEKPLGSVSMYSHHGGLPRYAELAGNNGLQELPG TSTA_105380 MIFGQTTPFLLQLSTLFLAAQATTTLLRVPATVQPVKGSIVLNF GGPGEIGRATLAGSAVPLQALSGGQYNLIFFDPRGTSTSNIPFTCYNTEYKLEKFADP QTTLDADDEAALGRLWTRGTIDTNTYAQKHNVIGTLIGTACTARDVMSIAESIGDDGL LRYWGFSYGTTLGATLVSMFPDKVDKVILNGVQNPHEYYHSYADIEEWEVADQSFSGM FSSCVTAGPDKCALVKSNPNATAADFEKSFFDLVDTLKMHHIAVGGLMVDDSLLYTLA VNSLYATSVWEDTTSIFDMLLTGDIDKTVFLDFVGQVVPVDNATLLTIGSVYTALEGI HCSDRAPAARAGTFEKILPAIYELSNTSKIAGRADMSLTMTCAQWKLDAKERYEGDFQ VRPKSPVLLIGNMYDGHTPIKYAYNVSAGFEGSVVLEVNGYGHTSLGLPSLCILKTTA SYWVNGTLPKPGTVCQIDAPPFSNITWVNVFEQIS TSTA_105390 MNKMVFANALGYDSGDDRKWSIGAGRPFPPDLPDRQYYLVDFDG PDDPLNPQNWPSSTKLISSILACTGTFIAALNSAMFSPGIDKASRDFGVGREVINLGT SLFVLGFATGPMIWAPMSEVVGRKWPLVIAIFGDGIFTISGAVAKDVQTLIICRFFSG VCGASQMTVVPGVVADLYDNTYRGIAMAVYALTVFGAPFIAPITGGFTASSYLGWRWT LYIPSFLAFACGTLSLFFLKETYAPCVLIQKASSLRKSSGNWGIHAKQEEIEFEIGVL ADKYLLRPLRLLATEPVLLLISIYMSFIYGLVYAMLQAYPYVFQNIHGMTPGVAGLMF IGLFIGVVLALGFILSQYGQYIEKLEKNGNVPVPEWRLGPTMVGAPVFAVGLFWFGWT GFTTQIHWAVPAVAGIFIGFGILCIFQPCFNYLVDAYLPIAASAVAANVMLRSAFASS FPLFTRQMFENMKVQWACTLLGCLATMLVPIPFLFNTFGERLRNKTR TSTA_105390 MNKMVFANALGYDSGDDRKWSIGAGRPFPPDLPDRQYYLVDFDG PDDPLNPQNWPSSTKLISSILACTGTFIAALNSAMFSPGIDKASRDFGVGREVINLGT SLFVLGFATGPMIWAPMSEVVGRKWPLVIAIFGDGIFTISGAVAKDVQTLIICRFFSG VCGASQMTVVPGVVADLYDNTYRGIAMAVYALTVFGAPFIAPITGGFTASSYLGWRWT LYIPSFLAFACGTLSLFFLKETYAPCVLIQKASSLRKSSGNWGIHAKQEEIEFEIGVL ADKYLLRPLRLLATEPVLLLISIYMSFIYGLVYAMLQAYPYVFQNIHGMTPGVAGLMF IGLFIGVVLALGFILSQYGQYIEKLEKNGNVPVPEWRLGPTMVGAPVFAVGLFWFGWT GFTTQIHWAVPAVAGIFIGFGILCIFQPCFNYLVDAYLPIAASAVAANVMLRSAFASS FPLFTRQMFENMKVQWACTLLGCLATMLVPIPFLFNTFGERLRNKTR TSTA_105400 MTAYKLWYDQPAQKWQDGLPIGNGHMGAVIISQPSSEIWSFNNI SFWSGRSESTPVIEYGGREALDKIRKEYFADNYEHGKRLTEKYLQPEKGNYGTNLMVA RIYLALEHGGEEPSFTDFRRELNLDEAIVRTEYKSKSVLFRREVFASYPHQVLMARLR TECLEGMNLKLGVSGVTKEFSISDGETTDCLVFETQAVEEIHSNGTCGVRGRGIVQAH TVGGSVHIVDGELRVKNASEVIIKVSFQTDFRSLNDDWKLRVQTLLDNVWDTSYEELR ALHVRDYQSLYRRVHIDLGHTEDSNFPLNKRKASFQKSGYNDPSLYLTISGTRATSPL PLHLQGIWNDGEANAMNWSCDYHLDINTQMNYFPTETTNLGDLQGPLMRYCEYLASSG KKSARNFYGAGGWVAHVFSNVWGYTDPGWETSWGLNITGGLWMATHMIEHYEYSLDRN FLTTQAYPVLREAAEFFLDYMTIDPRTGYLVTGPSNSPENSFYPSTQSPREKQELSLG PTIDITLVRDLFKFCIFSVDELGLNESEFAARVHEALAKLPPFRIGKRGQLQEWFEDY EEAQPDHRHLSHIIGLCRSDQISRRHTPELADAVQVTLACRQEQADLEDIEFTAALLG LAYARLNDGGNAFKQIAHLIYDLSFDNLLTYSKPGIAGAETTIFVADGNYGGTAVIAE MLIRSLSRGKNGSEIELLPALPTQWATGSVKGLRARGNIEIDIEWAEGTLVMAVLRSL AAGIVTVFYENHTRTLDMIEGGTIRLDGQVQLM TSTA_105410 MEKQPEEDWVETTAKETMKKDNITDLASRATAMEHELGYWEAFK IYKKGNFYALPAFQQAFGQEVPGHGYQIPARWQVAMSMGSLVGQVVGAYLVTYPMEKY GRKKTFAVCLVLTAILTFMQFFASSIGILAASQYMSGIVWGSYCVIATTYASEVLPLR LRGFLTGYINLCYVMGQFIQTGVTRGFINRIDQWAYRIPFAIQWVWPIVLLAGLPFAP ESPWWLIRQDNRMDDAEKALLRLLRPTPKVDPKEIIAMMVKTDTFEREIEVGSTYADC WKGSNRRRMEICICLFVIQNFSGNPVGFATYFFEQIGLTSVQSFDMGVGLNGLGFVGT LCSAIPLIYFGRRVSYIFAVSFMVTVLFIVALISFAHDYTTNYSYRWAQATLLIILQF VWQATLGPLTYVVVCETPSTKLRSKTLAIATSIDALTGLVTTVIGPYLLNPGAANAGA KIEFLYGGISVFSLIWCIFRLPETKGRTFEELDILFERRVPARKFNAYVIEDLDAEEI KIAASSGNVKAFPSNVDQAPDV TSTA_105420 MPTSLSVLKRFARPKIILFGDSITELSCDQSLGFALAPALQHEY FRKLQIVVHGYGGYNTEHARHILEPILDYETSSVPDKKDELLTDVKLLTIFFGTNDAT QNDSQFVPLERYKANLRHMVDVAQNRNIPTILVGPGLVDEYSAKGCEGSGRSTTRARE YSEACRQVSIEKNVPFTDMWHAMLAMKGWKTGDPIIGQRGSASDLHLRDILTDGVHFS GSAYHTIRKHFPNLKSENLSTMLPHISEIDPKDLPASLWQERSKITVDVSMSPTVGGY LWNLQAMPLKQACDCCNIRKIRCNGAQPCQRCINNRLNCTYLRQRQKSGPRRLRQSSK KIWDTQVSSVGVSEPNGMQMRRIQHDDLGTGLLTTTPARRISLSAINSVFEIFRDNLY GIWPLLDTENLLQQLIIGTDDIQTYALSTALCAATLSHLDRTITQEQSQLDGLFTADA FAQEARRVRCTYDYMEPVTLATVLTSYFLHIFYGKQPSRMQTAAFYIREAISFAQLLG MHTEDTYSRVIDGFLNLVNLFKYPGNDFFNKWTAQSSQVAVSSRQLLLLQQELQFLPS EIPPEANSIQRVDIYATRHWIRALAWKLSLQSGYIASNGISSRKEMSTLYPHQIALDM LLETGNIHPTAFEVHGPGMEVKMTEIATALANSIECQPEEEESQSLSFVIRPRDTFKS ICDLIFSTKVMLPNLRESLRERVQKVFGHSKFYTAVESTTPNDDESLEQGAWALFGSS AANCAARNVVEHDTAALIAVSDPSAWFPTAISTASFTAFNSTFHDTDLFDIPAIITGA YDPVGT TSTA_105430 MASDRLVFVPPLNKRIVVPLHTTSLTIYCLCPDVTTLRAQVDDS KQKLDLDFTESKIKTISSTSIEVAIFADGATKHGNLNILSSIKDRGTDENFITEISLS EDEELKSQRVAWLNVDDWEGWAWYRPRDTWIEVRYTSLVKLDSQTPSHSLLLRPTNPA IDPGAILAVFPASTAEAFVTLSAARDGEVPGVYARVRRVQKGGKIEVCITGKLHINQG TRNVMRDAIHLARIKYGLPADASFIEEKTGETPFDRLGFCTWSSIGENIPLTYDLMDD LLTKLNRDNVQVGTFLIDDGWQDIRYGHNGSPKHRGLWSFRTWQGMKSSLADNVSLIK KKLPMVKDVGVWMTLAGYWNSVSPYSPLARKYNMRMYPIDRSNVLGIEWPDEADDQQT GTIPDPELRAYFLPPPHRAFDFWRDYFQTQADVGVTFVKVDNQAYGSYLEGVEGGEEF VALWNNMIKAANQIFGKNRVIHCMAHYERFFNGDIGMGVATNGEKVIIRNTDDFGLSR PNIHRNHIHYNLYNGVLLSNQCLYLDTDMFMTSAQWPEYHAVLRAFFDGPIFLADKPG VGDFSVHKKLTARCPGDLVPNRVVRAKNIICPLSRNVWEDTLGPGRGPPIKASSYDSE SRAASIVLWNGRSDAVDNSIDIIFEGDILDVLRDNIFHGTWEGVIWACNAATAIPVEI SNHPASLSVHDILASTPVLATSIKPKGYEILTVAPYNVLGTAKVAVIGLVDKYAALAG IQSITVQESSLVVETKYDGILGFIVKRLGAGGFTSRIDGESTETQINSVSDGLQLVQV DFTQAPSRPSQKTWSVTLSLS TSTA_105440 MNYIIDDLDKMARLFATAIAITGALAQTNCTGSSYVPGSVNFTL ECYNAIQNCVSKFEANASLVDCNDGQGNIYMQQQASLTSTSPNSDIVIAFQDILELCI LSGSTSGTWGYSDNQWYWTAAEPACYVDSTTDVVPTRPAPFCIQDRDSSLPDCYPQPK SIASSANKFKVLKTSGSTPNGFNSSARGWNTYGIQALSNGSEVVPSFVGSSGLNYLQE FVKTQCGVLAQSGFQQAGYVYCSLDSGWQTQTTDEYGRIVYDSTRFNLPQLASWLHGQ GLKLGVYVVPGVPCSAANKTIKGTNISINDALNGNNDQLYCSWNFSKDGVQEWHDSVV AQWASWGVDMIKLDFLTPGSPQNGANLDCDSSDAAHAYLNAIKASGRHMRLDLSWKLC RNETWLPIWSQLGDSMRIDQDLDNYGYNTFVAWATAQRAIDNYRQYIGLQAQRNVPLT TYPDMDNLFTANAENLTGVPDLQRITIMNHWLGAGANLILGGDLTAIDSLGYQLLTSA ESIAAADFFSQYPMQPRNPSTGDNLAQQLQAWIAGPSDDSIAYVLLVNYGPDQGQGGF GTQLKGIQDVTVSLEDLGISGSSWHATDLWNGTATKITDSYTVALDEGASQLLRLTTA S TSTA_105450 MLSKIRKPGHVIPTILLATKVVRAIDLNLDDTDSIKSAAKTAAT EMMTYYTGYRPGDNPGNLPDPYYWWEAGAMFGALVDYWAYTGDSTWNNMTTQALLWQA SPDANFMPKNQTMTEGNDDQAFWGMAAMSAAERNFPNPPPDQPQWLALAQAVFNSQAA RWDPTTCGGGLRWQIFTWNKGYGYKNTISNGGFFNIASRLAKYTGNQTYAEWADKTWQ WTVDVGFLTPEYRFWDGADDATDCKNYNLIEWTYNSGVYLLGAANMYNYTNGSDIWRD RTLHILNATNVFFSTDPEKVMYERACEPVGTCQVDQKSFKAHLARWMAATTQMAPFTY DIIMPRLRTSALAAAKTCTGGPNGTSCGLKWTEQKWDGNRGVGEQMSALEVIQSNLIH EVAPPVTDANGGTSRGNPAAGSGPNGRPSGSGDASQGKSGDFRTREITTADRAGAGIL TTLFLAGVVGGTGWMIYEK TSTA_105460 MQYRLILASALLTTAYAVPHPEITEPPTVYRRQNIWDQLGSDFG AVGTDISNAFTSLGGELGSDLQSIGGSITSGWDSFTHSIASYASAAPSDYSKWASDAS SLASDAHVQATSWAARAQSETNSASASIASQFASDASIVADNESSAAASLASRASSAA ASVTGTTTLTSATTLTNSAGSATSTSTSTSTGTLTTTHTGASAATTSATSTSTGGAAA ATAGLGMGLAGIAAGLIGVVAVYMGSGVWFLIKGLL TSTA_105470 MRILSSYHRRSGQTEPNLSRLSTNRQAAQLPMLNLADNNRNNLI AVIGEFVGTFMFLFWSFAGTQISNTPMPPAGSYPNTSNLLYASLAFGFSLTVNVWAFY RVTGGLFNPSVTLALFLVGGIPAMRSVLIVIAQILGGICAAAVVSALFPGPLNVATTL GGGANTAQGLFIEMFLTAQLVFVIIMLAVVKHKSTFLAPVGIGLTFFLTELCGIYYTG GSLNFARSLGPAIVNHSFPHYFWIYFLGPLLGSGLASGFYYLLNKMRYETCNPGQDAD SMEAPTKESTGTSSFNQSIPSAYDRGAGNGVNGNVNGTGGAAGYGHQRNISEATAVSP GYATTSEKNEPALSPTGHGEYPSTTSAGQYANTPANQPTGTYTNQPSGNQPGSLNPVR ERGESGQINQF TSTA_105480 MAPKKVLIVLSDAHSFPLKKPASSSNDKDQIVQQPSGFFLMELA KPLAQLLESGAPNPLQTPTVETLLAFAGNFYERRHENELIDRMRRENGFDSPRPFKSI SDEELDSFAGVFIPGGHAPLQDLGDDPELGRILKHFHNKTKPTAAICHGPYAFLSTKA TSPGEFAYKGYKLTSWSDAEERMMETMLGGEIEKVESSLKDSGAEMVAGAREKAGYIT VDKEVVSGGNPLAADALGKQFLKMLSEKA TSTA_105490 MIVPMYNAECAPPEIRGLLVGLQQFSIEFGILISFWIDYGTNYI GGTGDSQSDAAWLVPLCLQLAPALILFVGMLFMPFSPRWLVHHGREDEARRVIAMLHG GSGTSDHMDEVIELEFLEIKAQSMFEKRSTAEKFPHLKEQTAWNTFKLQFVAIGSLFK TMPMFRRVIVATVTMFFQQWTGINAVLYYAPSIFGALGMSSNTTSLLATGVVGIVMFL TTIPMMVYVDRVGRKPVLIAGAIAMGINHLIIAIIFAKNQYQWPTHHAAGWAAIVMVW LFAGNFGWSWGPCAWIIVAEVWPLSARPYGIALGASSNWMNNFIVGQVTPDMITGMKY GTFIFFGLMTLLGSVFVWLFVPETKQLTLEEMDVIFGSQGVAASDKERMDQIRRELGL VDRAASDDFQTTEVKENDVKAE TSTA_105500 MALLAKAAKSTTPNVTSNFTARRLASTSTPQTQLSGLKINSKRL WKTLHETCEWGAAHRYGDNPTDTGMARLTLTDADATVRGWLSDQVQNLGCILHIDQMG NMFARQKGRLNSPVPMTAMGSHLDTQPRGGRYDGILGIMAALEVLKTMKENGYQTEFD VGLINWTNEEGARFPKSMASSGVWAGQIPLQEAWNLRDIHNPDITLKSELERHGYLGD IACSHDPQSGGYPLGAHFELHIEQGPILLESQRSIGVVQGSQAYRWLSFTVTGRDAHT GTTPLSARRDPLLAASRMIAASNEIAQRHGALASTGIFKIPSNASTNTIASELTFTLD LRHPNDSIVDTVQAECLESFSKIASQDGKGVSFTWQIDTDSKAVRFDEDCINAVRTVA HGLVGPDKYMDITSGAGHDSVYTSRRCPTTMIFVPCRDGVSHHPEEYCSPEDCAIGTQ ALLEAVVCYDKLRIKQKSDEGR TSTA_105510 MGLQGFIFSFLSLALLVSGQYDGSRYAWYTSAATDFNSALPVGN GRLGGLMYCTPTERVSLNENSIWSGPFLNRLNPNAKSVLTEVRSMLESGNITGAGQVA LPNMAGNPNSPQHYTPLGQLNLDFGHSSQGSLNRWLDTYQGNSGCSYIYNGVNYTREI IANYPTGVLAMRLQASQAGQLNIKISLSRLQNVISNTASTSGGANSIVMKGNSGGSNP YFAAEAQVIASGGSVSASGSTLSVSGATTVDIFFDAEASYRYSTEAAAETELTRKLSS ATSQGYQALRTAAIADNTALVGRVSLNLGSSSGSAANQPTDKRLSNYKSNPGNDVQLV TLMYNMGRHLLVASSRDTGPLSLPANLQGIWNEDFNPAWGSKYTININLEMNYWHAET TNLAETTKPFWDLLAVAKTRGELAASSMYGCSGFVLHHNIDCWGDPAPVDYGTPYTIW PLGGVWLSTHLMEHYRFTGNKTFLQETAWPILQSAADFCFCYTFLWNGYYTTGPSLSP ENSFIVPSNESKAGNAEGIDISPTMDNSLLYQLFSDVIEACQILGLTSSECSNAKNYL SKIKPPQTGSYGQILEWRQEYGETEPGMRHLSPLFGLYPGSQMTPTVSSSLASAAGIL LDHRIKYGSGDTGWSRAWVIACYARLFNGNSAWNSVQTYLQTFPLTNLFNSNNGPPMQ IDGNFGFTAGVTELFLQSHANLVHILPALPSSVPTGSVTGLVARGGFKVDIHWSNGVL GSATITSNLGSTLALRVANGSSFQVNGQTYSGAIGTKAGGVYNVILQ TSTA_105520 MRILPTLWLAATALATNFNQPVLWEDLADVDIFRVNDTFYYSAS TMHYSPGAPILHSYDLVNWEFIGHSVPNLDWGNIYNLDGGQAYVKGIWASTLRYRKSN GMWYWIGCIQFSTTYVFTSPSATGPWKQSGVIDTCYYDCSLLIDDDDTMYVAYGSTQI SVAQLSSDGLSQVKTQQVFKSSFSIEGSRFYKRNGQYYILNDQPANAEYVLKSSSPWG PYTQKQLLNNIATPISGGGIPHQGGIVDTPNGDWYYMAFVDSYPGGRVPVLAPITWGS DGFPVITTVNGGWGTSYPYPLTPHTLSSPSGKDTFQGTSLGPQWEWNHNPDPNYYSVN NGLTLRTAKVTNDLYAARNTLTHRILGPQSYATIELTINNMKPGDRSGLAMLRDSSAY VAVINNSGTLRVSMVQGLTMDSNWNTASTGSEVAGINLPSGTSKIWLRAFADIHPGSG RTAAFYYSTDGTTFHTIGSPYVLNNAWNFFMGYRYAIFNYATSSLGGSAVVNSFDMES GSPQ TSTA_105530 MKLSTSLITLIATVQAQQTAWGQCGGTGWTGPTACVSGWTCNYV NPYYSQCIEGQSTSSSPSTTSTPSTTTSPVSSSSSSSSSAIPFSYNSKSFLLNNQPFQ IIGGQMDPQRIPRAYWRQRLQMARAMGLNTVFSYVYWHSLEPSQGVFDFTGNNDLITW FQTVQEVGLKAVLRAGPYPFLTAASSYMQRLAQELHDQQTTQGGPIIMVQVENEYGNY GSDHSYTQVIGNIFKQNWQVTLYTNDGGNQGALSGGQIPGILAEIDGNPQGGFAARNQ YVTDQSSLGPLLDGEYYVTWFDTWGPHSGYSTDEGNQGAINGVINDLSWILSNNDSFS IYMFHGGTSFGYGNGGENYGNLTPFITSYDYGAPLDESGRITPIYNDIRNMISNHVPS GTIPSVPSVPTMWSMPTTTLQPVARLFDQLPSATNSSLPQTMEQLGQSFGYVLYSHQA TSSISGAVKSGDHARDRVIVYKNGVKQGVIDSIYSHPATVNVQLSSGDTLWLLVENLG RVDYGSPIVDQRKGIVGNVTIGGSVISNWEIYSYPLNTPPSTVDTSNSSISIPSGSQP VFYKGSFVAPSSDSASDTYLTLPGGIKGVVWVNGNNLGRYWIIGPQQSLYLPGCFMKT GSNEIVVLELEPQAGTRVAYGVTSRTWGNNPDPDCNNCS TSTA_105540 MTEKKIGIFPASGSLGGSTVKHLASLIPASSLILIARKPDTLAE CSRQGAVVRRADYDEDSSLNRVFDGVGVLFLISYASCEHEHRSKAHRKAIDAALRSGV KHIFYSSLAFAGNLTKSSDALVMRAHLDTEAYLEELGRTNAPDFTYTIIREGLYHESF PIYTSFFHPQEVIDAVTDDNMKRRHHGGPNDRGEIHVKIPHDGSGPGVAWAKRDELGE ATAKLINLYMHNPSGFPYTNSTLLLSGPKALTLNEVISILEKLIRERTNSDIRIKIKQ VSVDEYASQPHVPPLSTYHGVDLSREWATAWEAIRKGECAVVTPVLKELLGREPEDFE TTVRNDLSRQYAS TSTA_105550 MTFKSAIRIDLPRLLLLISSIFLAWFIWYTFYIWYIQTPIAPPS AQQKTNLPCTDANWSAGSAFFTLTALIFGYIAHPRGCLFWNRSGRIWRLSPVFALIEM DDHTDVEAEFGSNGDANEMKTLSAYRRVGHFPPGIEREYHSTCDRIFQEHLNIVGDFE KGPSFRVFVWFPMILQVIKLAVVGGPGAILTQVTGWSYFGAWLSIEILMVTISQRPLT APERAQATSLSRRWRKSYETPDLVSYWLDRVYLWGLERLMIFDILPPATFRVTRYSGL CVAMCNFFLAWTLSSASSGGENHEPGTYLSLFECAVRALKIMALLLATVTPGLPLLAQ RVLHFVWKNLRSVLWFDIGSLWFEDSLGDLEPLWPGYIAVCSYVLTFLFHSGIWWTEP FECWATMKPGYYDWLG TSTA_105560 MSSTTLSVTSTATTTTTAPTSTSSIHSCPQTTWEIPTTDAACAI HPSSLSNATDVLKHCCGPAPVVSYDDGCASYCLAQGQNVSSLTNCLMSNGAAPFCNNA LNATATAAVSSAASTATGSSATSTKTGAAAKISVGGVSVIGLLFCSALFGALA TSTA_105570 MPRSQSPNPTRQEAGFKAALNNPRVSSSAKQNARHVLEDQFGDV IEQVDVSGGSKYGDENVTSSRGVERSRNNVVRGYKAAAHNLSNTEAGRQHARQSLEDL GVNPDE TSTA_105580 MATDTEQASSEEFKYERDGDDRPAQVTAPETIGFFHADLKEHRG QAYKRWSITILGLGVYILMVLSLYWGALFNVYEKLPSLTVWIVDFDAKVAPFNTTTPI VGPFITNAFEKLTPQTVDKLGWTVKSAADFNYDPMVVREELYNEKAWTAIIVNANATT LLLEAVNNGNSSYDPTGAAQILYNSARDQTTMSAYIFPALIDVMFPIIGEFGEEWSSI LAKNASTQNVFRTPQAVNPGIGFSFIDLRPFTPPTGTPAISIGLIYLIIISFFSFSFL MPVHGIFMGTETHAPVNGTHVIIWRIMSSIVAYFFLSLFYSLVSLAFQIPFSNHSASH TEGALNANAYGKGTFVVYWMLNWVGMTSLGLPSENMAMILGFPYASLWLVFWVITNVA TSFNDIGLMSDFYRWGYAWPLNRIVHASRTLIFDTKSAIGEDFGILFAWCAISILFFP FATWIMRWKNMRAQRKK TSTA_105590 MSQTVTLTKAVKPISYDINIPYVSVSDDTKKNVKYSHYLPTWDK VWFDPLPSFEYQDPALRVKDKSKPNLLSSTAKVSHIQPCIGSIVEGVQLNKLSDAAKD ELALLIAERKVVAFPDQDLIDAGPEEQYSFMRYFGKPNYQPISGSMKGYPGFHIIHRD GNVDEINRFLEQRTTTTLWHQDVSYEIQPPAYVMLGLLQGPEVGGDTVFAATDAAYKR LSPTFQSFIDNLKAVHTSAKMIAHARLTGSLVRKDPVENVHPLVRVHPVTGERCLFIN GEFITRVEGLKEPEFRVLQDFLMQHLITGHDFQARVRWQPRTIVMFDNRSTIHSAIVD YIDEETGAKPRHIFRLCALGEQPIPVNQNTTPSN TSTA_105600 MKWSITILSLVLVPYAAEAAPVEEFVKRGTSPTVTIAAPSATIV GSTYGNVDTFNGIPFAKPPTGSLRLRPPQALTSGLGTVTATGTAQSCPQMFFSASWSS LTGILGELLNTPLFQDITDAGEDCLTVNVVRPKGASSTSKLPVLFWIFGGGFELGGTS TYNGATIVENAIANGKPIVFVAVNYRVGGFGFLAGKEILADGSSNLGLLDQRLGLQWV ADNIAQFGGDPTKVTIWGESAGSISVLDQMLLYDGDNIYKGQPLFRGAIMNSGSIVPT SRVDGDAAQKVYDSVVSAAGCSSASNTLECLRGLDYTAYLNAANSVPGILSYNSVALS YLPRPDGTALTDSPEILIKEGKYAPVPFIVGDQEDEGTLFALFQSNLTTTQQVIDYLQ SIFFSWDGNQTIVEGLVKIYPDDIAFGSPFRTGILNNWYPQFKRLAAILGDAVFTLTR RAFLNLATAANPNVPSWSYLSSYDYGTPILGTGHGTDILQVFYGILPNYASKSIWSYY LSFVYELDPNANTTYPNWPQWSAGKQLMNFQANSAKTVADNFRQTQYDVGAAANL TSTA_105610 MWEGDAVCAVWYKFRYDCSSPAGLFSLKGIFTAIATHSTPEAMD DIASTPQAIYTAPEKYDPEMVTDSKDPDGAPELAEMKVLKKGLHQRHIQMIALAGTIG TGLFLGSGRALANAGPAGIFMGYALMGLLISGVTLSIGELSALVPLSGGVIRPAAYFV DPAFSFAQGWNVTYQYLISIPAEIVAASVIMQFWVTVNNAVWVTVFSVVLFVSNIFLV RIYGEVEFLLAILKILLIVGMNIMGLVLTAGGGPDHKSIGFQYWHDPGPFVQYLGYPG ALGRFMGFWTVLSNAAYAYSSVETISMAAAETYAPRRNIPKAAKRVFIRVLLFYVISV FMITLLVPSNEPRLLKSSGTAAQSPFVIAAQRSGVKVVPHIINAIVLTSAWSSGNSTL LSGSRILYGLAREGQAPRFLARVSRWGVPYMGVVAIGVWMTLGYMSVSHTASTVFTWL QDLVACAQIMSWLVICTTYLRFYYAMRRQGISRRRLPWTAPFQPYAAWITLVGLTIIL LTGGYTAFLHGHWSTETFISAYLDIGIFAALYFSYKIWYRTKIVSLDESPVARFVEIA EDDPDPVEESKFAWPIVDWLWA TSTA_105620 MISVRPANELAKMKLSIVCLTVLAVLVASQDATSTTASLTSQQS CANKCDPIDICCSAACYQVPCPNNAMANDTTACAAACPQGSGTADDTAAYASCQQSCF SSLFFTGTATLPKATGSGTQFVADVSATATDRSHTILSGTVDSHAGNAPRTGSSQSSD SSATTTASGSSTIESGAASQIGVQLGVAGILGAVMAAFFL TSTA_105630 MQKQERKNPYPILLCVEPLRPQHTHTFIILHGRGSNAEKFGREL LASANLPARLPTVNLDDPNQRTDLQADGLMETAKFLRELVDAEARVLDNGAGETGYKR VIIGGLSQGCAAAIFTLLGGGFGKSGNERPGAFFGMSGWLPFEKQLNSMVSNERIHET EDEDEEEDDSESSDDDDRSESDVGINVSFSDAESDNFASDAEVNLASFDAFQLDPQDN PENNIADALNFVRDILDLPPVVIGPSAPDDDDNETNHKMTTKTFPILQTPIFLGHGLA DPKVSVRLGEKMAEILSKKIKIDVTWKAYEGFGHWYKVPDEIDDILEFLQQNLGLPVE MLAK TSTA_105640 MNYRSLISYTALAVFITSVLVWLRSYHSLPAISISKPVHSPMYW FAFLAIAGATIDDSLATWGFGDMTSQIDAFHLFYASRPESAPWTADSTIASFWIGIND VYYGFAHEDEPYDFVSTLMNRYRPLIEQIYSNEIRKFLFLNCPPSTHSPQVHEENDLP EQFQRHAEMMTAYNKALNSMLFQFRGEHKDATVVFYDSFQYMARILDNPAQYGYQDAT CMNTDGSNCVWWNNLHSGWKYHQYQAEDMLPILAPLGW TSTA_105650 MPLQTTTPSKCTYIIHKGKPEAKECGKKPKSGSLCAEHKLRKPQ VHDSDEGEREEETRPAERSIIQERRTRESTPVTDTPTRPRTARNKSSSTSATNTPIST DRKSTPRPCTRDSTPKATPKSASTRKSRSSLPRADSTPPTLTRTSSDGIEELTGRLKD LNVEDEDDIEAIPSVREWPVMPKRVDTAESVVSYGTCDPYKRALSNYLMEGIKDVLVA AEIIKELPKFPRSDGPGYVYIFRATPVQSFQMTTDNKKSDVPRRHQQKTFKAERMAHI QLQIWPYEPPNGRCLCGTKHKELFAVTPKQLKAVFKCVDHWATVVNTDHEKLWPGAVE MNSSKTDGQSASRLTASSRS TSTA_105660 MIPRRITVPNALITTGSVSSSLSTLTRILGPVVAGVRGGTKVVA ITSGSNGADGWDSDVVAPEDCEFVLGRLRCLRWRDRSIRRRAQLRQYRLVPPSGIRRL HNGLFSRADLDNIDEILLNASSGWVEANKTLQKVIDAAVCTGVVKRIEAEITRLIFDD DGNVCTGARTVDGRIISADSIILATGAETAKLLVKSVPEIPELHVGSRLSAAGLITGI LRLSQNETSQLRSAPAFLIAGGKSQGAIIPPNTENELKITCDISFTNTVEIFSKAYVS MPPEDYMSTYSILSAEMNRALATVRESILGDGTRNHHFEDCRICWDAITPDQDFIISA HPYCRNFFIATGGSFHAWKFLPILGKYVVQMLEDRLDPDLAQRWFWNRDFSAAAANER MMPVRELRDLASNQVFSS TSTA_105670 MCLQYCGPICQKSHWSHHKIECKSALGKETWQPAWVLEKRTPAF IGTGIGETFGATKYLWGNVPAFDVLELGSNEGEEYEGDLRILLQSGGSFETEISLPIA SGDLRNLIKTIARLPSSYNHLLEVTLNDRDFEIVARNLILLLVALVVGNSHEAVDCII HLWYSTLVRESDIRILQDRIQPLIEEVCEKTKNKSPGTLLGKTWTFAHCSLRVVIEQS SWNRLLQIFNKPSGLTAEQALKIRATNTLAFSRRDYRDRYMSCLSPIERVSFHKFRQD GLLLPFGFPRLDFRAPNPCVSGTSKFSKVILTRNRTFFQTADTWPMKYSANSLDGWSL KEVLNTTSGGNC TSTA_105680 MDWLVSHNFNVSARIIGCSPLHILISGRPKRNVLAAPSTFLEYP SQREAKSDQLCFISSWDMDKTYDEHPPIYLHYLIDWKVKLNNRTVTKVTEPDVVLAPG AYWQKVLKKVERVKAEKYLVTDALGWRILQSWLLY TSTA_105690 MASTTPLTRSACDRCHAKKVRCVLNRHQSKCEGCLIHNIRCVFS SPGRSGRPPYSSRQTDESSSDSTSSSATAAAGASANSNTQTDLRDGLGSSTISLSQLD NETSALGHGFPQNIECTMDEPYLYTQFESDCEVFGSQHQPPDVIMQEILQNSDLIGLN ADQMAASGPGLTTENIGSLTETMRLLHVIQQRLYEERAVMNVSVTTTSIFPGHAAKAP DYGIFFQLIDQMHDILQKWVDNFLSNGATQPCDLTASMSFTTALATVLEVYELVARCG ILMLSPSPPPSSSSSSSLSAGSEAVSSSAPATGRHRSSASDVDKPGAVQDLGRRGSQH FMTSSSGSLPTSDSVNNQGRVWVGSFSPSQEISQRILACVLDHQISISQKLFTQARRQ FFVQAQGSETLPQSSPQPCYALLQLLEHLQERLAKIKSVALATSKRFQWAWTKLPTRF KVSKRFVGVNTTAHKIT TSTA_105700 MSSFFRRLYLGSISPPLVVKEHDALRIGLLGASNIAPEAVILPA RSHPEVIIYCVAARDRNRANIYAKKHNIPVVHDTYDDVINDPSISCVYLALPNSHHFE WALRALKAGKHVLLEKPSTSNAIEAKKLFDHPLVTACDAPVLLEAFHYRFHPAWQTFL DLIHNDPSAGPIKNVYSQSFMPKGNFPDNDIRFKYSLAGGCLMDFAAYNISHVRQMLD DPHPRVQSVVFRTHEHSSTTSPVEGENPEQVDEAVSASYISQTGAIGHVVADMNSRGG WPLLPRSWTQNWPSIGWPKCTAELEEKLIDDHTTHGEKHLVQRVVTLYNHIFPHVYHC ITIEDKHIIRLGSEDLRSWVERKNVKAYTWPGDSEDCHGMEWWTTYRYQLEEFVNRIK ARHGNGLWISREDSISQMEVIDETYRKGDLKLRPTSTFDIDDGLKE TSTA_105710 MATMTETSNIANSQGMDALMEDAQRRGFQEEIDRLTDNHRVYTD AAGGKLSFAPIDWQQPGLRILDSATADGIWLQDLRKEIGPAAEKQTFIGTDLVTDLFP NPPPEGIQFVKQSITEPWPQDWKESFDLVHQRQVLGFCGNFGLDQAVRNLCELAKPGG YVELIELDCDQSVLKEGSVAQEFFRLLEQIWQIKKMGGNFGPNLKDWMVESGLEDVQQ TLLHCKVGAQAKPELRKASINGASGAGPMIVALAKTLPELQGFTDEQLDTLNDRIRKE LEEVGCEYQSFSVIGRVPAGGLPPYKKDT TSTA_105720 MARNLTVMAAQITGSLPSWAQLPQLKKVIAMPFTNMTTLWGIPV PWVVTGLIAFICILALVQEEDFPHTDYPLQNPRKFWDVTAWKSKWDFIFGVRKILEKR IAEAPDQPYRILTDFGDMTILPPDYANEIRNSDDLSFDRVVEKNFQAHLPGLDVFKEE NLHKTVLRHVIRTRLTQFLSKVTAPLSNETALTLQDVFTDQKEWHTIILKDEIVKIVS RISARVFTGEILCRNPEWQKITADYAMTCFLAADMVRMFPKPIRPLVHRILPLSIKVR SDVKKARAIVEPVLAERKKIKEEARRRGEPIPKFDDAIEWCEEIEQDVGFDMATFQLA MAVAAIHTTSDFLTQILLDLAQHPEYIEPLRAEIAAVLKEDGWDKLSLYKMRLLDSVC KETQRLRPIGLVAMHREALKDIDLAGGVHLPKGTRIAISSHRMRDPAYYPSPNEYDGY RFLRMRDELGAGKDGDAHFVTTSPQHLGFGHGKHACPGRFFASNEVKVALCHILLKYN WRLAPGVEPKIFQFGLTIGCDPVAKVEIRRRDYHLEALAGKEEIDVRDLPVK TSTA_105730 MSSFEGGLRVIEWGIASFILYSLFTGVYRLFLSPISHIPGPKLA ALTYFYEFYYDAICKGRYLWKIQELHQQYGPIVRINPREVHINDVDFYDQIYASGPQH PRNKLRFMATHDESMFDTYDADMHRYRRAAMSVSFSKSSIRALEPVIRRTVEQLCSRI RALVGTGAVVNMKELYSGLTMDVIGQYCFGESMDNLKQEQFGKAFLDFFHQMPQGHPI GRMFPWLFDVLQKIPIGILAKIDPGLQPLADYDKKISSQINSVLQKSKHDNIRTVFHE MRDSKHLPPDEKTLERFKAEAAIFLGAGTETTASALTTISYYLLENPGMLLRLRAELG SGIPNGSNEPLTLARLEPLPYLSAVIQEGIRLSFGVPGRLPRYSPKETLIYNGYTLPA ATVMSESSYLIHTNKELYPDPFAFRPERWLDGKISASNFVPFSRGARMCIGINLAYAE LYLTLAAVFTSFDFELIGTTKRDVEIRHDFFVGMPALESQGVRVKVLQERRINDGHC TSTA_105740 MEWMNIAVQAGSDSATVQYGLLFLLFIVISCLVSYVYEIYFSPL AAFPGPRLWAVSNIPYLIATASGKQVPILVDLHQKYGGIVRVSPGAITVTDERGWADI CGSSKYAKDGMAKDPRLAALVGGDIVNPDPAKPRSGQTHAIMRRAMVPALKGENVRKL EGMINRHVEEFLTATEEGSRRPIDMRDMCSFLICDLIADLFLGESLHLYKEETFRPWV HSFERFGKGVTILAVLNRFPYIHKILLFAIRRWGGKERDAFMQPIFDRFDRRVSLTTP RDDMLQLILDGDGTKQGAMPRDLLREFAPFLMLGGCEAMPTVLIGFVYFIFRAESAAI RQRLLLEVRSYFSSESDINMERVQHSQRALPYLEACLQESIRCYSPAATGTDRQVPVG GAVIAGQYVPGRTTVNMLHQVGYYLTENFAKPYDFIPERWLPSESGRPSLFNDDKRTT LHPFSVGPQSCFGQELSFYTLRIALCKMLYRFDIELTPESENWLKGQVTYSTRQKPPL WARVKLARH TSTA_105750 MVALSEIIAHNESLRQHPSGLVALFVGATSGIGIATLRVLAKSL PDSRLYVVGRSKERFTSELSELERLSDSTEIIFIETEISLIRNTNKIVACLSSRESKL DLLYMSPGNLAFGGPHYTDEGLDLCTSLSYYTRMHLVQRLTTLMLSSPNPRVLSVLAG GHERSLFTTDRDLGLRDPSNYNALRVVDQLTTLHSLAFAHLASLYSKISFLHVHPGWV ATGFLSNLLGSGGITGKLLGRVVSPLYRLIATTVEESGARQAFHATSAMYPSREYIRT ARNDVNNSAVCHAMYSGFYLVGQDGSTAGANKFLNGLLANGWAGEVWKHTENVFQEVL TKG TSTA_105760 MADLVSIIWKVISALAILYILEKVHDSVTYRLKTRHLKCGDLAK YPHKEPIWGIDFVLSMTGAFKEHRWLVWMDETWAKVHAKTFRARFLGMRMVYSSEMEN MKAMSTTQWQEFILEPIRVDNGAAAPFTGKGVSTADGDFWQHSRNMIKPYFDRSAFAN VDRLRPFTEKMLACIPTNGDAVDMQVLMRRWFLDTSTEFLFGRSRDSLSHPEREDVML AMVNIMRGARVRLTMGKFMFLHRDPSWYASIRLVHKFMDEYIYEAFEERKQHKENPEK FLGTEERTDLLWDMTQHIDDPRLLRDQITAVWVPSNETTSIHVSNALWALARHRNVWD RLQKEVQSLGDDELTFSKLRGMQYMNWVINETHRLMPNGIQMIRIAAKDTTLPRGGGS DGKQPIFIAKGDIVHCNRYLLHRDPDYWGPDATEFKPERWDGLRPLWHFVPFGGGPRI CPAHILVATETAYVLTMICRRYKSIRPGDSKPYTPVMRVGPSNLHGNKIIVEPW TSTA_105770 MSSQGEPIAVIGSACRFPGGASSPSKLWELLHHPNDVLKTFPKN RLNLDAFHHNDGEYHGRTDVCNKGYLLDDDIRHFDTQFFNLSPAEADSMDPQQRLTME VVYESVEAAGYTLEGMRGSPTSVYLGVMTGDWHDIQMRDPESINRYFATGTSKAILSN RVSYFFDFRGPSMTLDTACSSSLAAVHLAVQSLRNGESRFSIACGVNLILDAGSYITE SKLHMLSPTSRSRMWDAAADGYARGEGVSAVVLKTLSQAIADGDHIECIIRETGMNSD GRTTGITMPSSEAQSALIKRTYKKAGLDSLVDRPQYFECHGTGTLAGDPVEARAVRQS FFPQEALSGSEKLYCGSIKTIIGHTEGCAGLAGLLKASLAVQHGVIPPNLLFSRLNPA IEPFYDTLQVPIAPIPWPIVSNGPRRTSVNSFGFGGTNVHAIVENYIPRSKLIPQPTP SSNDALLDKFVGPLTLSAENEASLCSNIKNITTYIRENPSVNLNDLAFVTQTRRTTFT TRASFSAKTREELLEALNSAIETSKKGGETGVRVQSSLESPAILGVFTGQGAQWAAMG RSMVKHSHVYRTSIEQCEDALRDIPNGPEWSLLKELVAPESESRINEAMISQPLCTAT QIAIVDVLRHANIRFNAVVGHSSGEIGAAYAAGVLSLTDAMRVAYYRGLYARLASGGE GGQGSMMAVGLGHEETMDFCRKFDGRIRLAASNSPTSSTISGDEDAILEAKALLDEQN IFARLLKVDTAYHSHHMLKCAEPYLNSLKSVNIQANRPSDGCQWISSVYGNIEFEYDD EDLEQLQGQYWVDNMVKPVLFSEAIECSLWKAGPFNLALEIGPHPALRGPATQTMKTT LGSVVPYSTLLERGHDDVETFSDGVGYAWTYLTNLIDFAGYRTAFEGPDALRPQMLKN LPSYAWNHSKIHWTESRPSRRYRLAEKPPHEILGRRVGDDSDTEMRWRNFLKPNEISW VRGHVFQGQILFPTTGYMAMAIQAGMEVAGSRPVKLVEIRDLVIPRACTLEEGKLGVE FVFSLKRMNSEEDEDNFVGEFTCFSCSNQTVDSLEKNCYGTISIEFGEATEDTLPSRD QVDGDMMSVDVNEYYSSLTKIGLDYQGLFRGIKTFDRRMGYARATASWSMNDVGDQYV IHPGPLDVAFHSIIGAFCNPQSSSLWAPYLPVKVDRLALIPNVKYEGEPGQINFEVDA FITKITSNSFEGDVHIISQDGRTGVQAEGLTLKLFTEAHASDDRPMFSKTVWKVDKFS SSNDFDEIIPDGEELALAEIIDRTSFFWLRKIFDPLTENDITGWKPFHQSFFHAAKIV LRETRENKHPTTNVEWLNDSEDTIMDWKRMYANQADLKLIHAVGENLVGVMTSDVQLL EVMLVDDMLSNLYTHGRALQPLNRLVAELMEDLTFKFPRLDILEVGAGTGGTTNSVLN KIGNAYNRYTYTDVSAGFFDIAKNRFSHARRLDYKVLDIERDPTEQGFLEGSQDVILA SNVLHATRTLKETMKNVRKLLKPGGYLMMVEVTGEYLQLMLLMGGLPGWWLGVDEGRT RGPGITLTEWDTLLCDTGFSGAEKYVSDLPDKYKHACSLIVSQAVDDDIRMLQDPLAF VDELPLEERLVLVGGKNLKLSRTVKGLEKIASRFTTHVLVADSIDSLADIHLVENTSY IVLSDLEKPLFSEPVTESRLKNLQRLFSTATNVLWVTSGRLEENPYANMSVGLGRALI TELPNLNLQYLDITNSEYDSRFIAEMFLKLKIHKTSSLSSNHMLWCNEPEIVLRNGLL EVPRVILDEERNDRLNSLRRTITKDVFLDESPVTVSSHHGSLVLEQTAPWFKPLPAES ANKVTMTVDYSVSLPYSGSSRTVLSSGKIDRSGDWTFCLSNNHISEMSVRKDQLLVIE GAQVDPELLRTTATCITANALLATISNTVLPDSSVIIFGASSELLQALTQLSTGYKFV FVTTSKEQSTLFTYIHPQASARAIRQALPKNVGYAFDLASEGAEKTRALLSELYPFMK LEATNFASNTVREILDNALFAARSLSATRSMGSVLSFEKLLSIPTSSISYPHVVDWTQ RGVVKVNVKPINGDGLFSAEKTYLMVGLVSDLGRSIVRWMVDNGAKYVVLTSRSARVD EQWLRELEVSGAVVKVYKMDVSKRDSVQSVVDVVRKEMPPIAGVCNGALVLHDQLFVE MKPEALNEVFAPKVAGTLHLHEIFSERDLDFFISFSSMSSVVGNAGQSNYNAASLFQA ALMNSRRAQGLAGSVMSLGMVADVGYIARRGASLMERLKKVFYMPISETDAHLIFAEA VAASNPNNVEGTIEMASGIQPFTYTASTKNRPPWSANPRFSHFVRQEDESKETRSDEF SNVPVREQMDAASSEEEAAVALLSAFSNKLETLLQISPGSLNVDAPLLDVGIDSLLAV EIRTWFLKQVHVDVPVLKVLSGDNARKICADATNKYLTTKMTERVSEPASNESDSIPE SQKESDSSSVGDEPGTSTTATSVDFDTTSETNVLKKPEIERTEKLSFAQSRLWFQSRL SKDLTLFNSVYTYEIKSKIHIPRLKRAVAVVVNRHDALRTCFYRRPSDGEPVQGLLKD KSDVFEHMWTSDDQALQFQEEALRNRSWRLAEGDTFKVVLISRSQEHHFMIIAYHHIV MDGVGLHIFLKELNSIYVGNALNEAPKQYMDLSVEERKAIGRGDLDKNIEFWSEMLTP PPPTIPLLPLARFKARQTSNSYSNNESFRDLGADVTQQIKKTSANLNVTPFHFYVAGI QVLLNRLLGVEDFSIGILDANRSFDNSRTIGFFLNLISLRANVKASENYADVVKRTSL RYSNAQRNNSVPFDLILARLGIRRNITHTPLFQIAVNYRQGNFSQIPLGSSSLEFRTA LDARSPYDLAFHVTPTDGTCYLQLVSNANLYDRQSTDSLLEMLSTLLVNASRDAAMPL SSYSIYPPQEVDRAVALGRGPRHDFGWPKTLTEKFEAVSKMFGDNIAVKDAHTALTYR QLFQLVNQLAKAILEKSPLPNSPVSVLVEPSIFWVASMLAILRIGRIYLPLDPTLPNE RLAAIVKTSAASTLLCSQETLSRTKSFTGVDIVNITTLPEPCQIPINERPGEPAFILF TSGSTGTPKGIVLSQGGFINYAASKGKELSLGCEVVLQQSALGFDMAIAQACISVTHG GTLVIAPQDVRGDPIALAKLMFDEGVSFTLGTPTEYLMLLRYGFDDLRRQDAWRIACS GGETVTRQLKAAFRSLHRIPMLVDCYGPTEISCCATMRKVNLNVDQGRIDGGDDEDVG KANPNFQIYILDDAGKPLPAGLPGEIAIGGIGVALGYLDEELSQKKFIPNTFSCTEDN AHDLTTIYRTGDRGSLKSDGSLVFMGRMDTDTMVKLPGGLRVDLDEVASTIIYESRGS ISDAVVTVRGNPAFLVAHVVLSTKYPMKEEALQRLANALPLARYMRPKLIIPLDRLPM TSNGKIDRKHVSCLSLPSMTVPSDSSQKVLSLTEGELRILWDSVLPRLIVGAKITPDS DFFAVGGTSLSLVKLQASVRSNMGITIPLVDLYHNSTLGDMAELISDQKKAQHIHKVI DWDAETSLPLSFDMAANQYAVNAKSNKDLEVLLTGSTSFLGSHILQSLVKNHAIRKVH CIGVSPDLEESHIQSDRVAVYTGSLSEPRLGLSSDIYAHLQGRVDRIILAGSQGHCLN SYFSLRRPNVHSTRQMGLFALPRRIPIHFISSSRVTLLNPEAKAALPPVSVAQYKPSN DGGEGFTSAKWASEIFLEKLASATSENVQLSVTIHRPCAVVGAEAPLEDALNALLRFS QVIRAVPDMSTINVDGYFDFQSVDKVADEIAATVIDDEAQGVTYRHYSSGEKVLPSGF KAYMEKYYGGAFKEIPLETWIQNARTAGLEELIIAYLQAITEKGEKMVFPFLGTTG TSTA_105780 MAIQLTQSLPAFQKAYKVRGAGDASLETSVSVPEPKDDQLLVRV VAVGLNPHDWKSLDMSPMPGATWGCDFSGEVVKTGSGLAKNFRVGDRVAGATPGNNWE DPTTGAFAEYVVAPCALVYKIPESMGFEEAATLGVGMLTIGLTLYHAMKLPLPHAPVE NPQYVLVYGGGTATGTLAIQALRLSGMIPLTTCSPSRFDQVKALGAKEAWDYHSPSCG SDIRAYTDDKLVYALDCITDTGSMKVCYAAIGSGGGQYVALDQFPIRGHTRRNVKPDW VITWTLLGKPVHWKKPYRRDARPKDKAFGDKWIPMSQNLLDSGDIKTHSIEVSDDGLA GILAGVDRLRKGTTGGKKLIYRINQPLAV TSTA_105790 MALLQSWSLLLVCCVCIVWLTKRIMQASNNPLNQVPGPWYARYT HYVLKLNVLCARRMYYIEELHKKYGPFVRVAPNEVAVNDLKAFRQIHSMGSGFLKDPW YQSLSLQPEPGIFSMIDPEQHVTRRRVLARAFSLSSLRENWEPAIHERARIAVQQIKD NAKRGDVDMLKWWTLMTNDILSYLCFAEKFDMVERGEKAEYVLVLERVALQGGLLSEL PLLYKILRYVPLALVQKIMEATEDLEEWGDHAIKNLRRAGNKGSRNIFADFLEEVDKS GGKPGLTERSVRLEAGNFVVAGSDTTAVTITYLIWACLKRPDLQRDLEEEVASVRSFE DASLATLPLLNAVVEEALRVYGAAPGSLPRAVPPGGATFGKYYLPEKTTVSTQAYSMH RKPDIFHNPEVFDPSRFLPPSSLTDDQKSALCAWGAGSRVCLGVHLAKMEMRIAVAFF FRECRGARLSRTTTDASMHALNFFMTTPIGRRCNVTLLPEDQ TSTA_105800 MSDIVQIRQSFFPPTPSAYIWLLWVFHWFPAGSIGQWFSDHHPV GKFSVSRRSNINGRFGWLFMEIIGPVNMLYVMWKLEPSILGLPLWNKVTAALYVIHYV NRAVLQPLFIAPSMSPIGMEIFLVVSTFNWMNGAIFGCWVVGYDTKIAGYNVRISGSE PLSGWRKAIPYAGLIIFAFGCVNNIRAQLTLWRMRREEAHRRVTKDKKKHAQQENIYS KVYVIPPPRGLFTSHLYPHYWYEWIEWFGYTLVGTAVLSSIAFASRPVTTQELMVAPW HWPLAQLAEKYQIPFPLPALAFLLNCMAAMLPQARRGLRWYKQTFGNEAVVGRSAIVP GVSFL TSTA_105810 MRRYRILILNGHRSYLTVDFNHTCTENNIILIYILSYFRTGPAF AIPDGLANRRTDDELKLGGYTPMEAHAWKKGGCLLAPPGTLAGRWESRLAYVQAPWHE PPKIMIDKREKAISVHNDIIRKNEHIAIYTDGSGYQGYIGTSMVIPAFGKQRTECIGT EGTSTVYAAEACGIKFALETTLQIADQNIQTKKLVIFSDSQAALRTLMNPRMVSGQTY IHDCIDSLRKCIDEDIDVTLRWIPGHEDIPGNEAADRAAKRAALIGARRQIVPGDMDN WTILAAAAKRRIRQSTKDAWEKQWDKQKAGKPTKKLVPQPSKRTLQYWTFLRKATSSI LIQLRTERIGLAHYLWRINRREQPYCACGLSGQSVRHILMECPLYENERGLMWSRIKG FRRTTDLQALLREKKAAIAIAQFIIDTRMLDQFREVDPEAVGTYESAETAAQLEPAND KDTDVGTCTNAHADDIFMRDTGYVDLKRTGAVRRT TSTA_105820 MSATTVSEQSAVAIEADPEKITFVADGDAKAAASKTEQPLSKNA NQGQSLTEQTNTTDVLSSFPLSVADGLITKPFREHLQSCKPQPRPELTSDQAKKYSEL LDLISNLSDLPVSSKLNTPVTPLADNERMWLTQECLLRYLRATKWNVAAASERVKSTL IWRRENIGEGKLTPDYISPENEMGKHLVLGWDIHGRPCFYLIPRNECTEKGRRQVEHL IFMLERAIDLLPAGQETIALVADFGGVSRKQAASVGQTREILDFLQNHYPETLGRALA INMPLMVTIFFKLLSPFIDPATKEKLRWNEDLRQYIPPEQLAQFAGGDVRWEYDHNVY WAALNQLTEHRRKAYKERWVRSGRKIGENENYLRGGPEPPVGESTDRVS TSTA_105830 MTDLKPSPEEVAQTLMQDVQILMNYYRTNEYPPPSFENGSPAHA VPENAPRHVKIARDAAMNSALKIFDLFAGPSQFLSNLTVSYQSLACLRWLCHFNILKL VPLQGDITFDALAAAANVPKNTLKSIARMAMTARLFYEPTPDRIAHTATSAWLATNQP LHDWALYICKEAVAVALNMVEATEKWPSSTETNQTAFNIALQTDLPFFAHLKTRPEHL RLYSEYQKAVASTEGLDLQHLVHGYDWQALGKAQIVDVGGSIGLASVALATAYPDLSF VVQELPDVVKQGKEYISNLKDESIASRITYATHNFFDDQPIIGAEVYLLRMILHYWSI EESAKILRKLVSVLKPNSSRILVMDTVLPSPGSVTTVTERQLRTRDLAMLQIHNSGER NIEDWEAIYKEADPRLRVKRVHLPFGSQMSVMELVLDV TSTA_105840 MSTISETDVLIVGAGPAGLIAAWWMAKCGIRLRVIDKDPNELLH GRADGMRPRTVEILDSMGSRMMETITQESFPMLSSHNWMRDEDDNIQRVERLDMYEDH RKVLATPFHSQALSQGRIERIIQDAILDLTNGSVVVERGVAALGLEYDQSLEQDHSAY PIAVTIHKQKQNQNQTEKVKAKYLIGCDGARSWLRRELGYKTEGSNTNTIWVALDVYP ITDYPDVRKPSSIQTPKGTMLMIPREKGLLRIYVPMGIEGQDAERDTVTLEQASAYVK PLFKPYKFDFERCNWWSAYSLGQRHSERNRHDGNRMFLAGDSVHNNSPLIGLGLNVSV HDAWNLGWKIAMAFSCPAGVDRNAILSTYEAERLPIAKTLVHYDRNWTSLFNKALVEP AVFIQRYIEFRNFSDGYRWNYPDSRLINRATSKQELASNLVVGESFTHARVSMHADGQ TYWTCSRLRADGRFNIILLAGDWDDAQQKERVQTFCQKLEEEKDGTSLLYTRYPYPWK SSSSFYNYDKSNCDRGRPHSLINILTIHTGGDETPLLEFPTAVRGPYHYLYGWDYSRI LVDMARPYDRYADGKAYEAWGVDRRKGAVVVLRPDMHIGWLGDLEDFDALEGYFETFL GAAWKE TSTA_105850 MAATSAAAPAALVAALVKRPWKLLTITSPGNYYSYAESTWMEFH EPCCGVCGLFIEKDPNAKEFFRKVDGFTPEQGNPYYIKISHDDIQGHLAAGECNWKSI YRAIVMEGPNDPFLSGVSYRHSGFCKKGGYQLGVPRNSDDVFLVRNAIHPRNISWFNR PARWSHEPFPVNCGFPIHECCYQLLTKFYAPEHKIKRNTFRLLVAFAREHCTKIEDFS RFGPPPEGSEAWKTVQYDPLFITNYYEALERSLECYQRGLRDGVVDSNPGVMTQMGFY ISPGSFSRVSEDIAQMILDLLDGADWRRLILGAGFKNWTPVGYIISL TSTA_105860 MRTSFAVLCHCWPFFTGLGQYTIQPGKSYSETLHTDPISGGIAI KITTVENGLFNGSPQTIFAYTLTNGQVWYDLSDVFGDPFAGKSLNVILSDTSCHAVWS NGIPPAGSQVNVCQPNTNLNLKLC TSTA_105870 MKNSVILSSLALAVSVAAQDSLISIPIGLCAGILGDATCKQTTS APGGLINVPLNACVAALGDVKCHQASTATGDQGSLISVPINICLAVLGDVACNQQASA PNGLIDIPINLCLAVLGDAECKQGNGDSGSGGSGSSVVGTATGVVPTSGVPTATGVIP TSVAGTVPGAVSSIAASAPTAAASTFTSYSTISGPAPSNPPAAAPQTITWSTSTVAVP GTASSSASVVPAGSSTVYYVQTSTSTYCPGNTASTTLVPAVSGPAVAPTGVVPHGSTK PSTGVTPSYTGAASQMEMSGIAAALAAMAIIGFQL TSTA_105880 MHFNVACITTCVFAVAALAVPVEVERKVVVVRAEVCPAPAPAPA TTAPEVSPSPPTAEYHSPPPVTAPAPPTATVSNPPPAPQTTSVDNKQCAAGTTVHCCD TVDSTDNSNVLNQLNAAGIDSHDAEQKGQVGLTCTPITTSLIDALNGNVCQGAVTACC ENTNQVGLVNLNLGCTIIPVNL TSTA_105890 MSSTCNTNVLSSSEKKRLRDRRAQQTLREKRQNRMLELEARVAF CERNHGAVTKQEVLDQSGVQDPDVQKLLDTVESLRRENNRLRERQESLRQMVGTWDLS EEHDQKQWNDEKKRAFRSSSGVFSNGGGQAFSESNYEASSSPGAENHYQNITATAATM NRIDPKLEAHSGIPATLPISALTSFANTISLPHNFSPTPHPVVHLPSPPAISSSVPVW CRVPRNSYDTNNTNVVPLISARWFSHPELVTSCPLQPSPLDLLYGTRRNYLANNIHDL VRQRALRDAETVAIGYLIYNYSKWRASPTPATFARLVPFQHPTPLQLEQDHFGGIDMM IWPKMRENMIRRWHELDFVEVFDFLSCCMKVRWPWNKDMLERDAEDNLQIREDFRQIF SREDGWGLTAEFIDRYPMLLEGMDLEALRFEIAFPTEIMRMFMADIKLHAM TSTA_105900 MQDLESQRTSSDEKTLTGPVDPPSITDSNKTDAAPQPAEDGEES KAEESASTAELYPLTDLDKSIVGWDGQDDPANPQNFSETRKWALLALMSSMTLISPLA SSMFAPAASNAAAEWKVTNETLLSFSVTIFLMGYVVGTLFLAPLSEIYGRRIVLSCAN WFFVAWQIGCALSPNISALIIFRLLAGIGGAGSITLGAGVIADLFPLRERAKATALWS MGPLLGPVAGPICGGFIGETVGWRWVFWVLLIAAGSLAAGIEVLNRETYARVLIQWKT RKMAKELGRDDLRSGYETGEQHRPSQVLIQGLKRPVVLFYKSPIVFLLSIYMSLVYGL LYLFFTTIPTVFETQYGFSPGLAGLAYLGIGAGFFLAVLIVGLTNDRIVSKLMERNGG KYEPEMRLPMMIFFAAVAPISFFWYGWSADKHVHWIVPIIGMFPYGLAMMGLFVPTQT YVIDSYQMYAASAGACLTATRSLFGALLPLAGPQMFKALGLGWGNSLLGFLALAFVPI PIFFTRYGKVIREKYPVDLDKY TSTA_105910 MHRSEIENLPTTPAHKARLLSRHNLVETTSGLAGDYIQANLIVL RAEYADDFRMLCARNPVPCPILGATPIGDPHRIMPTIPGVTLVDENDFDIRTDIPSYH TFRTVDCSKNGGKKKKVLIETKPTLLSDWTTEHIAFLIGCSFSFEQALTQQGLKICHQ VQNKTVAMYRSSIPLLPAGIFHGSSFVVSMRLYRPEQLEEVRNVTRPYLATHGEPIAW GWEGARSIGVDDVGKVDYGDVQVVRDGEIPVFWGCGVTPQFAVEKALERNAISGTVMS HKPGQMLVTDWKITDFLEQTRKQLDLNG TSTA_105920 MGCMSSKVEVEDKEAARVNAGIEKQIRNDKKTYDRTVKILLLGA GESGKSTIIKQMRIIHSGGFPEDERRQNRAVIYSNLVVAFKVLMEIMQTQKIDFEKES NQSLGDILAKTEADVDTDEAFSDLSIKEAMVQLWNDQGVQQAVARGHEFALHDNLHYF FNSIDRLFTPGWLPDNQDMLHSRLRTTGITETLFELGQLNFRMMDVGGQRSERKKWIH CFEGVQCLLFMVALSGYDQSLLEDQNANQMHEAMMLFESLANGEWFKRKPIMLFLNKM DLFKTKLPLSPINKHFPDYSGSPTDFDTAAKYFADRFRSINRMPDREIYIHYTNATDT TLLKATMDSVQDMIIQKNLHTLIL TSTA_105920 MGCMSSKVEVEDKEAARVNAGIEKQIRNDKKTYDRTVKILLLGA GESGKSTIIKQMRIIHSGGFPEDERRQNRAVIYSNLVVAFKVLMEIMQTQKIDFEKES NQSLGDILAKTEADVDTDEAFSDLSIKEAMVQLWNDQGVQQAVARGHEFALHDNLHYF FNSIDRLFTPGWLPDNQDMLHSRLRTTGITETLFELGQLNFRMMDVGGQRSERKKWIH CFEGVQCLLFMVALSGYDQSLLEDQNANQMHEAMMLFESLANGEWFKRKPIMLFLNKM DLFKTKLPLSPINKHFPDYSGSPTDFDTAAKYFADRFRSINRMPDREIYIHYTNATDT TLLKATMDSVQDMIIQKNLHTLIL TSTA_105920 MGCMSSKVEVEDKEAARVNAGIEKQIRNDKKTYDRTVKILLLGA GESGKSTIIKQMRIIHSGGFPEDERRQNRAVIYSNLVVAFKVLMEIMQTQKIDFEKES NQSLGDILAKTEADVDTDEAFSDLSIKEAMVQLWNDQGVQQAVARGHEFALHDNLHYF FNSIDRLFTPGWLPDNQDMLHSRLRTTGITETLFELGQLNFRMMDVGGQRSERKKWIH CFEGVQCLLFMVALSGYDQSLLEDQNAVRPIQRILWM TSTA_105930 MLSSSLRRAVRTTTSSSSSTIVPFPNFLIPTTTTAISNTAGAAG FGRRGGASQRRYSSSSKPPVPPNDGSRPIESSQTPANPSAARKVKDAEGRKDAGGGSG AIGVVKSRQGSSAALLNLPSVPSTQHTPLEDIQLASFFSVHRPISVSTSIPPPTNEAA FNAIFDLKQSSRRSNRTADVINTLTSAVASMEGAMQGRSATNAEQPPRIIHLDDVALS EEDLHSSIAEFASRLTPFQPPPAPVPQEESAATQETEGSADANIRTYSTLLTIRETSY ADGQRTFETSLAPLVPTQDTAFIDEPVPDAGKTYIERTVNRTMYTISVRRQRKLKMKK HKFKKLLRKTRTLRRKLDKA TSTA_105940 MSTDLCPVYAPFFGALGCTSAIVFTCFGAAYGTAKAGVGVCGMA VLRPDLIVRNIVPIVMAGIIAIYGLVVSVLIANDLNQRLPLYTGFIQLGAGLAVGLAG LAAGFAIGIVGDAGVRGSAQQPRLYVGMILILIFAEVLGLYGLIVALLMNSRSRGECY TSTA_105950 MPNLIGYISSSIARDSLVGAGRKCVSGSAFKLPTARKFNSGRAN SQWQALTWTTQHPDRVTPENIAPSFAHFAVSQATTVPILFASPEFTAWTEPTGSLLPR WVTPLLDHVHAGDAPKVAYTIAAVVDKISGNNDAREGLSLLLADSSHVTASIATPARL KSNASEEPAFFLTTNVDRSSQSSHEVGLRLARTVFRNGRDRTLLGMRWTRDEPSNSYI LDGYHDLSSCSVTSPVHEACCAVNVPFHPVTQRRRVISSMGNILRQVSKSTTDDGMSA GIPASSELEKELPRYVNERGIPHQRVAVWALVEPSTSSMTNTSDRDVQGLLIKGSKLH RVVSGGGGWGKKQGLLSLDPEVSFGGANRLSREASLDQTFASSTETPAAATDFPDFLE GLGLEENISSLSQVAPPGDYIQFFVTSESDNTIPSRIKSKPRTLTYSFGVDAPDESTE TSPTASGDITVLQNHFGAVSESAISYSRKDLSGEAQCETKISVPGSRINLSIV TSTA_105960 MPFTASDICKIIFAIILPPVGVFLERGCGADLLINICLTILGYI PGIIHALYIILKY TSTA_105970 MATVIFFWVVIYRDISTEQMYTDILSMLASCPLWRSSVFLVSLA VSRGLGQTTTAAAYCSPSTSSGYLSTIPSCALYCVQDFIRTQYAGTCCSGSLDCLCRT NTTSGLTLGEGALACIVGSCSSEIISTAGSAAYEICSDVSGALPETHAAITATRVSVV TETTHLVTTTSVGSTAPATSVLVTSTPKSSLVTTKTTTVPSTITMLPATTITTSTTTT RSTSTSTTSAAAAAKSSLSSPAVIGVSIASGVSAIFLMVVAMILCGRRLRRRKLRQAA PQSFEIGGEMSEPPDFTAAVVPQLLPPTQPSHLPRGTTTDMGAATGPNFHQFPPHPVR EQGPLVILTQSSPANGNANTNQAMSPETEYNASPQSQTSQRTISRLLPEGTARQGIVP EPLRVIRQPAANTIRVVGGPRPASEATVIDEDESQPSSNSTSTRNNIFGPPLDKLRRA SRGSPMRVVGLPAGPRAMLSPLRTRQQNPYDSGNSYKWDKEAVPIPASRSPGSSLSPA EHVNEARDWGKPQRNFSRLGRGPRSLTTPTRTNPNTPWSRNTLSRNGFEVAPVDSSYE TIMYEDDYTMADRSKLSIPRQSQQQQQQRLSPVSERIPLPTKSPLRYPAIPLSAAIVP ATAQGMRKSHVAEVYYDDSHEYPTTVPPIPPPESNPIQPKFIYELGTGQRSRSASPTP SSPSSLLAKRRGESVADKMETEFRSGVQPKPGVGRKVVVTRNNTDEGIQSPPSAKKHN ITPTRRGEDLYLRVD TSTA_105980 MAEAEKYLAPESQRTRRLSSMSATRADLFSGPTVLVPPKHLMAK PSDAFETAQSIELAKRHLDESTTSSEEATPASGSPVLKAVPTTDSPACSITDKYAFAF DIDGVLIRGGRVIPEAIEAMKVLNGENEFGIKVPYIFVTNGGGKTEEERCLDLSRQLE LEVSPGQFICGHTPMREMAEKYHTVLVIGGVGEKCREVAEGYGFKDVITPGDIIKTNA ETTPFRKLTEEEWKNSRVRDFDKINIEAIFVFADSRDWAGDQQIILDLLMSKNGRIGT RSETFQEGPPIYFSHNDIVWSTAHDYTRIGMGALRASLEALYKAVTGKELTTIAFGKP QLGTFEFATRLLRQWRKDTHGINKAPDTVYFVGDTPESDIRGTNEYNAHASSGDAEWF SILVKTGVFQEGTIPRYPPNKIANNVLDAVKFGMQREFAKAVKEHVINEADKRQIVDD DSDEAVVLE TSTA_105990 MGDDTFDNSDSKADHLCVLVHGLWGNPSHLDYVASAIRERHGKD RVYILAAQRNSGTYTYDGIELGGERVAHEIEDTLEQLSAKGHAIKKLSIVGYSLGGLV ARYAIGLLEASGTLDKIEPVNFTTFVSPHVGVRSPIKGWPSHMWNVLGARTISMSGRQ LFMIDNFRGTGKPLLSVLADPNSIFIRGLAKFKHRSVYANIVNDRSTVFYTTAISKID PFPDPENANINYVDGYEQVIIDPDRYFLPKIQEVRGDEFSKTFKRFYTTLASYLFLSI FLPIASVLFLINSVIQNILSQQRIKLYEQGKTDLLPGRYRVPLMIQDVRGAVEDVFEN LNAAQGNEYLSERERDDEEHALETRQQSLVEPKTGTTQEEETSQFPLLALTPAQFEII DSLNALGIKKYPVYIHKARHSHAAIIVRMPKASFSEGKAVVRHWLDHGFHV TSTA_106000 MFAPLGLFKGITCPGGAGCGILNCIFLHQETKVRETQQVPCLDT KTDVEPLEKKRKLVRGNEEPVRKRAVQSEQSRDAAPKEIKDLVSARRKVSPPPARPAT TSPSLKRKAPKESLNPRLLKKAPATHPVRSSILLKLHGAMKVLNEKMAKLKDLEKASL VLTPDELVVMALDEEQKTATENSAVYSNVIKLRIVKLSRMSLEEWEKEVVAHLNARYY KIQAPKPPQPEQRYTTNLTEEEEIEIARMLRTEIIGKEQYGYITKIPTEAEIAEAKRG IEAADGWEKCDRCAGRFQVFPGRRADGTLATGGKCTYHPGKVFRPPRKPTDHITGGQA EAYFPCCNETVGTSAGCTKAEHHVFKVSDPKRLAAVMQFEKTPARDDDVSRKPVTFDC EMGYTTLGMELIRLTALSWPKGDTLLDVLVKPIGEILDLNSRYSGVFPEHFVNAVPYS KPPQTKPKDVEETAPMQVVDSPAAARSLLFELIDPSTPLIGHAIDNDLNVVRIIHPTI IDTVLLYPHPRGLPVRYSLKYLSKLHLERDIQMGGANKGHDSREDALATGDLVRVKVV EKASVLKLTSRVSTFFPPSSSGSIQNQFK TSTA_106010 MAPTAARTKKPQKVTKKFIINASQPASDKIFDVSAFEKFLHDRI KVEGRVGNLGDNVQISQSGDGKIEVVTHIPFSGRYLKYLTKKFLKKQQLRDWLRVVST SKGVYELRFYNVVNDEADEDEE TSTA_106020 MDGHSPIAIIGLSYRAPGVGRKGLWEYLAEAKSAWSKVPVERFD YAAFHFPDKDKAGCIAAQGGHFLPDDIYAFDAPFFNLRAEEARVVDPHQRILLECALE AAESAGIGLHDLAGSNTGVFSAIGSLEHGHMMGEDMPASSTWTCVGAAPCMLANRLSY FFNLSGPSIALDAACASSTYAIHMACQSLHAGECEAAFAGGSALLLGPGQWSFLDTMG ALSLEGRSFSYDARASGFGRGEGSACLLLKRLEDAIRCGDPIHAVIRNSACSHGGRSD GITMPSRSAQEKLLLRVHQEIDLDPAETPVVEGHGTGTKVGDPIEAGSFVTVLAKERT SSNPLYIGSLKSNFGHLEGASGVLGVVKAVMMLKHGCILPNANFEKFNEEIEGREKLR VPPTKMSWPVNEPRRVCVTNFGFGGSNSAIILDEAPAVSPKVTKNSKFQQVTDLNDDA RLTNGAQPEAQNGDDTDNNAVKRLYVLSAKSESSLSAYLGSFMAYLDSAEGSRSLMKD LSYTLGQRRTHHSCRVAVTADSLTSLRAHLANAKQKRARNPIIAFVFTGQGAQRCAQM ATGLDRYGAFRRAIEQVEVHLHELGARWSLKEELRKTESESRINEAEISQPACTAVQL ALVLLLKSWGVEATAVTGHSSGEIAAAFAAGLISFEAAVAIAYFRGLLAVQLSSEQGR KGAMLALGISADESLTLLEDNKEGYATIAAINSPQSVTLSGDQSAIDSIHQMANTRGI FARRLRVEVAYHSRHMEHIAASYRKSIDCFCDVRVNLESSDAPRPVFISSVTGRQMDV DTLNSSYWVKNLLEPVRFSDAVESIFSVLFKRSPSIEQHRGKQLNVVLEIGPHSALQG PIKQTVDALHPQQSDQHQEQFAYVACLERGRDSEEAILDLSKNLFCLGATLQLAAVNQ TDHRNARVLKDLPPYAWDKSTRYFMRSRITQAKLHPNQPYHPLLGWKSPYTEGSEVSF RQVFTLDEIPWIRDHNVGGHVIFPMTGYLSLAMEAFRRTASSCPPSILVREFHAKRSL EIEEDERVDIVTKLRPAATGTENISSSIWVFEILTWSAEYGWTTHCHGHVEAGPDEMT IDSPTFKSSAPLVNSETLKKRNPELEYLRDGREGTHYGAVFKRMVGLWEGPGWTVMEN ELRDLDSSQDSTYGSPVSVDTPTLDSCLQGLGPLLEQYGPKPALMPNYVSRLQISNRI PLIEKLRMTVVTRLVDYEVKAGIIRISVAVFLKGSDSLVPVAEWESVTLRTITPGVSG DSVSNLPVSYYWDLIPSLDHLKDDGKLRKILEIGPADERETSRVRMLNLAAVYYMDRA LQETAQGDFSQLPSYLFRFRDWARTVVAQQKRSLDGIDTSALVDKLSSSGGQGEMMCA LGEQLPQILRGEIQALEIMLRDNRLSKYYDDDLINVRLSWTLARWVRNLSDVKYDLRV LEIGAGTGSATFPVFQELSRGEEKLPDSFTYTYTDISAGFFEKAREKLAKWSRYITYK KLDISQDPEQQGFGLEQYDLIIASNVLHATPNMAATIDHVRSLLKPSGKLVMIEACRH APLVLPFALLPGWWLAEDKHRSIAEGPLLSENNWNSILCDRGFSGLDNLVAAFPDDPE NILNLISTTRVGMSESRGTASTTICGPLLDNEEEDFAQMVSDVLSEHLGCVTSIKPFA EITVEDDPFCIILDSPGQSIFKDFSSDTFELCKNVLLKIKGLLWVIPENHTPDNDVIK GLLRSVRLETGPRNLLILDNLPRNLEGVSAITQLAQRLQDPEMANCTDKDFTWHEGMM YLPRYRPLPAAKEVFASEAGVTTRKEQSLWQDGVSYEMTVDSAGSPDSIYFKRTDIFN QSLGNDDILIRIVASGVNFRDVLLVLGSIPWTRPGFEGAGVVLKTGRDVENLQPGDRV FFGALHGGSIASHIQLPSWMACKIPDGFNTVDAAGISVAYSTAIMTIMRIGRLRKGES ILIHAASGAVGQACIVLAQHLQAEIFATAGSPAKRGFLHERFNIPKDHIFSSRTSAFR DGILSVTDGKGVDVIINSLSGNLLQETWAVIADIGRFVEIGKRDLLQNSYLSMRPFDR NVTFSGVDLRTFFLNKPDEHRACLSDLVGLVNRGVVVPIHPVTALPASQIATGMRILQ SGQNIGKIVLTMDSDERVLAESPLPLQVPAGRLLRPDATYIITGGTGGIGLSLVPWMV EHGARNLVLLGRSGSSRPEVQKILEQYENTGIHVRAVSCDVGLREDLAQALQSIQDLP PASGVVHGALILRGAWNLHELLPDNLDFFVALSSFISGSGNIGQSIYSGTASFYDSFA EYRNSLGQPTVSVALPVVMGVGYVADHGIDEKLKASLGAILTEVHLRTVIKGAIIGPS SSMNRDGKAISFSFARGDDSSALPWQCFHPRALVDYIRAESRAEGVTDPGQGSDLRSK RLQVEAGSDPLEYLLDALMDRVSSITMIERDEIEPDSPLSRYSLDSLVSVELRTWIRR KTGVELTLPRIVNSENLRALARYILSQREVSLKKK TSTA_106030 MEISMSTVMSPHDVNEETLVEISKVCNISPEQIEDIYACTPLQI ATVAESAIFTHASMFHFIFTLSSSIDIDRFCTSLQQVVSLNAVLRSRFVHCQYGLVQV VTSENHHTKRLSGDVEQYLSVEKSRPLDLGEPLFRTAIIDRKLILTMHHGIMDHASMT PLFKEILSVYYGYEPEKRAQYKEFVAQCLGIDEAEAKSFWTSQFKGSPTIFPQVDPGY VPLATHAEKRKIFLPQMGTEVPIAHIPAIIETAWTLTASTYTTSDSIAFGIVLSGRSA AFPAAQTTLGPTIAIVPVQVNLQHGMNIEGILRERTAARRQLQTHQALQYGLTKIRAV SEAAQIAAGFQTLLNIRPRWYDSKKSSEICYNEMHEPYEPFALSLSFDLVDTEVLVNA VSDPNVLCERQLGRILHQLEHYVQSLMEASRQKKIDFLPRVNPHDLEEILAWNCTALQ TVTVERCLHDLYTAKAQEQPTAVAVDAHDGSLSYCELEDRSNRLAHELRQKGISSEST VACIFEKSLWTVVSMIGIMKAGGVCVPIAASDPPARKAALISKADAKMVLTSSVEHVN LIDCASDVFVVSAESVSNLPEISACYDCGRSSPDNLAYLLFTSGSTGLPKGVMLEHKS LASSLCCIIQRLGLNPHSRTLQFASYVWDVSIGEIFGTVLSGGCLCIPSEEARESNLT GYIQSKKVNCAWLTPTVLRTLEPDDVPSLQLLLSVGEAVSPEASSTWGKSLRLINGWG PCEASILSTIAEITPDSPYPKSIGTPLNCATWIVNTRNINEISPIGAVGEILIEGPGV ARGYLKDDAKTKISFVKPPLWAPTRGGTARHFYRTGDLAKYNMDGSIHFVGRKDHQVK IRGQRLELGEVESVLAGCSQVRDVFITIKICKGRTELVAVVSLTDPLVPGQAILQELA NEYTKVTIPHLYAIREYAQCRLPSFMVPTIWLAVERMPRTPSAKLDRVSISEWLKTKD LLSAKETLDVAIETLTPPCTSEERLLQSIWKSILGILERNIGRESHFRQLGGDSILAM QAAGQCLKRGYKISAGSLLKSTSLAEVAKSLTKIDPIGHESVATPKSSFHDEDVGKQT SIFWDYVLARVPELTRLNRQFRSENIEVIVPATNGQEVMIAAGESGGRGYYVQFVLDF RPGLDVTRIRRACGRVIRQNPILRTVFVRHRSALCQVVLKDVPPQMVVERREFTPAVS FREGVALACFHLISDGQTCEQLYLEIHHSLYDAVSLRMIFQDLDSAYEDKSLSDGPSF HSWVSYIETLDLTASREFWKGVLDGASMSFLVPLVPGATRGHPLDENIKIRVPMRNVT MSFGTPSTVVKAAWALLLSIALGSREIVFGEVSTNRYLTLLGMDKVRGPCVNLVPVRA GLNPTMTLASLVAQIQDLSISGIPHHHLESRSIIEDCTSWPRWTRFSTAVVYQSHDYL LKTFRIGGTNVTLSTSGKLGDSTDIHVVAIAGFGELEIELLYSSLTFPYQQIQWITQC FAKILEFFPSRLHATLTQVETSIHDALGCFAVPLSYKVPLGSPNELPTSPSASAQNVV LRAWKEVGLSSKELDKDSSMWECGANIVTSLLLSEYYRACGYDISTEDIIASPSPLMQ SYLVDAHNCENNKIEDPMNGADGKLEIHIPRTFTNERPPVHFTKENLDMRLNEHHIAS TLPRATGKLVTFPSPRAFNSIALGPGSPRNFDDYIHLDIPQFALHIKTFKDGTLVSII HSHMSADLMGLAAVVNAWSLVLAGKPDMVPPFIGLHEDGMKSLYDPQPNEKHILSGKK LAGWRLAYWGLWSLYESWSSHLESKILCIPKNTMERLMLECRKDIRPENNIDGTAKGS FISEGDVLAALFCRMIAQDQRFGSTRNIMTLIAVDPRSRVKSVFSQNSVYVQNSPTAV FFNCPAKEALELPLGKLASLSREAIMTQATEEQLKAHASLSAESVRVSHMNVLFGDKD MAFQLVSNWLKGDLFDKMDFSPAILKEAPENVLGGSRGHPTYYHCTNPGSDAPLLLPL CVVMGRDYEGNLWLSCVIPKQTWPKLVEHLHAFEH TSTA_106040 MGLSTVVSKPNQRTWWKDAVIYQIWPASFKDSNADGLGDIQGII DSLDHICSLGVDAIWLSPIFESPQVDLGYDISNYESIHEPYGTISDVETLIRECHNRG LRVLFDLVINHTSNQHAWFKESRSSNSNDKRDWYIWRPAKYEDGQRRPPNNWQSFFGG SAWAWDDTRQEYYLHLFAENQPDVNWNCQELRTAIYQSAIVFWLDKGIDGFRIDAMGV WSKDQSFPDAPETDPSAPFNHQPQTLEILHEINTILSRYGDIMTVGEFGSLDDTSIAL KYVGARENRVGMGFQFESACIGYSLSSFDVKPFTLVDFKKPFAKWQQFITGTDGWTTM FLENHDVARSVSRFASDHPNFRVAAAKMLAMMHVTATGTLFLYQGQEIGMTNIPAHWP IEEYKDISTQRYWSSMTTTTTTSGSETNQISGRRKLAMANILKVARDHSRTPVQWNNS AHAGFTTSPNGPWMRVNDNYVDINVSQQNRDMDSVLCYWRKLIALRREYIDLFAHGAF RYVNEGNSEIMSYVKTFDKQSALVVLNFTAMERVYEVPENFRTMHLLETNYSDMRESI LQPFECRLYDSIKMTILPHEPTNAYELDSPEHSSDSYCTTFTMIYVA TSTA_106050 MLAHAPVVGRPYRSKRQKPCSACRRRRVCCVREGNSACALCSRR GLDCVVEPSTEKKKDASEKSRASKAPARNPSPRRDHFSVNTTIPSSARRVSEHIFQYV GPSGDYDPFILLHRSQEGAVREGVIHWAWQRVSKNSHYPIHFSGFPAEHLDASPTYYP QNKIEAVIGPYREALINAFFDVVHKSFPVLGPQTPLTIPNESTLMVSIYCLAQPYHPP AQCVDPWLFTDFNKQALPIETHTAKLETVEAALLFAQRHASLIRAPTMPGMSSEVGSL VGIGHDLGLNVDPEHWGISMSEKRRRRRLWWGIFNEDKWTAFALGRPSHLHDNDANVA MLKLSDFADEGSELPTPDNQAPAKVFIAMTELSVILADILSTFYTVKEIHAHPVIGLE QLENSCTEFEAKLETWRTGHLDPLIREKLFPDPTGSLELAFYAVQIALYRACVRIISH LEAQTVDLASRLRQRGMEIASSVVNLLEALSVSRRSAFWLAAGSFMIFMFLHSILDGE NDYWMNKLSKYRTLLRAHLPGFGVTKHALIRLDLLASPANLSQCLTEHNSTSVSSGAV SKPITSTPQTSVDSWVYDHINSGREQASVDWLSLISAEWENDEGVL TSTA_106060 MALSISTSCGLGYTQEKVMDPEKLATPKSGTTVNKSSELPAKRD FIDQARHATVDEHEMTLGQAFKRYPKAIFWSIFLSTAVIMEGYDSDLIYSFFGLPSFV ERYGNLQSDGTHSVSAPWQNALGQGIMIGQFFGLFVTGWFTDLYGFKKTIGGACVAIS GFIFVLFFAPNIGTLLVGEILLGLPLGVFLTLTTVYATEISPLALRPYLTTYVNICWS IGKFLASAALKGYVNDPTSWSYRVPFAVQWMWPPIIMIAALFAPESPWWLVRQDRPED ARRSLERLSTNASAEDLDNMLAAMVLTNQHEKAIEDGTSYWDCFKGSNLRRTEIACMA QTMQPLVGFSLVLYSTYFFQLNGISASDAFSLSLGQNGVALVAGVAIWFLFSSVGRRT IYLWGLAGCVIVEFTIGGLGVPEPRKATSWSTGSLIIVFYAIYSLSIGPMSYILGFEV SSTRLRSKTAVLGRNAYHLGSVFNNTLTTYMINSSAWNWRGKTAFFWGGFSLLLWIWV FFRLPEVKDRSFAELDILFESGVPARDFKNTSVEAFVEENHLQPTATVNSL TSTA_106070 MLILITHFEDVQNRSLINPLQYASWHPRESRENAHARIGASIGC TKEQIAANFIAFQHTIPSSDIVIFSDRSRLVDRFVGGSYIRLQAHYQFLYSSLLYGHR KEVFNIEVEAALAST TSTA_106080 MLKPLPGPDRIWREISIDFINKLPESNGYYSLIVIVDRLSKGVI PVPLKDLTAKTVARESLQFFVSRHGFPTGIISNQGSQFVSEVWAYICQSAKMERRLST AWHLQTDRMSPFFLMHGYDLKVLDLRDAVYKLTTRYKEHKIAKDIIEKLAQAADLTQI EIAATQQRIEESMNRH TSTA_106090 MTPKLYKEEEALIAKALSAREHEKKPNFSKLAREYGVSRKKLSR RWHGLPSRSTRPPTNRLLSLDQEKALFLWLEYLDHMGTPPTNQQIEESANYLLAKDFT GPGEPPRAGKIWVYDFVGRLPEKYVRIVQKPQEKERTASEHYGEVEQWFIDLKFMIKH LKIQPRNLWKFDETEFIVGQGKDEAVVTAFPKTSKRVSSLSSRESITVVEGVSAEGKI IPPLLIPKGKVHLEEWYRHFFPPHSTHFLQPLDGVPFQQYKHVHGRVVNKVARLGGFD FDKNDFFEELRDIRIKTFTTRTIRNGWRERGIWPLNPQLILDKMSSPEEEFEAMLAEG DTLKIYGEADDTIPSSPTTKSISPPSTVIKLRRYINKIEKLIDSIKDILDGASLGLSK RIKTVNQGSLTLAELGDLHRESFAKVRETAKRKNQKSTRRHVKASGALYVKDANRLIK RRHDAREDDLKLELRFLPPVWLILTRYLLQK TSTA_106100 MPPSTRLKNILFIASFLTFNITVPTLNSKMTLIRVVVFTYRKSG LTLEEFKSYSEQHGHLLKRLASDVFPISHRRSYIAREEKGSDADATETTARNPTTPAK LFLGQQSDFDFDAYTELTFASQEAVQAYVAKTSQPDIAATIAADEEKFLDRPKTGIVF LGDVTEVNNA TSTA_106110 MKGELGHFRCQYRQLDVRISMFHTQGSNCARLSTGLCDHKPSGR ALFNYLCHLVGDAIVKPIPNSEPQPDNIHVIDIWPSRLGSNEGESSVKVPTQLRYTPQ GIEWGFQIPHSVERNYWFKLGLEENKESVNWQKSAEELTTGFLNEIYKHIIYTLEQKI GAVVLRAVPIEFCLTVPAIWSEAAKEKTLKACQKAGLKSSEIMLISEPIPAAISVPHE LDFTTLKTGDWFVVCDAGGGTVDLISYKIKSLKPIVQVEEVTSGTGGLCGSVFLTRRF NDFITRMLSGEVGWDEEVLSETSDRFDTVIKQQYFPMKDGDEGYPVPVPGLPDNKGLG IRRSKFMIRKEDMRNIFDPVIEKIIWFVQDQIRLSRGEAKTVLLVGGFGQNLYLKQRL RESLPTVQVLQPPNAWIAIVCGAVMMGLSRANSSLHNVKVVSRRARKHYGITLNLEFD PRKHDEIKKYWCPFHKRYQVEVLQWFVRKGDAIQENKAKNIKFHERFPVNQGKPKFYD LTVLADSESSVTPIHICDNVKTLTTLKIDLSPLSESQWKKTIKKGADGVYYYVLVGNI EATFFSALTTYKRGAYMLQ TSTA_106120 MPPIRNKNRKNLDEQERRILLAISDLQNGRIQRVAQAARIYEIP RTTLQDRLNGIQQRSLVRANSHKLTQYEEESLVKWVLDLDRRGLPPRHSLVREMANYI LSQHGKPQVGKNWITKLIKRRPEIDSKFARKYNYERAKCEDPKIIQEHFDRVQAAISE YGILPEDIFNFDETGFAMGLCATAKVITGSDRYAQPKLLQPGNREWVTAIEATNSTGW AVPSYVIFKAKKNVREGWFDDLPDDWRINISDNGWTTDQIGLEWLKTHFIPYINGRTV GKYRMLILDGHGSHLTPEFDHIFCMPPHSSHLLQPLDVGCFAVLKRHYGQLVEQRMRL GFNHIDKMDFLTAFPQARTVAYKAQTIRNSFAATGLVPFNPDRVIQQLNIRLKTPTPP PSRSSNTASSCLQTPQNIRQFIRQSTTINKRINERTESNQNQEINQAVVRLSKAYEMI ANDVLLVRKENYDLRAAHEKEKQKRQKSKKQISIEQGVTKEEVQALVQGQVEASHAVT TTPAEPELPASQAVVRRQYRCSGCNVMGHRINQCPSRISS TSTA_106130 MIDPAIFENLQTKIDEETVVRDELHEIVQSLARKGRTTQAILSR AHSTPSKDLKSVLDDAATQILAQKEDVSRLAEIANKHPFYKYNGVWSRELQNLVYYIE LCAWLGGLIEYKNSSSKSSFLTIEEVGNFLDVPVNLKDEDKFHLTIEEYLLALISMVE ELSRLAVNSVTLGDYHRPLEINNFIKDLFAGFQLLNLKNDILRKRSDGIKYSVKKVED VVYDLSLRNLIPKA TSTA_106140 MASATTFYDFSPPDKKGNPYPLTNFKGKVVLVVNTASKCGFTPQ FAGLEKLYKSIEAKHPGAFTILGFPCNQFGNQDPGSNDDIQSFCQVNYGVTFPVLGKI DVNGSKAEPVFEWIKAQKPGLFGVKRVLWNFEKALINSKGEVVGRWRSITKPESLEAT IVKEIENAQKSGDIPAPAPTATETAAAPAQAETEAKEA TSTA_106150 MVSSSQIENEFPYSLVISIPLPTNRLASAALQAIQVDKELSPFV RRSFALKAPNASDETNRDEGDENKTVLETTYRATTNRMLRVSVNGFMETLGVVLGVIE ELDVDVLKEELGK TSTA_106160 MPSHTSAAHGQVIEYIQDRLYLASYSSEPDRYAPFPFPSHATSP SKRSAKASQSPVRTAKHRHPVYFTVDDTLLYNAFHADFGPLHIGHLYRFAVHFHEILG DPANAERPVVFYSKPDPRSRANAACLVACYMVLIQSWPPHLALAPIAQADPPYMPFRD AGYSQADFVLNIQDVVYGVWKAKEESLCGLKDFSLEEYERYERVDMGDFNWVTPNFIA FASPQQQPVAPIPANTPEYAALPSTIPQVLSSKLPVPFKNVLTHFSSRNVGLVVRLNS ELYCPSYFTALGINHIDMIFEDGTCPPLPLVRRFIKMAHEMITVQNKSIAVHCKAGLG RTGCLIGAYLIYRHGFTANEIIAFMRFMRPGMVVGPQQHWLHLNQGAFREWWFEDTMR EKLALAAPVTPGRQLNKQRSSNGQTVTPPQHTGHSRRSALGEIDNNEASSYNTDENLP APTPGQPRKSHRKDSRHHPYARNVSGSLAVNGDTDKERSGKRAQRAGTEQSESEEEIQ MRLLAKRASRSPSASPKTRSISYSTTVTTSYEVHEDRENWVEAVVAKQKTPSSSKGAI TMSKVRSSPRRVTDSKGETKGIRKISGRVGSVGTSSPTRVK TSTA_106170 MLWRSVLVLPILGVAAAAASIDECPGYTLRNVAESDSQITGDLI LAGSACNTYGEDLNNLKLLVEYQTDSRLHVKIYDAKEQVYQIPKSILVPPSGQRDSSS QRSDLVFEYTKNPFSFAVQRSSNRETIFNTSGTNLIFESQYVRLRTSLPQNPNIYGLG EDSDSFRRETTDYTRTLWNTGQAFLPTHSNLYGSHPVYIEMRNGQAHGVFLSNSNGMD IKINQTAEDGQYLEYNTLGGVLDFYFMAGPAPADVARQYAGVVGIPVQQSYWTYGFHQ CKYGYQDVMYVAEVVYNYSQAKIPLETMWTDIDYMDLRRTWTLDPERFSLHKMQELVA YLHNHDQQYILMVDPPVSLNDSTSYDTASDAGVLIKNNDGSTFVATMWPGAVSYVDWF HPNAQSFWTGQIKSFFDDQSGVGVDGMWIDMNEPANFCGYPCSNPVEVAIQGNDPPAP PPLRTTWDPLPGFPSDFQPPGSNSRIMRRDTSSANMTGLSGRNLNNPGYTIANGVGPL TVGTIWPELPEYGGYVQYDTHNLYASYMIEASRQGLLARRPSERPFIISRSTFAGDGI RGGHWTGDNASIWAHYLLSIVQNMEFASIFQIPMVGADVCGFNDNTTETLCARWAMLG AWYPFYRNHADITANYQEFYRWPLVTEAAQKAITARFQVLDYFYTAFYQQTVDGSPTT IIPLFFEYPNDPTTLDISYQFFFGPSILVSPVTTESSESVSLYLPPQDIFYDFWTGER VKPNGDSNTLNLDNVTYTDIPVHIRGGSIIPLRADAGNANTTAALRTHDFELLIAPDV DGRATGSLYLDDGKSIKPDRTSYLQFTYDSGHLSVNGTFDYDPGVGFKGVTVLSNGNQ NGVNGGGNGTGTDSYGRIGLVRQIDEGFSGGWEVDV TSTA_106180 MRTLAVFATVAAVASAVQSNPHTKAKQSRPLIPALNKRSVEVEK STNYRFLSNTTEHYLVNGTHFPQVSFDIGESYAGLLNNTPSGESSLFFWFFPAVNPNS DNEKEIVIWLNGGPGCSSLDGLLQENGPFLWQSGVYQPVRNPYSFNNLTNVVYIDQPA GTGLSPGPATVQNEVDVSNQFNDFWKRFIDTFGMKGFKVYITGESYAGQYIPYLAEGM IKKNDTEYFNLKGIQINDPSINDDSVMIYAPAVTALNHFSSVFGLNDTFMKHINAADA KCGYTDFLNKALTYPPPKNFPNLDKALRTEGCDTWDQIIDAATLINPCFNIYHLTDFC PFLWDEMGFPSAAEGPNNYFNQSDVQKALHVPPTDYSVCGGDIFPNGDGSVPSALGPL PGVIEATNNVLIGHGWYDYLLFMNGSLATIQNMTWNGAQGFQKPPTEELFVPYSPGSQ VDPVVWSGGGGILGTAHTERGLTFSSVYGSGHEIPQYVPGAAYRQLEFLLGRIDNLTV IGPFTTQQ TSTA_106190 MPYWKIYHGLDTLEPSDKTTLSKSITEYYTSLGLPAFYVNIFYF PLPEQEFYVGGVPQGKKISIEITHIAKQIDPTIQKFSKYFKNSIDKILRPYTIDRGVQ VEFCVVQVPPQLWRINGIDPPEGLDQSVDGAVEVAEKNRELLAESMKSTAQINEDWQD EYLA TSTA_106200 MDMAEIAKHGAPYPSLTAQLGLVPSTGVDVPVSCVFLVLYILGA GCHMTIFQLNRREGHKFIFNAATFGFCMMRTLTCCLRIGWAYKSTNVSLGIAASIFAN AGVLILFVFELIYVQRCLRAAFPKFGWSKAVHYFFLLNYLLIPCMLVMVIVTTVYMHY TLDKHALNSCRDTILVVTTYLSFFSFLPLAMSIIIAIVPKGSDRENFGTGSFAAKLWI IGLTSFLLCLGAVFRAAVNYMPARPITHPYSFQGRACFYVFYFTIEILVVYTYLLVRV DKRFWIPNGSRGTYLVDGLPKETVQAEKQDNV TSTA_106210 MNAKVDDKMAQKVIDEEESSPVPENMTELAPQHREYLLQRHGTL ELDPVPAMDDADPYNWASWKKVINLFLVAFHAMFGTFTAAAIIPAYLEISLDLGISIN TTSYLTSLQIAILGGAPLFWKPLSNRFGRRPIFLVSLLGSLVCNIGCAKSPTYASMAA CRALVAFFISPAGALGSAVVMECFFKRDRARYMGVWTLLVTLGVPVSPFIFGFVAQRV SYRWIYWVLAIVNGVQFFLCVFFQPETKYIGHRDGPVASGFRQEYLSFRRIDPTPFNV YEFIKPLTMVTRPTVIIPAAAYAMVFLFASVMCTVEIPQLLELKFGLDAQSLGLQFLS LIIGSVLGEQLGGPLSDSWMRWKARQNGQHPRAEHRLWLSYIGFLLAIAGVVVFLVQT QNSPAGHWNVTPVVGVAIAAFGNQVVTTVLITYAVDTNHTEAASVGVLITFVRQIWGF IGPFWFPDMFANVGVANSAGVAAALMVGASLLPTMLLQWRGHTWRRTEKVVVGDV TSTA_106220 MHSLSKIFIAGALASTALAFPTVHQRDSQYKLTVYWGAEDDSTT LSDVCSDDSYQIVNLAFVSYFNGDGGYPTLSLSTLDGPSQAQQDAGATSLQDGSSLVD AIQACQSSGKLVLMSLGGGAGDSNVILSGDDQAKDVADMLWNLFGGGTDENITPLRPF GDVKLDGFDIDNESGDPTGYSALVSRLRSNFAQDTSKKYYLTAAPQCPYPDQSVPLDV CKELDYVWVQFYNNGDCDVAKSDFINSVKTWSKGIGNAKLFIGAVASDADGDEGYVDS ETMASSLKKVEKLGLSNFGGAMLWEAQLAVKNDNYQWDVAAAFKLSREYGVSRKKLSR RWNGLPSRSTRSPTNRLLSLDQEKALILWIEYLDNIGAPPTNEQIEESANYLLAKDFT DPGEPPRAGKIIVQKPQERDWTTAEHYGEIERWFIDLKIAIQELKIVPQKFWNFDETG FIVGKGKDEAVVARLGGFDFNKNDFFEELCNIQIKIFTTRTIRHGWKERGIWPYDPKL ILDKMPQPDEAFEKLAADGDTLKIYGEPDDTIPSSPTTKSISPPSSVAKLRRYINKIE KSVDGIKDILDSAIPGLSHRIKAINQGSLTLAELGRLYRESFIKVRDTEKRKQQKTTK RQVKAMGALYVKDTNRLIKRRHEGDLLRIHKSHILGVEELEQQEAPTEPGPTPNRRVE GGDATGRTLKYSHATSYMDFK TSTA_106230 MASNGPIGKISQKLASGVAFATEVHQYNKVKKAAQKQKELDKLS QEIQPGEGRSNNATPSPTREQMASVSSAQGEGDEREWELDEAQDELVDGSEPQQKSKG GAANPDKLIAAFLARHPLTVPSTSPPSYDAATTTLQGEKYKLEFPVVIPQRRPQSKKR GFIRAYAPDLEDMGIDQSTWLDFIETFNEASLANPWINALNLASIAASALPSAISMAV SVAVMVATKIAIETQSRYRQNKALDKLNGEFFRPRGLYCLVMTWDSTSTNSQTTDVDL INNTIQNSLNSQGKLSHKFQSSSGTTREFEFMQTAELVFPGLDYLASVPQGKESQGLK NKIKRGKLFVDDYMDRKAQAKFAGENPDNLLSKGINPTFASKYSDPSHPIHSGSLYSL LSLGHFNPPTLRNLQTRGARPGLLGSRSTRQGVIGALGGRREFSRLGERGSGGLFGLI GTAAHAVRDRDRDQNSSILQSQPDGYQQDRYHNNDLQTNSARQMPMSRSRSSDRPLGV GRLLQEKVLYLMVVNMPTDEELAQARELAQQWNIQS TSTA_106240 MTLWQAVRLYPKAISWSIFLSTALVMEGYDVVLMQSFYAFPAFA KRYGQKTPSGEYQITAAWQSGLSNGANVGEILGLFINGIVSKQYGYRFTMIASLTALT CFIFIPFFASNIQTLEVGEILMGIPWGVFQTLTTAYASEFCPVVLRGYLTTYANVCWG IGQLIAAGVLRGLLSRSDQWAYRIPFALQWMWPVPLIIGISFAPESPWWLVRKDRIDD ARKALLRLTSQHLDILNSGFDVDQTIAMMVHTDALDRRLTSGTSYCDCFRGIDLRRTE IVCVTWAIQNLCGAAFMGYSSYFFQQAGLSVSYSFDTSMALYAVAIIGVFASWFFMTH CGRRTLYLGGLVSMFIILLVIGLVAIGNTSSNGAWVIGSLLLAYTLLYDITVGTVAFS IVAEIPSSRLRTKTIVLGRNSYNIIGIINGVITPYMLSPSAWNWKGKAGFFWAGSCFL CLTWTYFRLPEPKGRTFEELDLRFEKKISARKFRKTAINHEQ TSTA_106250 MMHLAMGPKTYSLLHPIYVKPLRRFSVGKPTLSEEINESTFVVA DIDKTGQLQSFWDYLKRTPKGELEGYREKRFAEAMQIAAAASGITINEYLRDNYHWAS LGKAKFIFWHCDLSRWAESSDRVTFQAHDFSTPQAVSTDVYVLKTMLHDWPDKYVIKI LQNLKPYLEAGSRLVMFESIFPSPDAEGRYNLPSTTLRMLCTTDL TSTA_106260 MELEGGQVPAGKPHIPIEEPRNEINLQEELPTMSLSGWRLHLLT AGMWLALFLSTVETTIVGTSLVSITNALGGFDKRDWVVTSYLLTYTGFLTIYAKLGDI LGRKTMFIVGLWSFSIFSILCGVSSNIVELDSTYDHSSRENAQIHCTDGYGICIGKCG GTFDGRRNYRKWALEMDIPVQDSCQCYHIFTLPSSSNKASQPSDGEVGRRRLSSQNLR RIDNIGVVLLLAASILLVFAFENAGIQHAWGSPTIIVTLVLGFAIFFGFITWEVWLQR RQDQITEPIFPPRILESRIMASMFAASFFLGFPFNSVIVNIPQRAQAVYAFSSRRAGI TLLPLLLTSPLATVTSGILTSNGRVPPVYVITVGEVIQLVGMGLMCSLPTNTLIFPPQ QYAFEVIMGIGLGLTLSTILTLAPVVANKKDLPVTMGALTQIRVLGGTFGLAISATVL NDHVKSKLSTLLSPPELEAILDSLDAIKNLSQNQQQAVKAAFSEGFNRQNVILAAFSA VALVLSLGIWERHPRKTEKSNP TSTA_106270 MTPKLYKEEEKLIAKALMPFQQYKHVHGRVVNKIARLGGFDFDK NDFFELRDIRIKTFTTRTIRHGWRERGIWPLNPRLILDTMLQPDEAFEALVAEGDALK IYGEADDTIPSSPTTKSISPPSTAVKLRRYVNKIEKSIDSIKNILDEVSPGLSRRIKV VNQGSLTLAELGDLHRESFAKVRDTATRKNQKTTKRQVKASGALYIKDANRLVKRRHD GDLLKIYKSHAVGVSQPTEEAASIEPQNSGFFFDTQGNK TSTA_106280 MAVIEFHGLLSVTQQVLSPIHAIFVLVKNQHQSLVAIIRLFIYV QRLDVVLPHFLLEQQPRQGSRNTSSNEAPFVEDPPLDMSDENILSKPALHPDNWELVE NFYNTLYSFAQEECGRCQEQWFKMKLRDGVCDRCRRVDRGQMIFLYSPGNNMDPGDVP AFLPELTQTEEMLIARVHVHMEIRQIRGQQYIDIKAILLTSFGTRNANPRMSQPPVPT RFKGQARTYAWLSYLIAHHPGYRDIQIDHANLIKLPEDGSVIDQILSEDVAIESIENH TDIPVEADYPETVAVPDMTTTLPLLSLALPTLFPQGKADYSIPWERTVKFHDYTQHLM EYKDGRFARHPRFQFIVFNTMMRAAFEEDSEESNSVVNSITRMSNTIQGTRPYWASKR SDLIAYNQNLGASYLFFTSTPADYQWGDLQRQFPNYERWKDGNAIERRVIARENVVNN PHICAYWFWLRLKTFFNEILQKSYNLKDYYTRYEWSISNTNFQSVNRMV TSTA_106290 MSIALQNTATPVVFDGYTTARQWINSSTGGHIRWGVLAIIANYV GSYAMISKSADPFFQQFNMDRESLLNQVLDVSDVCKGFCCEYEALDDTFLCALFEQYN ITIYTKGEACYATYRIGAELNSALITMGLHQEIKADAQVPFFLAELRKRLRALIYKSE ISITTFLGRPPRLSHRYMNLEPPLDLTDAQNLLWQLLVDENGYNRDGEIRHVSWVGSS ISFTARREDILELSLGNYTQEEVRQKAIDIQPETEEHWATLPHYMSSIKESIFGLESE EVSDLHFRNVFRQGPQVNSLLLHRVLMRKAGADPAELIRTAQTILGDVMRTYKRVEMS AAASFIYFLAVHGMRSAVILAIEPLKQEQLPEYPNEPLLPRSRTIQDLSIFAAKLGEL DTVFGDKNLCKKGQKVITRILDKILSPNTSSPQSHAFPIQTQQLDTMARTTDMLQNAH ILENPTFLNDFSYDISAQMSGPDYEFRQWLESMGEQNWDL TSTA_106300 MAPVRFGIPLYEYQALDVMGPLDVIAGYEENNLIPKGLHENGLE LEFYHISDTTHKSKPTNLELENLDVVATVTTAECPPIDYLLFGGPLPSYKLSEEMNTF IQDRVAKGEIKTIFTTCTGAAVLAQTGLLDGKRATVHMGIIDLARQMYPAVNWVQKTD KGNWVIDGNIWTANGACTGMDMFAHWLIQQCGLELAKYQFWTLNYAPRGIDGQLLSL TSTA_106310 MDSKLIQYNVTTRWNSSYRMLNDAWNAAPQIQEYLKINHILPPF TDQDWNQLGQIWIVLAEFDRYTLELSTDIPQISQSLAIYYQLFDLLQEVQDREGKFKD FDADIANAAKSAMTKYDKYYTLMDDSCDILYITMLLDPRFKKLVLEHELQDEAKDIIT AMQEQLEIQYPIPYKLELPIASEELGLSAALENPHKTIVSEMMSKIKAKSQKSTEKSS DIARYLNSDVVEFNDKKRDWIYTWWRGYINEYPCMAAAARDYLAVPAAEVDVERVFNT GRDLLGLRRWSLSSGTMRKLLILKDSLSK TSTA_106320 MEPQPQKETSSLQPHASIVRVAAKALVDKNIPVVEYGQQVQWRR GDPVALLMGKHNVVIIRLPKGEYGIAAAASSAGDMVRTFPNIRIGLMLSDIVVSAPHN EKGGLFQYDFGKTIQDQEFRSTGFLDQPPGLLRAAMGDIEAKSCEAVCGDDESKLIVR PDRNDEEDNLAIHYGLIASANQLMKDALVRDRLFAEKDVLCFEMEAAGLMNHFPCVVT RGICDYSDSHKNKEWQGYAAMAAAAYTKDLLSHIPPNKVEVEKKISGILFVG TSTA_106330 MHGPVIECFLESSSVPKNHEQQVSYFYCAKTHGLGSKPIELFQS MVRQLAWLPKDSQIEQYINDEWKRRQASKTDRLSLNDCKMLLLRLIPRVRKTILIIDA LDECDSPRDVFISSRDEVHFDVHTTFSDYLALNITSTATGPDLRRYIERRVDDEFKHF RQVTEWEDPSILQRLVDELTDRGQLAFRWTELQLALFFPSGRPPNPIDIKRRLERLKN RTGLLDLNNTYKEIYEHNISDSFTRKDDLHFLLKWSLACIQNAHIRLLTDALKFHYEQ QGETTGHINYNYILNLASNLLVIDEHDTVQFAHISVKEYFQLTTDFASEFTKGETNNQ VAETCLQYLLSSYQNFPYYDVYGQMEAEFFHYSLTRWALHYSRSGKTCSSTVLFKKLI PGASAGSEFAAWVLAGIDWHGFYIGDIKDALSRPLALFFYHNPEQLKALNLNGWNGLH LAAYYNQLHVVEALIRTDIDINLRIDDKERRTALQQAVIRGNTEVVRFLLLEREDVNV NIRDRSGHSAIHHAINHGHVEMVRFLLDSSKDLNINLQLVIDWVSDFPGLTPLHLAIT KGYFDIVTMLLEKRDDIQINADSSEGSPLHVAARRGYVDIIEILFRERNDIDIHQKDD DGCTALHIASAEGFASVVMALLGKDNAFQVNSVDDYGRTALHCAAQHGHAKVVQVLLN ERDDLDVDLQDRDGCTALHLAAKYGHVAVIENLLHERENIQVNTREVAGRTALHLASE AGNAEAISALLMNGVSLEINVQDTDDCTALHLACQNHRSEAVKALLEGCEDLKVNIRN KDGQTALHLAVKKLCEDIVDELATNPNVDPNIANDNGQTALHIAASTSNAAVLESLLR FSSRIDINARNDKQQTALHLTLSFSTYLDSTYVKGYYAAKWRHDSTEFVRVLLQNGID TTSQDGAGKTALYIAAEEGHSEAFAMILEKCKGANLNEQDELGWTMLHWVASNDAKPI LERLIQQWPDCVNVADKYGRTAPHIACSEGRLVSVQALLDGKSTIDINRVDNLKGYTA LHYAVSTKSTQIVRVLLDTRPDIDINLAIPNGQTAIQMAITEKDVKTLQVLLDKREDI DINHVDNEGKTALLIGALSYQSRSIFALLENRCDLSINAKLEDGRTALHIMLEKGLFY KRFDETCQIVKMLLESYKDVVEINARDVHGRTVSHVAAQFGRFDALKTMVEICHDVNL EMADKDGRSIFHYAASRGYMDDIVLRLDNREEAEGDDEDEDFDEDENEENSGEEEDST ASEGSDSDSDEQDDPKYDGRGDLVTEQTVQIMSLLLERCNNAIVNAKDNRGLTAFHIA SLACDGGIVEKLLSDDREIDVNAQDNYGWTALHVAVFYRRPKVVETLLTKCTWDNINI QDNKGQTALHLAASKGRVKLVKALLDNRKDIKLGLKDEKERTALDLAEEGNHVEVVNM LKAANGQNHSGSMDLAEV TSTA_106340 MYRRALDENTLGSDHASTLLIVNNFGNLYADQNKLKEAEKMFRR ALEGYENTLGPDHKSTLNSVYYLGILYQKQDKQKEAEKMYRRALAGYEKALCSSHTKI QRVRKRLNTLMISSERYNVNLAKVSTEVTLWELKKFIAQLTINLPLVPLTLYLYASGH PKKFLRLDRQGIPRDLVRDDDQDIQDFHDALAPPISYSLIRVEMDERLFDMHRLVQLS VRAWLETSSGSLRRGLSDVLTGLERTQGKYERRKTMHRRALEARERVLGPEHPDTLTS VSNLGLVLYRQGKYEEAEAMHRRALEALERVLGHAHPDMVASINVPLDSNQREKHEEA SVIQD TSTA_106350 MKPAIREIEKLTLRMYSFFPSSLLPEELWSWPWLWPCALSLSFL ILAALFLHSHLSNPPIAGLFSIPNALPIIGHLYLLGDDHASVCEKLWRKYNESIFQIR LGNTAAVVVNSFHDARRILVSHQSALIDRPTLYTFHGVISSTQGFTIGSSPWDDSTRN RRRAAGMVLSRPAIRSYHDMFDLETYDLISDTYADGKGGKELEIRPYIQRLALNTTLT LCYGIRMRGVHDELLREILEVGSAISLLRSASENYQDYIPILRYLPGNKKTERAKSLR RRRDKYLSFLLDTVRGRIQRGTDKPCVSAAILKGEETRLTDVEVSSICLSLVSGGFET IPATLTSCIGSLATETGQIFQERAYEDIRRYYSNLDDIFLESFAEEKVPYVNALVKEA ERYYTASAMNLPRKTTVDITWDNGVVIPAKTVVLVNLQAANHDVDHFGDDADVFNPER WLEYPENIPVERSIQGLPHMSFGAGARACSGQLVANRVIYTALVRLLSSYRIVASEEF SPNTHYADYNAVKSALVAIPRDFKVKLIPRDESELLACLKSGAKRTEKHYVV TSTA_106360 MATPGVQITATNKSPLILIVTWLLLVLAAFAYIMRSIVKISRQA MHFGIDDYLLTGALVLCAAQSLATILRAADGFGKPFDTVSVEKVNKITEAGMAANVLY VVSLALSKLSLLLLLAKISPNKRHNQVMHGISLFVVLFTFISIFVVSFSCSVPKTWDY ASGRCINRTVWWIVFDVYNIITEAALIFMPIYVIGLVQWPISRKVVVSTPFVLRICTI AACITDMVLWHNVSTTTDPYFAEWTVSVTTQVIQCSCLVCTCVLYLRNFLSSIETGFG LDGDLSASIPLKDRTTAGCNNLSSERLTGSMGMLGQIQVTTRYEVHTETDGLESGGEE TSTA_106370 MDAIGLQTKTKVSTAREMEGKSTMDREERDRAHLLRLGKRPVLK RTYGFMAILGFTTTILVTWEGVLLVFNIGLENGGPAGMIYMYLFAWIGAWCTFASLCE LASMAPISSGQYFWVAMLAPSSCQRFLSYLTGWMTSVGWQALVASTGYIAGTLIQTLV AITVPSYEATSWRGLLIIYAVLLFGFIINTIARRLLPTLEGPVLCIHILAFFGVLVPL CVLSSKRDASEVWAYFVNEGGWDTQGLSTMIGLLMSIFLFTGVDGAIHMSEEIKDAAV VVPRSIMASMGINGAFGFGILLAALYATTSIDDTLGSEAGEAGYPFLYVLQNGIGSLG GAVAMGAIIAVMQIFGNIADIAAASRMWWAFARDKAIPGWSFFLKLDSRTSLPVRCIL FTVTVSVLLSLISIGSTTAFNDIVALVTSGYYSSYLMASGLLLYRRLTGAIVLPDVDD SPYEPVNNVGRRLVWGPWRIPGILGILINAFSVIYLTVALFWSFWPSFYPVTAESMNY NILIIGATLLLSIVYYIFHARKEYTGPIVETAPSDFVTQ TSTA_106380 MGTTVALLAVLKAGAAFCMLDPAHPAGRLQSIVQQTGAIVILSS PSNLTLSSSLAPVRVVTVSSGSISHESNTSHKRTLPPSDPTSVMAIVFTSGSTGVPKG SIIRHSAFFSSIHYPSRRLGFNSMARVLDIAAHAFDMFVLITVVTLATGGCLYVLSDM ERKNELVKSIAKAASTLIAATPTLACLMQPEMHRSVEAIIMGGELLTHQHVQKWWNHA RIIDVYGPSECTPVSVVSATYLLLCLDRPSVSLNYFSLHFGIDTDIDRLTNACALLVE RVPILRTVFNPSFSIHEQIVLRKLEPSCQVVRAAGDIDTTARRLIDTDKKQKLLPAPP FVAFFIVCHETLGHRLVVRISHAQYDGVCLPLIVRALLALYHKENVMYHPPFSKYLAY TQKRMLKSGTHWRKILQGAQVTSLRQVSHANKPIGNMPVLVHAKCSIPVPELPRNLTL ATLASTAWALALYSLTGKCDVVYGQVVSGRNASIPNVSEIIGLCANIVPHTALELLNR VQYQFVSIAQSDSMGLHDVIDRCTDWPSQSDLDSVLQHVGSDRIPTFLVGGKETQIRW FEHEHVNFSYIKVHSTIEDNRLGLYISGDGTCLTSEGADALLGSLRAAVGFLSLNLEK QLKDRSNESQPSIV TSTA_106390 MAEKTMQPYSLLVHGTSTTTELLHEIASNNNINAESIEDIYPCT PLQIGLLSLTSRNPTDYVLHEILELNTTTSLDKFCAAWEEVYRTKPLFTPSFGLKETA RNHRILETDSGQPLSRYAIIKGQPGKKSSFIWTIHHSLFDGWFLSLVVTRRVSELYLC HPCEQMIEFKTFVAHMLEKNNTEAEQYWASALQGFDSGHFLAPSSSRTRVQPENLRVE QHFGQARLQDHHHIRRSVLAYAAWAITMSIETKSGDVVFGGINSGRQADVYGIKQIAG PTISTVPIRVNVRLHKKVSDFLEEIERDAQDRVRFEYLGLSRIAKINSSTNRACEFQT LVVIQPTANDLYMDPAVGNWKPESKDLMATAYRLVIQMFFENNGTRLAADYNPNAIDG RTVHRLLAEFTLLMKQLAEADAGRRTMGDLELLSGDEQERLWLWNMNALSTIERCVHD LIEEQVQLRPDALAIQAWDGQLTYSELGGLATSLRISWSPKGSVPGQ TSTA_106400 MSSLFSVLRRHPILTTATAVVIAAMSTSAYRDYGVFISYGPGGP PHNALGWFMSRFLATPFGQEMFNTGMYTRRMQNGENASYIILTDGGQLPRRHGERPVI GPHVVPQRQLSQMPSANIQKDFVADFYALAGKNSHIIKVARSKAERQSDAMSLVDSVP RTQEASQTGGEIAHIHETGDHSLHVILSPADAKQVIDAGWGQRHAFSGWRPWGGIFEK IVDIPATYLLIYAPRTSDETQIILEIVKASMRYMSLGAEIIS TSTA_106400 MSSLFSVLRRHPILTTATAVVIAAMSTSAYRDYGVFISYGPGGP PHNALGWFMSRFLATPFGQEMFNTGMYTRRMQNGENASYIILTDGGQLPRRHGERPVI GPHVVPQRQLSQMPSANIQKQDFVADFYALAGKNSHIIKVARSKAERQSDAMSLVDSV PRTQEASQTGGEIAHIHETGDHSLHVILSPADAKQVIDAGWGQRHAFSGWRPWGGIFE KIVDIPATYLLIYAPRTSDETQIILEIVKASMRYMSLGAEIIS TSTA_106410 MIKEQSEMIESLQGQLRAIQTHLPSTEPTQTNQQPMYAGGPAAV ATPIRHTDILHRTIDMSRVRDEDKAKLKIAEVRQLLEKDMRSKEEKMNWRCAVMVKHA KNADQVKVISRDKIEVQLVTEAAQKIAILGIRALRDQIYSVKVDNANRTAVLETDGKH PAGSYRRPGVTG TSTA_106440 MSLRTFYSSVVAPIPVQFVLDNLQYKFEDDIRHYNHPRWTANES NLLSIGDFTSQERQRVLGQSAYLARASACGPSLSISGRHPPSCREHAYKKGFLRSVIR TYRDAGHEAVTKELSQLRKALATDTQDTARKDYFHNAPVLEVDRQIEQPPGQVDVKDS NSSGFDGEDWELPILKYVFSERARLCLRQASSVSRVGEANRLSGIQKLHCLLNRNSRS VQQMSVLSVAVYLAAQHLTPPLHKFPRKRKDSLRRHLIDCCQMTRIFGEAEDRLFDLE GQPVTSSSYQRSIAIMLAHKSPSQKKFIKPGF TSTA_106450 MAPDLTAFFQQNAKHLPVSPWKLACWLGLPYIAYRFNRYLSYRA LNNGVVDNFDWEKEIILITGGSNGIGAACVQKLASKGTQVVVLDILPLSYDSPKNLHY YRCDLTNFDEVQAVAATVTREVGAPTCVVANAGICRGKSLLQATKRDVELTFGVNSLG LLWTIKTFLPSLTAKNHGHFVILASQTGHLATAGVVDYAATKAAALAIYEGLQTELRH IYKAPAVRVSCVSPSAVTTKMFRGIKLPSSVQPLQPSDVGSAIAEILWSGRAQNIMLP ASAYISPITRALPDWLRIGLQEFGKDVMTDLDPHKPLD TSTA_106460 MSTTKVAATCWLLLAVLLILIFPMQIALSTTGVIVLSSTFIFGI WKIYLRPFWFSPLRHLPEPKGGTLFNGHAKLVPNERSGMPLQEWINEVPNNGLIRYRM MFNKEIIFVTSPKALSEVLVQKNYDFSKPYKLRQGLGRILGIGLIIAEGEEHKKQRKL LMPAFLHRPVKDLYPIFWEKSVSLAAELDANIKRVPGEPSQVFDVADWLARTTLDILG AAGLGREFDTLHNPENEIVRAYRGVFEQKPPKSIFGILVFLAKQQTMNLLSIKPRDSI TTATEVLTDVSRRLIKDKKDERTQSSKESLDVSARRDILSVALDSGNFTDTMLENHLL TFLAAGHETTATSMTWALYALCLYPEVQQRLREEVRSKLPIRTMRKGGAMPVTAELVD SLPYLHAVCNEVFRIYPPAGLTRRVAAKDTSILDQHIPQGTVIVISPRAVNISKDLWG EDALVFNPDRWMKPGQANSGGGLTNFSFMTFLHGPRSCIGQGFARGEFACLLAALVGS FKMELEDNTAELVIETGLTSRPKDGLRVRLRPILV TSTA_106470 MAPRIISSLSITEAAVLLSGAFFAYVVGVVIYRIYFHPLSKYPG PKLAAATRLWWMKQQLSGRFPFIVHELHLKYGEIVRIAPTELSFTGADAWKEIYGFRN GLPENRKDPGENTDADKSHPTIINADRRTHGNLRKLLSNAFSDKVLKGQEPVLLHYID LLVSRLHEVADKREEPVDIVRWFNYVTFDIIGHLAFYEPFDCLKNNDYHPWMSMIFNA IVYVHYIRTLQRFIDIRSIILAIMPKRIVERRKWHIGLVEEKVKRRKTRHPDYIDFMS HLLQAEEKGHLTLPDLVANANLMVIAGSETTATILSGTIYYLCTHPRVMQKLLDEVRT SFNSSDEINIARISHLKYINAVIDESFRLYPPAAGSHPRITPPEGAMILGQWLPGNTS MGMAQYAVFRSPYNFKDPEIYLPERWLDEEGPYKDDRREALQPFSFGPRNCIGRNLAN IEMRLILAKMLWHFDFELTPECANWPKDQYIYTSWEKIPLKVHITTRVKS TSTA_106480 MDLQNSAAALVQRLGEKVEDGHGFGFMSPAIYDTAWVSMIKKTI HNHKIWLFPECFEYVLSHQLSDGGWAMYASEIDAILNTGASLLSLKQHLNNPYQITSY TQEDLSNRIESARNALQKLLDDWDVKSTLHVGFEILVPALLRYLNEEGITFEFSGKEL LLEFEKQKLSKFKAQYLYLPIKVSALHSLEAFIGAIEFDKVSHHKVNGSFMASPSSTA AYMIHASKWDDECEEYLRHVISHASGTGSGGVPSAFPSTIFESVWPLSTLLKVGYDLS SFPSIDKIRSYLHDAYVAENGILGFTPFVGADADDTATTILVLGLLNQPVSVDGMLKE FEEENHFKTYSQERNPSFSANCNVLLALLYTPDPSLYCCQIEKAIRFLHKQFTDSELD VRDKWNLSPYYSWMLMTQAITRLTTLQETSKLSILRDDSISADLISLLLRIASTVVRD QKPGGSWGTRASKEETAYAVLILTYVIYLDGVTGSLRNDIKIAIDNGCSFLSERMTES ASEWLWVEKVSYRSEVLSEAYILAALKGAADLQEEKANGIPVVHEISTEINNEINGVN GVNSRLEFDGTNGTNGKNGINGIHEGEVTNGVIEINGDFDAAQITNGNMEEHSVTMET NGHDMAHMQFEISPANGHSNEDCVSIHTDDSDSYYQRSQWTIDQEHILLGPFDYLESL PGKNMRSQLIQSFNTWLQVPAESLAIIDKVISMLHTASLLIDDIQDQSLLRRGQPVAH SIFGTAQAMNSGNYVYFLALREIQKLQSPKAITIYVDSLIDLHRGQGMELFWRDSLMC PTEEEYLDMVANKTGGLFCLAIQLMQAEATVKVDFVPLVRLLGIIFQICDDYLNLKST AYTDNKGLCEDLTEGKFSFPIIHSIRANPGNRQLINILKQKPREDDIKRYALACMEST KSFDYTRDVVKKLKTEALSTIQGLEKQGLAENIGIRKILARMSLEL TSTA_106490 MANVTTAAASAVRLESPPPRFLSVGGVVNIRELGGHSCNGLSPT PASKSSPDNKLEVTPRGSDDLRIRPGFLFRSAQPSQITPAGIETLIHELGIKSIFDFR SQTEIELVTTRYPDSLLEIPGTTRYSVPVFREGDFSPVSLAKKYGVASNNTADPTNAK PAGFVQAYEAIARSGAENGSFRKITDYILRHPDRPVLFHCTLGKDRTGVFAALLLKLC GVPNDTVIEDYAITTEGLGTWREHLIQRLLQRKEAVTREDAEFIIASQPENMKSFLED VVMTKFGGARNYFIQYCGLTEDEVDTDYNLEIRGVQQKALSILQ TSTA_106500 MSTAYKEYDITEQIHDLHERLVNTFQSGKTKDVAWRKWQLKQLW WMLEDNQKELMAAMKLDLNRSSIESMITDFAGARKDILYHLQHIDRWASDEPLGDTFI ARYLGLAHIRKEPLGVVLIIGAWNFPLLLVLQPLIAAIAAGCCAIIKPSELIPNSQGL LKQLIPKYLDESAIGLVCGGVPETTLLLGLRFHHIFFTGSAPVGRIVSAAAAKHMTPV TLELGGQCPAIICRSADIDRAAKCVAYSKFLNSGQICLSVNHIFVDPTIYDKFTERLK YWINEFLDGQPTIDTAIVNQNHFDRLLNLIHDTDGRIFCGGSGNPETRRMEPTVILDV DINDSIMKEEIFGPICPVLKGDFRSAYNATKLKGQPLAIYIFSNDKREVEEILENSNS GGVTVNDVILHAGTSGAPFGGVGSSGHGYYHGPYGFNSFTHLRTIMNAPSWLERALRF RYPPFNATETPKALKLKANFKRGEGIDDQRRKSSSAIVDIRCDINAEM TSTA_106510 MIAEHIPQPSLLQGAILVLVVSVIARITYLEIKHSALRSIPGPW IARYTNAWRCYLAWVYSERPGGITYHEVIHQKYGDVVRVGPKTVFINDPAGIPVVLGF KDRLEKTDSVNAFMQPGKPTSIVGIRSEQKHASYRRPIQGAYSLSSLKLYEPAINDMI KKLTSIFDEKSQSKSVINVTEWCHFFAYDTIMNITFGSPLGFLDNAKDMYDLIANQVK HVAYVRVLTQWPALDWLIRTNPIVVAFKKHKESPFFRFAVQRIRHELEKPTPDDIPKR TLLQHFVDAKSRYPDIVDDTQIRLYCATNSLAGSLSPSRVLDCVLSWLAQHPQEQDRL YLEVIKNAKEFPVSLEDTANMPYLEGVIREGYRLHHGGDIAIERKVGPNGATLPDGRV IPSGYDIAMSSPSIRVCSAFGGEPHVFRPERWMRSEGESEDEYKARRMYMDKADLTFS QGSRACIGKSFTHLELFKVVASVMGQFKLELSGTPKRFVVPVQLVRRPLSANTKVLND IYPGDRTAAAASRLRAQHNVSKAALGGLVFAPINFKQRNLQVLDCGTADGYWLYDLSN QLDPSASLIGTDIGSFPSDSFPKPSNMTLSIHSYKDPWPVSWQNSFDLVHMRFCVAGL ADPDEARIAIDRLIGLVKPGGWIQLVDSTLSSGKILDTDKPSTILFKSMAHMLQSKGQ DTNAGLRIRPLLENSGRLSHIGSKEVIAKLGQGAESDELRKDGVYNLMKMVDTLKPKL EGLDSWPITSSKLEELRTQILEEANSVGADKPYYAAWGKRIS TSTA_106520 MSSIHKRAQSKLCQRSTGRHLLGKWLSLYWLGTFMVLPIRDPDL QTEPRGVTVQTGLLYISSFFATFVNSIGLENVRWNYYVAYVVYTLLERRNMLTGKGVH TDIETIVRSPDARSDKEQEEASINITSKV TSTA_106530 MQGADGNYCPLKDLWWNMGLFDRTAFLVILGLASRVLNLLTCSE SKEHPEATTYYTKSVRALQRRIENAEDRLSEGVIVTVLEFAYYDNLSRWLIHMNGVSA IIHDRGGTETLKSSYIHIRIDVSGSFIFDRKPFFPAPCHLLHELEYVEQPGAVLFKLN SRFPDLSGVIHFLSETTNLMSFIDGHANESKGFEDDRFLSRTFNGYVHKLLSLPRTSQ TSEIEKCPKIIVREAVRRACITLFALLRENFSIKPSGVYEHRNLLKELLLQYEIDWTP YLELRLWVLAVAALAADNVEVHWYMDEICGTATQMGLLEWDEVRRVLRHILWSDQMFK YQEGKIREMFEMADQ TSTA_106540 MSAEALSLAGKVAIVTGSGRENGIGAGIAFALARNGAAVTINYV SESSAKRAEGVAQKIRTEGGKATVIRASVNEEGAKILIEGTLKAFGTDHIDILVNNAG VGHIGPTLDLPLNQIRETFAVNLYGPLFMVRAVVPHMPPGGRIINITSTAARMPLAAY GVYETSKAALDYLTAIWAEEFGKSRGITVNSVGPGLVETDIVPSDPEFRKAAVEPIVR MTRAADRPGTIEDIGDTVLLVSSEKGRWIAAQHISASGGVTAL TSTA_106550 MFQLLNNDNLQVAIIGGGPGGLASAIALSALPNVEVTLYEKARE LREIGAGLNIGYNSWRVLELLGARHEVNGHLIDEVQQRNGIDGALLKKRGPSALPVKY QSRRVRRTRLQRALLNQVPAGIIHLRKELTSIEDVPKGGANLKFSDGTVAFTDLVVGG DGIRSVVRQTAFPDHNIKFTGTTIWRTLVSRSLISYIPDVPTCTSWWHGTAGHVYFSP VDDPSETDEKDQLIEISARFLVDPETDNAKRWSWGVPTTNENVESHFTSYDPRVREAL SKVPKDNWKEFSAFAGPRLNELHAWNKLVLIGDASHPLTGAFGSGAAFAMEDGWILAR SIEYVFSTSANETSTFLKTDRLKKVVEIFDEIRSPYYARMYEHLDNMKARFAGISGTF ESRLQARVSAFSEGGLDWIYQNDIERVWKDWLENKSNPTAIQEGGSFVSPSL TSTA_106560 MSQPEETKKPRVDEGPDSDGTSSIDTITALVVEDHKHEIKLRTM SWQKAAWLLAGDQVCLAIMSQSWSLSVLGWVPGIITMLLSGALFWITSITMHKFIMKH PQIRDICDFGYYAFGQSKIAYIFTAFMLLANNILLIGFHVLTGAKILNTLSDHSLCTV VFSVIAMLMGIVLSLPRTLHHVSFMSMFSAACMGMAILLFLIFAGIEAAPLVGYSGNY PTDGPVHTYAFPLPGTTWVQCMNAVLNITFLWVPQILFPTFISEMEKPQDFPKSLAVL AGISTILFIIPPSIGFRYLGQYSTAPAFGSLGVVAYKKASFAFVIVPTLVIGAIYANV SAKFIYFRIMGKSHHAHSNTVIGWGIWFLVMAFIWILAFVFSEVVPSMGDFLSLLGAA FDSFFGFIFFAVAYWNLYRKNLFDGVSRTVMTFIHAFVMIVGLFLLGPGLYAAVEAII ADYSGSTTPAFACANLAL TSTA_106570 MSFLNSKIAHWLWGDDIKDRHLLGRLDITLLPYFSLIWFLFGVT RASYSSAYVSGMHEALHFQGKDYNYMNTAYLVVYAVCQMPGTSLLTIARPKYVFVAAN VVWSVLTLITYKTTSAWQVILLNAIEGGFSAIAYVGAQFVLGSWYKKNELGTRAAVFC VFGQLGSMAGGWIQAGLLESLSGKSGLPAWKWIFIIVSVMTIPVALFGWVFIPDLPIH RAAWYLTAEQKEHAISRLGASRKESWDLTVFKRIFLSWQFYLLPFLFMLYSLCVQMLQ NNVMALWMAARGYTVIQQNNYPTGIYATAILGTVIYAVISDKIKSRWEVSVAIGLTFI IGSAILVGTGVDSDVAHFFAFYLLGTTFAPQAVWYSWMADLTSHDVQLRAITTGFMNS FDFAFVTWWPLIFYPVTDAPDYHKGYIASLVTGTLTLPLIAIVTYLEKRDTARGLIGR VSEATNNSSGQDGEPNAGFKDGSVNVRSAEVSV TSTA_106580 MPKKHDIGSGGSPLDHPAHSLPYEAVIKELNTSLDEGLTPDEAS YRLQQYGPNKLDEGEGVSVVNILVRQVANAMMLVLILAMAVSFGIQSWIEGGVICAVI ILNIVAGFCQEYAAEKTMESLHSLSSPTGTVSRNGQTFSVPSSEIVPGDMVELRTGDV VPADIRLVEAVNFETDEALLTGESLPVQKECDSIFKEDTGSGDRLNIAYSSSTVTRGR EIGSIALALRSSDSKRRPVKRGPTGKAKKRSYVQAWTLTGTDAVGRFLGVNVGIPLQR KLSKLACLLFGVAVVFVIVFMAANLFNNSTEVIMYAVATGVSMIPACLMVVLTITMAV GTKRMVRRNVIVRKLDSLEALGAVTDICSDKTGTLTQGKMVVKKAWIPSQGTYSVGTS NEPFNPTVGKITFIPLPPVRLDDEKEGTVAETPETLVSGNRQLEDFLDVASIANLSHV YKSEAGEWNARGEPTEIAIQVFASRLNWNRDRWTKGQGATLAPVIWDKDSSAPVPMTD DHRDKILQNMEELAKMGLRVLALAHRPYTEQAQVLEGAHLRREDVETGLCFLGLIGLY DPPRPETAGSIQACYRAGIVVHMVTGDHPGTAQVIAQQVGILPADFSTVAADVANDMV MTASEFDKLTDEQIDALPTLPLVIARCAPQTKVRMIDALHRRGRFAAMTGDGVNDSPS LKHADVGIAMGQAGSDVAKDASDIILTDDNFASILNAIEEGRRIFDNIQKFVLHLLSE NIAQACTLLIGLAFKDADNQSVFPLSPVEILWIIMITSGMPDMGLGMEVAAPDIMDRP PQSKQGIFTWEVIVDILVYGFWTAVLCLAAFSVRVWGFGNGNLARGCNMEWSDEIRDC DLVFRARATTFVCLTWFALFLAWEMVNLRRSFFRMQPKSNKYFTQWMYDVWRNKFLFW SIMAGWITMFPILYIPVLNDVVFKHEPITWEWGIVAVEAVLSSWASKPGNGPNESFSV VERESIRF TSTA_106590 MAESSSSNGAPKKHILLNAFDMSTVGHLSPGQWKNPTDKSATKR SLDYWVNLAKLLERGDINALFLADTYGGYDTYEGSLDNCIRRAAQWPMTDPAIPITAM AAVTKNLTFAITASTSFEPPFLLAKRFSTLDHLTGGRFGWNIVTGWKKGAFKAIGLDE PIDHDRRYAQADEYLRVLYKLWEGSWADDAIVKDVENDVYADPDKIRTIKHDGEFYHL ESRHIVDPSPQRTPLLFQAGTSPAGSQFGATHAEAIFVSAHSPSVLKPRVANIRKLAQ EAGRDPQSIKFFSTVTPIIGRTEEEAKAKFEEAKKYASTIGGLVLFSGWTGIDISKVP LDEEIDPAKHSLEKHKVHSISETLTARTPELPSITPRIIAEAASLGGLGPVPVGTPSQ VADILEKWVQEADIDGFNVGYVITPGTFEDVVDLLIPELRKRGLYPEKRDDVSGLTAR EKIYGQGQSKLRDDHVGSKYKYNVYKEDAPFEKEVDEGAP TSTA_106600 MAEKGANIDSFNVTEEAASSSVFYSNPDVDQGGRDDPWIRFLTW LKWYPKDMLHLEKKLVLKLDLLILVFGCLSFFTKYLDQQAITNAYVSGMREDLHLHGN QLNYITAVFWASYCTSMIPACYLLTRTRINIALPTLEVGWGLFTFGCAWAQNLDTIYA MRFFVGICESCSFTGVIYVIGSWYKPSEITRRVAFFFIASPLGTMFAGYLQAAVYTNL DNTHGLAGWRWLFIICTIITLPICILGYIAFPDVPHRKKPRFLTQAEFELANNRLKGL VAPSELKVSRSIINRVLGRWHWYVFVMHWILMDQNFTPYSTPFSLYLKSKPNIYSVTR INTLPTIATAISVVAAVTAGIFADRARNWWLPSVVVSIPVLIGVILLVVYDVGESGRL AGFIITGFEGAISPLTMSWATVIMANDAEERAIVTASMNAIGQAMAAWTQILQYPATH APRFRAGFISNLVTTVAQFVSIGLITHLQKRDARKSGHIASGHA TSTA_106610 MSYRPSSPVQLPNGHWACSDHLLQVCPICTVDYTYLNELSDDGE EPSPIAPLLSHIREKRARPTQAVGNDDNVITMGGIETTSPNMILSPWAHMDLSLRRGT GRIIAEKFVPPEVVASSTFGGSATPLDIFPPGISHKASPVVRRFIHRHDQTQFLIYTD GACLDNGGLSPQAGCAFYFRPPAEDTQDGRRHNRRRPGTMEFPPPSQGYTSFHLEKRG PFGDPSSQTSNRAELRAVIGALRFRVWQGEGFRTLVIATDSEYVVEGATNWVKGWLRN NWRTRSGPVKNKDLWEALLGEIERHHDKGLKVLFWRIPRELNMVADQKAKIAAQEEPA SESWNDVSGVMV TSTA_106620 MKQSFDKLRRKLFHKDEPLPNARVRLENANSNGKTKDALKNTGM SPFPSESNVSQTRWNKNAQPQDMWQVAYSQLTTDDQQILSSAPLSDDSSRRHVRTKHV LDQVIQSTKEQYEAYQRGGFRIQRSRAEAINLRDTAQKILNAALSFEHIISAVVNFDP TGHASSAWMIVSLGLTMTQNHHDLRNALFESSELLANILARYAYIERIFYQENHSEMK VPIGNAIVRVYTAILQYAAEVWKVQKANIGRKVLESVTAITDQPLSKLKSYINEEEQS FQKWVQVDQYLHHRTEAENILVRIDEVIISIQDLHRTFDLSKLSIAEGASFDSYMDQH EDICLPGTRTELLQQIADWAQSPDGKHIYWLKGMAGTGKSTISRTMAKSFQEKRMLGA SFFFKRGERDRATAQRFISTIMSQLIIEIPQLIPHISSAIKSDPNISCRSLKEQFNQL LLQPLCSLNPMQEQNRTIAFVFDALDECDKPNDIRAILQLLPEMQASTVIRCRTLLTS RPELPIQLGFKHMRDNDHQDMILQEIPIPVIKHDITLFLKHKFSEIRNDHSLPLDWPE ESSIQTLVAMTVPLFISAATVCRFVGDLHWEPEVRLEKLLKDQSSYASKMEKTYLPIL NQLLIGQEDEELEQLIQEFQDIIGVLIMLVTPLSLHALGQFLDVRPGAISNRLKFFHS VLSIPSDPDLPIRILHLSFRDFLLDSKRATSPFWVDKGEKHQTIALHCLRIMCHCLKK NICNLPSDGTYRTEICEQTISQHLPPALQYSCRYWIQHLVQSKDLTTGMEDAYSFLQK HFLHWLEAMSIMGVISEVVDAIDTLLSTIMAETEPQLSEFLRDGKRVILKYMQIADIA PLQLYCAGLFIEELPGWINRLPKVEETWSALQQTFEGHSHWVQSVAFSPDGRLLASGS ADRTVKIWDTSTGALQQTLESHSDWVQLVTFSLDGRLLASGSRDRTIKLWDTASGALQ KTFESPLEWVLAVAFLPDGRLLASGSEDRTVKLWDTATGALQQTLDSHSERVRSVALS PDGRLLVSGSEDGRVKLWDTASAALQQTLESHSRGILAVAFSPDGRLLASSSQDDTVK LWDTATGALQKTLESQSEWFWSVIFSPDGRLLALGSSQRKITLWDTATNALQQILEGH SQRIEAMEFSPDGRLLASGSSDKTVKLWDTTSGALQKSLKGHSRLQGSGSNDTKFKLW DTATGLLQQTLDSHSKMVWSVAFSLDGRLLASGSADRTVKIWDTSTGALKQTLEDHSD LVSSVVFSPDGWMLASGSNDMTVKLWDTSTGALRRTLGGHSEWVRSVVFSPDGRLLAS GSDDMTVKLWNTATGAPQQTLKGHLERVWSVAFSPDGRLLASGAEDGTVKLWDTATGA LQQTLESHLEGVRSVAFSPDGRMLASGSIDTTVKLWDTATGDLQQTLEDHLSWVQSVA FSPDGRLLASGSMDRTLNLWNTSSGALQQTFMGHSCVLTVAFLSDGRLLASGSENSIV RLWDTGALRQTLEGHSDLVESVAFSPDGRMLASGSHDMTVKFWDTATGALQQTLGGHS NWVRSVVFSPDGRLLASGSDDMTVKLWNTATGAPQQTLKGHLKRVWSVVFSLDSRLLA SGSEDGTIKIWDTATGALQQNFEGRLERVWSVAFSPDGRMLASGSEDGTVKLWDTATG TLQQTLDGHLERARAVAFSPDGRVLASGSKDMTVKLWDTATGALQQSLTTSGVITNLE FSKYNPYLSTNMGLLNIQPWYNNHTSYLAKSNVEEVLIQDNQWVILRGKQVLWLPPEY RPVCSTFRMDGTFVLGHASGRISFIRVHA TSTA_106630 MTSKALTIASTLRSLGNTVHGIRPHLLQQAISACVLRKAYFGVE TWWPGRTRPLLALPNMEKINPLLHPPWSTKEPREAALRWVSALLGRIREEAVDNFQIL LQSIPNNDIIIYSDRSKLENGQTDGGYIGFQAGSQFLRGSIPLRHNKEVFDAEAEAAL TGLKATMIHSTAQCSLNLWICLDNLEVAIQLLSLSIGSSQAVFESFNTLAATWPLRRR LPQIESRAVQIRWVPGHANISRNEAADCTTKEGAGKTVSTSYPWSYVAFKRHTKSQAT SRAQTY TSTA_106640 WIGSSNFMLSRKLTNQLEERVLGLAALVAVSLIDIDLSPVVSLI SKDSSVGLTGIREYRPSKIWQIFLGTLVTRYETYWELRSNVIHKRVGHTSKLATFPEN ALYIDSTQFNTSICRQFAIKIQKIQLNKRDES TSTA_106650 MAYRAETRANGHKLTQSEEESLVRWILDLDKRGLPPRHSLVRDM ANCLLSQRGNQHVGENWVYNLVKRRPEIESKFSRKYNYERAKCEDPKIIQEYFDRVRE VILEYGILPEDIYNFDETGFAMGLCATTKVITGSDRYARPKLLQPGDREWVTAIEAVN SIGWALPSYIIFKAKKYTRLGWFEDLPDDWKINISDNGWTTDNIGLEWLKTHFIPLID GRTLGKYRMLILDGHGSHLTAEFDRTCTENNIIPPLDVGCFAVLKRHYGQLVEQRMRL GFNHIDKLDFLTAFPKARTMAYKAQTVRNSFTATGLVPFNPDRVYQQLTVRLKTPTPP PSRSSDTQSSCLQTPQNACQFKRQMTTTKKRISRHTRSSSEAIGEVFTRASKAYEMSI NKLTIAQKELHDLRAAHEKEKQKRQKSKKQISHDHGITREEAQALVQGQIEASQAVST APAEPELPVSHPPVRRHFRCSGCGIEGHKITGCPNRTSS TSTA_106660 MARKGSSTDSPLQTALLESTSAATTRASEGQKIFSPIAAFLDRH RSQTTGLAPHLLRALTALSDDLASVAQRHFNAYISSISTTSILPALSALKEVQAIKTG FALCPSSLEALLALEAQKEIISTFFVNC TSTA_106670 MTEQKMDTLPVEIILAIGSHVSDVGGRLLLLQVCRRWRALFLEV AYNHVDIKGPQIEPLTKVILANPRIGPAIRSLSVGWWYSYIDHHPQRKNDDNLPEAAE ELAGQISRSPEEQKDWIENLRAGNQEAWLALLLASVPNLTALSGEYCVHAPRVTLVVA RAARKEPPFDTRPALQRLETLHITSDNMKDINPHWQFLPFFHLPSLRDVNLDAVKEVR ERDRLDHPAISPALGIAPVESLVLKSYCNERNGMAQIITSCANLKEFKYQHNDTEVWS ESYVDFQPRKFYRALLTQKHSLEVLHLSDNGEVTGPATDELSDDEENDGPQAYDRWFG SLAEFSYLQDLRIRVQNLLNYHDRDKDECVVLKDILPASLRSLHVAECWPKHCAVLVP NMQGVLAHHKERFANLKRIGISSGVSEDVPEGQNRFSYPRQELIPESLKKPFVPVKEM CDRAGVKFKMTLATKLESYFAYII TSTA_106680 MPPRRASKDATASQQPPTTNPYNLRSLHIPRSIRPGESFDERHY KTRQDAAKKANSHPKRPAPSGRKPTTWSPSKTPSAKRVRFDITSSRDAPDSSVETTPL RAQSNAPRDESIIASLEADTNEETDDNEDSVSEDDDLPAVVPGRPPGWNMADYLNGEY DEENRLLRDTPLSESTPFNSLDIEIAATNLYNAKEDFKKLSAKRRIKVAEHALREARE DISIVNTSDIQNEFNHEIGQRDYSIGIDLRVHINKRKIISQTLHDMTRRSFDLGVVED TSTA_106690 MAGKLLLSLISLSLLQGAFASPTRLEARVSGTLDSWIAEESPFA LKGILANTGSSGSQAAGASSGIVVASPSKSNPDYFYTWTRDAALTMKQLIEQFIAGDT SLQSIIEDYISSQAHIQTVSNPSGDLSTGGLGEPKFYANTTAFTGAWGRPQRDGPALR ATTLIAYGQWLVDNGYTSLALSNVWPIVQNDLAYVTQYWNQTGFDLWEEVNGSSFFTI AAQHRALVEGANFAKSVGKSCADCISQAPQVLCYLQSFWTGSYILANFDSSRSGKDAN SLLGSIHTFDPEAACDDSTFQPCSSRALANHKVVTDSFRSVYDINSDIDAGKAVAVGR YPEDSYMGGNPWWLCTFAAAEILYDALYQWNKTGTITIDSTSLDFFKGVYSSAAIGNY SSSSTAYSSIISAVKTYADGYLSIAQNYAPSNLTLSEQFDKSTGASISAANLTWSYAA FLSVVNRRNAVVPAAWGETSASSVPATCAPTSVTGTYITPTATNWPTTLSGSSSAVAT TTALTRKSKGKASRPSTPASTAFKSSVKKTLGTSISYPIATKVAINSA TSTA_106700 MGSPQGNEDHEERSSLPRRPRLRIANACQACRLRKVKCDGVRPD CSRCLEKRKQCVYIDDPFAVRQQTKRKSTTRREQPIRSHAVSPTSASVVNLQSIEVST IAGPSYRIDDPERNREPTLDQERAYYTPHAQFSGEVEAAVDDRAGLAPSGTSNFVPFV DAPLFGDLVLHSPGSDVDLAKKLPPRAYADRLVGVYWQHVHPIEPVLDRDQFLLDYDG IYNTPLGLAHEGRTLRLSILNLVFALAVQRQELTPLQQRNEEGNGYFQRAWSLLPPDT AFWKPGSLELVQCLMLMNRYLHCTTNRQKTWMTAGFAMRIAQSLQCYLAYDLPSGESS NEERLKRQIWTSCVGLDRCVSWSLGTISTLFPVLPSHSAEGSCSISSTGNQQLDKSHV ELLHLELYEIGNQIQLAQTQSRNIFAAKLGLPRPYQQEEYHAVAVQLDACLDKWENRI PSKWKLQELSRVVDRETRTHGYLLHVRLLLHRMFLFRPMLARVYSTRSHLIGDQAAYN RHSLSDRIIKDCAMVCVESAQKLTKLIIDTLEPHEPMGLLPWWYRIYYLHIAGTNFLA SMFSPDLFTQSVSQSWGELMSALRAHEHLSTYVQQCIRTFEMLSTRITQTRNLNMDNS NVLMENQGWDYFSNDIFQDLGLNFDNFLFGGGVMIDVRLSSGANSPDSVCGEKPQNED RDMDATGSMLPRDRTRYSTPT TSTA_106710 MFQSKNDETVTNVSDSERAHVYNGQGTIEDPFVVEFQKDDPGNP MNWSSLRKWFITSIVTWSVFAVTFASSAYSESSGEVIDDFNVSTEVFIVGVSIFVLGF AIGPAVWGPLVSISSSTFLPLLSELYGRRILWIISHIAMVAFIGGSAGSYNITTLLVL RFFAGTFGGSPLVNSGGTIADLFPPAQRGLALTLYCVAPFLGPVLGPVVGGFVSENSG WRWVQGLCCILVGVIGILGAIFIPETYGPVLLIKRSAHLSRIDGKVYISVLEIKQGKK QPSKIFKKALIRPWVFLFREPIVFVGSLYIAIIYGTVYIFMSAMPIVYNEYRGWSEGI GGLAFMGIAVGLLLGLVYAVYDNYARYMKLVSTQSATPESRLPPAIVGAIALPFGMFA FAWTNYPHVHWAVSIILSTPFGFGCVLVILPVLNYLIDSYTIYAASVLAAAAIFRSIV GAVFPLFTNQMYHNIGIHWASSVPGFLTLACMPFPFIMYRYGRQVRMKCKYASEAAET MKRMQMQQEPESQRTDTYNSSSE TSTA_106720 MMATDNTAVLSKFNGLCAEHGLLDRRDGMEESDRADGITDATTL LRFLKANRMDVSAALKQFREATKFHCTKNVTQLYDLINVDDFEDTRKLYPHWTGRRDS RGLPIFMIDVAHLDQEAISHWRETTEISSHDSCADINNTRPDMAQRACVLHDYITRFV FPLCSAMHDRPQSPEPVSRSVYLIDASSLGFKQAWDLRDFAREITWILSTCYPETIDR IHVCNAPTYFSQMWNILKKFVDPVTAEKIVVLKSVDVYPVLNQSIHHDDIPTQFGGGF DFSNGMLPNLCPAIQYTLNWSDPSSTTLPPGPIKWKENGDDRIAIATGTVDGVQRAIK VASLVGCDEKCPEALFS TSTA_106730 MASEPIAIIGAGCRFPGDVDSPSKLWELLKQPRDLLSEIPRERY NVEAFYHPDGKHHNATNVRHSYFLSENPHAWDANFFSIKPQEAECMDPQHRLTLEVVY EALCNAGLRMEDLQGSSTAAYVGLMSSDYTDIMQHDLKMTPQHFGVGVANSLASNRIS YFFDWHGPSVTLDTACSGSLVAVHHAARALRNGDCSVAVAAGSNLLLGPKLYIAESKL SMLSPSGRSRMWDAAADGYGRGEGIGAVILKTLDQAMKDGDKIDCIIRETGVNQDGRT LGITMPSNLAQEALIRETYRRAGLDPSNPTNRCQYFEAHGTGTPAGDPQESQAISRAF FGDRSRGEYEEPLYVGSIKTIIGHTEGTAGVAGLLKASLAVQHGMIPPNMLFENISPR VAPYYDDLKIVTGECLPWPSLPKGVPRRASVNSFGYGGTNCHVIVEQFVNLQNNPSAD HLLSSCVPLTISANSEISLCDSIERLLIYLRQHPQISIRDVSWTLYEKRSVLPFRVAV PARDTTEACTNLEWKLDELKANGNGKTVTKTVLTKRKPRVLGIFTGQGAQWAGMGKML LQHSEYARETIDELDDALRSLSAEDRPSWTLLEELQKDKDASRVYEAEFSQPLCAAVQ ILLVKLLRIAGVNFTTVVGHSSGEIGCAFASGRLTASQAIKAAYFRGRVLEEAASPSG ASGGMLAVGTSLKEARGLCLSEKFTGRIGVAASNGPDSVTLSGDLDAIEEAKAVFDGE HKFARMLRVDKAYHSHHMKSCARTYIRSLKPFFRVPGGRSQCKWISSVHPPHQMTSHD ANPEYWKDNLVSPVLFSEAVEAALDSEPELFDVIIEVGPHPALKGPCLSTVQSAIGKE LPYIGCMERNGDDWNALSSALGFLWERFGAKAANLPLLDSVIFGDGSAPAPNMVTDLP IYPWDHRRLFYRESRLLHNYLFANDKVQHPFLGTLDPNTTAESWKWHNVLLPREMSWL DGHRIQGLTVLPGAFYVVMAMEAALLMLPGKRQVALIEVRRLQLGKAITFDGEDDAAE VTFKMDVLSPVPDDKGVFELVFQCDSCLSKERTLSWSAKGKLLVMLGSESTLELAPPA KEPPHLVETSPDLFYKAQLDLGFGYTGHFRGLTSIRRATGHARGTLRVAPPFDESTSR KWIIHPATLDLALQAPYVAYHAPKDGRVQSVYVPVIIERIALNPFIARSITDGSIDFS ADNLDGKSADVCLSVNGNTVVQIEGLKSRSFSDRSEAEDRAMFSKWVWEQWPPKPIAR DDSFSEEDKSAATAAERMVYYYTKTLMSNLSHDDKQEALTVHQHMFNWAEHLFTMVAL GEHPFYQAAWERDTSEDIEQLIAQYPTSAELQIVKRIGENLSAAIFDDADMHEILTRD DLLASLLDSKLYSAGYKHLADMVSSLTHRYPDIDVLEIGAGTGMATRHVLQNLASVYK GYTFTDINPEIVKQAEEDFSKTTSRMTFRTLDISSSPEYQGFKPHTYGLVIAANVFHA TKDISEVLTNVRSLLKPGGYLLMVNITNTKQSRLDFIFGALPGWWDSIDEERGLSPIV SWSSWDSLLRDHDFSGIEYHSPLADGDLRASDLMVSRYMSDKMNILEQALSPAFRELG AWLSDTPITVIGGATQASAQVLEDIRHSLPKRSTCSYQIIKGIPRENKRSYVILSELD SPLFASLDDESLNSLKDLFSSARTILWVTTGAYAENPYQAMVVGFTRTLRQEYPEVCM QILDFQDISEVSGVEIATSLLQLEIAPDMRPDDLVWTTEPEIRLQGGSKHLPRIKADI PRNLRYNSRNRRIIEQTSLDTNIVSVVPGDESGQYHFQVSGSTRNFSDSWTRSDWILL EVQHSLLQAVSVGHSGFLYLVHGKVLGTQTNVFALSEFHSSIVKVSARWTLLCSPEGP VEYLAALAANLLASRIVSNVPSGKTVVVNEPFEFLIGPLVRHSAERNVNLRLTTTDKM RVNEKSTAHWVLFHPHLTQSMIKRTLDTNIHSFWNLSTEQGLNSFGIKLAESLPVDCF KRTRADLLRPLSSFSGDQTACQEVFETVAGGVTTKDIGPCHSISVSSITEVPNQLEDC TLLDWTAVASLPTLVQPIDSIDLFADQKTYVLFGLTGDLGRGLCRWMVRHGARYIVLA SRNPKIDPAWLDMMKDEGATVQAHSIDVSDRSSLRTALDKIQASLPLIAGIAHAPMVL QDALFENMDYESMEVVLDAKVNGAIHLNEYFDSERPLDFFICFSSLATIAGNSGQSNY TAANNFLSTLTAQRRRRGLAGSCIALSAVYGVGYVAKAARESGYELNPYTFVPIGEQD VDELFAEAVVAGKPHQDGEPVEIITGIPYLEYKNREHITHFDDPRVSFWRLPNEESRS DHSSSHGSLSVREKLLVATDANSAFDILKDALVGKLRSTLRLASNEPVDEEGPLIDQG VDSLVAVTLRTWFSKELTADVPVLRIIGGASISELAQSVLKTLDPAMLPLVSEKTADE DGTQSSRESSQSDDVSSNGPALTPETSSESDSLDVKDMDDYVTFQTSTTADTLCTETT PLTGNILSSSQNQVHEARMSYNQARFWAMRPLVPDESFFTVAIGLWIAGNLRVENLRA AVAAITQRHEIFRTRFCNSEDGVSLQIISPTSCLQLEEVQCSDKAAASERFKDICRRR FDPASGDTACFVLFSWGAEEHFLAIAYHHIILDGASFDLLFHELNAMHQGMKNLPEPF QYVEFSERQRAEMEEGKMAEDVVYWKTEYQTLPPPLSLLPIAHSSSRKEPLLYDQHEA TIQLPPMLATRIKDQSRKHKANPVHFYMAALNVLLARFTAAEDVCIGMAHDGRNSESD AKTMGLFLNLLPIRLSFSREQSFGEMVTQCKMKIRTAVAHNRLPFDALVQMLNVPRSS FHTPLFQAFLDYRKGRADLRRDPAMIKPGMLHVAGIETSRSRTAYDLSLEVNDEPLET TIRMKTQRSSYPPEAASLLLNSFVNLLSTFSRNPALSMGGVRMFSKTDIDKAVKVGQG EIRETSSPSLMHTIRSYCQNTPDNVALEGSESCLSYAEMASRIDGISSTLLSLGIEKS NNVVILQQPTPDWICSMLAILNIGAVCIPVDPSWPPARQESVIRSSDARVVLTKDCDQ SNNDYDVMKIDLRSIFTSTEPYLQQPATMDYSAPAIVLYSSGTTGAPKGIVLTHGGIM DRVEAMSKLDLVKPRVLQQSAITFDHALTQVFLGLHFGGSVYVVPREMRRDAKAISRL IVDKDIEYTKATPSEYNSWLWVGSDTLREAQNWKVAGIGGEVIPRSLLDALKSLNLDQ LRVFSDYGPAEATLSSYRVELQYKSNSDQRVPLGRHLPNVSTYIVDQNRQPVPLGWPG EILIGGPGISSGYFKQSEMTVQKFLPDQFATPIHAEHGWKTVFFSGDRGRMREDGSLL FDGRISSESTQVKLRGFRVELSDVEQSILDSANGLVTSAAVTLRGKEIDQKFLAGHLV FTPGLSAERREALLRRLPHQLSVPSYMRPAMLFALEEMPMTSHGKVDRDAISKITLPE SSSVETSYLAGQMEAIWALWCRVLPREATLSIKPEKETDFISAGGNSLLLVKLQVIIH QEMRLDIPLAQLLEETTLEGMANTCETATALSVEPIDWESEIILDTEPVEILDRIEKT LNHKEGIHVLVTGASGFLSRHVLKQLGDMQNISRISCLAVRQKSFNLLVSKSLPKVSL YQGDLTSPSLGLSAVDFQALSQDADVILHCGSDRSFWNPYRLLRSANVLSTKELVRLT APRKTPIIFISSGAVDDIQSVAYLSRPNVTGYLASKYINETLLKQAQETLGTPVTIIR MLDGATTGRNDDAAPGTVSISEVTEAICQIGLKLSKRFQHADLSAGSSISFARVTDVS SLVCNEIQRLATYHSAENEGDGREVRYHHYSDSACLDGEGWSTLFGLDDSNPLLYQEW QNLPVIPATAWFGEAKLNGFKYLISSQIIKVDDMVSRR TSTA_106740 MAVETDSPIAAADEQNGRQQQLQPPPGVHLYGWRLYLVQFSLYL GLILSIMDSSAVSTALVTIGDHFNDFTRIQWVVLAYMLTYLGFALTFSRMSDVIGRKW ATITALIFIGAFSIGCGWAQTIQQLIAFRALQGVGGAGLYSMAFIVLPEMTPPENIPV MSGLIGGVTIVSAVLGPVIGGVITTHSTWRWVFWFNIPVVGTILVPMIVFCPDFKYQG RMKWRQFDFIGCLIYLVTCVLLITALQEAGAGSIAWQSAAFIVCMILAGLAFVGFASW IAFLSGGKHSTMPLFPARIIKHRIMLSTVMVSTCIGFVFYSILVQLPERFQIVNGDTA EISGVSLLALSGPSAVGSFLGGALSSKKNNTFSTLIIGCSLILLGNGLFHTVGPSHSV PSKAYGFEAIMGLGFGMIFSTTTVLIKLHAEREDAASAQGLMSQGRLLGGNLGLAIAT VVLNQQLVSDLSGIVPSDELDNLRHSLLAMSSLTAQQAAVVRQAFADAFKTQLVINMG VAGAAFVFSMYTWERHPTTFAQVLQQMADDEDASAANSTAVAQINERPTASST TSTA_106750 MSEEIRNASRVVPGAMIFSLVVNGVLGFGILIAVLFCLGDVDAV LASPAGYPFMAVFQEGVKSLGGATTMSAIVTILVGCASISVVASASRMTWSFARDRGL PGWRWLTKLHSKSSIPVVAIALTTTISCLLSLINLSSAVAFNDVVSLTVDGLYTSYFI GNSLLLWRRATGQIKPYSENDETSRGPINVANAEYLTWGPWKIPEPFGTIINATSCVY MIVVIFFSYWPTSVDPTLSTMNFSSLMVGATGILSTLYYIFWARKVYSDPIIEIEY TSTA_106760 MRNDRDIAWDIDEAIEMRGGSRAKSVAERDNADLNRLGKKQVIK RNFGFMSMLGFSCTVMITWEGELLLFDDNFANGGYAGSVYGYIIVWIGTLCVFATMGE LASMAPTSGGQYHWVSMLSPPKVQKLLSYVIGWLMVVGWQAAAASEGYLVGSLIQGMI IMNNGEYSPQPYQGTLLLWASIFFAVFINSVLSSALPKIEGLLLILHVLGFFAILIP TSTA_106770 MRTEKKTREEKKAEQHLAPTNTRAIMPLERHELADDAEFPALVD GLWRGYADPFNGFWEILKGPSQEECTERYTAWNKADSTGHWIYVTNSETKKVAGAMQW QIFKVNPYADGVPSLSAYWWPEGALKEVADQLFAGFFAGRPSHFGQPHIRKQLGPRAP KRI TSTA_106780 MISKALRLRKEVTQFIREHPDIREIQIQKVLKPFWDHTNSVSKH CLSITESLPIYWSLNDILDNIKNNKGDFQEITKEIQNAVEGGIRKMDKFTKKMDSNII YYVAAILNPQVKTSFIQAQISKSDADMIVSDIREYLKKQYPASPTSSSSAERPPDSSP EMWFHNMIEDRDPNWILKWWKANAFNYPLMLKAVQDYLPIPSAEVGVERLFSNARDVL GIRRHCLNSEMFRWLMFLKGQYGKERRDSA TSTA_106790 MSVKTRVRQQAPPLRESIDPDDDYDAAAVHEGDESSSSSDSDSD RSDDDYERDDGYSTTLTEPDSDAQPCLPALLPSQRLRNPPSSASKRKKTSVVPSELPE YSDDPNDDTDEDIANVPLDYGRSDNTKTRRSQIKTLWQKYCAVKAAEQDAPPKWSNAE QALRQATTNDIHRFFNYRMKVKRGKNGRLMKGIKKGSALEADWKALQGYYRLITRTSF NKVQCEEINAGLRSLMDKWKLDMEEREKTGVHVQDLTAFNETVLRTTEKRFHLGFERI QICLFTILGIFTVNRISALLSLQFKHLQFSLQRDPLGGPPIPMVELRAVHTKQFLGIT QHNNFPFPEIVDDPTLIFSPHVFLFGILFYLDAFEADGLRSMEDVRRLLVEDGCEQME LYLKPEIEEYFLFCMTTVVDGTPMIQWNRPINASTMSARLQSLGEIHGWLHTFFAHRM RYGGGKQLNESDCVSEAQQNLIMKHASSRTFLNHYLPRNIDTDMQNIMNGRKPNTMLM HAIRRISRWIDKRRPRVISAQDRAELYQHPEYLAAVHERDAQAILCQQSPSPRNMSRL GRLTQDVDKIFRRLCRVRRKEVRQAFSRKQAKIDIERQRSGTAFHNEETKHNLQTVAQ MPSKMIHLLEKLFTWPTSHSLDDEWKRRNAATAAVTQYCGVWEGGPLRGRRKRALPSD DELDQMKPSKRIASATPVSDVAPSDSRDLLEEAREHIIRAGERDRKKPNVEKPIVCFQ CFGNRLLPEHKRVKKWSRPDATVRHFRDKHLADRQCNFCDDGEIFLHQMHLQNHAEAV HRLVTGSRGC TSTA_106800 MTSDISPFSALPTELIIKVLMELPDLHSIHSLSRASRRVYEIYQ DPQLQLQIIRSLILQAGDFSAEANLYSLLQTLKYIIKEKMDGWKPFAENGYEQLLIPF ARVLAWSYASNKRKPEAVRLLKKIINQEEPFNSRDSLPKSSLTFLPLRMLLHRLRPKT QPPSMTQLERLREDVPVTEVRPGSVKWDVISEESRQTALSQKEIIFKKDLIMIKCSPR RSTLTAPTIYSMRYRWVSVCEHRTDSASVTAQTSIVSIGRRLALMSSANGLLFLTIDF VTLLS TSTA_106810 MTTVTHDDYTIAWICTLPLEAAAARSMLDSTHSPLPIPSTDSNA YQLGELNGHYVVIASLPNGIYGKVSAATVVSRMRSTFPQLQYGLMVGIGGGVPSKSHD IRLGDVVVSKPAGKHNGVIQYDYGKAIQGGQFEATGTLNKPPQVLLTHMGQLKAKQMT EGEDVFSHIVDETLIQNPNMQERFSPPDQHTDLLFHSSYHHIAGEGTCEHCDKEKLYK RQPRKTRTPQIHYGLIASGDQVIKDSETRDRLAQQYGILCFEMEAAGLMDELPTLVIR GICDYCDSHKQKQWQGYAALAAAAYTKLLLSAIPIGRMDINLKSKKTRHWMVSLARNP MFVGRQDKIAKLEELITMQDGPRKIAITGLGGVGKTQVALELAHRIRDQDKECSVFWI PCTSYIIIEQMFLQIAQILGLRDVNPAEVKEQVKSYLDSERAGKWLLILDNADNAEMW LTGSHTAPPLEDFLPESGQGRILFTSRNRKLAMRLAPFNTIPIPDIDEETAAKILEKI LGHKDLLRDPTTSATLLEQLSFLPLAIAQASAYILENSIDLSTYLSLLQEQEQDAVEL LSEDFRDPGRYKDIQNPVITTWLISFKQIQQQDQLAADYLSFMACIDPRNITQSLLPQ PASRKEKVDALGLLNAYSFTNSQDMDINMHRLVHIATRNWLRKNALFSHWVQRVADHM QNIFPDNHHTKRGLWREYLPHALALVQEDEFVVQENNYLNLTRKVADCLASDGRYQEA EVLYKKLMTINQEKAGAKHPSSLSSMNNLASTFWNQGRWNEAEKLFVQVMETSKTVLG AEHPDTLSSINSLALTYRDQGRWNEAEKLFVQVMETRKTVLGAEHPNTLTSMSSLAST YRNQGRWNEAEKLEVQVMETRKTVLGAEHPDTLSSINSLALTYQNQGRWNEAEKLVVQ VMETRKTMLGAEHPDSLISMASLAYTWKSQGKIRNALTLMKQCSHLRNQVLGPSHPDS RSASRTFIGWFGAAIFPPFSWTKDNLLE TSTA_106820 METQQQPALVQIDDSHIPDFTYEAEEEHATEGPAQIIIDSPPST IPFDEDSPPRQLPSERLPPSEEVGEAAGSPSPVIEISDDEEENHRRPRRRNTGRRPDY TYRDYQDTMEHAISAPPLRKRKREDSDVVDFRSKIKQFVKEITEPYEALEKENKRLTE ERHQWKKDKKQLQLQIQYLQRQVNEQKRRNILKCVLCHRTFNESWKVLGCGHTLCKNC VEDIKSKGSLFEYPCPYPECKKPIRSCLDFYPNVVEA TSTA_106830 MATTSLRDLLEKIQEFAEARRTRKFQDIELEFRGLIHQFCEEVP AVAQALAVAIQEDMTSHESPTRQCASDSSRRLPKREQEQDPPHQPSHSIEPASKKMQC TTQSRGCLDKPTPSIEGESDSLQNTTLEDEDPWCEQYSTPIFIPEGVLVGFWSADASG NGRRPVYCLVETDFEFAYLKGNTFILVRYDDFDPLDEFGDWLGDDTEDEDAMSPEEVK AWVEMMWESRVYAGLQDTTFEDTFDN TSTA_106840 MKLIDLPTELLQLLTEFLETEKDISALSRTGRHCYSVFIPCLYR FALLWAAKYGNESTARISIQYGANPDPKDDHSSTPLSYAASEGHEAIVKLLLNMDGVN LDSKDNDGRTPLSEAAQKGHEAIVKLLLNTDTVDPDSKDNRGRTPLSYAASEGHEAIV KLLLNMDGVNLDSKDNDGRTPLSRAASRGHEAIVKLLLNMDGVNPDSKDRDSRTPLFY AALRGHEAIVNILLNVDGVDPNSKDYSRQTPLFYAALRGHEAIVNILLNVDGVDPNSK DNNGWTPLFYAASKGHEAVVKLLLNMHRIDPDSQDNSRQTSLSEAAQKGHEAIVKLLL NTDTVDPDSKDNYGRTPLVYAASSGREAIVKLLLNMDGVNPDSKDRDGWTPLFCAASE GHETIVKLLLNMDGVDPNSRTDNGLTPLSMAAYKGHEAVVKLLLNIDTVDPDLKDNNG WTPLSRAASRGHKAIVKLLLNTDRVDPDSKDNNGWTPLFYAASKGHEAIVKLLLNTDG VDPDPKDDGSTPLFYAASKGHEAIVKLLLNTDGVDPDLKNNDGRTPLSIAAYKGHEAT VKLLLNTGRVDQDLKDNDGQTPLSRAASEGHEAIVKLLLNTDGVDPDPKDYSC TSTA_106850 MNQYCCRPEELPLHLYRVHYPESQTTLTGEGLKATDTTTLYGNS THELSLFKQAVEDHFTWGYRGRSPFISFFSDRNHAENWGCTEPWRGSNPHSEEWTLCT IDISLLDGVHVFKVSRLVDALGVRIPKRAEQHEGGSYICLHKVPAHAIREKRAGINVK YSQEYGDEHRDPCFGYDSDDSAVQNNINDDLIKMIEGDWD TSTA_106860 MSAADDTTCTSSPTDSLVLGAQSHLPTLLNPVKAVDTMTSILWN CVKLNNTISKYGRQTQQIWSTTEVEKMRLYVDDIAQREYEEAVRIVRRDNALLAGKDM QGRYNETVYWDIISKGAKLIHPATLPTPKEPLDEFTMAEKVATKVFMREAGFGTSVEN QRRCRNLWKKLSEMRRAGISKILLYRTNEFDTYCKSFTQDAGSLTDVVMSWERVYGPL LEQLENRMMKQGAEDFTGVSDLLHAHVAEIIDVGKSSWNNAFNKWRFKREASTFALAC KPDVASDDPLWCVADQHIASESGRNKSIFIFLFRRNNRFLSVCPIVPVNQGDLLGVFA GELRFSNDFDNLYGIRGPSEKLWLDYSQVTGTLNQMKVSQHRNEANVQLHWEPVNEHR GKDSSVSWIISVRAIKAIMPFEEIVREAPQKEQYLLHRSEIFAKRGFTKNKPESGKWG AAKT TSTA_106870 MPASHLLYFELDSIQPITEILWLALFLKAEEERRQETELRRQAE DREKQEAELRKQETELRRQAEDREKQEAELRKQAETHTRPTTFRVFIQACHDLLSCPL KAGTPTKSTKGKIPPPTGKYCPTRLRYWSDCPAQQQEIFDAVYTYLQPTEEDALQIFA PLAELHGLSRRFSRRELRSEKDLESYERFAVEDHVHDIIAELCKIPGARQRFQLGNGI MFDNHSNALEEPEDDDETPSTQYSRPDQFCIHRVDGTTNTLLTTVEYKPPHKLRIEDV RSGLRSMEFWKDVVNRNKIPTDKNQKLKYNAEQLVGSVLTQEYHVMIQEGLEYSYITN GLSLILLRIPYDDPSTLYYYPCEPNLDVNLGNPDSFLQPKTAIARVLCLCLMCFHSRI RDQAWRQAARSQLHIWETSFDHTRSQIPNEELQQAPPDSEYTSSEYTSSEHAGSEYLP SSPLSPTKQTRQPSARSRHKCADTETSPQREDMDSSDSDTSPATQGRKRGFSQIISSP PSQRSPTRPTDSTPPSNGQYQQHTSRFCTQRCLLGLQRGGVLDGLCPNVDLHRQGEKR DRHSIDAKQLVQLLKGQLDQDLDHNCTPFGVCGSYGAPFKITCATYGYTIVGKGTTSR LWKEVSREVEVYQVLQKAQGLAVPVFLGAIDLKTIFFLHGAGEIRHMLLMGWAGESIR TTESLALRQEISKSRRQIRELGVVHGDLRFENMLWNDELRRVMIIDFHRSQIDRRPTR QRVGSLKRPPNLNIQTLRSKRPHLLYT TSTA_106880 MTNMAKGSALAREDKLGLSQSASLVREALKEIQESFGAAFGGLK HCTLYPLDDVPPTVRSLWQSCTTSLPTLYNSSQCWTVRTPLARELVIDALIKIRSKQI QSASEEDFRLNYQGEVNESIDPTIVLAQLVDPDPCCPAFLTGLRSPSVESCGFRCPYD LCTVVEPEEECNIQVNMTPKFSFVDLHIDYGADGLSTLVGDCRKIWLLYPPSEANLRA MKMVDSQRAKLMRIMHQLEGGVIVPTTASHAIYIPAGCIHATFTLQGGFLVAKDFTSS ESLTAIASYLLHKLDQTLPSEARSVCYDWFERCLDVCLSSGKLDTALEAWILSQDHLA AWAASHRQWRINVRRLWEQHLHNKIFSDCPCGMQERTTVLSHHVFATHLNFLLPPSQL RRLK TSTA_106890 MDLDSDICGPSDNDYDVQPMIENEGEDCPDNARNKTSADSAPVP VISQAPPPADVLYLTKGDGVRNLSPRPVDGAKPWRQLARVSSAPLETWDIHLGDIVQV CLEKDRKSYAKISEIRRLDDGRYVVVYTWLYRREEVQAEFETDGIIPRLLRRNLDKRW PADATFQYMLSTNRTITLWDTAISRAPRDVVESVCHSSIYSTTPSTRYIWSVNSPRFK WMKKIHDLGTYSTI TSTA_106900 MVYSETNSTAGLPPGNSLAEMSLPDLSSYLPPIVMLGALAAYLG WKPPKPRTILKNWLMSRIEVRWTDNIFEALEDFLAQEWVCKMSTHRLATTGSRLPWNK NEPNSHEEHVMGTTPPRTCSLPSLTLTPANGNHWFIYEGRLILLEREEREDAWERSQS LHLMCFGWDGSILRKILHAARLRHAELDENKTAVYRAQSNNKSIAWTRASGQGIRELS TVIMDPDLQKKFIEDIDGYLQPETRRWHTERGIPYRRGYLFEGPPGTGKTSLCIAVAG LFKLKIYILNLNNIAEDDLNNLISSLPQQCILLLEDVDSQKITNSRTTEPDNSFTTFQ RLSLSGLLNAIDGVIASEGRILIMTTNHKDKLDPALIRPGRVDMTISFEYPDFDSIKR LFLLMYAEYPVEEKKEQQQPASSQCQFCPRLQPSPPANIPGNNISQDELQALANTFAA SFPEKEYSQARILGYLKKHSGKPKRAVNLIAELFGEEE TSTA_106910 MEKPPRTQTCDLQVTGVARASHHADENNSSSQGQESLEMKKIQM SFAKITLMIREIGATMSTMGDKIKGMEDKIKEMKEQHNAQILLYRTHGTTAIYALIQQ MMEQRSRLAELEQSNATEWSLHPDVLPTEPYLPNSSSYQAQVQTQSPYKEVDGALPQT SSYSDHIEGGRACGRCRIRKIRCDKSRPKCVNCHNDAHACFYEGRKRRQKVTQTSCDR CLISKERCDGARPTCASCDKTLRVRVRTKHYGG TSTA_106910 MEKPPRTQTCDLQVTGVARASHHADENNSSSQGQESLEMKKIQM SFAKITLMIREIGATMSTMGDKIKGMEDKIKEMKEQHNAQILLYRTHGTTAIYALIQQ MMEQRSRLAELEQSNATEWSLHPDVLPTEPYLPNSSSYQAQVQTQSPYKEVDGALPQT SSYSDHIEGGRACGRCRIRKIRCDKSRPKCVNCHNDAHACFYEGRKRRQKVTQTSCDR CLISKERCDGARPTCASCDKSKNPCFYLKLRENKLKI TSTA_106920 MKPGVFLQAKEMHKYLGMGSRLKLKDFQFPGENYHSAGISNIKT FRRNLDLRLSRDMDDDVEELLSEISQFLDEQLPPTPLRNAIGCEVGSPTAPHAMDEPF HPTQCSSNNNKMEILGYYHIDCCPPDQELVDRIKTAFYGGSTIEEGPTTHVKRERICE EFVQSGIEERIEDIVQKELGHAGIIQGPVIVGHNPRPTQLGPKMAHPVKDGISLFRVV DGSINPGQGVFKIYPTTHHQSLEEFQAHSVTPKQPIMDSTKVFVIRGGIRCEIIPPEG CLLVWIGYSVKPMFHHIRQPCTFPFMTNYEYVELPPLDSQGGRRIHNYMRKS TSTA_106930 MAGSNKINKSNKSNKSNKSNKSNKSNKSNKINRSNKINKSNKSN KSNKSNKSNKSNKSNKSNKSNKSNKSSESNRSNRAIRVTRARRSNKSNKSKKSNKGKK SNKSNKSNKSNKSKKSNKSDQQCTSENLRDPLEQ TSTA_106940 MPQNIVLSYELEFKSFQDWNGDHPSQTLVFHDNFSSWWIKVEIG YLKRRAIFQEFVKAIDFGQLDLIDDTVTRISLTLAEQSHKPIPIRNIRNDYQTEANFF LSIAHRVSFQIEEDPGRIIYPILGQDQDLPIFEASHLQDEKVIAPTVSIVRFKQKRFA YKKIDRPIYEAGDTQHILNEIDALAHFRGQPNIAQLIGLVISGNPYKTRPPTISVPVI TGFLLEYYTEGCLEQVLAENTVQDDALLRRWALQTGRALEILHIQRRTHLDIKPSNIV FDADKNAILIDISGTGGYEWEWLSPEMQKIIQGNAAMAPASTPFDKRVATDCWAYGKL LLTMAKKIGTSSLGKRLQSIGDDLTKTDPKARISLSDALERIWGQE TSTA_106950 MNAFSGVEYLPMHPMASPISVVDIFFPGFSTLNASAQQLLLNNA NGYVRLLCMGAVFAIFARYVYGYANELINKHFSPTIHVYYYDEAYEMIKYWVQQQPFA KEVASLMVRVKTRTVVQDQLLRKKPLSYSPWDGSFGFWYKNHWLTLHCHKREHHEEIS ISCIGTSPKILMDLMKECREQYLSLIQRKVPVFQPEGGEWKRTGLRPARDISTVIMDE EVKKNVLEDMRQFLDEQTQEWYTSRGIPYKRGYLLDGPPGTGKSSFCLSVAGVYELDI YILNLSSLGDAGLSKLFTQLPPRCIVLLEDVDAVGLDRKNTSVGQNQKDAPQRGVSLS GLLNVIDGVGSQEGRILIMSTNHIDHLDEALIRPGRVDKTILFKRADNKIVTQLFCTI FKRTPTGYEQPKKEIDDLAIERLAEEFAAHVPEEEFSPAKVLSFLLEHKNSPADAVSG VHEWEEQRRRKEAKRRQEIAERNLNLEILQTRNTTLPEFLDACHEHLFLGLTIQKDKK SSTKGDAANADRKLRPSRIQEWVDFPDEQTAIWKDLMDEDFVTERHFTPLLAPKEYVK EVTERMLSSELDLGYFQRHSVESRVASAIKQLHANPQLQRRFHLNGDVTFENHANTLT DESRIVTNMNSLSLEQEGLRRSERLARRSRDTSRSTSRMRRQATAQPRLPRPRADQFC VYNRGPDEKVPSFLIEYKAPRKLSLAHIKAGLQDMELDHIVRYQKDESPEDICRRVVA AVITQLSHYMYEGGNEYGCVVPGEAFIFLRVLYSNSSTVLYYLSVPQEDVGNTTGWAG DVNGDNRLHLTAVGQLLAFTLRALRTSPRDIAWRDWVASQLETWEMVYDDLLEKIEEK DIPASDYKPPLSRTNYCRQSPVRTRSKSVMAISCDPSQESRLSDHEYDTDDSLDPSTP SRAPRDSRFPQRQATAATTRISTRSQHSSSKGKSRKYCTQQCLRSLRWRGPLDRKCPN ASEHGVDRHRLNTKMAIKLLDRQLSNDPDPNSELGCESLHVHGIRGALFKITLWSHGY TFVGKGGPVEFIECAKREEMMYSHLSAIQGQFVPVVLGGLNLRRPLSYDGIAKMVHLT LMSYAGRNLAKRHESDHAHLIQQAETSLRAIHELGILHNDPIPGNMIWNEENKRVMFI DFERAQYQKRMH TSTA_106960 MSAGAIIQQFSNLLKHAESLGPKLSSNIRPRKDQLKEIQILCMK LKEVTASIEGHVEHLLQKAAPSDKVLELLDRLRSSEPVDLLDPLLTTMLRKNLILIFR GPDVSALDSDKVRSRREKTRARCEKLRTQNPHLILRWSITFQPSTWNQPTVMTENAVD FLIDEMKMEKLGQISSQLVDILQCLAQEEPLKSCELFQQFVQQTINTMSTREEPNTAA PLQVMTAEQQQQNVVPPKRKHTAESMTPGESSHEDDTQEKIKLIKRSDEIDYKGSSMP AGNLPLLIQRLPAAMGSSKQWKWERQLFANNAIRSGSIGVDRTDCLSAFVPKDRNHDV SITLMVGYEAGVALIDDMGAQIIRV TSTA_106960 MSAGAIIQQFSNLLKHAESLGPKLSSNIRPRKDQLKEIQILCMK LKEVTASIEGHVEHLLQKAAPSDKVLELLDRLRSSEPVDLLDPLLTTMLRKNLILIFR GPDVSALDSDKVRSRREKTRARCEKLRTQNPHLILRWSITFQPSTWNQPTVMTENAVD FLIDEMKMEKLGQISSQLVDILQCLAQEEPLKSCELFQQFVQQTINTMSTREEPNTAA PLQVMTAEQQQQNVVPPKRKHTAESMTPGESSHEDDTQEKIKLIKRSDGSSMPAGNLP LLIQRLPAAMGSSKQWKWERQLFANNAIRSGSIGVDRTDCLSAFVPKDRNHDVSITLM VGYEAGVALIDDMGAQIIRV TSTA_106970 MTAGNTKLSAQSLEESAKKSKWSPEEDALVIDLRGKQMKWDEIS KRLPGRSPISCRLHYQNYLERPEWDENKKNKLARMYERLKANMWAEIAQEMNVPWRAA EAMHWELGEQEMARRAGPIALRAKRNMTLRSKPRHHSLKINHCVSLSPSPRTTILHPP PQSSIRHPNPAQGQLRLPSIEELIAGVPLYGPSKPYIQ TSTA_106980 MHWSIRGLGSTGFVSCTDGLTVLKGYQLWENGKLCACRETPCED IISREATIYEILGQHPQILRFFGREEVHPNVHSLRLELAPLGNIRQYIEEHHDKPPPE HIRLHMALDTSLGLDYLHLKGVRHSDLSCRNLFLFTDYRVKIGDFGGSVLEGSGFEEI VSEEVSYELPRRGRDFQERPVMKRELFALGSAIYEIMAWKKPFSGLSDDEVEARYDRE EFPCLHEIRIATVIQNCWDEKYENTGQKERRRRNHPSMQMTKSRE TSTA_106990 MITLDEIIPYQPPLPPKPSISSKPLPLPSKPLPLIHPLPQKPSS RVQSPSYPDQPHQFNQRFVPNSQEQASPESSYRNVFDRELAEWSNTTATTAVMSTGLE TPGQEIQHHTGSESFANGSSNLLFNCPSDVQPASHDNGASSSIAAVTIQPERPRLTHK ETTRLMCDPELDDVHSSSIFGTPSEDTVHIDITDTHLNDTDVSHVSGWNTNSQLAEIP TQLPEVDVDESQNAVRDKTTQNLDTSPSNMISSAGPRTSSVVHMDDNDQQNPSSCDND SSADGPTSGCTRGLTPAFEQRQNCTSRDAATAQPPKPRTRRSAKRPHRSRPRMQTYRT EPPDESGDSDDSDDQDYVDRSQHVDDRARPTNRPKHQPVTDSNSVKPEADIAFKIGSL SLPDLKTVQRGVLTCEFFSSQIMCSFSWTVDREHLNHCSPKSDHTPDRGHDQCEMDIT QERDLHTSSKVETTRKLRKQRNSHSNAREAGSGRKHKRRKKWTEEENFRLKRLREEEN LPWTQIKEHFPDRTAGAIQVQYSTTLKGLAAKSSGMTPNDEVDRNTTFSPNRRQYSLR SRRAVERYSP TSTA_107000 MALKREKKRRIRKKPLSLDLPNEKEASPRTIATKEDQAAQENAR KDDKRLQKQLLKEGREQEKQKRDQIRQQIREERAQQAAEKQRQKLEQKAVKEADLQLK KCPDYSEAPYEPNEPKFKEIESQTE TSTA_107010 MGAIEDAIEYLNFLEEGEQMTPTLTAKMLGVSCSTLSRRYRGVT GSKEEQYDNQRLLNNQQSQKLIQWIDMLCEHGIPPTPSMIANSPRKKLGFSLVKEASK CVDFSLFYGH TSTA_107020 MVTSVAIKVFLLAVASLPFTQTQTIRDGNQVVAANATTVARGAV EVSPDSSDSGVGYFSFESTQLTPEVIANLTTLNLTGIEYFNFGDASSTTAKLKSASCK ALPGDFAWPPDIVWFVLDLLLGGGLIKSVPVAAPCYSDWYQYNPDECTTITSQWSSPE FQSSQPTGIDWPLFEGVTCLPPALGPTNATCTLGGMPSYIVNVTNVAQIQLAVNFARS LNLRLSIKNQGHDFNSKNVGAGSLSVWTSHLNHIQYLGPEFTIGSYSGPSLKIGAGVE TLQVYEFADSLGLDVVGGVARTVGLGGGYIAGGGHSPLMSMYGMAADQVLALEIVLPD GRFVSVSETSYPDLFWALRGGGGSTFGVVTSLVIRAYPKLPITTLTFSFGTSHTITDC TFWEGMYAVWATFPVYADAGHYRYWSISCTSESSCSFSMSPHWANNYTTAQLQEFVAP LFANLTALGMPPQDVVYAEYDGVLNAFTTTFPASTEVVGSWTYHTGSRLFPRRNWENE TSLAAQSAALRNTAVEAGMILGYNFKAAVNPTVNQDNAVNPAWRDMLSHTMLGAVWAQ SATPDDIAAANQLLKKRLQTWRDVSPGSGAYLNEADINEPDFQQSFYGSNYARLYSMK QQYDPWGLLYAITAVGSEDWYVTGQIPYYPTQNGRLCRVGS TSTA_107030 MARKGPGTDGPLQTALLESTSAATTRASEGQKIFSPIAAFLDKH RSQTTGLAPHLLRALTTLSNDLASVAQRHFNAYISGISTTSILPALSPSPTPNSLPPS PPPSRPLSGLEQSTYATITQYAPVKSTPTTHSKAHVKKPMPLVKQPLPNNWLFSQLNS NSPALKEVQATKTGFALCPSSPEVLLALEAQKEIISTFFVNYQI TSTA_107040 MDEIIAWISQSKKNRRMPYHKVIRALNLSVSPTTLAYTLRKRGY TRCKALRKPDLSPEHRRQRLIWALEHVNWTIEQWNKILWTDETWVTSECHTRIYVTRT TGEEYDDTCLRSRRQRYWGWMFWGSIHGNTKGPCLFWEKEWGTIKAETYCQRTVPIID GYLRLLRSEGLQSMQDGAPGHSGAFTREELHSRVWDWMKSWIDERYPEDDELSYDLLR QAVRAAWDAVPDSFLAKLIDGMQARCQAVIDAEGGPIPY TSTA_107050 MSANARGFPQAPEIKIRVQNLQCGEEKPQCVRCSSTGRKCEYSS TIIGTLAPIPHVSNLHKTLSLSPNTGSRERRAFAYYLQHTAVSIGGGLDADFWNITIP QICQSESAVWDAMIAISSLFEVPRAFHHLDSVSRGHSQSLGESQKDALDWYSRSVSAV RRGIERGAIDSFVGLITCMLFICIESLLGNMEEAILLYRQAVHLILTLWAQRSFGTVT GAQILLLKDTIIPIFIRLASSSPKTTWALTMTMARETEYTCALPQEFRSLKAAREAIV MLAAEVTVFEQTCEQYLQKSQAWNISEDMMSQQGFLSARLQSWHTAFTNFLGSQRAKG DLSPFHVSIDALLSSYHEMLLIILGVCKSPLRITTDAYTENFRAIVEKSSIALGDLGR EDGVRAPYTFELSVGLPLWFTCIRCRESRIRRAALALLRRTHQVQGLAKRDHGTALVE VIMMLEETSAISVNAAEDGANFTFSQPFNKCINHKSHSQDSGPFDTLEAEVAARSTPQ CTHRKTEKGVINFIPQEARVRPHGAFRLGDELPPELTEQDIATSNLQCGQTYLHISRN ERDLTNNSWRMVHDFIPLVL TSTA_107060 MSNCSTFCRTFAKTLSHVTKPLACWGTICMDSQISTSNESQLAA NIAAAALQATEYIIITSSPPPPVRSQPTTDTQGTTDSQATSYNSTDDSDSNEASISQN TASQVKNNKRGRSLKDEELSLLFKCALDLKLDYKPKRKYWEAVEDRFVRLIGHSYSWK SCKSQIERLSKKRRLYLAQYVTGREAEATSELDELIDQWNDFIDGYEKDEAEKLAEKN KYKENSQLVLAYRDQLVSTGLQKSKKQAPEELKPSEDDTGSDNRKVPEYRKTATASRP SKKRSIQEAIFALVDVLEEDRQASKKPESVAKKSELERLSGDVKELQNQYKNLDEKLD KLISMIGEKRTG TSTA_107070 MALAILLARLSYPRRVRDLEIFFGRSFGYISTIFNDVLQHLYRR YKRLLEWHPLLTQERCKAYAQILEAQGAIPRGWGCIDGTFRATCRPSKNQRIAYSGYK KRHGFKYQGIITPDGMVLSLIGPFEATFIWRYWISGMSFCPNTISEDWGIICTSNRDK R TSTA_107080 MLTKQMNCQSRRLVNYNDHLINRPRLPIAMPVTPNTLDKELIFV NAPKLARTRTESEDGESSIRSRLIRQVVRQKKANSAKQLLVKKPDGVINPVASEDGTS LPDDFNVPIPYAAGAAAPLSENRARTVAEPTTGNSVDDNRIRQMGIPDLLTVLSAFRS DPFSPWHMELGRRGNEVLDYCKSKDPGVTVGDVSTNFGPPFAPLHTPRCVIVAAFIKG AGFYFHLDLNRGGQTGAKESVETLRYLELALQALQENLASTTPATVSDEVILSILYLA VNHQVKARAERDPSPFTPPQRNLHHLDLFGTTTFHASHWKIAKDLVQGRGGIHTIKMV TLPWLLTMADLLHAVGSLTRPSFPLLRPTGQPVIYTPPCRALRVPEVARHFHRNGGFT QLKSLNPSIHQPIVEVFLDICEYSQCLDFLDQTVTYPTDELGDCRDIIHHRFMNLPNE HDPNESIFGPSYLPCPEACQLTRSIYLLVRSAALLYITHVTFPLPRPLRLRKQLLTEL ESHFTSVGGAGYYPPGVPLELLLWPATIAATASKDESCRMQWILLVRQLCQQTLISTW DEFRLIMQSFSWVNCACDKEGYAVWTDVQLLG TSTA_107090 FLWYETLDAKLKELGFLQISENPCNYKCERDGKLIALYVDDNPI AAKIQSEIDAIIDLFDKAWGIKGLGKRSRFLGLNMFYDREKRQISVKQDDYVDSVLNW FNLTKANTREIPIDPKFILET TSTA_107100 MQDEEITPVPFTDSADVELHSIPPPPANKNAKDSDPFLVEFNHP FDPENPLDWPTALKWRVTDVLSATGFNRIMVSTIMAPALSTIAYELDMNSTESAMSLS IYLLATAFGPLFIGPLSEIYGRQIILHASNVWFLVWNLLCGFAHTKGTLIAARFLAGF RASAIYALAGGVIGDIWRPEQRGRSLGTYLLIPLLGAAVGPIIGGFITAHTTWRWMFW STSIFQMVMIFVSFFTFQESYGAVLLRRRADRLRQRTGNTQYYTKTERPDGNRSTVGL LWKAMTRPLRLLIFHPFIQVSATLQGLNYGILYITLSTFSNLWIDQYNESIEISGLHY IACTLGELVASQVGGPLMDILYKRQKRENPPPESRLPLMYPGILAACIGALLYGWAAN YRLFWFVVDVGVVVLMFGMQLSGMPMMVYIIDTYGEHTSSAMAATQFARSLTAFLFPL FAPSMYQALGFGWTNTVLALVVISIPVPLTLLIWKFGPKLRATAISTY TSTA_107110 MATQDRVKQVSSHLTFLFRDSFITKQSFSQVQVKTLALKQNPYL PNEVAKRNNKGNSKSRVVKSINNISPNHTIAVLGNAMDATYIDTLVKSFAEFDGGKIY VIVNSARFTWDGVIHNVQPLNSKQLLLKNTDVGRQINDKD TSTA_107120 MYFTKAIGLLATIGTLASAAPTSMNVTHLSNLPTHGSVNTNGGN DGGSVNIHNNMKDTIYYWSVSQDAGSMKTLEPGASYTESWRTNPDGGGISIKMAMKPE QVDGDTIFWDLSLIDMGTGSQFTEVGFAVTSNDSGCPSATCAPGDTACADAYLVWNDD HATHGCPARTQMTLNIGPAA TSTA_107130 MTDDDAHDSETYCLDLCALRDHRYWFCTSCYNAIQRDVPPKYSA LNDVDVTFCQQYPEALEGLTLTEELLISRCRPIASIVKLRPNAVRSPVAYNRLRGHVV VLPQDPGPLLDILPSDSLRLHDRIKVVWFGKNAPAVDDLKPYLEVRKHVVYTALLWLC NHNKLYSSIVINSDAQDSWPDSFIPQTLLDAMVHTDDDSHEREGYAFGSAAEGLENDF HQALPDEIPDHIGSGCVYTDLESERQRPTLHLISTVMDLERERLQSAATVTEASIGGL PRYIDDVPVIRYSSNGRLVLMNDWQDADYFTGAFPTLFPYGVGGHISNSELRPVSVSL KAWAKWALSHHSRRFT TSTA_107140 MSSCKMFSSIAYKRLPKDEQQGLAEEQDVTDTRSTVGVRQMSLN ASFFVVILLASNLTTWSVSKRLSTTSNGSGKSSLDIPTVFAGLQQTVTVALHGHDPYT DRNDTFRDELWQSINIDEGMIALPDEIAVEKGLPLAQRFPWDHGKGVYLLHGYHNLHC VRAIYIALMEYKNNLPQTRNFRHIIHCLDSLRQDVICNANDTPRVTTNDSIPETGQGQ YRQCRSWDELSAWARQYPACYRYINETQTPQEFPQIQRFVWCPEGSPYRAEVEKVFEV DYDV TSTA_107150 MPTSSPDQAGCYAVAAILLIFSTVAVGGRLFVRRMKRAPIGVDD ISISLSLLLVWALAIIIIYGTSQGTIGTHTMQNPVTGAIIVTPHENQIAELAYISQMI SIVAYGALKLSVLFLFRRIFIGPLFSKVSLLALAIVSAWTVAFFFATLFQCGTIPSRL WSSPRDVATYCSSYKYIQLGHATSDVATDLVVLTIPMPIIWRLHMTIRQKLGLLIVFM LGYMSTAAATARIVFIAQDLYETTTGARDLRGEESNVMVWGYIEASVGVIAACLPTLR PLMKARMPESIVNSARSKLSLNSLRSSPPSRMRRVSDEELVPESQESYQLSKNGGGSG NFITPKSYHTMAHAEGLAHFDNQVEDNLIRIGRDFEISQESQDQIGAVGR TSTA_107150 MISIVAYGALKLSVLFLFRRIFIGPLFSKVSLLALAIVSAWTVA FFFATLFQCGTIPSRLWSSPRDVATYCSSYKYIQLGHATSDVATDLVVLTIPMPIIWR LHMTIRQKLGLLIVFMLGYMSTAAATARIVFIAQDLYETTTGARDLRGEESNVMVWGY IEASVGVIAACLPTLRPLMKARMPESIVNSARSKLSLNSLRSSPPSRMRRVSDEELVP ESQESYQLSKNGGGSGNFITPKSYHTMAHAEGLAHFDNQVEDNLIRIGRDFEISQESQ DQIGAVGR TSTA_107160 MSSEKTSTFSEPSYAKSDKAKTDYPWYSPNIDKYLVPETQKLLE EYSNIPRDKQSEHVHEVRDQAWAIRSYPCTGLGVWLVPFISTLPAYPTILERLKGTSN GGSGARLIDIGCFLGSDLRRLAFDGAPTENLYGIDIVSHWDVGFALYRDQDKFKAHFI EGDMLSINEDPDAGSGETTPLQALCGTADIVSISAVLHQWDWEDQIKAAKKVAALTKG PDDLVVGYQIGNVEAKQIWNKALQLHHWRHTPESFAKFWDQVGAETGTAWKTEGKLLS FEEMGWDPEDNRWMELGDKALNFVVTRLK TSTA_107170 MEEAAESLNSVFRKVKSVNDGEILASCYYLNQIGEMINDYSHLY DFLRWLYKLAWEELRSSTASIVRLLHALCQMSHDTLMYTLRIGYLRSIHCLQMVVRST HHLTILSMWVNYVKHWNKHETHHDVLAAKYDQLLRETRNRDPPDLKQEIAVLHGFSYF SYYSLKNDTLSMRLLVEMLDKCGQFLEDKNEYEWSFETQAFAFASKATAMLFEKKASH LAGSYLEKAILILERGDRECRTRAVALIEELILWCERTERHNQVESLREKQNFLLCSL L TSTA_107180 MADAMVEEPATTTRAGRVITPSTASGSDNISAVRTSKKSMTQVE LTAVKKAAGLIEEKQSGKDGNRDMLKKIGQYLESTYQEVKGLKEVLIKQEKMIKEQSE MIREQSSTSQALQTQVEAIQSQSMEECKQLWERLNTIASTPAKANYAAVVDRQSGHQQ DTPLVPLAPPTLANTLFCTIDTSRVEEGDEAKAQIASIRQQIEKKMQGSEETKNWRCA AMIKDPKNADRVKVVCRHEDEIQRVKEAAQKIDIPGMRVLRDQLYPVKIDNTNRTAVI DADGNILSGAAEALGKENNVSIAKISWLSKKDSNKAYGSMVVYTTKGSDATRLLRDQY FDIAGESAYTRPFEPRIGPTQCYNCQKMGHKAFSCKKKQTCAKCAAEGHYYSTCQAVV LKCVLCGGPHESFSGNCHRIWSGGGIRAICADN TSTA_107190 MADEQTAETLKLPPESYTVSWMCAIPGELITEQDNTGANALQWA SFRGHSEIVQRLLENGAEVNAQGGDYGNALQAASAEGHVEIVQILLEKGAEVNAQGGQ FEIVQRLLENGAEVNAQGRLYGNAQGKLYGNALQAASAEGHVEIVQRLLENGAEVNAQ GRIYGNALQAASAEGHVEIVQKLLEKGADVNAQSGFYGNALYAASLKGHIEIVQRLLE KGADVSAQDGFHGNALYAASEGGHVDVVQVLQKYV TSTA_107200 MSSPSGSANGEGSRDGRTESRLPTWDDARASAYFNFDFMNPKSL KGVFLLGLGYSVAASGWSSFQAYRGRRLDQEEERRAEEAREREEETRRRQAEFLRQLH EDLGIDAEAGITQQEITTARDEINYVDEAMNIVVTGNLNAGKSSLINALRNSTLLKQS RELNSCRQLLLTSFAFTYRNCGLCLISLADTTTGQRKRSHNSSNTTLSKRHNQENSVS ASNTWLCRKSP TSTA_107210 MPPIRTRNKKSRGKSALPGFGFTPGIGLGANERATAATKECATQ SAGEATMRLTSETEEGRLFNEGTATHAIGDSEEACEFAPTHADLAVKGPNAAQKTSVP MNRGLKEVTFRVNQEWESYHREWLGQGTKRVAFGSGFVLKDQHIYELTSIGPRLCRSL TRFEFHFEDVGYDAKNSAQEVTDEAVIHLTKLCPKLRFVQLQGTSGLQDITLEALFKN CADISYVEITTHSRHGNSRLDGSALDKLREHPRWGTKLKKLRLPNQDTKCDGRDSLTR AVRALTKERDKLLVQLVCVSELKKWGDWELEVLHTNFRKGRKQSTL TSTA_107220 MPPIRNKNRKNLDEQEGRILLAISDLQNGRIQRVAQAARIYEIP RTTLQDRLNGIQQRSLVRANSHKLTQYEEESLVKWVLDLDRRGLPPRHSLVREMANYI LSQHGKPQVGKNWITKLIKRRPEIDSKFARKYNYERAKCEDPKIIQEHFDRVQAAISE YGILPEDIFNFDETGFAMGLCATAKVITGSDRYAQPKLLQPGNREWVTAIEATNSTGW AVPSYVIFKAKKNVREGWFDDLPDDWRINISDNGWTTDQIGLEWLKPHFIPYINGRTV GKYRMLILDGHGSHLTPEFDHIFCMPPHSSHLLQPLDVGCFAVLKRHYGQLVEQRMRL GFNHIDKMDFLTAFPQARTVAYKAQTIRNSFAATGLVPFNPDRVIQQLNIRLKTPTPP PSRSSNTASSCLQTPQNIRQFIRQSTTINKRINERTESNQNQEINQAVVRLSKAYEMI ANDVLLVRKENYDLRAAHEKEKQKRQKSKKQISIEQGVTKEEVQALVQGQVEASHAVT TTPAEPELPASQAVVRRQYRCSGCNVMGHRINQCPSRISS TSTA_107230 MPPIRNKNSKNSAEQEGRILLAISDVKNGKLRSARQAAEIYNVS RSTLQNRLNGMAYRAETRANSHKLSKSEEESLVKWVGEKWVYNLIRRRPEIESKISRK YNYERAKCEDPKIIQEYFDRVREVVSEHGILQEDIYNFDETGFAMGLCATAKPGNREW VTAIEAVNSIGWALPSYIIFKAKKYTRLGWFEDLPDDWKINISDNGWTTDKIGLEWLK THFIPLTDGRTLGKYRMLILDGHGSHLTPEFDRTCTENNIIPVCMPPYSSHLLQPLDV GCFAVLKRHYGQLVEQRMRLGFNHIDKIDFLTAFPKARTMAYKAQTVRNSFIATGLVP FNPDRVYQQLTVRLKTPTPPPSRSSDTQSSCLQTPQNLRQFKRQMTTTKKRISRHTRS SSEAIGEVFTRASKAYEMSINKLTIAQKELHDLRAAHEKEKQKRRRSKQQISHEQGIT REEAQALVQGQIEVSQAVTTAPAEPELPVSHPPVRRQFRCSDCGVAGHKITGRPNRIS T TSTA_107240 MSRFPQIPVHQLTRAQKLIEINTQDVFSRAPPQLEWKDASGNIL GPYAALFYTDDFVEPWFKLAFAITRQSRFTLKQRELAILAVLAEYDVPYVLYAHSEIA LASGLSKEQIQQPVHGSVPGDLNEEDAMVYSLALTMTRLRRPMKMDLFEKATKVLRRD QIAGLAHIVSGFVYVAILTNIGDGIVPMTKDGMFTATKNFAFEE TSTA_107250 MARESVSTSTTIPKDVIIVGGSLSALMQGLVLERFTTDKPPSHM AGVCLGPDVLRLLGRYDRAATIPLGIRAELLQSVDREGRRRPFLHIHRIMSSWDALYF RLRANFDGLATEYIPSPPGPRPLEGESIASAKARARYQVGQEVVDIRPASEGRVSVSI VDVSGEVGKRELAADLVLGADGPNSVVRKVFVPPGLADRRYANYVAWRGVVPELQASK ETREIFSKNITYFVLKAEGAHAIVYNIPGDAGSVATGQRLLNFCLYLNVAPGCLDEIM TDSAGVRHQISGPPNKVHPEVWAQQKASARRVLPAPYVEIMDKIASPFVHLITDYCSP RASFLEGKVLLVGDASALLRPHIAFSTNQAAYQALLTERLVKGELDVAEWEYQVTAAT YLHWKRSVWFGQFFQQPLAVALISAVQYWAVAALNRLRTWAGWLSGQAV TSTA_107260 MIPGTQLDGVALVVGSGRGIGQQAAFSLAEAGARAIVFADMNEE TATASAEESKQYATNKEYQATAFKVNVTDEKGVQDMVDFVVKQFGRIDYAVNGAGVDN GVHAPIADTDIEGFDRIMTINARGMLLCVRAEAAAMRKQEPRTFTSRNGVRDIGRGAI VNVASANSSVGLPGKGSYTISKHACLGLTKMAGLDHSPEGIRCNAVCPTWVRTPLLDI ELEKNPEVRDAITAIVPIKRAAECEEVSEAITFLLSPAASYINGTSLILDAGVTTSVR VFRTN TSTA_107270 MVSTLTTEAERPLYLGFVGLTWGIGTILGPIIGGAFADSSATWR WSFYINLCIGGTAAPVYLFLLPGYNPRPGIGILTRAKELDFVGGILSAGAMTTLVMAI SFGGGVYRWDSGQIIGLFISTGVLWVLFILQQAFALLTTSSRRLFPVDLVRSWEMDIL FSQMAIAQTLVMLPIYFIPIFFQFTKGDNAIDSGVRLLPFVLVLVFAVMLNGAMMAKL GYYMPWYLLGAIFALIGSCLLHTIDLYMSRGRVYGFSVLAALGTGLYSQAGFAVAQVK APPQQLSQATAFIGVGQVGGIKLALALSNSILLNRATDKISYILPGAARGVIQQAISG VRASFFTTLSPSDRTRVLGAVVESIADVFIMMIAAAAVSTVLAIFMKREKLFVKLPPS NDAEATQEPSP TSTA_107280 MIVRVDSHDLPIQEYKFGDGVVAWANPGIETFNVGGVLYSDIGF GQLHNYDLLNSGQNFPFGEASGVIGFDINLFQDSGQPSFMSAIRDQVTEWKCSIDLFR EWHNGTWTLGLPNNFGNVADIAWVTRNYNQPTWSINITAISAGEMKTPPIPTWSATVS TQEQSLVWPQKLLDWYFTGIDAIWSAADNTYRYPCNTTLPDFTFGLGNGTFTIPGTYM PYQRDSTSNTCITIVTGDNSTDSSHEYIFGSWWSQLGVLILEYENSLVGFMNKSSPLP IFDISSLETSL TSTA_107290 MAGQQYAHEDYTIGWICALPKTELVAAAAMLDEEHPVLPAADPH DANSYLLGRIGDHNVVIACLPAETTGKVSAATIATDLIRSFPSIRFGLMVGIGGGVPY YASQRQDTGGGIEEGDLDDSEDEMEEIPDIRLGDVVVSLHTKTTEAVVQYDFGKSLQA KGFVHAGGRLNKPPNIVLNAVARLQANHARGHHKIPELLSKMLAENPAMAKFQHPGTR KDRLFRADFFHLEGQKSCKACCGLDNANVVKRTDRTDTAPKIHYGTIGSADQVMKDAI LRDQWALKKSILCFEMEAAGLMDSFPCLVIRGICDYADSHKNKVWQPYAAATAAAYAK ELLLVIPGQGVTKLSTIKQLLHLSDQIGAIDSRLKEAFKQRETHHHNQVMRYLTEDQR RCHQVFKTSTYERFKNINPNRVEGTCEWALRSPEYLRWWNAIRNDLLWISADPGCGKS VLAKSLIDEVFPLSDSNVFILYFFFKDNDEQNNLATALCAVLHQLFSLQPQLLRQALP FWERNKEKIQNEVDDMWRIFMAAMSDPAFRNTICVFDALDECRDHDQKLLIEKLGDFN NRRPAGQGNWLKFLVTSRPYDDIQDRFRPLTERFPQIHLRGEEENDQIHEEINLVVRV KLAELGKDLRLRADTQERLERELCEMKHRTYLWLYLAIDDIRRTLKNSLRPDHETIPP LPKNVPEAYERILDRVPSDEKTKVETILRIIVGA TSTA_107300 MAMALGVATSPSAETATDAGLNPKGLDKKIRQLCGLFVFIKESK LYLIHQTAREFLISKHDSSANVHWHLEQRKTEMQMTEICVKYLLMNDLVSNGEESVRS LLDYSAENWADHFRDVLSPEDEVVDRVWKLYDVTTERFRLWFPKFWSAVMSHRGDPQM KALHLAAFNGHPDVLYRVDVNKTSAIDLVDGSGTTALQWACEQGHHKIAQLLLEKGAD VNAQGEKYGNALHAAARGGNPKLMQLLLENGADVNAQGGEYGNALVIATREGNPEIVQ LLLEKGADVNAQGGQYGNALQAAAQGGQLEIVQLLLKKGADVNAQGGEYGNALQVASG EGHLGIVQLLLEKGADINAQGGEYGNALFRATERGHLDIIQLLLEKGAYVNAPGRFDS NALYAATERGYLVIVQLLLEKGADVNAQGGKYGNALFCATERGYLDIIHLLLEKGADI NAPGGFGGNALLAAIQGGHRGIVQLLLEKGVDINAHTLFGNALYFATESGHLEIVKLL LEKGADINAQGGQYGNALQVAVQGGKQEAIQLLLEKGADINAQGGEYGNALQVASGEG HLGIVQLLLEKGADVNAQGGQYGNALQAAARGGHLKIVQLLLEKGADVNAQGGEYSNA LQAAARGGHLKIVQLLLEKGADVNAQGREYGNTLQAAAHGGHLGIVQLLLEKGADVNA QGGQYGNALQAAARGGYLEIIQLLLKKGADVNTQGGEYGNDLQAAARGGHLEIVQLLL KKGADVNAQGGEYGNALQAAARGGYLEIIQLLLKKGADVNAQGGYYGNALQAAAQGWN PEIVQLLLEKGADVNIQGGEFRNALQAAVQRGTINIVQLLLGKGADVNAHGGYYSNTL QAAARRGNPKIVQQLFENGADVNAQGGEYGNALQAAATSGYLEIVQQLLENGADVNSQ GGKFGNALQAAVQRGNIKIVQLILEKKADVNAQGGQYSNALQAAAQGEHLDIIQLLLE KGADVNAQGGYYGNALQAASAEGQLKIVQLLLEKGADVNAQGGQYGNSLQAAARGGNP EIVQQLLENEEESYAYGGYYSTFLQADIQRRYLKIVQLLLEKGADVNAQGGEYGNALQ SAVQKGNIKIVQLLLEKGADANTQGGQYGNALQAAARGGNPKIVQQLLENGADVNAHG GYYSKSLQAAARGGNPEIVQQLLENGADINAQGGEYGNALQAAARGGHLEIIQLLLEK GADINARGGYYGNALQAASAEGQLKIVQLLLEKGADVNAQGGQYGNALQAAARGGNPE IVQELLENGADINAQGGEYGNALQAAAQGGYLEIVRLLLKKGADVNAQGGYYGNALQA ATRGGHFEIIQLLLEKGADVNVQGGEYSNALQAAPQRGHRDIIGLLQNPD TSTA_107310 MAITLDEIRFYRPHSLPARPTKSLNHQPTSFPHHASKTPQFTSL TPAKTLFPLLPQPVLPHPLPPRPPTIISSDRHAESKALQFPTTTPHTAQSHPAHENDF DRVLHDFFSYNGKSEDDGQSSMCISQQDNRDEDCGQATSPDPDVASHTTDILPSPSFT VQASLEIPVNEPVQPVARNESHPQNETNEIASATFGDSPLASCTAESAASMSNELNTE SIDVTTPDSAQHSSVTNGQQMEIPHANEVQNHTPEAGGSTAISEDTELQGDTGDSKRA NSNELRESAKRKRSPSACLSYPPAPLVVVPVPSGPVSLRRKSTRLSTQLTSDHLRYSD SINGDSRGSDSAPSDNENDADYSNSCKIRTTVKSSRPSKRPRRVLDKASSASQVPRQS FSESPSVKLPESPPEDFSAESEPTPIQGHLRLRYIQSNIVYCVEFSQTALLSSIAASQ TEPTQPHQQADHLSAKIPYTPKEEAYIKDLKAQELGWHKIETLFAQRFPYRKASC TSTA_107320 MAVSTRRITRSEPLDSQNDQQDNGMGVDPTQGPTKSSDNSETQD GEVDVHRPMGLREMQEIVDNQPLSTEQLRVLTDRIWVLVKARTGKRANENTDDEDDRP RTTLKAWSDWKIEIQQAFDASLYKYDNNRTKVIKALIHLHEDCKTMWNNHIRSAPDDK YNWKAFSTWLNSTIQD TSTA_107330 MGQLNVLDSDPEDVEIALDTCAEIDTIGIDFAEQRGLKPYIKGP FLAVNKAPEDAPLLLGEHTLGEIGVNILLWTKETRGNQWRFHLPTNGEPTEHYVKVES TKTFQKRLMKGLKVYALMEYNPLLDKTSYIDSKDSLPSTLKKYTDVFSPQNAEKLAPN CEGVDLAIEIQEGQEPSYRPLYPLSRAELEVLQRYLQENLEKGFIRPSKSPAASPILF VPKKDGTLRLCVDYQGLNKVTIKN TSTA_107340 MLAIVGAFKHWRHYLKGTQWCYYLTSYDFVIKWRSGSTNPADAL SRRPDYIRQNQKDDPEDSSLRLLMTLGVKIARVQQICTSYRRRVMQSRDNENPQGSEE EKTCKVSEESTQASEKPQDMVTCVYDSESGRQVQVCPGPLGPSCVLTQKVTRQRARQA VLNEAPRQEPSEGLRQLVAAAQMEDAFYMRVDKDLSEGDSTRLHYGCTSDGVLLYKGR ILVPNQRSLVHEILRLHHDEPSARHWGIQKTLDLLQRKFKWEGMRQDVEEYLSRPWKE ISMDFITQLPVSKVGTEEYNTILTVVDRYTKMAIFLPVQDTIDAAEMAELLHKEVELR YGCPSGIVSDRDSRITTAFTYNNSMNHTLCVSPFKALYGFDPEFHIDVADNVLEGEIP TAKDHIQKLHKLRQGLRDQLNTARQRQIEYYNKRHTPKTFKRGSLVKLSTRNLKLKNK KLQPRFVGPFRITEVIGSQAYRLALPQQYSRLHDVFLIQLLKEYHPRKKQEIMPLPEL EDNPEEYKVEEIQDKRMIKGKVHYLIKWTGWPSEYNQWIPEDDMNAPRLIQGFKKSRK RKRR TSTA_107350 MEALPNGQRWLLNRVLKTEWLQEEFLDIQQPGYQVQWKIPTFLE LLLLLCHITGGQPARGTEILSLRHRNTVHRRHRSIFIEQGLVSTVTSYHKGYHVTGST KIIHRYLPQPVSEMMIYYLWLILPFCEKLEILAFGKTEAPSPFLWPKAHQGEDSSYLS KILEREARQHLQTKWNITYYRHAAIAISRAHLLSGGFKRDYGVNEKTAGTIYARAWAA KVTVGGEDESRIIHPEETICMSRIGSSASGLSKPIFHFVHISLTDHVPDYIATGYIS TSTA_107360 MHYIGQDASFTDAYKAIKAKTLVEAVEILIKKLHLCQCALGNAY KGQEHLVAAVTRACQDSPEMSDALSDPATNFETLVSRLRARAAVVQGKKSAKSSWAAQ TRIIDKPHVKNIDARDMMIVIPANKIIESVGSATDLITAHSNTLMRSAAERESNGRRS ASDHTYRAFIIDFEKGCIIESDSKEDNIEEEDDIEDNATAYFMINKLQDCSFIHWISG YHDDIDQEGFHHKLEVRELDQSERKDGLLEPASQFVLEHHEGEIFQGILPDTGAAKVS TIGRRQLAALQRSYPEITVNRTHAGEHSIRFGQGRRTGPNYQKARPPITTSFQAARFL KSLSIKDTWEALQVVWIDTYLGPPDVISHDIGTNFAALEFKTEAKMMGIQYYQVPVEA HNAIGKVKRYYAPLRRAYNIISAELGASVNKDVILQMAVKAINDTVGPDRIVLTVLVF DTYLCITTDSPLSALTARRAEAMRKVMAKLRCMVAERQVNDTLNTRNGPIIMETLNLA PGSKVKVWRKGDSWSEPYKVISVNGHDVTVDLGNGAVAFRATSVQQYLHDSKDESDRL IRLPLSPPQEDLNRQDSRSQVNFDQTPRTRVRVRLQDHPANPNHRIETRGVHAPQTPE MPALPRRRGRPRGSKNKPKAYAKVFISKKERDDLELAVKLQREGKIATNGALFELLGK TEIDSLIANGTFKILHRADIDLRGIRIFNSRLVNEIKGKNEIPYKKS TSTA_107370 MTLKSESTTSHQSEAESEPTGIPDDNFMAETRFNTVTRHKKINL TLWEKDAGPGDSVEALVNYIIRAMYKAALHNYFKEWTLVKFNKLDRTTRSKLKDFLQI DIPPRWPDDMIAGKKFNSRSRMALGQQAQLIPRSDTTPPAKRIKNYNRNHLIGLPYSN KEKEETTLRRDLERRINNNPLQLETHVRDLNSRTPLTGANAVPIGTPAPSPIKISTTP PPRPSTSLTSARQLDEYMRLPPTEYEQEDIDPSLAAKFSKA TSTA_107380 MARKGPSTDGPLQTALLESTSAATTRASKGQKIFSPIAVFLDKH CSQTTGLAPHLLRALTTLSDNLASVAQQHFNAYISVKSTPTTHPKASIKKPMPLVKQP LPDIWLFVCLPANHAARKMEAYAIYSSLQSQLNLNSVALKEVQAIKTGFALCLSSPEA LLALEAQKETISAFFVNC TSTA_107390 MSERRKASGPPSYAPLQLTLKKPRISYSFRFFRPQESCSRAGEA AKALTTLPEVYFPQTTILAGDLNLLHNRWQPSLQHSPTTFAEPFINWLDLQGLVLISD IDCPTHERGNVLDLSFASSPLALAGAKASIASHLDATSNHQPLITTVPWDQRYKKTAQ KLRFDTLDHTSFLSLLTSNLTGTESSAATEEDLDTLAEKLTSAIQGAYRGSAKRTMIQ GIGQS TSTA_107400 MTASLLTLDVKGAFDSVLPGRLIRRLREQGWPTNLVLWIASFAT GRSVQIRLDGEIGPSTDITCGARAVLPVFCTIPKPVLYRESGFSPPEIELDRIALLAT VRLQRLNPYHPLRRRAEQITSNGRQISQFARRTLALPNSEQINPLQYTPWHPREPRGN AQA TSTA_107410 MASQLKNVAIIGASGNVGSIILDAFVGSSQFNVTVLTRSSSSAT FPAGVTVRKSDFSEQDLVSAFKGQDVVISVVGLGAFTDQKKFIDAAISAGVKRFIPSE FSANTLSPAVVQLLPVFDQKKEVLDYLKTKEASGLTWTAIWTALFFDQCLTTGFLGFD LPTRTASIWDGGNSVFTVTNVDQLQRAVIATLKRPAETANKNLYIASVEISQNELLAA LEKATASKWTVTQTTTDEQVSEGMARLGKGDMTGAFVLVKATSLGNTPNLRANYIRDE QLANGLLGLKLESVEETVKRVVGASP TSTA_107420 MAFRTSAAANCRTLTKVHQVAERWERTHASKFAPAKYQLTHFWR KHQMVPKPRGRLDVPLIIKGVEIKPVDSIKYLRVYLDTHLTGEVHIQEMREKAAKLIM YTCSTWYIQGGRGFTGAQRAAEQAI TSTA_107430 MLPLHQLETAINRKLGHDTSQRIKTIYPFIVLPWWEPPEARIDD THEEAIKAIEPRGDNPYEATIYTDNQAAIRATCQPGRSSGQYIIRRIVRHLGLLRDNR SRWRVRLQWDPGHEGVPGNEKADQLAKLAAVEATQRTQENARIARINAPNQTTPHAAR MLCKVVEGPTASLSNNQRANEDSVAAARRITTGLEFGVDPTTN TSTA_107440 MPVKAHNAISKVERYYAPLRCTYNIILSELGTSVDKEIILQMAV KTVNNIVEPDRLVLTILVFGTYPCITYNLPPLALIAKRAHTMRKAIIDLRNAVATRKV NNALNTRNGPVITEILNLVLGTNMQVWQEGKGWTGLHKIISVNNYNVIVDLPSSVTDF RATSVQRYQRDEIESPPTQRLLGTDLPPQKEEEVFAQGSSSCDAAAGTLQEKVELGEP AGAVNPNRHLKMRGIHVPDALVILLVPRR TSTA_107450 MAERTMKRPENYAQNDKPSRPQDRLSLPGPAYTYLYCSKLEDDW RRQTPRYTIAPPALKISISLEKWDDWTMLPPRKVECEHIDSKSMLMFIKIWDKKLTYS GDRYDILDDKVRAFLRACKLSSILIS TSTA_107460 MADKNAILSDNSQDDTSEYQYQDPSPGDRGVSSGSYQTVPEINF PDDRWITEIRFNNETGRNALYYAACNQYVDYEIFMWLLDYFGNWTHDDFKRLSVHIQK KIKDMLMDRGIFVDYIGRKKTIAKALDDLVQMTRMPEWPHEIAAARTFDSRLKMAKGQ FP TSTA_107470 MEEAHVVCDHFKEGEQLSVGSQEPLARIRILALWIHRSPQQRQK WKEVCNIINLSSKCIKYDIDTRWNSTFRMLDDALKARYQIDKFLQLQIDFPHFTTMDW SLLSQIHNILSKFNKLTLFVSKKKPQISLAIPIYYELHDLLDEASEHKKRFLDLDEDI SLAVKKDASDTYYTALILDPRIKGDLLLDKLEDKTTGREILQALRDNLHRDYSVATME SSSPTRQFLLEHNTEHSDVESRLLKRLQPRNQPLLSDIDCYLNSPRVNINDIKDPNWL YNWWRINKGVGL TSTA_107480 MTTPLIVLLLSLFTLWSSYIFGHSNLVIDLGYQLNQGQTVNNSL VTFRNIRYADAPRFKPPTVPGRNRSAVQNASDIICPQGMPGWLLYGAVVPVTKENLPP VDPRTSEDCLFLDVLLPQRVWMERARTTKRAPVLVWIHGGGYTLGWKDASGRGNGLVA RSEWHNQQGVILVSINYRLGLFGWMNGEGVTSNIGLLDQRLALDWVQKHIHLFGGDPD NVTILGESAGGGSVEAHLTAYGGRIVGKPLFKGAIAQSPFLVPEYPYPNSYVNSVAKY GNVSSIADLQSMSSTDLQTLNALVVGNTPVFGTFTFGVTVDGDYVPDLPGKLLQQGKF DKTVYVMTGHNGDEGSRFVPSTIVTNESSYREFLQSVFPSLADNPEKLFFITQTLYPP IFNGGQGYTTQTERNNITIGDAVQVCNTRYMNQAAFLPATYAYQFSVPPAVHGADLSY TFYDFGSAVDTDEVNATVAMILQHYITQFAATGSPNAHKLPYFPPATGGLGVQNLGSD FVGPMQDESGVRDLPERCHYWQQAPYLSRDDESHWSRP TSTA_107490 MVPHFIFGTATLGMDQTQFQNAESVTALLKTLEKLDIYRLDTGA RYPPLNPGRSEQLIGEVPKELGSKFTVDTKIYTNTKTDGSGDLSSEAIEKSVNASLQR LQRVEGVNVLYVHRPDPATPLEEQIEEFNRQISQGRCKAWGISNMQPETLQAVLDLCE NRGWQKPICYQGNYNLITRGMETRLLPILRARGISYNAFQPLAAGFLTGKLVNNQHAG SRFGDENPLGKSAQKLFGAAELLDAMNAFDTRVKACGLSSLEVAIRWIAHHSALSNED GIILGASKTPQISETVELVRKGPLPAKVLDLTEELWDAVKEIRGQII TSTA_107500 MAYRAETRANGHKLTQSEEESLVRWILDLDKRGLPPRHSLVRDM ANCLLSQRGNQHVGENWVYNLVKRRPEIESKFSRKYNYERAKCEDPKIIQEYFDRVRE VILEYGILPEDIYNFDETGFAMGLCATTKVITGSDRYARPKLLQPGDREWVTAIEAVN SIGWALPSYIIFKAKKYTRLGWFEDLPDDWKINISDNGWTTDKIGLEWLKTHFIPLID GRTLGKYRMLILDGHGSHLTAEFDRTCTENNIIPPLDVGCFAVLKRHYGQLVEQRMRL GFNHIDKLDFLTAFPKARTMAYKAQTVRNSFTATGLVPFNPDRVYQQLTVRLKTPTPP PSRSSDTQSSCLQTPQNARQFKRQMTTTKKRISRHTRSSSEAIGEVFTRASKAYEMSI NKLTIAQKELHDLRAAHEKEKQKRQKSKKQISHDHGITREEAQALVQGQIEASQAVST APAEPELPVSHPPVRRHFRCSGCGIEGHKITGCPNRTSS TSTA_107510 MDSPTHVIDPDGEVIIVLLNVDTTFAEGSEDMIAHGLYNTVSEL DEDIKRLEDYTETPKPNPRGKKKKKKGHKNARADLERIPTPIEEPVLVDEPVPVDEPI PVDEPIPVDEPVPVDEPIPADEPVPADEPVPADEPVPVDESIPADEPVPADEPVPADE PVPVDESIPADEPVPAEEPVPEEGPIEQPVESPDRSCFRIQVSAKHLKLASPVFRKML IGGWKESVACLAPSSVEITAESWDIEALLILLRAIHGQQYHIPRKLTLEMLAKVAVIA DYYECKEAVYIWATTWIDALEGELPKTYSRELILWLWISWVFQLSTQFEYATSIAMSR SNGWISGLGLPIPDQVIRAMNKRREEAIDNLIYRLHQIHKDLLNGVRGCGYECSSILY GALAKKMQSNDLLSPRPTAPFPESFGIRVAAVGTSELILFGIWFLKPPRVFRLLLCIP IRWVE TSTA_107520 MLPSTLAAADFTAFQRTIPSSDIVIFSDGSRLIDGRAGGGYIGF QAHHQFLRSSLSYGHEKEVFDTEAEAALAGAQAAITYPTAQFATNLWICLDNLEVATR LLSPSTGSSQEVFESFRTLAAAWPLRERLPHTKSGSIQI TSTA_107530 MIYHYPTQKAPLKILQLNVGHAPDAHEIALTLAYTSDIDIILIQ EPYIFKDLSQQITKKHPLYECFSPTDSWAISGRPRVLTYVRKKKGIWTSQLRPFTTDT KEASDLLFLQIFSPTGKSALIVNIYNAPAGSIRAGEAAKALATLPEAYFPQATILAGD LNLLHNRWQPSLHRSPTPSAEPFINWLDLQGLMXXHPKYFRHAILAIIQKPKKTDWSS PRSYRPIALLSVLSKGLERLVAQNMAWISIHYKVLARQQFGALPLRSANDLTTCLTYD VEQALNQGMTASLLTLDVKGAFDAVLPGRLIRRLREQGWPTNLVLWIASFATGRSVQI RLDGEIGPSIDIACGLPQGSPVSGILFMLYIAPLFCLGNPRNRFSYADDAANLAISTS LATNCEALSDSLQEALNWGAAEGITFAPDKYELLHFSRHKADQDPTCTPSVKAGSIII SENTKRLYLRWLGILFDKKLTFKWYVGETASKALTVANALRSLENTIRGVKPYLLQQA VSACVLHKAYYGAETWWPGCTRPGSS TSTA_107540 CLLRPKGNSKHTKAQQAEIQKSYAINLAKARTEGGCSTQLSIGT TSTA_107550 MKSSLAERQPYNLSSPVALPRARPLTRLNAPGNTMARKGSGTDG PLQTALLESTFAATTRASEGQKIFSPIAAFLDKHRSQTAGLAPHLLRALTALSDDLAS IAQQHFNAYISGI TSTA_107560 MAPNLATSTLTLIRDMIISNELSVPQMAESAGCNERTIRRLRSN MRQFGSVKAPPNRRGRPRTLAPFMIQALCDHLLEKPYLYLDEMALFIWDEFQVQATIY CISRALDREGWSKKTAKQKARERNADLRDEYSYFISDFCSYHLIYVDESGCDKRIGFR RTGWAPLGVAPSQVAKFHRDQRYQILPAYAQDEAGVKLVYLPPYSPDLNPIEELFAEL KAFIKRHWQAYEDNPEQGFDSFLEWCLETVGMRKRSAEGHFRNAGWTIEKM TSTA_107570 MEYWSVYAAKLMAIYYAISLVLKIAMETRQAMTDRQELVTILSD SMLALQALSNTWNNDPGNEVANRLAKEAMGPEKEHPFQHLLSPYLENIWLAATKSQLP AHAALNGPFMACNT TSTA_107580 MPVATHAVVGHSAIHTPDPDDLLLSPPEIQRSKSVKPRFSIIVH QVPTEDFDLDREKKEGIAKIMEENDLAKKGFKIEDIIWLKKKDRPLGRLASIGV TSTA_107590 MSFSMKRDVQREVPRTSSGTFAVPGPVSRERLEEHVKRASDSLA VAVSKRRKKHKKKSAKSVSESPGLASEGSSDHGSNSDAVSPSKSRLEVPVLKTKAGTI RPLGDVRSVPCYECIRSITNDSFIKYPHRCYDVKHETRIGVNSQCSTCARKHVSPCLP IPAEFAKDSDAIYAAFELEGHTDSVRGSALALLERMPAEPAGKKNRVIKSESEPIASP SPSFELSRVSEADYDSVLHFRRGVKDLAVPNDLRLSIRKIVSDWIR TSTA_107600 MATRRSERIGSQQVTNPPTDNHESTTTSVPNPPMEQDMTDSLPT PNVSHAAVPSANAPSSQPVGISNESAGTNTETPIESVTEQPSDISPLQDQILDPARPI ASYTLEELRAFSPAQRLAYKTRVEQEKAQREWEIESEILFTTAQALAEPFEPTVSMVR SGRNVQNTTYNAPSESDAPGEPMEVEEPIEAAPRARRRRRESSSSVEGRDKKPPSVNV KEFKGDSVNEKMVYDIKMQNHFSRHAWYYNVGNTNHKRILAAEENLSDDVAKKWHTFK RDGHNPENMTWDEFDAFLIRCIKDPRILGIEAEGKVESARQRESQTVTDFNVYLRSWE QHIPHPLNEAQRKGRLRSKILANLRTLAVSRHTDEPEDYDRFVVWLQTLEDGMPERQE ALRRGRNRRNGNNTGNGGGNPPPGPPVPPESGQQRFGRDRNRNGQNRNLNRDNDRNKS DKLPSGSHNQSHKKHQRDKDVTCGNCGKKGHTELQCWDKHPELRPKWAARNVQRKRGL CISVYAYIKTEQGLVKALVLIDGAADSNFVSKTWARRNKIQLTERAVLAEAVDGHKIA TYGKTKLDVTVPDTGDMVGRTSLSYHAVDMTKYDLILGVPWHVAANPDILWIQREWYY RDETSTAIVLRYYRSRNGTIQRWEADPNKSREPSTPEIISAVEADRELLDGAQAFVVE PGDPATGPSDLLAATEISNLPDYVKPFADVFSEEHAAELPPRTERDHAIDIEPGKDPP YRSLYRLSPKESEVLREYLVTNLAKGWIRESKSPAGAPILFVPKKDGGLRLCVDYRGL NAITIKNRYPLPLIGETIDRLAGAKIYTQLDLRDAYHRIRIKEGDEWKTAFRTRYGHY EYTVMPFGLANAPATFQAYVNRALADLLDICCVAYLDDIIIYSQDESSHTDDVQRVLE RLRQYKLYAKLSKCAFEKTEIRFLGFIVSPEGVHMEPERVSTIRDWPVPRNVREVLQF TGFANFYRRFVEGYSRIAAPLTNMTKGHEWARKGSKADQEANAKFVWTKEAQQAFDSL KEKFTTAPFLRHFDPELPLRVETDASNFAISGILSQLQQDGHWHPIAYYSRKLTGPEV RYETHDSEMLAIVEAFKHWRHYLEGSAHTVSVLTDHNNLTYFMTTKELNRRQARWAEK LSAFDFDIEHRPGTTNPADAPSRRPDYYTGDEPGITLLPTLREKLRRGLYSKPESRPQ TDSSAESEPAFVHPEKLKDPSHVDKPTSLTSRNACRRQKRAAGSPDNLGILTPRSVVL AAVQTEAAFSDMPEELAALIHRCQQADVLAESMRKQLAKPREKVKREDWSIGPDDLLR FKGAVYVPPDHALRQEILRTNHDDPQGGHFGLERTHEVIGSKYYWHGSYVDTQYYVRT CNLCQRAKSHRHKEYGLLKPLPKPNEPFEIVTLDFITDLPLSKWRGRIYDSILVIVEP LTKYVIYLAVTKDTKSEDLAEILYETLVKFFTVPKHIVSDRAKIFTSAFWKTFCWHIG VKRKLSTAFHPRTDGQTERQNQTLEHFMRTYVNFEQDDWARWIPMAQHVYNNSKHSVT GTTPMEALMGFRGQIRINVEDQPPSENEFDEDPESDTRRPSKRAKNATERAKAMHEQR KHLHGLLQKAAEVQAHYYNRHRMDMQFQIGDWVMLRTTNITPPGGRKKFDSKQIGPFQ VVDTWGTNAYKLALPPRFRNLHNVFHVSLLEPFRDSARNPTPPEGTLVDGYLEYKVEG IRMVRGPPKNREYYVKWEGYSEDECTWEPLESVKDTAAFEIFLQNPIELRDAKRKRKK KIH TSTA_107610 MLVTKNKAKAKVFLEAFFPEMAEPEDKDIAIPSEKIPWHLITEL EIHWSLKAAKGTTALGEDRIITLFGGQPGRNTEQALLVLANAIDRAWLQSKVIMLIAF NLKGAFNGVNISSLDARLQAKGIPVIARHWIRSFMESRYASISFDDFQTEISSLEHAG LAQGSPLSPILFGFFNSDLVDQPVDHHGGASAFIDDYFRWRAGPSAEDNVRKI TSTA_107620 MSEESYSFPPYLRVLPEWRVILCQQHGSCFTQQSLQQHLRKRHH LKRYEQVHIEQHPEFSLIAKTIDTVVQPSDGTTEINGLPIIPGFTCHIENCDYRSRNT DCIRQHYNQEHGWRKSQGVMPWYQVYLQTLFSKQQDIQYFTVELAHSIHTSHDLHTNT PGYAPAFTTNDLPSLDTLLQEYCTFQTQNSVSYTVNDSQHVSEITPWLRTTGIHIHLT GLDLETVGDLYRLPNRDEIRLDLICASVDRIWRKTEQLLHHNHAGEIPRLSRRNARLL NTFTRGEVSQNPIQPLQNPQSRSRYIQTWQKLVCYWSRVIDDQALPNSLFQPTEGQKT AWNDIMTAAENLYHQQESEPDNDEALHRLQQEMDEQTLMFCLEIIQQSIPLRAFDSIL VSFAALLFWMPAKKQWMTVGNYTSFLSQLIYNCQIWILALSILEQQHYPTQDLGDIIV RHRDRWLLNDTKGPVAELLENRLYAFQIAMSEVPPAQVRWDREGQVITFQDVSLSLLE LSQLIREGISTAQAIFEQELCLSGPSRPATEIPQFDLNNLMDNWDATQAGASFLTDSR NHAYVVPYQDWLFRRVSQDAVLFPTFWELGADQTWRISQKMVEQYEATIQRFLEALLV PFFIGSGQQARRTEFLGIRWRNTLLHTRDLFLHDGQMLFILDYHKSRHRTNASRWPAR FLLPEVGQLVTQFLILIMPFRQWLQHKVQTAHSRTSIPVYDYLWASTTKPWSDNHLTQ TVIRTGEQILGKKIHIRAWRQITVGIAIKKFRTLASQFIEDSLDNEDDLIEDHSGSMA AVFHYQAAHTPHTGNQIYGGTVNFRAGLTDAGLQEFRQASEIWHQLIKQPSQYSTSSL LKRRLPAVSTQSSQPANVNTEWEWDESSSKRVRSEATESTLVQRFHRCHEPRQSQQRW TMEQAQTILKRMYGPEAQYRTSNQQQALQYIIQGSSQVVAVLRTNEGKSLLYLLPCQL PGARTTVVVLPLLVLKQDMLLRCQNAGIEVTIWNQQDESRHLGSSPLILVSVEQAVHI NFRTFLLRLQLANQLDRVVFDECHLTLTASSYRKRMALLPTLRDIQCQMVFLTGTLPP IMMAEFEQTMLLSKARLIRSLTTRRDLSYQVVSCPIDQDFFKFAIPWIQQERTQLDSE ERAILYCQTQAITEEVATILECPFYHASSGTREEKAQTLETWRNGNPNWIVATSAFGM GIDHPRVRLVIHLGAPSSLIDFTQEAGRLGRDQQGGRSIILLPSSWSVSKSGRPGHVI SSDVQAMHAVLDQPNCRVAAMSSFLDGAAVACSAPDPLCDQCRFRQENPESSSTDPTT TSSPSPEQNVDCDLTIGSQMRIQQIQQESRQLQQYEDSLQALRGTCVICRILPSSSAD KKKHSFINCWNPRRQDFLEAKKRAQQEGKQFQGWMQRYAGCFRCYNPQVVCSQQGQGT CLYPDLVMQACWAIYQIKAWTEGLLPGLGGEHVQSNEAAYMLWLGQKRATFGVEGSNA AWVAYHIFQQLLEPAKGSV TSTA_107720 MRLLSIISALLSVAYCIEWIGQVGNSICNWAQLRASSLRDAIYV DGGELWWISQFSNGQNGTVQNDGNFEGSLFRLDLSQTFNSITTNLTSLFSRVPQNSSL DYIDGVMWATNTDELVLYGGLLRPSNSTLEPGSRSFYGYSINSTSILHQPGFYYAEVP DNMTRYVTNGAGVSAPSERLGFYFGGMRGYDWGPISNDDGSAAYSANTLISVVLEDTD TQHWTNQTLPENIPSRANAVVEWLPVSHSGILVVIGGVSIPSVIFTNGLTDAQKLQNN NTDSRFMQEVAIYDIASKTWYSQNTTGDIPSARALFCSVYASAADNSSHNIYIYGGYD GNDLEHTPFNDVYILSLPTFTWTRAYVGSDNDGRSGHQCIRVLPDQMLVVGGEFKDPT HCLPVLRNFNLNTLDFQNEYIPSEYASYEVPLPVIEVIGGNGNGSALHTSPVVWSNEA LQSVFNTPYTKAIPSWYPYASKLPVSGTHSRTLKIVLATVFPVSVGAVIFGIYRYLRT SPFLHRTKAPVELDSAPDDIPRSELSAVPETPESNPSAETSTPSSERDEKKRTDSIAQ TPLSCYFPKSL TSTA_107730 MAHTICFPWPEDSEQFPGSLHVHVLGINEPYNDNFDRYWSAMDA SDPDFPLQRAIGQDLRPTSPSSGFSASVSLAGTDDKSRIEELINCVTDMREDAKHYRD LKKQEIRNRDTPPDPSLMGTTRDP TSTA_107740 MQTVITANGRYAIRAIKQDERRRKSPSSVMKEVTVQETSSAPAL FESNLSILPSGQPNLQDPGMMGHTGDAIHPNYLMEEPQLSGEKFMYHSELPYPYVGVN CDSKEGMG TSTA_107750 MTGGEGDQNDGQQGSSRQTDRNGQTGQQGHVTNTGVVNHPTVID QATEIPKDGQSITLTPIQVLQGADDYNRWYQAVRRELLGNDLLDLIDKSIPRPHVGSA HYKIWKKLSLKVAGWLSATLSRDVGTKVQTITVNVDYADDLLAAIRTICKGEADSQAG WRAWTDFRKCDRHQYATCEAYIHALRAKHSDAERDGFGVQPCQLIITLLHSIETDLPT WVTLKMEQYKDVKSKNMDDFHQLCSEALEKCQGLKFSMGNAANNFNPSTPATPKSTDG REDKKRKERDPDTPNEKKRNAPKPGVSVEEWLKKLRKWRNRDNNCGYCGIKGHGYSKC YYLADSPPPNWYPKLDLWCYRDRAAPKQQETKNDGDTATKTSTTMNVSSFALSSDFFM PNIGGAAIDTEAFAEHVEQPIDKENMPKSKALAMALSATTPESLIGKFVADSGAGHSM AGDFASWVEIYKYKDDDEAYTYECSNGMEAKATGYGTTLIRFNNGEDRPAELLTRTYY VPGLKYNLWACERAKDESKVWYCSKDYTVRRMDDDSVIGHTTVVGGVPILRTMTTGKE LQFGALNLSAISAELQHRRLGHASDVIRKGTAQAYDLEAIKEKIKHCESCRLGKSKRI VSHDPLPKALKAGQIVYVDVQHIKPTGFNGYNYFTAFLDDKTRMPDVRFHVTKGEASD KCIDYCTEFKNQTGNWPVIIAKDQGREFFRFIKWSKENQTGIQFRESPARTPEPNGPI EWLQFYLAQIARVMMIDAGLPEYLWPFAVETACYTVARLVKPGQEKAPIQQWREELGF PNPIPHLEHLRVWGCKAYMHIPEEDRVKARKMLPKAEIGRLMGYMGDHGHIYKIWFPA TGDVKFSRDVTFWEGPEDGMIDEIEDPTPTTTKVEMSKPLTIIFHKDPVEKNQKRITI ADENLTIEDILNSNYYDFEQNAYVTGRAETLSTTPERTHEQDRADESDQEEFFDAEAE GSVDATTEEALEIIRKKISQQKALIKEQAQIMNNEQALVDGITSLETVYESIEHNDTN DSMHEEAPACMDDDTPVQEMTHKNITTRVSSRKNKGMRLMSTLMEEQEREQEQRRAKK EQKASSTHSTSETMVRLNINTNLQASAIPDIIPKLKKWEVEIPGNDRQMLKSPLRDLW KKAMQDQVEKLRANETWKLVPKPKNSAKILPGKWVYDVKADDESNVTEFRARWVVCGN FEVKDNENNYSPVVSDVGVKIFLTYCIKNGLKIFQADIITAYLHAMLQRRQVLVEQPK GVDIIDGMVCSLLKALYGLRGSAVLWYDTISSKLKELGYKPINEEPCIFIRESDGMAI ALYVDDNLIAGKCEAQIDGILDTFDKAWGVKRIGEPKRFLGINVHREKDTIKLEQSDY VDGILNRFSMADSHPRSLPLEPKFTLESNDPKATDDEKEKFVKITGSANWLTSKTRPD ITFTVRRLQHKQHDPTKYDYVAGKGVLRYLKGTKKYGITLNKYPSKGLEVYVDSSHAD HPDGKSTEGFIIFYAGSPISWNSSKQTLVAPSSTVSEYLGVGSGIRQGLWIQNMLISL GLVKKGEPLVVYTDSNNAMTASHKPGTAQAVRWLRIHYHFMKDLIDKGEVILKRIDTK ENPADGFTKALTTELFDKFRNQIGVEAC TSTA_107760 MAENTFDFLVRKLETQESSKISPQIVNILQCLKQEEPFKNCDPF QEFVRKATGMATGHQELDAATEPRATLTKHQQQDVVPYKRQRTTESTVSSGISHYDDN HIQEQIVMPNEGQRTAKSAVSRGKSPDDDDDGQEQIKFIKRSKGSAMPANNLPDLIHR LPAAMTSSKQWKWERQLFKDNAMRFENGVDRTNCVHVFVPEDPSRDISITLIVGYDAG LGLIYEAGFIRA TSTA_107770 MDISELLNPSPTSSVAYESEEVNSPQPVVYNPQPVVKELSPNTD QQKAGSHRTAREYSEEDLLFLWYHFIDLKMTWEECLEAFKNRFPEESPTTGSIKRLFY RYFDGKFPDKKRRRILQKMAPSVFEVCERHYPWMPSSKPKVTNIAESPNPRPTSSAFE KSPPIIDLEKDDALSK TSTA_107780 MHTGQSIHSCKRPLSQQSSADASPDQAHKKRKVKHPSGFLLPAA FWDNLSKVWLTHNALRELDRRNTQVPTKSLQPTRSSRPVTPNEVKAIQRFARQGGPDL SELRGSLQ TSTA_107790 MACINPRNIPEILLPQPTSRKQKIEALGLLNAYSFIYSQGTGLA IVHENEFVVQGDNYLGLTEKIAGCLASDGRYQEAEILYKKLTRINQDKAGSEHSSTLR SMANLASTYRNQGRWNEAEKLEVQVMETRKTVLGAEHPDTLTSMANLAFTWKFQGKLQ DALSLMDKCCHLPSKVLGPSHQYSRSFSCTLSDWMDEYNTLPKQTTLTRKECPQALRE VSAGPPAAVVTAQLVREEHINLPYTQRRSAAKLLLRNHPLIIATRTPSPAPEDQDLQD VD TSTA_107800 MSLTHNSYKVAWICALPLEAAAARVMLDKTHSPLPKPSTDSNAY ELGELNGHYIVIACLPAGVYGTVSAAAVVSCMHSTFPSLQYGLMVGIGGGVPGKNNDI RLGDVVVSKPVGKYSGVIQYDYGKAVQGGQFEQTGTLNKPPQALLTHISQLQAKIMTG DQEDVSKIVWEVLERNPEMKDRFAPPEQHMDFLFHSSYHHADKEDTCGKCDKDKLVKR QPRATRTPYIHYGLIASGDQVMKDSETRDRLAQQHGILCFEMEAAGLMDELPTLAIRG ICDYCDSHKQKQWQGYAALTAAAYTKLLLLGIPNNRSDIDLVTSSKIRHWIVSLARNL KFVGRQEEIVKLEELIMAQDRPRRIAITGLGGVGKTQVALELAYRIRDRDKECSVFWI PCTSRAMIEQMFLHIAQKLGLHNLNMAEVKEQVKIYLSSERAGKWLLIFDNADDAEMW FAPSHTVPPLEDFLPESEQGCILFTTRNRKLAMKLAPFEVFPIPDVDKETALKILEKT LAREDLLRDTTTTTTLLEQLAFLPLAIVQASAYIIENGIKLSTYLELLQEQEQDAVEL LSEDFKDPGRYKDIQNPVITTWLISVGTEAHRSDLTPILTYSNPPSTSRRLPPCCADI RTKSLARELLAESHKPYESTRTNLRTKPPSTPSRIPLSVRRYTHRITDNMAPTDYTDF DPNGKDRKTIEPCVITRTKIYTDLXXPLQTTSTHFASAFFTTDEKPKGELGKLITTEL ANRATMHCVKALATKEAQDGDNIENNVEETIDTSTYVSASRYSEETWQGILIDTGAAD FSTAGYSQFLAYQKAVKGAVIDTSTANSVGIKFGSGDPVRSKGSVDVDTPIGRVRFHI LETMTPFLLSIKDLDRLNVYYDNTKDLLIGPKENMTTQVIRRFGHPFLIWQETYESCL LESLDENPCFLTEAELRRLHRRFGHPSTDRFYRVIERAGHDADREAIEHIRKFCHHCQ IHGKSPGRFRFTLQDDIHFNHSIIVDIMYIDGKPVLHIIDEATRFNAARWLPNISSSA TWDALRVAWIDTYLGPPDLIATDAGKNFVSKEFSQLATSIGTTVKSVPIEAHWSIGMV ERYHAVLRRAYTIISDELPDLHPDMALQMAVKSVNDTAGPNGLVPTLLVFGAYPRLTQ NDAPAISVEQRATALKKATAEVRKLYAQRQVRDALNTRNGPSTTVIHSLPLNSNVLVF REGNTGYAGKWEGPYKLVEVNNETCTVALPSGPTQFRSTVVKPYYAEDMPPEDIATTL DHDNAPEPPTQGNALLPPSTVKIPSQRPQRNRQPSARYRDDDFEAYINNKEITQPRAN FDEVLEQTRFTDSRKQEVDGLLERGVFHFVHENEVPKGERIFNSRFVDEMKNSGTDKA FEKSRLVVQAYNDEGKDFILTESPTIQRCSQRLILCLTACMVTHSLWLRDVVQAYIQS QTYLNRDIFVRPPLELAILLSPGTLLKVVKPLYGIPESGNHWFNTYHSHHTEKLQMET STYDPCLLHCIDPSNGFGIVGMQTDDTLILADNAFANREEKEIKAAKIQCKPRERLSP TNPLKFNGGLISETAQGIMLSQERTCKLIQIVQEQHADMTSSRGKIRKNASPKEQYVS QRALGAYIASLTQPEAAFDYAFAAQSTNPQKEDIKYLNKRLQWQIDNPYRGLKFVKLN INTIKLYAFVDAAFANNKDLSSQIGFVIVLADASNNANIVHWSSVKCKRITRSVLASE LYAMVNGFDFAASIKATVTQILHLENPLPLVICTDSKSLYDCLVKLGTTQEKRLMIDL MCLRQSYERQEITEVKWIDGNSNPADAMTKNKACNALQILVDTNKLHITVDGWVERST TTPQNRAIKANSVAFANPQ TSTA_107810 MNWHWVSWDMLWVSTPVLILWFFFVPETSASTILYFRARRLRKI TGDDKYRTKEEIDRIANKKRAFSGTPFQNQQKSMSLTRQCSSQRFTPALSMPSSILSS NHSWFKVTGLPFLAVLLTLCFATTLLASYWYLSVERHLETKGLGAFGAPERRLVPGLL VCTLNPIGLFITAWSSRPSVHWMVPILGLFLNIIGTFTVIVCMLQYIAFSYPRYAASL FAAYDFARSTLAAGVIMFSRFMFINLGLDRGISLLAFLDIICVVLLFGLWKYGGLLRA KSRFAES TSTA_107820 MGPRRKKAETEKFLFVNTTDDLRQTRTQHRHRVHSHVSQYRWHL NREARPEAQASNANATKDETPANQFSSHQVKEQPDTRMLATAGLTNLLSNASMEPILS YSDDFYHTHQVQDAMSYGAHIVVQKTTRRLVPIYLTWLVAFRTMWPGLLLQENTGITW VPAATADPVLFSAFMYGVVVHMQSRLIDATLSDHHQQAAWQINNETIRRLYRSLSDQA RASSDGAIFAVLTLAYSAQLRSGPLNPDPQPRRPLQDLQWLTVYSSLDTNSVHVQGLI ALLELKGGLEQIPLPRLAPLLSYLCMLRSSRSLQQPGLPFFPLSEEHLKMESIKYAHY DFQNNELLAKMPPRMRHIIGRMHYYNQLIACYLAGSIETPHMAIFADQRNWIQRSLLE LPYFDEVVVEPDGPFSRFEHEAVRASLLFYSYLVIFPIPFAYGPYDRLRQLLTGILMD EGAQNLPQPFLLWAVSLGAISEIQILGHLQDSQQWFLNMLKELILKMGMSNWNDYKSV VQSVMWQDSVLDPFMQRIWLAHILSV TSTA_107830 MDPNIAQYLLAMLNAGSLFGRLIAGALADTLGAYNIFTFVCYLS GILVLALWIPGTGNAAVIAFAVIFGFTSGAYASLIANLIVKISPNFKVIGYRTGLAFL FGSIGGLTTNPIAGAILQNSNGSYVGMKIFSGVFIMSGTTLVFAARLHRTGPKLVGKF TSTA_107840 MLGILKLSITTPLMILRLVYSKNARFNSNYRQHAQICHKNTRTD LLRDIYNWVDGKDERHIFWFKGLAGTGKSTIAQTVAKHYYDLDRRQLAASFFFARGGG DASHAGLFATSIAVQLANDVPLLRDVWRQLVLQPFSSLEVTHSLSLYLIVIDALDECN SKNDIQTILQLLSEARQFTPLRLRFLLTSRPDTAIRRGFSAVPTVERRDTVLHEIAPA VVDHDIALYLNHELAVIGREQYLGPDWPTQWQVQQLVSQSSGLFIWAATACRFICEGR NHANEQLSKVLKTQTRDSAPEKELDAIYTLVLMDSVPENLSDEEKGISYGLQY TSTA_107850 MKNNQILAAASLLFTTQALAQNEIYSGSGFGTYYYDIVNVWTLE DVNSDYLVAMNYTQLVVDMGKYCGKRVIVSVNGVPSDMPLFIGDGCERCATGSSTSTT WQPDGAPGLDFSYSVAEKLSSQACDTGHIEISWEIVDELVYQFDYDGSGGPQGFVTTA PAGPTTTKVHTETTTKATITKHSTTLKTTTASPTQTSASCQDNVWQCTPDGTSLEQCI GSTWTIREVCPSGTSCHGTSNPYCA TSTA_107860 MLWVRSDIEAEQIPVASPDLTATILQLPDRAVLIVSAYVVENSE EALISTIRLLRSLVADIQGRGGTRTDVLIMGDFNRHDQLWGGDQISPMRQGEADDLVD FMTEYSLHSLLPRGTKTWQLGDRETTIDLVLASTELAEEMVQCDHQAIETEFDISVPD QPVNERLLLKNAPWAEIRSRIATNLQVVPLGDSVQEQTDHLMTVVTKAVQELTPRAKP SPYAKRCGSPFSDKIPPLVRSDGSTTKDKVEQAKELLATFFPPLPVRIEDEGTQQQRE PVHMPDLTLEEIEWKVFEAKPWKAPGEDGLPAMV TSTA_107870 MEEDPAVTMRVGRVVVPSTRAREALEGADSIDATAATRRTSKVK PTAVRKAANQIEERQCAQDENQAMLRKMCQYLEGTYREEQNDIIKGLHVKEAAQKLNI PGWRVLRDQLYPVKIDNANRTAILDIDGNVLPGAVEALGKENNVDIAKITWLSKKDSN KAYGSMVVYITKGTDAKRLLEGNYFDIAGESAYTRAFEPRTGPFQYYNCQEIGYKAFA CKKT TSTA_107880 MSASNTFTSGEKLPILDYSNWVDWSEYWQDHLILYDLWQYVDPT STVTVPPPTTNVNRDIAKTLTENLTKIRQHVSPECRKLLVGHTNPRDLWSSLKAGCDR GTTLPLIAQYESFHNNKWEPKDTISTYTSRFRNIFLSLENTSYKIHRDIAVHILVDRL PDCYKTEGQTAKQLNLPFIETVTYLLANIKDSSSEGDNTSGQALVTRGRRPNRRTSSR NLRNGGNNSNSNRRERSNRNSRNKRLICNWCKREGHYERDCHIRQQQLDSGAAKLDRG RAYLVQQPSKGSGTQHLKLGLYSSPQRLVRTKIDRELLSLQLLDRAGYSTLIENGIVY IRQQGDSNSAWFQLANSKHGDLYRMHISPAFLVNAPRALRTREFSTLRLWHNRLGHRN FRSVGDLMNLSVPRQPPTCTACLQGKMKADSHPPVLERCSKSFDRVHADLIPLDSISL GGSKYMLLLVDDYTRYAWCYFASSKNVPAITPLLQGFINLVLTQFNAVIKSWRTDGGT GEFINSMVKEINRQYGILHQISTSGVKQQNGVLERRVQTIKNMERSMRAGAGVLDDYR LQAESLATSVFLTNILPSTTLGNISPHLLLYKKQPPLTTLKPWGCLVWIHLRKEHRSS SSDPRCRPAMMVGYIQDSKSIYKCLDLHTLQTSNHSEIKFDEDLFPGPWLKRPAGFKP SIAHKRNPPGSAVDTVLGQSVPGALPNVSSVPFSSMNPFWLQQSQPPADPVNPEDPAK PVDPMELADVAQRALDSPQSLALRMDSQPIYNPRGSVVFGTCVKIHEHDTTRELVEAA LIVQGMESLSCPPWQTAERIQTDHNGDPLSYSDALLQDPIRWPPAVQEELKSHEENGT WIVQEISQMPKGCKPIPGKWVFKRKPSPDEGIRYKARLVIKGFLQRFGVDFMETYAPT ASLAAFRLLVAIAVHNGWSLRNLDIITAFLNGDIDSEVYMGIPEGMDLDPKKYVLKLR RSLYGLKQAPRIWWDRMTSFLLKAGFYQCDAEPAIFIRSLDNKFLILLLFVDDILLTG DQDAIEEFVKECCNEFKTRDIGTPRRFLGIHIEHRNGKVILHQKAYIQRILERFNAPT NPVATPLDPKHPLVEATDAESLNETDALEYRAAVGALIYLMICTRPDLAFALSRLSKF VQKPGIKHAAALKRVLRYLAGTQNLGIAYCKSYSNDSVLYGYSDSDFAADLNNRRSTS GFIFLLNGGPISWKSKQQSLVTSSTHDAEYVGLATASYEVIWLRKLILAILPQYAEHT MPSNTIHCDNQGAIATANQPSHSPSTRSKHIDIRFHVIREAIANGLIRLEYIRTTEMT ADILTKALPKELHERHVKGMGMESI TSTA_107890 MWTYDFQDRLPEKYERTASEHYGEIERWFINLKIAIQDYKIRPQ NLWNFDETGFIVGRGKDEAVITAYPKTSKRVSSLSSRESITVVECINAKGKIIPPLLI PKGKVHMEEWYSHIKDDDWLVTPTEHRFITDEIAFEWLQHFHHFTKPPELGPPWRLLL MDNHTTHLTMQFVEYCEIFHIRPFRFPAHSTHFLQPLDGVPFQQYKHVHGRVVNKVAR LAGFDFDKNDFFEELHDIRLKTFTNRTIRNGWRERGNWPINPSLILDKMPSPEEAFEA MVAEGDTLKIHGESDDTIPSSPTTKSISPPSTAAALRRYINKIEKSIDGIKNILDEAS PGLSRRIKVVNQGSFTLAELSDLHRESFAKVRATAERKNKKTTKRQVKTSGALYVKDA NRLIKRRHDGDLLRIHKRHVFGAEELAEEQASTELQDLSFFIDTMGSR TSTA_107900 MPPTRTENRQKLVEQEGKILLAISDLKNGRIRSVLRASEIYQIP RTTLRDRLNGIEYRGEKRANSHIVTQYEEESLLKWILDLDKRGLPPRPSLVQDMADLL LSQRENRHVSERWVYRFVDRHPEEKLRFSRRYNYERAKCEDIKIIQEHFNRVQEVIQE YGILSEDIYNFDETGFAMSLCASAKVITGSDRYGYYNLVIANGSQQLKQLIQQDGLCP HILSSKQQPSINKADEIGLQWLQKHFIPHTTSRTKGRYRMLILDGHGSHLTPQFDQIC TENNIIPVCMPPHSSHLLQPLDVGCFAVLKRQYALHVEQRVRLGFNHIDKYDFLTAFP EARTVAYKAENIQNGFKATGLVPFDPDHVYQKLTVQLRTPTPPPSRSSDSQSSCLQTP QNARQFKRQITTIKKRIDTHKTSPLDRIAKAYEVGMKQFVIIQKEVHDLRAANEKEKR KRQRSKRQISHEGDLTVQEAQKLIISRDQASRSNPAVSGESEPQASQPRVREPPKCSG CGIIGHKINRCSNRTTS TSTA_107910 MAYRAETRANSHKLSKSEEESLVKWILDLDKRGLPPRHSLVREM ADYLLSQRGNQQVGEKWVYNLIRRRPEIESKFSRKYNYERAKCEDPKIIQEYFDRVRE VVSEHGILQEDIYNFDETGFAMGLCATAKVITGSDRYARPKLLQPVNSIGWALPSYII FKAKKYTRLGWFEDLPDDWKINISDNGWTTDKIGLEWLKTHFIPLTDGRTLGKYRMLI LDGHGSHLTAEFDRTCTENNIIPPLDVGCFAVLKRHYGQLVEQRTRLGFNHIDKIDFL TAFPKARTMAYKAQTVRNSFIATGLKELHNLRAAHEKEKQKRRRSKQQISHEQGITRE EAQALVQGQIEVSQAVTTAPAEPELPVSHPPVRRQFRCSGCGVAGHKITGCPNRIST TSTA_107920 MVLINLLSLTAIAVAIIIRSVGYEPLIVEEIRDTSLLSEYHYMG APSSYCADRYTQHYLENFQNTATEYCSPGSTSGVTCFHSQTHPNKEQVDSFCIGRSAA FNLESRKFHLNCNLRDLNEDEVARGIPHFTRFPVYWYHTGPRVIFEAFIHFDAEYHFT TQERQTYTILVKREGSTNPWHSLVEIFSMTLTIDILQMTPDGTGSRLFSEGDFEYTQV IILDSQGDGPYFDLWGLFSKKPIKRIHEITDWSTITPTNIIIPLAGSSNPLWQGDWGN DSCQQSDLLEVFSRRIVDFYRTQIGATENTFDIQAAQDSSTILLTYIDRQQKRRLIDH EEYLREVQERFPYVKVQIVDFSSIPFKEQIRIAQRTDILVGVHGVELSHAIFLKPQSV VVEILPSSCNLTMFQHLSRLRGHTYYSTHGAEVEFDLVRRGWDLKDVSMSKGRFLKTL KMAIEGLSDAV TSTA_107930 MKLIDLPTELLQLLTEFLETEKDISALSRTSRHCYSVFIPCLYR FALLWAAKYGNESTARISIQYGANPDPKDDHSSTPLSYAASEGHEAIVKLLLNMDGVN LDSKDNDGRTPLSEAAQKGHEAIVKLLLNTDTVDPDSKDNRGRTPLSYAASEGHEAIV KLLLNMDGVNLDSKDNDGRTPLSRAASRGHEAIVKLLLNMDGVNPDSKDRDSRTPLFY AALRGHEAIVNILLNVDGVDPNSKDYSHQTPLFYAASKGHEAVVKLLLNMHRIDPDSQ DNSRQTSLSEAAQKGHEAIVKLLLNTDTVDPDSKDNYGRTPLVYAASSGREAIVKLLL NMDGVNPDSKDRDGWTPLFYAASEGHKTIVKLLLNMDGVDPNSRTDNGLTPLSMAAYK GHEAVVKLLLNIDTVDPDLKDNNGWTPLSRAASRGHKAIVKLLLNTDRVDPDSKDNNG WTPLFYAASKGHEAIVKLLLNTDGVDPDPKDDGSTPLFYAASKGHEAIVKLLLNTDGV DPDLKNNDGRTPLSIAAYKGHEATVKLLLNTGRVDQDLKDNDGQTPLSRAASEGHEAI VKLLLNTDGVDPDPKDYSC TSTA_107940 MSQEMKFETIPAGTPKSKMSRYPGILQSSRLIYGEATEILYGET LLILHLHPDNYPDIGFRHNPFEDERWEGGYEWPSKDFVAVQYGGEELKGLMEPHVFAR FRRVLICLQSTLKARYIASWANPDISIVKDIKTIFDDHPHPLMFIGKLLRRSFNLQLA GLHLCLVLDGHKLKNVNIQDLIKRFNECSKQIMDDTGLLHGCEKIKACLHATTQNPEQ ECSLLSTDLESHLLSQYRSADGDRR TSTA_107950 MVKGRYDLAQSQGFRAHRLQPRQPYRPISAEVLKAAGVFDPKRF FGVTTLDVVRAETFSRSTVARRTPYRPLSLLLPSQARPAFELPADKYDALVNRVQFSG GEVVKAKDGAASATFSMAYARFRFAESVIKALNQRYGVSP TSTA_107960 MRKSDLYQNVNSWFAVSQILIEILEEHTLNSVFLCDNNSLNAPG FAVTRIRRRQQDRLAEASYSCNCWVEHLCDCYSSQTVQKDKDLQDGSVIQDSLGKKYL QRLEALRGVSEGVFSMSKLEH TSTA_107970 MQISTVLINFALMASSTMAASHRLQGRGPGGEVVVYWGQNAAAA SENNDLSNYCTAGSGINIVVLSFLYEYGNGITIPSGVIGNDCSISTSGEGTNCDALAK QIATCQSNGVKVILSLGGAVGAYSLTSQAEAETIGQNLWDAYGKTSGGSVPRPFGATF VNGWDFDIEANSGNQYYQYMIAKLRSNFVSDSSNTYYITGAPQCPIPEPNMGEIIHAA RFDYLWVQFYNNRDCSYPNTLNYADWVSYVSGTPSANAKIFIGVPASELGSTGTDSGA AYYQCPKTLASTVSSFHTSSKWGGIMMWDAAFSDTNVVDGCNYAQQAHSILTTGSPLC QSVVRW TSTA_107980 MSSKQILLSENVLQQYGTGELAIQLSTASPHLLILSARAGDRIG PVVERIKSAAPHVATRFLEMDLGDMSSIETAIANLHDISKIDHLACVAGVMVPPYRTT KDGFETQFGVNYLGHFMLVDLLLSKIKEAGNGSSITIVASTAVRIGKVDLENIRSTVR SSFPLRARPRCANLFQKGETCDSLMAYCQSNAARVMFAKALADRLAGHGIRVFSIDPG AVQTGIQRHFTPEFGKRARNLALSKDLTDIDGKPFKLPPITTKSEGAATIITGMIDPR IGENNGAFLHDNAVADDELHIHILDKKNQAELWKLTEQMISESQSRNSTLN TSTA_107990 MSRMSYYREMSHRARQALQLDGQNAKQAPEQLATSAAVFQDKVH KEQAHQLDVTSNKLINVHALWRVIRYIYTESYSTEACPLSEPDYENPLLRHVALYAAA NELDLDHLKEIAVAQFRSDCNYQLQCDDTSTKNFFDAIHGAFSITGGENTLAAATVAV ITQNKSIFTKDAKFLDILHSLITKDAKFPDLLLGNPDLLSLIFRQWSSKTSKL TSTA_108000 MARAVTNCRKAVYRIRSNLQRFGDAKAPPNPPRQQQIITPTMLK ALCDHLLEHPTLYLNEMADFLSKKFDEDMAICTISRALASIGWSKKVVRQKAKERNRA LRDEYIHYISDFGAGQLVFVDESGCDKRVGLRRTGWSPLGMAPIQTCKFHRDRRYQIL PAYAHDGIVLSRIFQGSTDATMFEDFIEQLLKHCGKWPEPKSVLVMDNASFHHSDRIN EMCSREGVKLVYLPPYSPDLNPIEEFFAELKAFIRRNWQVYEENPDQGFDHFLQWCVK IVGARDQSAKGHFRHAGLVIEEYALENDVTVHTQLHQ TSTA_108010 MPTKKKKKDRKRNKPELDLELDDDNHTQETQSITSDDDSAEMLN LLARYECLYLNPQYSDLTIICGTEVFPVHRNIVCPRSSYFARSCGGNFKEALGTIELK DQDPNLIRKVLQFLYTGDYTFEIDGDEEKEDNLGQPSSQDHSRGFNACQFHVRMYAQA DYFQIDGLKSRAERYFRGSFLDQPSKDPFEAIITEIYTSIPESDEQIRDAAIELTMDN LETLRNGTEVILLDGFLKRSPAFAADLCIAMLKRRSESQKEEIFRPAASKPLFTLYGG H TSTA_108010 MPTKKKKKDRKRNKPELDLELDDDNHTQETQSITSDDDSAEMLN LLASLYLNPQYSDLTIICGTEVFPVHRNIVCPRSSYFARSCGGNFKEALGTIELKDQD PNLIRKVLQFLYTGDYTFEIDGDEEKEDNLGQPSSQDHSRGFNACQFHVRMYAQADYF QIDGLKSRAERYFRGSFLDQPSKDPFEAIITEIYTSIPESDEQIRDAAIELTMDNLET LRNGTEVILLDGFLKRSPAFAADLCIAMLKRRSESQKEEIFRPAASKPLFTLYGGH TSTA_108020 MTAKLSLEFKSYQEDEDFLSQQQPEPNRRSQTLIFHHNGNKWWI KVTVKGTFTSARNTKEPPVSTKRKRRQEFQDFVRLINFESVSLLKDTVTEIIIGEGLE ATVAIELNHNADTPSNTVAKYARNLQCEIREDPSRVNYPPCQDFPSFRRINATELSDR EEIVDGVFRVTHDRTPLILKVVDRPFYQPRDTEVLRQELENLKEFQGVSNIAQAVGIA VDTNPYSTSTTLDSQQVVVGVLLELYSGGSLQQVFDENCLENYSWQKWPLQIARALHC FHRAGKTHLDVKPSNVVLDASGNAILIDISGVGGITRAWCAPEIRNTLSPFELSFNIR QWSDVWAYGRLLLEITKHAEKSPYVKHLESIADELMKEDIHRPIESAGPTAMVYNTLM NFSRILPINIILVESPIGSATYMKLDTHIITRHIGDLAPGPSRLRFGFLSHPAIPQWG EPLVEASSPVPGSQAVFRSLAGPHEDLRVL TSTA_108030 MSIGIRVGGFDPFGSLDYVPPGQDSHQDGTAFYSFSKERNHISK PLGEPNCESVPNPMSSSFSASPISTPIATPLRFNSVSGDYLYKPESDLPSRIGSYYQN STRKSRFGWERSEAGGKTDQFIPATVVLDVKTGPKYTFSTGTEIKINQSFLGTLENSI VTLKPGDISFEYEGERFHGMLSQIPSGQYIIGGPGVDISTLSHGWIDVVDGPDGKHFL ADWKVKEVKDGMNVQEQLRLGIGGDIMPSLNGKFISGTVQINKNGLHRGLQVKVGKTT WGEYIGQIGATFGW TSTA_108040 MVKKRFQHQWDHCSWSAGTIWRSLDTAETSQKWGRKTIDESLRR KAISNFAVPSNSNVVDPIVVPDLPLDEAVKRYAEWHKTQVASQKMKDNVDLACQIALA NGYDLSQIDKDRNWNEYADEGVMKGVAIRWTGQVREWLNHYEPLF TSTA_108050 MAPAEYQNTFSNSLNYGLQVGHNTGNIETHHHYAADNPLTRLIY SKNARFNSNYRQHAQICHENTRTDLLRDIYNWVDGEDERHIFWLQGLAGTGKSTIAQT VAKHYYDLSPKRLAASFFFARGGGDASHAGLFATSIAVQLANDVPQLHDAVCMAITKC SDIASRSLSDQWRRLVLQPFSSLETNSPSSYLIVIDALDECNGKNDIQTIFQLLSEAR QLIPLRLRFFLTSRPDTVIRRGFQEVPTVDRRDVILHQIERALVNHDIALYCNHELAL IRQEQYLEPDWPILEEIQRLVNKSSGLFIWAATACRYIRQGRNNAEERLSMVLNTQIH DSGPEKELDAIYTMVLINSVPGNFSNEEKEKLYARLVHLLGSVVILFAPLSIHALNTL LDISVNEIKRTIIDLHSILHVPLEEHNDLRLHHPSFRDYLVNPTRCGLKSLQVSEEGA HRRLLFDCLDLMSATLKENICDLDYSNPGILRAEVEERHVQQSIHPALRYACLYWVQH LQRSSQMIYDKDQVDNFMRQHLLAWLEALSWMGRAAESIQVVTCLQSIASDVRRFISY TYPIVERAPLQLYLSALIFTPESSHIRSHFQRRVFRWMKKLPVTEKNWSSLLQTLESH AGRVNAIAFSPDGTMLASASFDCTVQLWDTATGSARQTLEGHTDRVTAIAFSLDGTML ASASGDRTVRLWDTATGNARKTLEGHTDWVRAIAFSPDGTMLASASDDCTVRLWDTAT GNARKTLEGHTDEARAIAFSPDGTMLASASEDHTVRLWDTATGNARKTLKGHTDWVRA IAFSPDGTMLASASYDCTVRLWDTATGNARQTLKGHTDWVRAIAFSPDGTMLASASGD RTVRLWDTATGNARKTLEGHTDEVRAIAFSPDGTVLASASDDCTVRLWDTATGNARQT LKGHTDRVKVIAFSPDGIMLASASYDCTIRLWDTATENTRQTLEGHTDRVKAMAFSPD GTVLASASDDCTVRLWDTATGNARKTLEGHTDELRAIAFSPDGTMLASASGDRTVRLW DTATGNARQTLKGHTNSVNAIAFSLDGTMLASASYDCTIRLWNTVTGVYQTLEGHTHS VTAIAFSPDGTVLITDKGRIHINSHDVTSHSQPQHVVPAPKVVIENQWIACNGYNILW LPPEYRSNVSAVYATKVAIGCSLGQVLLFELDIF TSTA_108060 MQLLVILFSLFASTTYHSVAMSYSFRQDDNISPQPYDSWDNDLL YAPTPGNFSTASSPPIHSPQPTTFLEYPSQREPQSDQLCFVPPTLWEKDKTYDEHPPI YLHYLIDWKVKLNNRTITKVTEPDVVLAPGAYWQKVLREKVERVKSRKVFSDRRARLE ETTVVASVLNDRSQKLHQQAEGIDIDWGMTGKQLLEWKELFRRGKKLRLDVCVNYVAD DNEQSSTRNGEKRNTRSVTNAMLAEREARIDAEQSSGQSSPWRDVYDKMKCPGPPCKN SEGYCWQDPVGKKHYRLNTHHLTHLVDMVKKKRLFLETHDDVPEMIREQLYAEERLSL ERKKRPKGNSLIEAPYLPININVLSTPSPQPSIVATPAGSPPSVLHTHSKSPSACNTL LSF TSTA_108070 MHAFKDKAISPINYVQRVKAQAIMGTFLTIATSVVEAEAHIAPA QSQKFRRFTAHAILGGRYAEILKWTPLWEERVQTNISETPETHTVAGRLMQIVVVGFG GAIQRKSPQYKKLKLKTFFFTLG TSTA_108080 MGDWAYCVERSGATFEADKTAIIYFTPKAHKLEQERFTIKGQAI KPKDYIKILGIIIDTRLKYKEHIARTTSKGLEVAMELRWLRGLSPLIARQLFTSTIIT AMDYASN TSTA_108090 MVPSAWREGRWAIRSMLWINKDVEAEQVLIESLDLTAAVIRLPE RLIFMASVYVEHGDAQALRDTCNHLRKAITKGGDDVSLDRQGEADLIINFMNEFTLTS LLKRGTKTWQGRGQGGDYKSTIDLILASENLIDSIVKCAIHGTEHSSDHRAIETVFDV PRPDMNHRE TSTA_108100 MRMTDLPTELSILIATFLQKERDINNLTQVNKKLYYDLNWYLYK HNYLHGNSSALRWAAKYGEKSTAVMSMRERASITSIDKYNMTPLSWAAAGGHIAIVEL LLKSAGVICADDEAANGNSIIEKPPPIGDSFTSLNMEYYHDTKQLWKKLACKLSTATR RVPEFNLNCRDHLNQTPLSLAAAGGHYAVVAVLLNIAEVEIDSRDDNGRTPLWRAASV GSVQVAKLLLETGKVDPDCRDSYNETPLQQAVIYGHEEVVRLLLKTGVVDLHGRDRFG RTLLHLAIIQRHEAVANVLIETKNFDLNSKDHWGQTPLRLAAAHRCGATVRLLLDTDS VDVNCADYQGRTPLSLAAGNGYETITRLLIEKDETELNSKDRLDQTSLWWAATQGHAS IVKLLLETPGIDSECIDIDEIWDLDTLKANSLED TSTA_108110 MTVHTDRRLHSKFGTFLRLGVVLAGIYWLFKLYLHYQRRVRQPQ PNVGKVTMVYGNNSIYERAVNTHKVHSRRFDYPHFILRRPILDGVWNKYAILLSVLLR ELEKPAERRLQWLFWTDADTVLMNPNLPLETFLPPPDVLNVHLLLTKDWNGMNNGVFP IRVHPWSVELLSAALAYPVMNPNVELF TSTA_108120 MPNLPRPWQALTYFASKTGSPTSTELAQHLDLNCSKLAIKSALN SRGYYRYIARRKPPLSSINKAKRLRWAQEHINWTYNDWKTILWTDESWVLGNRHTRTW ITRRKDEIYDDMCVVEHFISQYPHLSLMQDGAPGHGAQATQNKIREYGINMIYWPLYF LDLNPIEVVNFRDQMSTIELRIALQEAWDAVPEDFLVELVESMPERCKAVVEVGGGYT STHNIRDSRKSRHPGGQRDASSQRLTHAYEEVPLSMNIPEDTERPSAILIIPPAYPTD QPPTTAQYVHWLNIDISALRPRLTVTIQTRANGGEPLDRKAWNAGGLLKAWLLSSQRQ EVCIVISVLPGP TSTA_108120 MPNLPRPWQALTYFASKTGSPTSTELAQHLDLNCSKLAIKSALN SRGYYRYIARRKPPLSSINKAKRLRWAQEHINWTYNDWKTILWTDESWVLGNRHTRTW ITRRKDEIYDDMCVVEHFISQYPHLSLMQDGAPGHGAQATQNKIREYGINMIYWPLYF LDLNPIEVVNFRDQMSTIELRIALQEAWDAVPEDFLVELVESMPERCKAVVEVGGGYT STHNIRDSRKSRHPGGQRDASSQRLTHAYEEVPLSMNIPEDTERPSAILIIPPAYPTD QPPTTAQYVHWLNIDISALRPRLTVTIQTRANGGEPLDRKAWNAGGLLKAWLLSSQRQ EVCIVISVLPGP TSTA_108130 MEAIADDQGALEKNQHSLHFLDRDRAYLIAEVNPTLANQVWWPQ RNADSADMFLFMLKSQWITDKYYEEYPNSDFTELWEVRENRGVNSQGGLRKYADKHGM DYNRLRDIVQVTQKARAIEAEMETPGLSKSMYFAFKRLRAVRFEQIGPFCVLFRTSES FEKLKHYAPKALALWNDYAAIIDQHRQNR TSTA_108140 MITRCRKRLLNLARHQTNLPMNFNDTRVSLAQKASTTPMSEPKS EENTSKSKATTFEMKGLPLNMKDLPASSIIPSDRASSTAASEAIHIDAQSSSKSFKYI ILSEEVAENRIKGSSKYNIGWICATSTKYVAAKGLLDKEHESLEYGSADDNNFDTLGE MEKHKVVIPRLPKWEYEFAAAASVARDMARTFPHVQIGLMVGIGGGAPSKKHDIRISP TASGGLHNHVLVKNKWVARNDTNVIWLTAEYRASYSAVSWGMLILGQLTFLKLT TSTA_108150 MTSNLRRGAADSREAVVKRKNPAGWPTNLVLWIASFATGRSVQI RLDGEIGPSTDIACGLPQGSPVSGILFMLYIAPLFRLGNPRNKFGYADDAANLAISTS LATNCEALSDSLQEALNWGAAEGITFAPDKYELLHFSRRKADQDPTCTPSVKAGSITV SENTKRLYLRWLGILYDKKLTFKWHVGETASKALTVANALRSLGNTARGVKPYLLQQA VLACVLHKAYYGAETWWPGRTRPGPTQTSNRVGEHLKKLTKVVLTGARAVLPVFRTTP ISVLYRESGFSPPEIELDRIALLATVRLRRLDPYHPLRRRAEQVASNSRQTSRFARRI LALPNSEQINPLQHAPWHPRETRENAQARIGAPMGRNGSRLVDGRAGGGYIGFQAHNQ FLRSSLSYGHGKEVFDAEAEAALAGAQAAIAYPTAQFATNLWICLDNLEVATRLLSPS TGSSQEAFESFRTLAAGWPLRERLPHTKSGSVQIRWVPGHTKIPENEAADSAAKEGAA STPPSPCKSSYASLKRHAKTQSLSAAQTRWQTIAPQTYQDLEITTSPKRPGELQLNRL DLGHIIAARTGHGDFADYHERFNHDDAHLLCRCGARKAPLHFFFCYIAKRRAPRPPGP PSEVISFLLGTAKGAQKLATWLAETRFFEDICPRQPLLST TSTA_108160 MDTADRASWLAQIQRDRAKRLELDKTEVDLRRRRTHLDLQDDDD NQEENREPPPEVKPLIDMFPGVSAALLTRIFERKLKATELLRFKEKSVIDADQANGVF KMTESGGTVGFKKAASSLKDWGPNPQIWTSCFLTYLAVIGYLFGDKHPKAVPNLLMFM RQILDFAQTYQWPEAVLPLALNFHQYLLDKARPNTALQYPVFNTELPKLYPSPSPLHP QRWRNLLRYYPGELGSTLAGILTYGVQIGYRGKKHACHSTNHYIHEPSIITEKLAEDL NLHRVKLAFKSSFISPLGLVPKHDGGWRRIHDLSWPPGCGVNQGIPDNWSAIEYMKID DIYDQIIKAGSGCTIIKRDIKDAFRIVPVAQDNQYLLAFQWNNSTYVECCLLFGLATA PFLFNLFAEALHWVLQCLLPTFYINHYLDDFIAVTHSPSMSNPAGAFDKVYHTVTDYL GIPRNNRKDEQGTCVIVLGIQIDSIAMEARLPQEKLCRATLDAAAALNATSLSLKQVE SLTGLLAFCSRVVRLGRTRLQSLYTFQIAFPRGSCTRRRIPYEVRDDLEWWRDSLSLF NGVLLVDPCRRNITHLYTDASTTGQGLFFFSSKSTLDCWRTHCHQLQSCNAASLALAQ DAHAHINTTEVDAILQGFLLFSHHWLHHTLVIHTDSSTAYTGLSKGFLRGPPNVPLKS LLILAAARDIQIVPHWLPSGENTLADALSRNNFQEIANLCPHWQDLSVLNRPHGSLCE LISLVQAI TSTA_108170 MAPETHPMTEEQLVHEVEAIYAGLVIVEIGCIRTVKKLYNEPEE LTVLQWQDLTAEHRVLLHQHFDFFLASSHPVANKSLKTLANTYSMPTRLWRHGIHSFL ELLRKKLPSSLGHMHEFINIAYKNITSLLESVPDYKETWIECLGDLARYRMAIEEKDM GQRELYTRIARYWYTKAADLNPDVGRVQHHLAVLARPNLLQQLFYYTKALTSVQPFTE ARKSILLLFGPLLDPAKAATKYSEHYPRALTVFVEAHGVLFTRNDAFTFLRLAEKFLS ELDKHARLVGPLFREQGVYITASNYAAIFDYGHDDAKIPSMFNQDGLIQTGTFEILEQ ACKYRQNPACVQVGIEHRVDGISSSEQVASMASHFAFATLNVLLDRSEDRNILPSVHV SLAFLWCMAMVPESMTRIQADVPWERLATYLNTLINPDTDMAGIENGEFPAQESGLRQ LPEDFLIHGLSWSRMYYPLDFFSDMAEDDERSIELPSVMVPRTKRCLWLASKIAKFNC WLVYNAKDCRFCATKFAHDLATLSQKYQIIRRTDSIISSSI TSTA_108180 MTTMDWLVGNKYRVESKIGSGSFGDIYIGFNIISGEEVAIKLES VKAKHPRLKHEAHVYKHLVGGVGIPFVHWFGTEYGYDVMVIDLLGPSLEDLFNFCNRK FSLKTVLLLADRLISRIEYIHSKSFIHRDIKPENFLTGIGKCGNQVNVIDFGLAKLYR DPRTHSHISYSENKNLTGTARFASINTHMGVEQSRRDDMESLGYMMLYFCRGSLPWQG LKATTKKQKYKKIMEKKKTTPIEVLCRGFPNEFVIYLYYARSLGFDDKPDYSYLRKIF RDLFVRESFQDDYVFDWTVYKYQKNAAIIVDANNPRKNKETKEQQCRATQAAPTLPNQ VAARNRI TSTA_108180 MTTMDWLVGNKYRVESKIGSGSFGDIYIGFNIISGEEVAIKLES VKAKHPRLKHEAHVYKHLVGGVGIPFVHWFGTEYGYDVMVIDLLGPSLEDLFNFCNRK FSLKTVLLLADRLISRIEYIHSKSFIHRDIKPENFLTGIGKCGNQVNVIDFGLAKLYR DPRTHSHISYSENKNLTGTARFASINTHMGVEQSRRDDMESLGYMMLYFCRGSLPWQG LKATTKKQKYKKIMEKKKTTPIEVLCRGFPNEFVIYLYYARSLGFDDKPDYSYLRKIF RDLFVRESFQDDYVFDWTVYKYQKNAAIIVDANNPRKNKETKEQQCRATQAAPTLPNQ VAARNRI TSTA_108190 MKPVHPVAPAGRKEAIANTAIPINLLKKVACHVIFGIQKLESNT VDGATRTTESDGDLSIPVEHCTAAQNLLSWSSIQRLLRQPDFDIDYVMGLEEGRGVIR IYGHGEGKDDGGSHWGDGLPISPPTNEVMERRVDGIDKYGALDTCHNTLWQYLSLHPI LDKFALEQKIMNFSNQYSNSNRRIDRSIDNAVILLVVALGAICECKDRIPPLATVEDA ARNVHVIPGLAYYAHATDILGNMQGGTSLSNVQAALLAGLYMGQLVHPFQSHGWISQA ATACQTLVHRRNYSILQDSRMKDLCSAAYWACLQHESDILAELDLPPSGISRAEDRID LPKGLYYEGDTRIMLFYSAQIHLRKVLNQVHTNLYQTSNCKKLLTPHNVLQVLGNSLD LWRKNLPCEMQWNDNDEPSSDINTAQLRAKYYGARYIIYRPLLRYALDLGQTLKSIDT TVSWGVLLQIVQNACEICISAAIRSTRVFHNIQERPIITNIFGTAHAQFGNILVLSTT YASYMRHLVERSELESLLKRTVAFLEDYKHLSPMLSADAEILNKIYPRIFCE TSTA_108200 MVNENTTPSDNDQDNTGEHQYQDSPPGDGRVSLSIYQIVPESNF PDDRWMTEIGFNNETGRNALYYAACNQYVDYEIFMWLLDYFGNWTHDDFKRLSVHIQK KIKDMLMDRGIFVDYIGRKKTIAKALDDLVQMTRMPEWPHEIAAAKAFDSRSKMAKGQ FPQLATKNGSEEEEPEVIASLIEDGREDYEKGKQPLVETPKQASQDHDQQPKNHAQND KPSRPHDHLSLPGPAYTYPYRSKLEDDWRRQTPRYTIAPPAPEISMSSEKWDDWTMLP PREVGCEHIDSKSMLTFVKIWDKKLTYSGDRYDILDDKVRAFLRACKLSSISISQCWE VFPEMLSGRAGAYHMHHIKPGASFAQAYNAIKAYFDTPNNQTDYYQEWTTVTLAGERQ SNPSKSWVEVVDLMVDKLHLCQRALGSAYAGDEHLIAAITRACQSTPEMGEALSEPTS SFQTIISKLRARAGVVQRKELASQYVVDEVNNPQVFYTDRKFMGRTNRNNSPISRQEY RRKNRDDAKQLRKSNGRCFICHRENCHSWKHSEEERREARDRYDRYRQVDGRKKLSTR AYQTFLQEYEGTSSEEEDDDSTEEEVKQDVTTAYFMVNQLQDRAFIHRITGCNNGIEP PGFHLDFEVKSPDQSEGENNYPAPASQFLLDPHENETFYGIMPDTGASTVSTVGKGQL AAFLRLYPHTKVDRSRAGEYSVRFGMGNPIISTAVITVESQFGDIEFNTMDSPTPFLF CLKDMDRLRVKFDNLTNEMIQGDLIVPVIRKRGHPWFFLDEKYAPEAFLTEVEMRRLH RRFGHPAVDRLHKVLKRAGHLDVDYKVLAQIEEFCHHCQMNRQAPKRFKFTLHDDCEY NYEIVVDVMYLDGKPVLHIVDWATSFQAAKFLKSLSTKDTWEALRAAWIDTYLGPPDV ISHDAGTNFAAVEFRTEAKMMGIQCHQVPVEAHNAIGKVERYHTPLRRAYNIILSELG ASVDKEIILQMAVKAVNDTVGPDGLVPTVLVFGAYPRMTYDSPPSALTAKRAQAMRKA MIDLRNAMATRKVNDALKARNGPIVTETLNLAPGTDVQVWREGKGWTGPHKVISVNDY NVIVDLPSGVTDFRATSVRRYQRDEIESSPTRRLLGTDLPPQKEEEGRKIDGLLAGRK SGPAFARGSSSCDAAAGALQEVESGEPAGAANPNRRLRTRGIHVPDAPVMPPAPRRRG RPPGSKNKPKTYTNEVEVFLSRKEKDDLELAVKLRREGKITADGAPFELSAMAEIDGL IASGTFKIMHHDDLDLRGVRIFNSRLVNEIKGKNEKPYEKSRLVIQGYNDAGKTGILT QAPTIQRASQRLVVSLIATLILMGMVVDLRDITQAYTQSKSKLQRLIVANLPAEMRDK YPPDSLLLVEGALYGIPEAGVHWFDTYQSHHKDRLGMETSTYDPCLLMTTKGKENFGL VGMQTDDTLLVSTESFAGEEQVALQEAGFKAKPKTRLSQQVPIEFNGARITLQDEKVY FRQKGQAAKIKPVGKEDRAQKYVEQRARGAYLASICQPEAAYDLAVAAQLQEKDRSEA DYDALNKRLIWQAENPDRGLCFVPIDLTKAKIMIFTDGSFANNRDLTSQIGFLIAMVN EDFSESGQFTITGNILHWASSKCKRITRSVLASEIYGLTTGFDQGFTLASTVNMITKR LGQPEMPVVVCTDSYSLYECLTKLGTTKEKRLMIDLMALRQSYERHEIDEIRWIHGDD NPADAFTKSNPNKALRDFVGSNKVTIRVEGFVERTRID TSTA_108210 MGHGKKLQSASSSIHLSLDIWTSPNRILFLGICAHFVEQSQERL CKGLLALRTVGNHSGDEQFATLLPVLKDYGIQQKLCRVLGKYLQEEGIKWDPTYRRIF CIGHVINLAVQAFLFQNILEIEQLSSWDEIEVTEATEDEELQRQVTIYKIGPLGKLHN IVTHIRCSAGRTKEFKDLAGRLIPLDNRTRWNSWYHILYVVLQFDGAIDTYTKRHFAI LEIEYLSPLDWERLHRTSKFLSLFNHATLKTQEDQATIDNVLFVMDIIIKHFEKALEE YRQKAPTYMVVQSYERNTQDLDDFDQIAHDLGKFARPASQDEYEDYNSESPYEIRTSA LTWWCQD TSTA_108220 MTAVNTSMSIRSLLNPQQEDADIKGRRHPFDSSIRRLQINITKD TQAGRRHQLPPKTHHGSHEQRYLTKIGGSNKELQRKASVGRHKYEDSNLVFSAYSTST TISGHRRPPRPRYTREEMHFIWYHRVDLEKEWPDCIAAFNEHFCSQGHTKRNIPGIQC KFYRFINAQKCPTVQKPQRSKNRILPEHGVVDWCRVSYPWMKAEGGGS TSTA_108230 MSASPSKDESRSDYVANRTPVDANIDHTSSMRYWNKTPATVNAM LGDLGSFSWYSRIDLRGSANFLAKVRRLVPSTMTQKRFKLGVDCGAGIGRVTSGLLQQ VCEVVDAVEPVENFASLLRQAPLNEHGSVGDIYVTGLENWYPTKKYDLIWYTQLTEYL VRCRAALTETGIMIIKENISSDPAGNDMYDDLDSSVTRSDRKFREKFKESGMTLVTSE IQGGFPKKYKLLPVRSYALRPQARA TSTA_108240 MDEKGFMLGITTKEKRIFSRRKYEHGGLKQHLQDGNREWITTIA SSDSGWTNHELGYQWLVDVFDKETKSQASRGWRLLILDGHGSHVNMRFIEYCDRNRIL LAIFPAHATRTLQPLDVALFAPLSKAYTKELRRFLDDCQGLTRLSKRDFFRLFWASWK IAFTSDNINSAFKNTGFYPFNPELAIQRFTQKPEILPSSSESSASIIKAEDWRRISKL VKDAVSNVYEEKAHQLHDTMLHLSTENILLKAQVEGLQRGISNINKRQAKKRTLLLDL PAENEGGAIFFSPSKVQQARDLQLQKDENAAQEQARKDDKASAAA TSTA_108250 MLVTSLKKQQLFNAHDAGNGIMHDPVHAPLDADYAAPQSILKRA MSTAAQPQSLINALLDAHLKILQLNVEHAPDAHEIALTLAYTSDINIILIQEPYTFKD LSRQITKKHPSYECFSPTDSWAISGRPRVLTYVRKKKGIRTSQLRPFTTDTKEASDLL FLQIFSPTGKSALIVNIYNAPAGCSRAGEAAKALTTLPEAYFPQTTILAGDLNLLHNR WQPSLQRSPTTFAEPFINWLDLQGLVLISDIDCPTHERGNVLDLSFASSPLALAGAKA SIASHLDATSDHQPLITTVPWDQRYKETAQKLRFDTLDHTSFLSLLASNLAGTESSAA TEEDLDALAEKLTSAIQ TSTA_108260 MSKWHKSTGIFRSPPLKDPLRPNSLPAVTVHEKRDVLVRNLLQN SAEAGDIPLDSPAVPPTSLYFPDISMLQVEESVLQAGNTAPGADEIPTCILKVAWPLI KDKTNRSPI TSTA_108270 MTASLLTLDIKGAFDSVLPGRLIHRLREQGWPTNLVLWIASFTT GRSVQIRLDGEIGPSTNITCGLPQGSPVSGILFMLYIAPLFRLGNPRNRFGYADDAAN LAISTSLATNCEALSDSLQEALNWGAAEGITFAPDKYELLHFSQHKADQDPTRTPSVK ARSITISENTKRLYLQWLGILFDKKLTFKWHVRETASKALTMANALRSLGNTVQGVKP DLLQQAVSACVLHKAYYGAETWWPGRTRPGPSQISNRVGEHLEKLTKVILTGARAVLP VFRTTLKPVLYRESRFSPPEIELDRIALLATVRLRRLDPYHPLRRRAEQIASNGQQIS RFARRTLALPNSEQINPLQYAPWHPREPRGNAQARIGAPMGRTKEQAAANFMAFQRTI PSSDIFLRSSLSYGHGKEVFNAEAEAALAGAQAAIAYPTAQFATNLWICLDNLEVAIR LLSPSTGSSQEIFESFHTLAAAWPLRKRLPHTKSGSIQIRWVPGHVKIPENEAADLAA KEGAASIPPAPHKSSYASLKRYAKTQSLSAAQSQWEKVAPQSYQDLEITTSPKRPGEL QLNRLDLGHVIAARTGHGDFADYHERFNHDDAYLLCRCGARKAPLHFFFCHIAKRRAP RPPGPPSEVISFLLGTAKGAQKLATWLAETHFFEDICPRQPLLST TSTA_108280 MPSNKDRLYVGLYARGGNPTMPGKEDTYHWALIVGPKVEAEDGI GVRYHAKERPKLGGGSEWFFEERECPLAPTSMLLVRIVIGKIEDGNRLVQILRNTPIR QGQPGWNCVGWVKEALEKLRVDPKALGTSIVEWEKVRGEAMNYCQRKKDQHRFDGQGN FDMRKVPTYDLMERKEIIV TSTA_108290 MSSEKWSSPSPSTSLPATSKPINDNVLYRYSTLLAIKVLKRIRP REGNVLMLTDRLCVKYGRRVHLSEASTMHFLSQHTSLPVPRVLCAFTYSKRTYIVMER IKGDIIGNGWIKRSEESKTKLLSQLGKLIREMRDLPPPKGVGVASIDGGSLFDCRVPG HSLRFGPFDTIQDFHRHIRMGMDFDSSLDPEIQELIKLQNKTWPLVFTHGDLSSLNIL VRGDNIVGIIDWETAGWYPSYWEYTSAHQVNPQNSFWPMPEELAMERLRQKHFGDI TSTA_108300 MNALPIELLEMVASYFEDIDFFNIRLVSRHLQGQLLPYFSRRLE TLQDITNHPVFCPSLHRLVITPYHLSDHHLVTMQVSKGVTISEERYLELLAEQNYLKK TGYYAASLAKVLSKSRNCKTIAINDDWDHQTWGLGWFKEQTGIYPSSILETEESLQFL TEMIPIIFTAVTTSRTTLQRFNLDVRRGNFLPKPSMLQIPEASITNKAFLSALHTLGL FLDTPTEADVAAWATDLGRFIMHFDRLEELSLEFDYRMEARYLKALHENIRLEKLCAL RISALEGGEEELDLILVSYKATLREVILDTISLPSLESWRRLLKRIRDHLSLTYLELS NCSVDDAYAVILQDTTPPVDDSSDHTIIAEGEEMMGHLSENLILRPLYEHN TSTA_108310 MTHSTNLRVSVITYAVFHVVTYKPLVALIATKMAPNLPPSTREF IHGMLMSNELTTSEIADAAKCDPSTVSRHRTNLHLFGTTTAPPNKKGRPRSLPPVMIK ALCDHLLEKPQLYLDEMIVFIWDEFGKRVNEWSISRALKREGWSKKTSKRKARQRNPE LRDGYLHLISDFQSYHLVYVDESGCDKRAGFRRTGWSPLGTSPSQVAQFNRDQRYQIL PAYAQDGIIMCRIFKGSTDASFFEDFIEELLHHCGKWPEPKSVIIMDNASFHHSDKLE DMCSRAGVKLVYLPPYSPDLNPIEEFFAELKAFIRRHWQYYEDNPDQGFDSFLDWCVE KVGERAGSAKGHFRNAGLTIEEAPSCK TSTA_108320 MLQQDIKNWARSYALSDISTLQITDRGKNNVISKLDSYFRYRDW ETLVSGTKGYVRKLLPRILVEALLAKDIFENIFGNPFFCFDEETKQEEQSRSSFGTQL LELYHEMQKVDKARAHVWRSDTLRLLNTPTESGLESGLTSKVQEAKIRLKNGRAHPFR FPGPEPSLTSKMRESRKRVSMKRAEDFLQGPVQSLRGKLNNECEKSLAEIYIWAAELA ALLWTERAYMTIDRLPRLDVFSMKSPEMLAHPSHQIYEKYEKDDRLDQNNILLVVYPL VSAFGYDDGDSYDQDTVWAEGIVLIEDRLVTQY TSTA_108330 MAEALDSAQEVYEGALKSFDEAFHSLGVVTKETVKRFQPDVDKL AEALENFRELLESRPRKDWTGKQSNLQWSFHGVLGEIKIAQTVIKNGILYKWSVCYLE DAVRVYNEKGHLLHFNYDKASNKLEWVITNDSYPLNLPEPSYELLDTKWIRGA TSTA_108340 MLNGALKDLHREIKPPDRIHILNEILREIVKIGEIPGAETCESC VIQAPSISPNAGETIAPRQAEKSSGMQKSIATLQRLAKIIQGQQRDIKFLKMNMAQLI GVQTSPHNTLATDLEQSNESSKQHQRQHVDPQSESQTDLQGLSVTSPYRYHHGTTDLY NYDSGYTLNCFPGAERPNRQLTTGPVSLRHNSFVQILPHPDGLKQLDTGRRERGSHNP WAEEKYTFIETLRTHGVSWPIVKTAFQEKYRENCSVESLQMRLLRRVRGNKGNQKNAR TLASNKKR TSTA_108350 MNSINDFTNFCKRLEGFIHVFQSGDPDPLSFMHKKQLNAWKALL NNELTGKSKTQIHVREVARNFASKIRKVAGNETLFLTVLIYAISRVPKITYETFYADL TKWSESTHFPKSLHDQASSLWNEPGNRPSVQQEANNHRLSSRTTAQTTGDAHLRNPTT TNEEIESRIAPNKPLEIAFLVPPDSLDAFYKLHKDSLASQQHSAILTIPTQDRVASLV LSIPRTEAVSQGDRFGLPMIFNSESPSV TSTA_108350 MNSINDFTNFCKRLEGFIHVFQSGDPDPLSFMHKKQLNAWKALL NNELTGKSKTQIHVREVARNFASKIRKVAGNETLFLTVLIYAISRVPKITYETFYADL TKWSESTHFPKSLHDQASSLWNEPGNRPSVQQEANNHRLSSRTTAQTTGDAHLRNPTT TNEEIESRIAPNKPLEIAFLVPPDSLDAFYKLHKDSLASQQHSAILTIPTQDRVASLV LSIPRTEAVSQGDRFGLPMIFNSESPSV TSTA_108360 MLKHAQGNTGKLHIFRISALTREQYICDAFLRVKKPSTWYESNI MALENSLNQKEITTRFAVVPLGYGWYLACPQTRDITRVIVSHYRAKLFDDSEKTHPFS EYVEGHPGTGLKAQIEWQMSVGNAIQISRITAKKKEWLDWMEDVYQGMKLSLEATKSR ERQAAGDIADVSLPQLGNQGFSDSYTPYWITEEEANIKDLFTKNGIGLADAPYIIQLE HGVLVKLNRSEKLQQLFSENIHEAFDKESSLICLCGYEGSLSIVLDRYKKYLSSQRDS VKSWISA TSTA_108370 MARKGPGTDGPLQTALLESTSAATTRASEGQKIFSPIAAFLDKH RSQTTGLAPHLLRALTALSDDLASVAQQHFNAYISGISTTSILPALSPSPSSSPILNP LPPSPPPSRPPSGLNQSTYATITQYAPVKSTPTTHSKSPVKKPMPLVKQPLPDNRLFV RLPADHAARKMEAYAIYSSLRSQLNSNSAVLKEVQATKTGFALCPSSPEALLALEAQK ETISAFFVNCQIERSSRWVSYRVTNVPRKIGQILDGRYSLIPINPTLLSLEISETTGL KPISISETATSAANPNTLSSSWFVNFPEGTKSPLPIQLRLFGTITNARHLSKRTTVIQ CTRCWKWHNTRSCARPSRCRLCGSLEHTEEGHVNRCTALEPHQCPPRCIHCHGPHPAD FSECLLRPRGNTKHTKAQQAEIRKSCAINLAKARTEGGCSPQLSIGTQETPMAIDEVP SQPPTHGITSPFRSVTPPPRAPTEDPPITARAKAPLKILQLNVGHAPDAHEIALTLAY TSDIDIILIQEPYTFKDLSRQITKKHPSYECFSPTDSWAISGRPRVLTYVRKKKGIRT SQLRPFTTDTKEASDLLFLQIFSPTGKSALIVNIYNAPAGSIRAGEAAKALTTLPEAY LPQATILAGDLNLLHNRWQPSLHRSPTPFAEPFINWLDLQGLVLISDIDCPTHERGNV LDLSFASSPLALAGAKASIASHLDATSDHQPLITTVPWDQRYKETAQKLRFDTLDHTS FLSLLASNLAGTESSAATEEDLDAFAEKLTSAIQGAYRGSAKRTMTQGIGQPWWNEDC KKALHNYRLGLCSKTDFRRITRWSQRQFWREKLSTVTQMKDVFDMSKWHKSTGIFRSP PLKDPLRPNSLPAVTVHEKRDVLVRNLLQNSAEAGDIPLDSPTVPSTSLYFPDISMLQ VEESVLQAGNTAPGADEIPTCILKVAWPLIKDKVLMLYQGCLKIGHHPKCFRHAILAI IQKPKKTDWSSPRSYRPIALLSVLGKGLERLVARNMAWISIHHKVLARQQFGALPLRS ATDLTTCLTHDVEQALNQGMTASLLTLDVKGAFDSVLPGRLIRRLREQGWPTNLVLWI ASFATGRSVQIRLDGEIGPSTDITCGLPQGSPVSGILFMLYIAPLFRLGNPRNRFGYA DDAANLAISTSLTTNCEALSDLLQEALNWGAAEGITFAPDKYELLHFSRHKADQDPTR TPSVKAGSITISENTKRLYLRWLGILFDKKLTFKWHVRETASKALTVANALRSLGNTV RGVKPDLLQQAVLACVLHKAYYGAETWWPGRTRPGPSQISNRVGEHLKKLTKVILTGA RAVLPVFRTTPISVLYRESGFSPPEIELDRIALLATVRLRRLDPYHPLRRRAEQIASN GRQISRFARRTLALPNSEQINPLQYAPWYPREPRGNAQARIGAPMGRTKEQAAANFMA FQRTIPSSDIVIFSDGSRLADGRAGGGYIGFQAHNQFLRSSLSYGHGKEVFDAEAEAA LAGAQAAIAYPTAQFATNLWICLDNLEVATRLLSPSTGSSQEAFESFRTLAAGWPLRE RLPHTKSGSVQIRWVPGHTKIPENEAADSAAKEGAASTPPSPYKSSYASLKRHAKTQS LSAAQTRWQTIAPQTYQDLEITTSPKHPGELQLNRLDLGHIIAARTGHGDFADYHERF NHDDAHLLCRCGARKAPLHFFFCYIAKRRAPRPPGPPSEVISFLLGTAKGAQKLATWL AETRFF TSTA_108380 MDTRKNIVILKTTDDWRKWIEQLSTEAMKENVWEYINPDPNRMV LEPAPAKPTEPVAPEIDFSKTSEAQLLLQKYQIESNTYERQLSRYENTRNLKKELAPK PNRERALLIEQHRELIMTKNSLKPKELIQKWRDLIIDMKFAKFTEIPDDRLTRDFIKT TENVLPKFYETWTTRMIEFDLDSGATNLIEIPTVDEIISQFEQWEEVYTKSNPPSRRD IAMATFGDKSDQTEKEKQDTTPKQKTRTCICGQEHLFEDCPYVNSKKRTVNWKPDEAI QKKFEQLERRHGHPRAKMLQRIKKKLEKEGSSGTKVSFLTDAKNDNGNKESANLLYDS DEYIGILLNAKQPSLNPPISTALSASSRNLDIKEMTLLDSGATVHITNKRDKLINMQS NVRTIMAGKTEIQMYGPGQYILHPTDPISDKVICKGIRILEMWYVEGFPTTIISMSQL RSHGIKYDGKTDRLWSERTNEDLCHVKCTGKLYLLEWNSNKNSKTSLSKELALSSFDK RILKDPAQVWHKRFAHVSNKSIENIEKATEGANITAPFQKRNEEGFEEKCEVCAITKI RKKISRVPMTPPTRPFQKLFVDIIVMNLAMNKDSYALHAVDPYTKFHILTTTRTKSVN FNLENMIEEIEHTFKTRIEEIQLDGESSLNGISFRDYSQKRKIRLIVTVPDTPEQNGP SERAGGIISMKSRSLIQEANLPQGLWPEAMKAAVWILNRTPIKALGYKTAYEMAHGTK PYVGNLFLFGSKAYVRVDTKKSEKMALRAQIGFLVGYEAHNIWKVWTTGPNGSKVIRA RDVIFDETKKYDPEHPFVKEIVREGVQRYVDNVDIPNLEDIEQNDIIDSVDEDMNLQS MVSPVVSNIENTGGTLPHDSMDISRPGQALDIQQDVPQNMEIDEPTQPDQDTMDIDHE NPENEAQEATQIDNREKSVVKKLKIDSAGGVEHEDNIKEEVDEDKNIPSDKQLPQSSS PVTMERLSANHDAEKANNVNNDLPTPPQGASQHSSEKNESTGTQEPLSTSRAQEINAD LSESNIVTGPRIRVPSKRALSPESSSLSRKKHKKLSRAFLARQKLLQDSTTDKILLAA LEKLEKPLTEQLPPEPKNWTGVLRHKFKNQFIQAAKTEFEALNKKGTFEFVPRPQNKH ILPLTWVFKYKFDKYGKLSKFKARICVRGDLQQPNELEKRAATLAARNFRLMMALAAI FDLEIVQYDAVNAFINSLLDEEVYTLCPDGFKQSGKVIKLRRALYGLRRSPRLWQKEL TTTLLSLGFVPIPDEECLFIKNGVLILFFVDDILVFYDKDKKQAIFEETEKGLTSKYE LRKMDKFEWFLNIRILRDRAQRKIWLCQDSYIAKIASQFNINTTNNVDTPISGNIEAS KGEATNQEIHAYQELVGSALYASIMTRIDVAKAVNELAKHTKNPSIAHFQQIRRVIQY LYNTRFLAIEFSPPQNPEKDAFICASDASFGDNPDRTSSEGYLVQIYGGPVDWRATKQ RLVTTSTTEAELRAATEAAKRLQVWKRVFRSIGFKPDRELSIQCDNKQTVALLTSEEP QFRTNLKHVDIYHHWLRQEISKKRLRIEWVDTKRMAADGLTKILRGQPFLDWRKHQGL VNIGHLTQE TSTA_108390 MADSSNPDTTSSNVRGIAAISPPISIETANCSTPETYKVATRAN MQASIEDVSDEGDDIIQQSGRASPTGYDENMQPNYVNDEGENIVPQKKRVRLSGDGEN MQASVEDVSGEGSGILPQKKRVRPTGDGGRLRQPGPRKKPPLKKSSEAKHARSTAQKI SNQQADASRSVTRYSAYDQMRCLFGSGKAEKEKLSESSFIRVLALYAKSSRPDLATFL ENLSNLWRANRFWSPDALHLSMPEELPSGSSCLRLFRYDRDLGKESGINVIRRRFVQL KLHLSFIRLCNEMTNPKSPCFKTGLNSRRIPSHAIDNLMELEGSNGYSDDPLAPKDRG RFVKTNAKGRRWYLISHYIGWGSLIIFNNIDTTLAKVDLIELEAFIIYVLNTQPRVVA LCHKYEQPVKDLLNGRKPALTLTEQEVTSTIGGLPHAIDHNEGAKNHWQRINTKIDTD VSEVLSHD TSTA_108400 MKRTIASDSYDDVGERPAKKLRRRDSSSTVAVPSNKEQEQITTQ PLSPLSYNKINEQERGQSAIVHPSKPINKAWIPAPSEQFNEVDVMSQPASKRSRSQST STDRGRPRSVSPTGSGKLCDDSRDKRLLYAPFPRRSDFRSESVEIPRGLFDDEFIEDF HNTLRNRSEARLLVDLHPLLMPSAENLFIQGKDELKNVIDGYNDPWLKTEPIYGPKPQ PDHARGLRWSTFSDNQRRKLGIKPGEKSLYAVREDMYFPYLTAEIKCGNQALEFADRQ NMHSMCIALRAVVSLAEAAGRLGEVNRRLLGFSISHELEGVRIYGHYPEIGEDGIKYY RWLVKQFNIWADGDKWQCLRFVENLDRDFLPIHTGRLMRFLEEVPDPQELPFELESQE RSSYSRAPSAQNRVLQPELRSMIQTLQQQLEEQKFQQEQLSADQKAREEKLLAQLEQQ REEQKTREAEQKAREAEQKAREEKLLAQLEQQQERLFKLLEQKEK TSTA_108410 MTHLLATHLNVDGSSFLTPVVLLGALAAYIGWKPPNLLAMVENW FMSSIEIQWSDHSFEALESWLGQDKIRKMSTQLRATTGSRLFWTKDKAHVEEEHLLQT EPPRTFSMPRLILTLGKGKHWFWYRWRPIVVVREEKSEILDIRLKLAELSENMTAVYR TQRKSESVAWTRAPGQRMRLPSTVIMNSNSQKKFMDDIHVYLQPKTRAWHNARGLPYR KGYLFHGPPGTGKTSLCIAAAGHFKLKIYILSLNNMTEDDLNSLVSTLPAQCILLLED VDTQKFANPRTAEAGNIVSTYQRLTLSSLLNAIDGVIATEGRILIMTTNHKDKLDPAL IRPGRVDMTVSFEYPNFDSIKRLFLLMYSESSSEEHGVQQSALPHCRQCPQFQSSPPA GDSVITPKDELEILAKRFAGLLPEKTHSQAHILNYLKTYSGEPEDAVTMAAGYFKEEK SVPVVEQSHGSSNPIFPWFL TSTA_108420 MASQEKQHKTLFEILSKSTIKLVCPQCLEGFPRVDRLNGHFRSM KDDIHQGLLLLRKDFKKFHDCYQRALRSSIAAEKIPQRSQELFDFRFIVEHYGENETC GNPDMSSGTGPISDGQLDGFNSDAMLSQDNLFSDNLVQYHAPTLIDRFPLSNMPETGE DILNPDVTTGMSNYPEQWTI TSTA_108430 MPGAVGSTWKRLREITYFFCGIEDKCAHVGVYASRPARIRQGGT MWEAMNDMRIGDLNISNDYVPADSPSAGYDTGGPTSIGKIFMIIYVLGAIRSSDNNMR AFHHLQILHDEEVENQLHHLIPQMESWLLGAIPFSGNQTELGVAFPVAENVDAALAPI RKLIYKGAAEYALLRTGRTTNTPMNLSHAVYTVLHVDGRPQIGGIPLNLAKSIWIDRP VVVDAGFIFMVSKPAEILVGKSNTT TSTA_108430 MPGAVGSTWKRLREITYFFCGIEDKCAHVGVYASRPARIRQGGT MWEAMNDMRIGDLNISNDYVPADSPSAGYDTGGPTSIGKIFMIIYVLGAIRSSDNNMR AFHHLQILHDEEVENQLHHLIPQMESWLLGAIPFSGNQTELGVAFPVAENVDAALAPI RKLIYKGSRVCTSSHRTDHKHTNELVPRSLYRPPRRRQTANRRHTSESRKVDLDRSAC CC TSTA_108440 MTAHVSFSADFVLDGRRKAIPKGYKYPDTLLSCCGQRLLAKSKD NIINEIMATSPLREHIRTFKQWLAEMNEDPQGPFSKNKKIEEMSPSELEQESRFLESR LRSIYTEKLIANNRWEMGMEDFRLYALRNKASGLLSTGFTIGDGPSSEEVMRKRLNR TSTA_108450 MLSSLNQTGRLLGETAERSHNVATNSVRQVSSPDHVINECLSGT VTKNSEKRKRQRQESQEAQNFLQPPLGNPVLTPEIRSHEISDKQMAIDPWQFGILVGE SSSVVNRNAYSTSPEIAPESLSRRNRIIEHSHFPQSHSASLTGNASSLTGDVYQLNTN DVIVVALSGLTTGPIYLTDPYYQNVSPFINVPITEDLKKQFIKWRHRVM TSTA_108460 MDDITEETLERILRLHSFLILPAFQKRSKPGFTEALTAILTRRG LAVDPEKLNGCEFYGPLDWVTGQHLTSFEIKDIARIINFPLPAQRKRPETAAQAVPEV PTQAGTKTEGTTVIESTTLSSTLTPITMSEPTPVPGWITSIFQTNIQPHISYSKTQYK RDAVYILTLLRRISEGQQTQFAGLIRETDYTQARALAACMDTAKYEEFLAMARDAINS SGYPANNIETFRLFMERFRAGPSERAPTEMDVANGQPGTDTGNYPEERRGQLEAIESR EPSGRRESGSSGQSHRSRIPSEPDDSDESSSESDVYHRRHRHQDRRDNRRHRNSLPTN RRPCKSLKPEDVMLFDPKKNSVVSFTKRIRQLSRSYGKEPVLDVIPLCLRGDARDWYT HLSDRITDTMQESLTECIFQLEQHFKKSSFEARREADKLKFRFAKEKDLPLREYVERK VMLLQEANIKEEDEIVTRVWENLDPVIMNTIRPEDLSLDEFTRRLFLREVPARLAWNQ LNRFAPTYTSPRAYPKSKYKDDKPERQKDKETEKDTKKGIPSKRERLRDCRHCGGPHW DFDCPTRRPTVKAYLVHAGDTEDSLSESDKNALRDLRKHREIDSAPATCCQAGILHTT SVTHYELSEKKTKKTEGQPGRQYQNYSHVTAITATPSRYDLEFCGDTASSISMISKQT LYHYWPKEEKIPITNTPIGGIASSIETSAYVNLTLDLQTTAGHSISIPAEVHVVENLS CDLLIGLNVLKKEGVTIDLKNDLLRIHGQKGNVRIRCNRGKKMKKVAIYATATTDIPS GIQKGVPVMIGRRGHHKLPYTKRGYLFQPEPKIDLATETYASAPWALLTTDTTVIPVA NLGKTDIKIANKKLVGWLQEVPPQSHRIMSMLTDNETELMEASDDPIPFQTGFQDDPT NVELADISDEFGPEIKEKVIQLLKQHGQLFRSELGLLRGSRMPIPFKDNNLDGLKTSP YQMSHRDRAASNEILDALQKEGRIEPVPESETSPIASPGFIVWQNGKPRFVVYMRKVN AKLLLNSYPLPRQDDVFEAVGGSCIFSSMDIRKGFFQQPIDEKDRWKTTFVTPHRGLE RLKVSTMGLATTPSFFQQRMENILRPYLWKTVIVYIDDIIVFSRSPDEHLNHLDEVLT LLRQSGLTLQVSKCHFAYGSIKALGHYISRLGLVTDEEKIAAIKALDYPNTLSELETG LGLFNYYRKYCLGYSYIADPLEKLKTRLLKGAPYKGRKRRNFAAKQNMTEFDDDCKGA WDALKDTLAEAPVRFLPDLTKPFLLYTDGSKEFSFGAALHQVDENGKERPVLFLSKKL SPAEQNYWPTELEVAAAIWAIAKLRQYLDGNEFTLYTDHAAIQGLMQSANPHRKNQRL TRWALYLAQFSNMKVVHRPGRVHRNADALSRLQQKRNKTNQESQEQHKDTIPTTFIVN VISMDPQLRKILTSALPDDRHLGRIYKNLRDIANQMKERAEPGNPIKNGFKLDTATGL LYMTRENIERLCIPAKAHKLVLMAAHDHKGHPGIQKTQDRLRKTIYVPRLKALVESYV LACPVCKASKEDRHQQYGQLNPITTPSQPFAVITMDFITGLPKSKSNHDTLMVTVEKF TKAIKLIAGSTKYTAVEWAKAYFKCIYPSWGLPQVIISDRDAKFTSDFWSYLFRRAST NHMGGLLPDVEHFLMTSKNETTGKTPFELLYGVEARSEFIPQGEYRYDSADEFIKERE RIRSEAADAIQYAQSRMAFYFDQKHKPVELKEKAYIRLTRKPGHNGYKMEGASCLSPV KMGPFPIKRRVGNLAYEMDLPPDLRIHPIISVIHLEQAPKDEWERSIITTIPQDVHQI RLPFEVEEILDKKVMPIQSGSKRKIWFYLVKYKDVRNTSWQPAAIVSTQSPELVARFE KKTAPPETNGESGTQDREPRFHPQSG TSTA_108470 MGPKRGKKGTPWPEPRFADDPDIRAYVAAAIKDLEALKKHQEAL EIGMPRTVDDVDQLTRKKDWIRAFGNEARIRKRTWGVVVHGVNTNINPKQPQFITTLT SENAPVFAQLPASMNVTHTGWLLSEYKIKEQKLTNAHLVVIFDDERIANFAIQRGLII KGRQHNVSIYDKAANLQQCFKCQMYKHIARHCQRQICCAYCAGSHDTGDCPTPKEKEY AKCANCTAENVHIKDPAKRLNTKHFAYARECPIRATCLAEAHQRRTYGPQYHTPVIRP GNSQPGAISPNDPTPAEAANTERSPRAPARTATTRRSANSRSKSAAAARKRVAERSEP EPISPTSGDPTNRSSKKPMRAQWDKDLVIDADPNPEPKTGPETQIKYTYNTRARQDTK PPPGTPVLQSDIAPLEISHVQAVRTVRRSKSVRTIPDDDSSEDELTQPSIHEAPQDPI EPAQEADTLMTTNLEDSTWANNQ TSTA_108480 MHLFGESEVQNYDILAIQEPYINKHTDPLTTYSLALKGSFHILL QPTPKEEYKKRPRVCFYVNRGLDPATWEVQYHNRDLSTLTLHTAAHGTIHIHNVYNPG VNSNEESVISALQTAMAPRAQHIVLGDFNRHHPLWAGPRYRHVDEEATELINLMDEHG LEQLLPPGTITYERVNAKSTIDLVWASHNLANRVVSCDTKPEWWYGADHVPISTQFDL TAIRVPPLVRKQWNATDWDLFLKLMDIYNWHPRELNDNEAINEAIRYLVEAINQAAEQ ATPTKQISIYSRAGYTPEMAKLKHHAWEDYAEARKEMKRRTNELARDLHRQRIEQATE SIDGFWRIARWVRNRGKPRATFTPTLHYNNTSYTAPKEKAALFREVLHPEPPEADLSD IGPQYRYPKPYTMPPITLDEVRTAVTNVKPDKAPGPDGIPNLVLQRLLPTIEAYLVNL FNACLRQQYCPDHFRKSTTVILRKPGKPDYSDPKAYRPIALLSTIGKALESVLARRLS YLVEQYNLLPKQHIGGRRGRSCELAIHLLLEETHSAWREGSRVASGLALDAAGAFDNV NHIRLIHDLRKRQVPDDLIGWIESFLSNRRTSITLLEGNMGEFLVNTGIPQGSPLSPI LFLFFNADLIEQILAECPDVIVLGYIDDIFIMTYXXAGRGHMPLNLRLPNINLPTFGG STKWCLNRVVGWMSLSGYPPHWGSARTGDEKEGGKTGGGIKLNRRIDMGNAPGSSKED IHGCPPTTDHRAAEQAIRSIQDQALHQISGAFKRTSRQALEVCLHVPPAELTLAKLAE KACLRIMTSPLRSTLYQIRGQAHRNDPYTSPLHRLETAINRKMGRDTSQRIETIYPFV VPPWWEPPEARIDDTREEAIKAIEAISGTDTTIQFFTDGSGFDNGIGAAVYSSIGQAY KLVGSSDTHTVYAGELEGIDAALEILLRSQPCDDNPHEATIYTDNQAAIRATCQPGRS SGQYILRRIVRHLGLLRDNRSRWRVRLQWVPGHEGVPGNEKADQLAKLAAVEATQRTQ ENARIARISAPNQTTPHAARMSVSPEATCRLCKEVEGTVGACQPWTASLSDNQSTNED GVAAARGITTGLEFSVNPTTNR TSTA_108490 MAPLRFKRRKRKTVRKNHFWTLEDYRILSHLRYLHRWSTKKVQE SHFPSLSLGALATAYSRTFSEVHIRQALLTTHRTQSMCVEYGPCYLYPSHYQPQATPS PLDPQVGPARLNHGDKNRYNLRPNRPLEFPQKKPRYLIDHSRFPHFFKSYKQHLGSHD SPDRDYTPPSCTPSLDSSDRSPSVISTQLSEASSLELFGLEPRSPEASHRESTPSQSS NTLSSEFQFGLNSFYNAIPILDNANDWFKWSQKVKEFIRISAVADDGAAPPEDEEEEA QKWTHRQKLYSAMIAAKLTHDAAQRINAFDITQVQSLLKAVKAKFKPGGSGTYVQLQK RYMSLSRATCGSVQALGAEIRKIHAEKLLLDKDCVTSEIERIFFFLQALGPEYESFRD HTFRQTDMVNERNEDGEITTAAPTFDSIENKAIEEEHRKGQLGKLPDPQVLPTFALMR KSGDRKITPSADGTTCIIETIQNAPYCSFCQKPYHVEARCFKKNPKLRDQGKDDKARK AKSDNSRTDTRNSSKKRSSTDDEDDNEGGPRDPKRPTFMATMASEEDVNAAFVQIEKY YGPPLRSVNTCTTPSGVGTVNILCNVQGRRKWLVLDNILFVPSAHANLISVLQLLKRG AKVEFSSQSASIRNKSNGKNLYTASQYHGVFPAYHVSPQMTLWHHRLAHMSDANLRRL KKQAYGVRDMEPRQPCNPCLQGRMIERPHKSSGKKGEYAMELLHIDVAGPFDEGLDGS RYWLTIVDDFTGWIEIIPIPRRQEFVIESLRFFLDHNERPERKCRRIRMDQIPEQVGE EMKFTLFSRAIQAEITGVDQHQQNGVAERAHRTIYNQVGPTLARTRLPAKFWPEIAQT AAFLSNRSPLSKLNMTPYQAWYGDKPDLSRLRVIGSRGEYLIPPKQRKKITDPRTRPC LLLGYEGNTNYRILLEDGRIIGTPNAEFHEVLITPSTQTRPDVGAAQDDSPAVTAAAA GGSETVGLLNQPSVSTRHTSVPASGRQMSTTPREQRLPELGHEPSSQSSDENSQPVAG EGDTPSPSRSEDAFGPFSDVPRETAYGSDSPSGSDQQQDDAQSDPRLGGSYAELQRDR PADTVQQQDPRLGDSNAELQRDRPEHIVQQEALEHHPELRMRSSQDASLESEEELALM NIPEGKVIPTFLTLAADETEPFEPKTLRQAMNDTGWSEQNKTWELVDPPKDRRVLSGK WVFKLKRGSNGEVSRHKCRWVVRGFTQEEGIDYDETFASVVKPMSYKALLAIAAALDL EIEQMDVKTAFLYGYIDHEIYVEQPYHMTDGTRKVCKLQKALYGLKQAPHIWYQTLTN FLRTLGFEPINADLGIFVRSSVYIAVYVDNLLIIRPSIAEIKNIKRSLRNRFQITDLG PCSYYLGMSIRRDRQNQILYLSQEAYIHKVLHQFQMDDCTPVTTPIDTSPMPENDLDY VCPANQRIEYQRVVGSLMYIMLGTRGDIAYAVSMASRYLSNPGPQHVKLARRILRYLK GTKTLQLTYKGQLQMLNGFTDAD TSTA_108500 MQNEHRNKGALGGGQSFEESKKDGKSTYLMAPSRLALQFFHDGD NVFDDIENILDESQELQDLSEDRDGAAEEAGHGSKSKFEHSEHAGDGGGGTCVAGAAA AASEENLDGWK TSTA_108510 MESPERPPGATGDPEIMGPGSGVDSSTPSQEPSQLPPTTRAPFA GLQGSQKQSKEPTLDNSNTLQEPRQTGETQQAPGTTLEKRKASRLTIGSRTPITRSGL SAAPKRKITLATIRATSAPAEDSLVMSLIEDLNSQTQETIRQLSVELTAARNVISTQQ GLITTLNARLESLETYVNALQSRQILPLDPFDTTREVAAHGPPPRAASTGGLASTPIQ LDAAPESRAINSTAPQPQPRYQNPTKATKQAVQPPEGPKKVFGTAAQTTKQPETTAKP LTKPAPTKWAAIAANNTQSGGWKTVQYKKQAPTPSKTLLITELKPVSTRSKEERRLIF RRRYPKDARTALKADVLLALNRALAKTGFPDFVRAVDSGYAASGALTVLLERGTCSST LVPVYNDTLLAAVRQTDPAVISVEISEQWHRVKVQAVPVDRYMYNDQGLALAQEEIEL GTPYRLKREPTWLKRAKTIQASNQKFATIVITVGSLEEARTLINRGIKFGGRHHRVAP YWESNPESICPRCCGIGYSGFMACGGRPPRCAICAGDHEAIEHSCTVVDCRVGPAKPC QHTVIKCANCKGAHEATSAKCPRVREARQRAIRRMRERSLQDLIPSDETFAVVPPKLV LTSAERPGQPLEETLALEEDDDESLPVMQLEADIHEGDSQQPLELELSPATEAPQSAV VHTALEAALQAGAGIACLQEPPVRGKYQISHPGFLFYWPEGPREHARVVTAIRRDLVR ELVVEARTDLGNHPYFMVVDVLEQGRRTRIVNCYDNWLGARHTYSGESLLTRRALSDL DWGPILEGRCLILGDFNAHSPMWNAHIDQRVNARSLEDLITRHDLFINNDPDKPTRPH KSRGPTASSGNETSTQNLSTEPRVSIIDLTISNQALGPLSGWEIEGQKLTPSDHVMIW ASWEPPAMTITEPTRKEVTGWQIENLLGDKEALQDMGLLNKTRKKQRDPDLTTNHAY TSTA_108520 MESPERPPGATGDPEIIGPGSGVDSSTPSQETSQLPPTTRALFA GLGDCQKQSNEPTLSNSDALQAPRQTGETQQAPGTILEKRKASRLTIGSRTPITRSGL SAAPKRKITLTAMRAASAPAEDSLVMGLIEDLNGHLQEAVHQLSAELTTARNVINTQQ GLITTLNARLESLETYVNALQSRQILPLDPFAATREVAAHGPPPRAASTGGLASTPIQ LDAAPESRAINSTAPQPPPRYQNPTKATKQAIQPPEGPKKVPGTAAKTTKQPETTAKP LTKPAPTKWAAIAANNTQSGGWKTVQYKKQALAPSKALSTTNLKPVSTRSKEERRLIF RRRYPKDAPTALKADILLALNRALAKAGFPDFVRAVDSGYAASGALTVLLERGTRSST LVPVYNDTLLAAVRQTDPAVISVEISEQWHRVKVQAVPVDRYMYNDQGLALAQEEIEL GTPYRLKREPTWLKRAKTIQASNQRFATIVITVGSLEEARTLINKGIKFGGRHHRVAP YWESNPESICPRCCGIGHSGFMACGGRSPKCAICAGDHEAIEHSCTVVDCRVGPAKPC KHTVIKCANCKGAHEATSPKCPKAREARQRAIRRMREQSLQDLIPLDETFAVVPPKPV LTLEERPGQSLEEETSTPEEDELLPEMQLEADIHEGNSQQPLEPELKSATEAPQSAVV HTALEVALQAGAGIACLQEPPVRGKYQISHPGFLFYWPEGPREHARVVTAIRRDLVRE LVVEARTDLANHPYFMVVDVLEQGRRTRIVNCYDNWLGARHTYSGESLLTRRALSDLD WGPILEGRCLILGDFNAHSPMWNVHIDQRVNARSLEDLIMRHDLFINNDPDEPTRPHK LRDSTASLETSTEPRVSIIDLTISSQALGPLSGWEIESQRLTPSDHVMIWASWEPPTT ASTEPTRKEVTGWQIEALLGDKKALQEAKDTWNELAKTQPILTDTTSTEEVEREAEWI ERTLTEVLNKHCKQIKLCARSKRWWNSEIEAERSAYSKARKAYQAGEISEEEHREARK GFYSLIRRAKRECWEGFLQGTSEGSLPDQKRCWTALRYTKPQTQGTTPALTDEASGEV IAATFSEKEEVFRHRAFPQAPNSNMQLQLPERGSAHKLVNEEVVKNALFSQGLEKAPG TDLLNFRAIRLLWNLDSERVVSLTRQCLRLGIHPRVWKTAKGVLLRKNGKTNYTLASA YRVISLLKCLGKVIEKLVAELITNFAEAQDLFHDGQFGGRQQRSAIDAVACLVEEIHQ AWANGKLAAALFMDIEGAFDHVILAKLVEVLREASVDGDLIHWVISFLSDRRVTLVID GHVGKEVPISSGLPQGSPVSPILFVLYVHGLSRAIERSVPEVRCLSFVDDQGLVTAAS SVKEACRILEKAAEVAIEWGVANGVQFDRKKTEAAFFYRRHRRQVAQNVSRARIRVGG ELATVKSTVRWLGILLDNQLTWKSHYNARIKTARNTIIRLNSLCRANGLPPALVRRIQ KATVQAQLLWGAEIWWQGQKTWAQRIQILINKQARGITGMFPKTPIGALIREAALEPA TVLLDARVARYTARLLALPDTHHTAQILPVTLRHGDLRAQPGEQPLDDREWASRDNKV LNRLGQRLAKHLAQRLNRDPSGGIERTEQYELKSFPGSIRVLDNEEALTEANQQRAGT TFWSDGSRLDTGRAGAGVTLQAVPGGPWEHVEVPMGHGHEVFDAELVGVATALEWALE RQPLGPIWVFLDAQNAIDRLRSTRPGPGQALVLRAHKAAEKLALRGQPVTIQWVPGHS GIEGNEQADQAAKRAASKQTAPGFEHLSLAHVRRACTEARRAAVSEWAQINAVQGRHR YGRVYKMPRGWNLDPVAGKAPKRLASRYYQLKTGHAPIGTYLYRIGRRESPECQACKE PHETVRHVLFECRGRRTGRRTLYQALKKAGVPLPTAAEEDPEARLFAEPRATQGLLQF VAEANLFNDKERTAREAESSDAWGWDTLEEGGLGVTLEDE TSTA_108530 MYDSDRGEAFRVSPRLPEEALQAAKDTWNELAKTQLRLTDSTST EEVEREAEWIEKTLTEVLNRHCKQIKLCARSKRWWNSEIEAERSAYSKARKAYQAGEI SEEKHREARKAFYSLIRRIKRECWEGFLQGTSEGSLPDQKRCWTALRYTKLQTQGTTP ALTDEASGEVIAATFSEKEEVFRHRAFPQAPNSNIELQLPEPGSAHKLVNEEAVKNAL FSQGLEKAPGTDLLNFRAIRLLWNLDSERVVSLVRQCLRLGIHPRIWKIAKGVLLRKN GKTNYTLASAYRVISLLKCLGKVIEKLVAELITNFAEAQDLFHDGQFGGRRQRSAIDA VACLVEEIHQAWANGKLAAALFMDIKGAFDHVVLARLIEVLREASVDGDLIRWVVSFL SDRRVTLVIDGHIGKETSISSGLPQGSPVSPILFVLYVHGLSRAIERSVPEIRCLSFV DDQGLITAASSVKEACRTLEKAAEVAIEWGVTNGVQFDRKRLKPHSFIDDTDVKWPKT YPKPGSGWRRVGDCQPTEVPISSGLPQGSPVSPILFVLYVHGLSRAIERSVPEVRCLS FVDDQGLVTAASSVKEACRILEKAAEVAIEWGVANGVQFDRKKTEAAFFYRRHRRQVA QNVSRARIRVGGELATVKSTVRWLGILLDNQLTWKSHYNARIKTARNTIIRLNSLCRA NGLPPALVRRIQKATVQAQLLWGAEIWWQGQKTWAQRIQILINKQARGITGMFPKTPI GALIREAALEPATVLLDARVARYTARLLALPDTHPTAQILPVTLRHGDLRAQPGEQPL DDREWASRDNRMPNRLGQRLAKHLAQRLNRDPSGGIERTERCELKSFPGSIRVLDNEE ALTEANQQRLGTTFWSDGSRLDTGRAGAGVALQAIPGGPWEHVEVPMGHGHEVFDAEL MGVATALEWALERQPLGPIWILLDAQNAIDRLKSARPGPGQALVLRAHRAVEKLAMRG QPVTIQWVPGHSGVVGNEQADQAAKRAASKQTAPGFEHLSLAYVRRACTEARRAAVSE WARVESTLYEAGIEMDVSTRCLEAGTLTQWREKPQKDWLVGRRESPECQACKEPHETV RHVLFECRGRRTGRRALYRALEKAGVPLPTAAEESPEARLFAEPRATQGLLQFVAEAN LFNDNERTAREAESSDVWGWDTLEEGGLGATLEDG TSTA_108540 MSQPKAWATPLCGQNRGFVHATECVSMVACPWCGQANPNKGNEE NQSQTTTTFKDSASKSRELRQKAIVTAKKFLPGRNNIGSSLPSAEKENLTKPPSSYSL FVTLWKPQDDEAPWFNGKWLNVDNFTILLSESDVFTINTINQLVHELEPGCRELRQLV QSKFQYTARLASTASEKKAEFLSDTVLREGFNNMLLNLEIDTRKKREASGPQYKLHIC FGKYPESQSDGECTVNISDNIPDDDINYYTDNTDHIFTSLDFDSQSDALKRRRASSAS SFPVSKPKKIQTQYETSADPIIKKEKEPLGTTHTRVDYEIISISSSDEEATPNDDDEA KLSEAFRLFKKVLRQTKKKSSLAEGQDKKLKVKHELGGEANLSDIPVEEANLSEEPAE EANLLEEPVEEVNLFEELAEEANVPKLSNLAQDGPASNTRRRHGKSFPKKHLL TSTA_108550 MARTKVSARKSPRKEQQRLYLEEANIYETSLREIRRYQKSTDLI IPKLPFQTLVREIAQDISLTADLRWQSSAILALQEAAEAFLVKEFEMTNLCAVHAHRV TIQAKDMELVDRLRRIMTGSGYRFENRKA TSTA_108560 MPPPQRRTARRELDPCMRARICELHTEAHWGYKRIHRAHPEIPI STIRNTIKKEQERINQRSMPRTGPPEKLTDEDKQKLIELTIQYPHIKYMELRNAIDNK VTIRTIQGMFQKIHKRKWKQRKRPEILPLNAQKRLAWALRYEAYTPREWQRILWSDEC TVERGKGGQLIWTWHSLSEQLMEHDMFWGGFKFDERSPLVPLTPDGSSAGGGITATVI KQLYMEQLPGLLREGDIFMQDNAPVHRAHIIRNLLRELGLDLMEWPPYSPDLNPIENI WAIMKTIIHNDHPELQNAPDNEQTLLALIQAAKEAWGSIEARVLKNLSNTMPNRVRAV IEADGWYTKY TSTA_108570 MYDSDRGHAPIGTYLHRIGRRESPECQACKEPHETVRHVLFECR GRRTGRRALYQALKKAGVPLPTAAEESPEARLFAEPRATQGLLQFVAEANLFHDKKRI AREAEIGDVWGWDTLEEGGLGVTLEDE TSTA_108580 MKDVFDMSKWHKSTGTFRSPPLKDPLRPSSLPAVTIHEKRDVLV RNLLQNSAEAGDIPLDSPAVPITSLYFPDISMSQVEESILQAGNTAPGADEIPTCILK VARPLIKDKVQMLYQGCLKIGYHPKCFRHAILAIIQKPKKTDWSSPRSYRPIALLSVL GKGLERLVARNMAWISIHYKVLARQQFGALPLRSANDLTTCLTHDVEQALNQGMTASL LTLDVKGAFDAVLPGRLIRRLREQGWPTNLVLWIASFATGRSVQIRLDGEIGPSTDIA CGLPQGSPVSGILFMLYIAPLFRLGNPRNKFGYADDAANLAISTSLATNCEALSDSLQ EALNWGAAEGITFAPDKYELLHFSRRKADQDPTCTPSVKAGSITVSENTKRLYLRWLG ILYDKKLTFKWHVGETASKALTVANALRSLGNTARGVKPYLLQQAVLACVLHKAYYGA ETWWPGRTRPGPTQTSNRVGEHLKKLTKVVLTAQEQSFQFSAQPQYLSFTGNRIALLA TVRLRRLDPYHPLRRRAEQVASNSRQTSRFARRILALPNSEQINPLQHAPWHPRETRE NAQARIGAPMGRSKEQAAADFIAFQRTIPSSDIIIFSDGSRLVDGRAGGGYIGFQAHN QFLRSSLSYGHGKEVFDAEAEAALAGAQAAIAYPTAQFATNLWICLDNLEVATRLLSP STGSSQEAFESFRTLAAGWPLRERLPHTKSGSVQIRWVPGHTKIPENEAADSAAKEGA ASTPPSPCKSSYASLKRHAKTQSLSAAQTRWQTIAPQTYQDLEITTSPKRPGELQLNR LDLGHIIAARTGHGDFADYHERFNHDDAHLLCRCGARKAPLHFFFCYIAKRRAPRPPG PPSEVISFLLGTAKGAQKLATWLAETRFFEDICPRQPLLST TSTA_108590 MRAASAPAEDSLVMGLIEDLNGHLQEAVHQLSAELTTARNVINT QQGLITTLNARLESLETYVNALQSRQILPLDPFAATREVAAHGPPPRAASTGGLASTP IQLDAAPESRAINSTAPQPQPRYQNPTKATKQAIQPPEGPKKPAPTKWAAIAANNTQS GGWKTVQYKKQALAPSKALLLSVPSPTGYYYYYY TSTA_108600 MESPERPPGATGDPEIMGPGSGVDSSTPSQEPSQLPPTTRALFA GLGDCQKQSNEPTLSNSDALQAPRQTGETQQAPGTILEKRKASRLTIGSRTPITRSGL SAAPKRKITLTAMRAASATAEDSLVMGLIEDLNGHLQEAVHQLSAELTTARNVINTQQ GLITTLNARLESLETYVNALQSRQILPLDPFAATREVAAHGPPPRAASTGGLASTPIQ LDAAPESRAINSTAPQPQPRYQNPTKATKQAIQPPEGPKKPAPTKWAAIAANNTQSGG WKTVQYKKQALAPSKALSTTNLKPVSTRSKEERRLIFRRRYPKDAPTALKADILLALN RALAKAGLPDFVRAVDSGYAASGALTVLLERGTRSSTLVPVHNDTLLAAVRQTDPAVI SVEISEQWHRVKVQAVPVDRYMYNDQGLALAQEEIELGTPYRLKREPTWLKRAKTIQA SNQRFATIVMTVGSLEEARTLINKGIKFGGRHHRVAPYWESNPESICPRCCGIGHSGF MACGGKPPKCAICAGDHEAIEHSCTVVDCRVGPAKPCKHTVIRCANCKGAHEATSPKC PKAREARQRAIRRMREQSLQDLIPLDETFAVVPPKPVLTSEERPGQSLEEETSTPEED ELLPEMQLEADIHEGNSQQPLEPELKSATEAPQSAVVHTALEVALQAGAGIACLQEPP VRGKYQISHPGFLFYWPEGPREHARVVTAIRRDLVRELVVEARTDLANHPYFMVVDVL EQGRRTRIVNCYDNWLGARHTYSGESLLTRRALTDLDWGPILEGRCLILGDFNAHSPM WNVHIDQRVNARSLEDLIMRHDLFINNDPDEPTRPHKPRDSTASFETSTETRVSIIDL TISSQALGPLSGWEIESQRLTPSDHVMIWASWEPPATTSTEPTRKEVTGWQIEALLGD KKALQEAKDTWNELAKTQPILTDTTLTEEVEREAEWIERTLTEVLNKHCKQIRLCARS KRWWNSEIEAERSVYSKARKAYQAGEISDEEHREARKSFYSLIRRAKRECWEGFLQGT SEGSLPDQKRCWTALRYTKPQTQGTTPALTDEASGEVIAATFSEKEEVFRHRAFPQAP NSNMELQLPERGSAHKLVNEEVVKNALFSQGLEKAPGTDLLNFRAIRLLWNLDSERVV SLTRQCLRLGIHPRVWKTAKGVLLRKNGKTNYTLASAYRVISLLKCLGKVIEKLVAEL ITNFAEAQDLFHDGQFGGRRQRSAIDAVACLVEEIHQAWANRKLAAALFMDIEGAFDH VILAKLVEVLREASVDGDLIHWVISFLSDRRVTLVIDGHVGKEVPISSGLPQGSPVSP ILFVLYVHGLSRAIERSVPEVRCLSFVDDQGLVTAASSVKEACRILEKAAEVAIEWGV ANGVQFDRKKTEAAFFYRRHRRQVAQNVSRARIRVGGELATVKSTVRWLGILLDNQLT WKSHYNARIKTARNTIIRLNSLCRANGLPPALVRRIQKATVQAQLLWGAEIWWQGQKT WAQRIQILINKQARGITGMFPKTPIGALIREAALEPATVLLDARVARYTARLLALPDT HPTAQILPVTLRHGDLHAQPGEQPLDDREWASRDNKVLNRLGQRLAKHLAQRLNRDPS GGIERTEQYELKSFPGSIRVLDKEEALTEANQQRAGTTFWSDGSRLDTGRAGAGVTLQ AVPGGPWEHVEVPMGHGHEVFDAELVGVATALEWALERQPLGPIWVLLDAQNAIDRLR STRPGPGQALVLRAHKAAEKLALRGQPVTIQWVPGHSGIEGNEQADQAAKRAASKQTA PGFEDLSLAHVRRACTEARRAAVSEWARINAVQGRHRDGRVYKMPRGWNLDPVAGKAP KRLASRYYQLKTGHAPIGTYLHRIGRRESPECQACKEPHETVRHVLFECRGRRTGRRA LYRALEKAGVPLPTAAEESPEARLFAEPRATQGLLQFVAEANLFNDNERTAREAEISD VWGWDTLEEGGLGVTLEDG TSTA_108610 AHRAAEKLALRGQPVTIQWVPGHSGIEGNEQADQAAKRAASKQT APGFEHLSLAHVRRACTEARRAAVSEWAQINAVQGRHRDGRVYKMPQGWNLDPVAGKA PKRLASRYYQLKTGHADSLK TSTA_108620 MILDSTGKTNYTLASAYRVISLLKCLGKVIEKLAAELITNFAEA QDLFHDGQFGGRRQRSAIDAVACLVEEIHQAWANGKLAAALFMDIKGAFDHVVLARLI EVLREAGVDGDLIRWVVSFLSDRQVTLVIDGHIGKEALISSGLPQGSPVSPILFVLYV HGLSRAIERSVPEIRCLSFVDDQGLITAASSVKDACRTLEKAAEVAIEWKVTNGVQFD RKKTEAAFFYRRHRRQVAQNISQARIRVGGELATVKPTVRWLGILLDSRLTWKNHYNV RIKIARSTIIRLNSLCRANGLPPALVRRIQKATAQAQLLWGAEFWWQGQKIWAQRFQV LINKQARAITGMFPKTPIGALIREAALEPATALLDARVAQYTARLLTLPDTHPTAQIL PGTLRHGDLHAQPGEQPLDDREWTSRDNKMPNRLGQRLAKHLAQRLSRDPSGGIERTE QCELKGFPGSIRVLDNEEALTEANQQRPGTMVWSDGSRLDTGRAGAGVALQAVPGGPW EHVEVPMGHGHEVFDAELMGVATALEWALERQPLGPIWILLDAQNAIDRLKSARPGPG QALVLRAHRAVEKLAMRGQPVTIQWVPGHSGVVGNEQADQAAKRAASKQTAPGFEHLS LADGRVYKMLEAGTLTQWREKPQKDWLVGRRALYRALEKAGVPLPTAAEESPEARLFA EPRATQGLLQFVAEANLFNDNERTAREAESSDVWGWDTLEEGGLGATLEDG TSTA_108630 MFPKTPIGALIREAALEPATVLLDARVARYTATLLALPDTHPTA QILPVTLRHGDLHAQPGEQPLDDREWASRDNKVLNRLGQRLAKHLAQRLNRDPSGGIE RTEQYELKSFPGSIRVLDNEEALTEANQQRAGTTFWSDGSRLDTGRAGAGVALQAVPG GPWEHVEVPMGHGHEVFDAELVGVATALEWALERQPLGPIWVLLDAQNAIDRLRSTRP GPGQALVLRAHKAAEKLALRGQPVTIQWVPGHSGIEGNEQADQAAKRAASKQTAPGFE YLSLAHVRRAYTEARRAAVSEWARINAVQGRHRDGRWNLDPVAGKASKRLASRYYQLK TGHAPIGTYLHRIGRRESPECQACKEPHETVRHMLFECRGRRTGRRALYRALEKAGVP LPTAAEESPEARLFAEPRATQGLLQFVAEANLFNDNERTAREAEISDVWGWDTLEEGG LGVTLEDG TSTA_108640 MYDSDRGTTPALTDEVSGEVIAATFSEKEEVFRHRAFPQAPNSN IELQLPEPGSAHKLVNEEAVKNALFSQGLEKAPGTDLLNFRAIRLLWNLDSERVVSLV KQCLRLGIHPRIWKIAKGVLLRKNGKTNYTLASAYRVISLLKCLGKVIEKLVAELITN FAEAQDLFHDGQFGGRRQRSAIDAVACLVEEIHQAWANGKLAAALFMDIKGAFDHVVL ARLIEVLREASVDGDLIRWVVSFLSDRRVTLVIDGHIGKETSISSGLPQGSPVSPILF VLYVHGLSRAIERSVPEIRCLSFVDDQGLITAASSVKEACRTLEKAAEVAIEWGVTNG VQFDRKKTEAAFFYRRHRRQVAQNISQARIRVGGELATVKPTPSYMEESLQRSNRDSK KHDNPVELTLQSQWATPSISEAHTKGYGTSPTPLGCRVLVXXQARGITGMFPKTPIGA LIREAALEPATVLLDARVARYTATLLALPDTHPTAQILPVTLRHGDLHAQPGEQPLDD REWASRDNKVLNRLGQRLAKHLAQRLNRDPSGGIERTEQYELKSFPGSIRVLDNEEAL TEANQQRAGTTFWSDGSRLDTGRAGAGVALQAVPGGPWEHVEVPMGHGHEVFDAELVG VATALEWALERQPLGPIWILLDAQNAIDRLKSARPGPGQALVLRAHRAVEKLAMRGQP VTIQWVPGHSGVVGNEQADQAAKRAASKQTAPGFEHLSLAYVRRACTEARRAAVSEWA RINAVRGRHRDGRIYKMPRGWILDPVAGKAPKRLASRYYQLKTGHAPIGTYLHRIGRR ESPECQACKEPHETVRHVLFECRGRRTGRRALYRALEKAGVPLPTAAEESPEARLFAE PRATQGLLQFMAEANLFNDNERTAREAESSDAWGWNTLEEGGPGVTLDDE TSTA_108650 MGPKRGKKGTPWPEPRFADDPDIRAYVAAAIKDLEALKKHQEAL EIGMPRELSTSVVDAFLYLARRVKNTPTNEQLAQRLAKVELHVEKTQKEVSQASREIT TTKSNTNRLVEAICHPTSPGTRTAKNSPSFSHVTTSSESYVQAWGRKVPSNPPTVPSV GLSSGGSLPSTPYPSQEDLEVYLEHTDPNILNPIRRFPDKVVEKANLAIRSTQDTTIA HRRIAAARILPSGDIILLLGTVDDVDQLTRKKDWIRAFGNEARIRKRTWGVVVHGVNT NINPKQPQFITTLTSENAPVFAQLPASMNVTHTGWLLSEYKIKEQKLTNAHLVVIFDD ERIANFAIQRGLIIKGRQHNVSIYDKAANLQQCFKCQMYKHIARHCQRQICCAYCAGS HDTGDCPTPKEKEYAKCANCTAENVHIKDPAKRLNTKHFAYARECPIRATCLAEAHQR RTYGPQYHTPVIRPGNSQPGAISPNDPTPAEAANTERSPRAPARTATTRRSANSRSKS AAAARKRVAERSEPEPISPTSGDPTNRSSKKPMRAQWDKDLVIDADPNPEPKTGPETQ IKYTYNTRARQDTKPPPGTPVLQSDIAPLEISHVQAVRTVRRSKSVRTIPDDDSSEDE LTQPSIHEAPQDPIEPAQEADTLMTTNLEDSTWANNHFHILLQPTPKEEYKKRPRVCF YVNRGLDPATWEVQYHNRDLSTLTLHTAAHGTIHIHNVYNPGVNSNEESVISALQTAM APRAQHIVLGDFNRHHPLWAGPRYRHVDEEATELINLMDEHGLEQLLPPGTITYERVN AKSTIDLVWASHNLANRVVSCDTKPECGRSRSCPNLHSI TSTA_108660 MTPTEEAVLRGWVFSLERRGVPPRQHMLHEMANILLAQRDPTKI PEKRQPDLKAKFARRLSYSRALCEDPVVIGGFFEEIKQLKEEYGIADEDIYNFDETGF AMGISSTAKVICSSDRSGKPSCWFNYGPYHEA TSTA_108670 MAPTDYTDFDPNGKDRKTIEPCVITRTKIYTDLYDDDLWFTFKD DFGDWTTDNLCKATVPVLGKLRDVLRTNGIYVPKGGHAGRVLANTLTLPEPHEWTESE VVEHIQLKGTFNSPFIQLKFAATIKRINDAANVTIQNNAQFVQEDTPSPPSTNLHGMV TRMRASAGGLQDMTPHAETAPPTPTPPAPQAPLVQVATPTQTATWQGTGYVPAIRDQE RTYSQVGQFAPLYVNSIAQLRKVYTTDSTKYGDNEDSFDLAHNIFLDLCRQMGLHTAE ARNQAFSVMLKGLALDYYYTWKDQWERMGIDPAVAVKNHFENDEHLRKVQTDWDAINL YTVIVKYPEKSTTECLEMMFRDIQKLYHKLRPELRNEVIWHAKLISATRTHPACHAAT GNPASTIPGLMQSLRGSVSQFEDTKRAAQQHFAGTYNTDPYDVPRTNMTERRFFNNNL RYQPQNRSRFTRKPSRHFRGPRNDKKTCYICKKPGHLSYNHSDEEREAHKREWNKNRS GSYQQFMAEIEGWEYDPESIEELASSGAYFEDDSSDDEPPRTKDSITSKDSANKNAPL QTTSTHFASAFFTTDEKPKGELGKLITTELANRATMHCVKALATKEAQDGDNIENDVE ETIDTSTYVSASRYSEETWRGILIDTGAADFSTAGYSQFLAYRKAVKGAVMDTSTVNS VGIKFGSGDPVRSKGSVDVDTPIGRVRFHILETMTPFLLSIKDLDRLNVYYDNTKDLL IGPKENMTTQVIRRFGHPFLIWQETYELCLMESLDENPCFLTETELRRLHRRFGHPST DRFYRVIERAGHDADREAIEHIRKFCHHCQIHGKSPGRFRFTLQDDIHFNHSIIVDIM YIDGKPVLHIIDEATRFNAARWLPNISSSATWDALRAAWIDTYLGPPDLIATDAGKNF VSKEFSQLATSIGTTVKSVPIEAHWSIGMVERYHAVLRRAYTIISDELPDLHPDMALQ MAVKSVNDTAGPNGLVPTLLVFGAYPRLTQNDAPAISVEQRATALKKATAEVRKLYAQ RQVRDALNTRNGPSTIVIHSLPLNSNVLVFREGNTGYAGKWEGPYKLLEVNNETCTVA LPSGPTQFRSTVVKPYYAEDMPPEDIATTLDHDNAPEPPTQGNALLPPSTVKIPSQRP QRNRQPSARYRDDDFEAYINNKEITQPRADFDEVLEQTRFTDSRKQEVDGLLERGVFH FVHENEVPKGERIFNSRFVDEMKNSGTDKAFEKSRLVVQAYNDEGKDFILTESPTIQR CSQRLILCLTACMVTHSLWLRDVVQAYIQSQTYLNRDIFVRPPLELAILLSPGTLLKV VKPLYGIPESGNHWFNTYHSHHTEKLQMETSTYDPCLLHCTNSSNGFGVVGMQTDDTL ILADEAFANREEKEIKAARIQCKPRERLSPTNPLKFNGGLISETAQGILLNQERTCRL IQIVQEQHANTTSSRGKIRKNVSPKEQYVSQRALGAYIASLTQPEAAFDYAFAAQSTD PQKEDIKLLNRRLQWQIDNPSRGLKFVKLDINSIKLYAFVDAAFANNKDLSSQIGFVI VLADASNNANIVHWSSVKCKRITRSVLASELYAMVNGFDFAASIKATITQILHLENPL PLVICTDSKSLYDCLVKLGTTQEKRLMIDLMCLRQSYERQEITEVKWIDGNSNPADAM TKNKACNALQILVDTNKLHITVDGWVERSTTTPQNRAIKANSVAFANPQ TSTA_108680 MGLCATAKVITGSDRYAQPKLLQPGNREWVTAIEATNSTGWAVP SYVIFKAKKNVREGWFDDLPDDWRINISDNGWTTDQIGLEWLKTHFIPYINGRTPFVS AYRLVGA TSTA_108690 MGPKRGKKGTPWPEPRFADDPDIRAYVAAAIKDLEALKKHQEAL EIGMPRELSTSVVDAVKNTPTNEQLAQRLAKVELHVEKTQKEVSQASREITTTKSNTN RLVEAICHPTSPGTRTAKNSPSFSHVTTSSESYVQAWGRKVPSNPPTVPSVGLSSGGS LPSTPYPSQEDLEVYLEHTDPNILNPIRRFPDKVVEKANLAIRSTQDTTIAHRRIAAA RILPSGDIILLLGTVDDVDQLTRKKDWIRAFGNEARIRKRTWGVVVHGVNTNINPKQP QFITTLTSENAPVFAQLPASMNVTHTGWLLSEYKIKEQKLTNAHLVVIFDDERIANFA IQRGLIIKGRQHNVSIYDKAANLQQCFKCQMYKHIARHCQRQICCAYCAGSHDTGDCP TPKEKEYAKCANCTAENVHIKDPAKRLNTKHFAYARECPIRATCLAEAHQRRTYGPQY HTPVIRPGNSQPGAISPNDPTPAEAANTERSPRAPARTATTRRSANSRSKSAAAARKR VAERSEPEPISPTSGDPTNRSSKKPMRAQWDKDLVIDADPNPEPKTGPETQIKYTYNT RARQDTKPPPGTPVLQSDIAPLEISHVQAVRTVRRSKSVRTIPDDDSSEDELTQPSIH EAPQDPIEPAQEADTLMTTNLEDSTWANNQ TSTA_108700 MHLFGESEVQNYDILAIQEPYINKHTDPLTTYSLALKGSFHILL QPTPKEEYKKRPRVCFYVNRGLDPATWEVQYHNRDLSTLTLHTAAHGTIHIHNVYNPG VNSNEESVISALQTAMAPRAQHIVLGDFNRHHPLWAGPRYRHVDEEARIDNLMDEHGL EQLLPPGTITYERVNAKSTIDLVWASHNLANRVVSCDTKPEWWYGADHVPISTQFDLT AIRVPPLVRKQWNATDWDLFLKLMDIYNWHPRELNDNEAINEAIRYLVEAINQAAEQA TPTKQISIYSRAGYTPEMAKLKHHAWEDYAEARKEMKRRTNELARDLHRQRIEQATES IDGFWRIARWVRNRGKPRATFTPTLHYNNTSYTAPKEKAALFREQHIGGRRGRSCELA IHLLLEETHSAWREGSRVASGLALDAAGAFDNVNHIRLIHDLRKRQVPDDLIGWIESF LSNRRTSITLLEGNMGEFLVNTGIPQGSPLSPILFLFFNADLIEQILAECPDVIVLGY IDDIFIMTYGTSAAANCHTLTKVHQVAERWERTHASKFAPAKYQLTHFWRKHQMVPKP SGRLDVPLIIKGVEIKPTDSIKYLGVYLDTHLTGEVHVQEMRKKAAKLVAGLSSIAGS TWGTPLVHLRKIYTAVLQPQIMYACSTWYIRGGRGFTGAQRAAEQAIRSIQDQALHQI SGAFKRTSRQALEVCLHVPPAELTLAKLAEEACLRIMTSPLRSTLYQIRGQAHCNDPY TSPLHRLETAIDRKLGSDTSQRIETIYPFVVPPWWEPLEARIDNTREEAIKAIEAILG TDTTIQFFTDGSGFDNGIGAAVYLSIGQAYKPVGSSDTHTVYAGELEGIDAALEILLR SQPCDDNPHEATIYTDNQAAIRATCQPGRSSGQYILRRIVRHLGLLRDNRSRWRVRLQ WVPGHEGVPGNEKADQLAKLAAVEATRRTQENARIARISAPNQTTPHAARMSYIPNQS TILMAVCRQRLHAGFAKRWKEQWEHANHGRHLYRIIKAPTKMVLQLHEGLRRAWSSVL IQLQTGKSALRSFLASVRIEDSPQCECGLGDQDTAHVLIRCPTHINLRMETLWKEARE TDYRKLLSEPQWVRQSIEFMMRTGLLTQFHHVTPLTTTRSQ TSTA_108710 MQALLRWVIETQQPFTVVEHPTWKELLKSLNANCPIKTADTLRN RVQSEYSSWRNRLKQDLADTCRSISLSLDIWTSDNQISFLAVVGHWLTPEFKLREDLL EFKEIDGLHSGENLSTIVKSVLEAFQIEHKLLTITGDNAGNNLTLCDYLHADLLKDFD EEDSPFRMKPLMRFRGRNSFIGCLAHILNLICKDILVSLKTGTVRDAHVILDEMPSQK DHSPETLISTKGAIVKIRLLALWISNSPQRRQAWKDISPCKQINYDIDTRWNSTYNMV SEALRLRKEVTQFIREHPDIREMQPTDSDWSTLRQIQKVLKPFWDHTNSCDFQEITKE VQNAVEGGIRKMDKFTKKMDSNIIYYVAAILDPRVKTSFIRAQMSKSDADVIVSDIRE YLKKQYPASPTSSSSAERPPGMPGTLWKKLKKIQPLQSADIISDIDRYLDSSPEMWSH GMIEDGDPDWILKWWKANAFNYPLMSKAVQDYLPIPSAEVGVERLFSNARDVLGIRRH CLNSETFRWLMFLKGQYGKERRDSA TSTA_108720 MPHKNKFIPHQLSIKNEEVEMSSGVLRLIRDDDDARVWYKNIQR FPCASVRIMLRTPMGWPTLAQKVKARLYSALVEDTLRENLWNAQIAGLTASISVGSLG LELSLWGYTEKMHVLLEEIVSMMRKLVIVPERFVILKECLTQTYRDSDYQLPLAQATD MMRCLCEEKEWMNDEYAAELEHIEAHDIMAFFPQLFKDNHIEVLALGDLTKEEASAMT NIITSSLHSRSLPVFQWGVRRAIMLPPGSNYIYERRLTDLSQVNSCIAYYLYIGDMVD DMSRANLLLLMEIFQQPALAQLCSKEKLAYAIESRAHCSATTIGYLFVIQSEHLASYL EARIDSFLDSFTKTLVDMSEEEFESQKERIVSKLEKKPGNLGDETARLWDHIKSEGFG LWNETAAGIIRDLSKQDFIDFYSEYIDPMSETRAKLSIHLNARSAGTDKMPVAKAEGT DAPHILPTGSNSTGTINKKNPIHISDVHRFKAGMPLGPGPIDRDRIDPARFSKSAGVM REGTGK TSTA_108730 MSTVVTKQLLKPKLDRRQYRVLRLRNGLEVLLVYDLNATQASAS LNVGVGRLDDDKDVLGMAHLTQKNGFKTYVAAHSGRSNSFTSATETTFHFQVAATASG NSAPLPQGSPLYGALCRFVKTFTAPLFLESTLDAAVKAIDLQYKTNFREDAHRRLQLQ KSLSNPDHPYCRFSLGNLETLRDNPQACSIDVQGKVMEFYKSHYSANRMKLVVLGPNS LNQLEEWVIDLFSRIQNKNVVQKRWDSVPLFSEDQLGMQVFVESVKANYLLYIHFPFL DEEDLYETLPSRYISHLISNKGSGSILSWLTAKGWATDLSAYPKHVCPGSAYYQISVT LTESGCASYKEIIKVIFQYIGIIKERPPQEWVFNEVKNLTQNRFQFGPEEHPAKFTNR LSSVMQTPIPRGSLLSHFVPIKFDAALITRALTYLHCDKFRLMLFSRPFAGDYDSEEK WYQTKYKVEEIRQDFR TSTA_108740 MADVSPQHFAATKFRGLKPLWVVWGKKTEEGTQEETEEETAKET KELNSFLEDHGISVADAPHILPLRYGLFEVWFEENRRAELQKLFSETYTDFDENSPLV RFQGYCESLRIVLDAYKKQSNFWGGLDKILTTPRVGIFQTVPSTTSLSVCSSMKPTAK TMEYVERNLRSVYDNCNQKNIGFSRGIPCDGCPRLSPVNLQAISSASTPPDGYSSSDL PLDIRSMQAFTLVTEDAVNLSGKFSEEEWNHIFINSEPGNDFGFSASLKSPMANMDYQ INAQEAFRDIENTEPQEADESLRQTKQQRKIDTPDQYASNLWRCQHPKCSKRGFRTKA NLLRHSREVHRAISDLLRCRHPQCSKHRFKANYTLLRHNREVHKAYGHTGFLCLFEQC DRATSGRAFPRRWNCYDHMRKIHHYTGSYDDFLKSQGR TSTA_108750 MGAEPSKQIAERVITACQSVNDNEQISGILLEDKIFRQTLARLS QDIPPSLRQLIKLKRERQRQDYGGPEITEHRAFNSIWAASEPKLQPVIRQFLFACHKD PRQALRRTGTNPERISIADYLDALYDLEKDRKINTVRWRLSLIPLSDLIHKFDRANSH AQTRDDIVTVISQSSLTIQNEVEIRKYLPSWLQRGKRYKTLAPKIGYGGICALPEEPG DTIWEQFLPKKGAVTDACIRLLVDRGIKEAASENVAENEQDIVTADAAAEKIVQYLGG LIDDFPFLDDGDRHSCKKRKRNSRCFRDEPRLSPAQPTSSASQYYQDAPSLVPNERGS LDRSPSQRAGDALSAEEETPSNSGDLGTSPSDTSMSYDSPDISISGANFDFHAAQILS QISRDSAADNVPINNGEWSVGVNDVREGIEIVADVNTANSGCTASRIVESTGSLNRSY GAAGTQPENVGADGNIAVTNRQVHHHPRSTAEGMTLMGSYDSILPEQAIPRPSIRPHQ LNISFRQRTLPLPLNSVSSPPISDVYMGGQIPNATLFSSTQHLHRTEASALGERYPHL EQNPSATGDAIIHVVPQSDLHAGSFPSTNNTAQPGLAYNIPVGPFGYSNTNLTLEDYG VPYTPEGLSQYSNTNLTLEDYGVPYTLEGLSQYSNTNLTLEDYGVPYTLEGLSQYSNT NLTLGDPVHSGNRNSPSEGSHCTLVV TSTA_108760 MSVVDVVSPRVIEQGQKAWSTVKEQCEKINKPATGQSIGHCTPT HDCKLAMDYAIEDAAMNDTVAKSTQRLLALLIYFPKGAVIGDSPTLVIPIHIEGTAKI GQINIDTNHYYEVRSRTILEVPEESKVSAIVLSW TSTA_108760 MSVVDVVSPRVIEQGQKAWSTVKEQCEKINKPATGQSIGHCTPT HDCKLAMDYAIEDAAMNDTVAKSTQRLLALLIYFPKGAVIGDSPTLVIPIHIEGTAKI GQINIDTNHYYEVRSRTILEVPEESKVSAIVLSW TSTA_108760 MSVVDVVSPRVIEQGQKAWSTVKEQCEKINKPATGQSIGHCTPT HDCKLAMDYAIEDAAMNDTVAKSTQRLLALLIYFPKGAVIGDSPTLVIPIHIEGTAKI GQINIDTNHYYEVRSRTILEVPEESKVSAIVLSW TSTA_108770 MSGSGILAQWQARHAVLVVPMGGVQFLSFQQQPAIGTRDLGSCS VVLIASAQGAILAHIPPRPLQPSPDPFAGDNNARNMMNQVATLYQQNRGYFSSADSVV VCAWYNGAVALPEQTEIMSSSLRQLGLNPTIRTYHVPGNRNLPGQGTVIAIKSANQPR PQIYVEDRHAGTVSAIRHMKIERLWLVQTWISYEYMHSQVLNADGRHILGREPFRPQP RKQRASMRQTSFHQVKRTKITGILHQSNWLCTYHPVRFIAHRIPVSPDGLVINRISMR MYLVKPQVLSYGYAKLLPTMSSATRSRQPRAARACDRCRQAHMRCCQKIPCQRCQKLN VSCHYQPTQLIKQADKATSTLEDYPSYASTYQTWPRPWDLPLYDPAMAESMGDLARAP ACETSYPKLSSWLSEEQWRFITMPDPLDPAILETMRETFTKLSSWEEQWRFIMMHDPV VFNYASTLPPWGYPLDDASIPETMGDLARATTCETNDYGVTKDEREFSAPQKSPLANV DCHTKAQEVAGDLVPSIASQSKLRNQKQVVGAIDWEYTYSAPLEFVYSAPFWLLLELP EYWPEGLDDWTNIYETRLVTFLRVLEEREKVALERGLLAEEQRLSTYMRDSWESGDFW VNYAARKSWAFDMIYWAKIDRRFFGDGYLDDRLQKDEGKRGAQANRLDVLNHISTANH GPFKCRDAAIIDNDAPRVF TSTA_108780 MDVLPPPDYPPVHPSEYPQIPTRTPPATLEISRTCCVSGDIQEF RAILDSQDPSSQDFDICDFHAIMIEAINRADVQFIKELLDHGLPMDSLYALEAVGAKR KDALEVFLKNGWDVNQPISELKPPILGYADEEMAGWLLDHGADPNRQCFIDLTPLSLA VETAHISVIQLMLRRGGDTQKGQLLHHAIERNSDNLAVLSLLIENGADINAIMYNEHY PSQALYYFMGLGTALHKAAELGKVDIVRYLISEGADLNIRDANGRTALECAKMSNHWE VIQVLEKGNGLLIQDA TSTA_108780 MDVLPPPDYPPVHPSEYPQIPTRTPPATLEISRTCCDFDICDFH AIMIEAINRADVQFIKELLDHGLPMDSLYALEAVGAKRKDALEVFLKNGWDVNQPISE LKPPILGYADEEMAGWLLDHGADPNRQCFIDLTPLSLAVETAHISVIQLMLRRGGDTQ KGQLLHHAIERNSDNLAVLSLLIENGADINAIMYNEHYPSQALYYFMGLGTALHKAAE LGKVDIVRYLISEGADLNIRDANGRTALECAKMSNHWEVIQVLEKGNGLLIQDA TSTA_108790 MALPLATQDESSMHLESNGKSCKRLHSESYSLDEPSLQSAKKQK LSPPARSQSPSVFWDNLSRIWLTRNALRELDRRNYQRTVVEEEDLLARRPANAFLRYC SPQHLRKIQRYARLGGPDLSGLRGYRKSTKSLLPGMSSRTSSYRIRKHVSDSRASSTS RASSTSRSASRSTRPSKTTTTRSSGPYDRDFQQHLIDHGIYPHAYEYPDGRIPPKPNN WGDIKERLARPRASLSPSNFTEEAHEKFVRADANAFKEKQVTESVISMVEGDNGDVRC VSGGVAFGNFVSLTDGTLKPGNPDRYYGARPEQLRRDIRSELSGRIKPSTQDDLPILP NFLLAAKGPDGSLSVAGRQASYDGALGARAMHTLQTYEQEQPEFDNNAYTITSIYHGG TLKMFTSHPSKPTDSDRPEYHMTQINTWGMTGNVDTFREGATWFRNGRDWAKEQRDDA IRRANEHSTSNVVQSTLNASFSTVCDTSSDELNMSMSRESHFSFNQTSMTESLHTKES IEKSRRAGKNGP TSTA_108790 MFLIRLLRAKLTVFQYRKSTKSLLPGMSSRTSSYRIRKHVSDSR ASSTSRASSTSRSASRSTRPSKTTTTRSSGPYDRDFQQHLIDHGIYPHAYEYPDGRIP PKPNNWGDIKERLARPRASLSPSNFTEEAHEKFVRADANAFKEKQVTESVISMVEGDN GDVRCVSGGVAFGNFVSLTDGTLKPGNPDRYYGARPEQLRRDIRSELSGRIKPSTQDD LPILPNFLLAAKGPDGSLSVAGRQASYDGALGARAMHTLQTYEQEQPEFDNNAYTITS IYHGGTLKMFTSHPSKPTDSDRPEYHMTQINTWGMTGNVDTFREGATWFRNGRDWAKE QRDDAIRRANEHSTSNVVQSTLNASFSTVCDTSSDELNMSMSRESHFSFNQTSMTESL HTKESIEKSRRAGKNGP TSTA_108800 MSAFVFYNPNGSANRYSNKERFRPRPNPQAPVPVNRDVVGVSEP TSDSSYSVKDTANKTIQTLPPEPAFQRETSSSTSAMGDDCFDESQMSDGGDSLHSLFS DFTDDRLEDADRNSVNRLLAPLKYLPNATVSHAATHHDANPAPSEFGSFGQPQPVAGN ALFAVGCIQHGSTDHIDARPAFSSTYMPPICNFTESHSATFADPIHNDLAMMDPVDIT YTEAGTQTDHPAITLSDRETQTDQYGTEATSNKEGSPSPVLVETCETRDNSYEQHDEH VGNQRRVNATDSTETNRQNIPDLVPTVSASPHYPVTRPVVDVTHHNHPLPIHGFFTVH GNADQLAYTLTFFEQKTESLSESAYGRASSLARNDAIERLSAVPDLTVHGNDDDKDLP VFDPSLNTDEGSWSPSRNESVTQDCYVSKGRKGDPFSSEDDALLVRLKGNNLPWKMIA TFFPGRSEGSLQNRFCTKLKRKLSESGRDQKRARMAGLHHLDEDASQQLQCELDWIAG DRTSRR TSTA_108810 MSFVPGRFGGICYVRPVYRDPVHDFGILKFDPNAIKYKRITKLK LNPDGAQVGVEIRVVGNDAGEKLSILSGVISLLDRNAPEYGAGYNDFNTNYIQAAAAA SGGSSGSPVVNVFGDVVALQAGARVDGAATDFFLPLSRPLRALQCIQEGKPVTRGTIQ TQWMIKPFDECRRLGLTPDCEAYIRKIAPKETGMLVAKIVLPEGPADGKLQEGDVLLK VNGELLTQFVRLDDILDSNVGQSIRILIQRGGEDLEVDCTVGDLHAITPDRFVSVAGA TFHDLSYQQARLYAIACRGVYVCEAAGSFKIDDNTPSGWIIDSVGRQPTRNLQEFIQV MKTIPDRSKIVISCRHIRYLHMTVTKVIDLDRHWHPMMSLAVRDDETGVWNFSVIANP IPAELPAPQKANFTQLDGNGQTAPAEIVRSFVHVSCTMPLKLDGFPKTKTTGCGLVID ADKGLVFVSRAIVPHDLCDIDITVAESIIVTGKVVFMHPLQNYAILRYDPSLVLAPVR SARLSTENIKQGQETIFVGFDSELRIAIAKTTVTQITTVIIPVDASAPRYRSINTEAI MVDTKLSDECTNGVLLGKDGIVQALWLNFLGADDSEYPFGLATPSFLPVISKIQQGVI PTLRILNMESCVIKMSDARARGVSNKRIDEVAKANPSRQELLIVWNLGRPPLLFAHKD DALRVGDVILTLNERLITGVLDLDIMYDNEVLDAVIVRDKREMHVKVHTVPTEDIETD RAVVFCGAVLQKPHLAVRQQISELRSEIYVSARSRGSPAHQYELSPTNFITAVNLVPT GDLDSFVREVKKIPDNTYFRLQGLTFDNTPWIITMKKSDRYFAMLEYVKDTSSLPASW KVIADEASMTQGNITADAMDVERDDC TSTA_108820 MEPRKKEIIRDYRLAVEECHRLLLESFSNWYTVISFGRIGYEKE QYGRECVEKALQTFILDIGLLSALSLASHQITHEKIPLSNLVILLTWWPRLIQLLLSI AGGFDFVKSRLPDTRSFLELIQRQPTVAEHSDAKPMSIKGGKIQFINVSFCYDHRYPA LKNVTFDFLPGQVIGVVGETGGGKSTISNLLCRFYDPTSGCIRIDEQDIRGITQNSLR DAIVVSPQQQDIFTNTIMYNIRYAKPSASDAEVYEVCKIVQAHDQFLRMGYDKIIKKE IKLSGGQIQKIGIARALLKDTPIIILDEPTSAIDPATESNILENIRIRLQGRTIIIIT HRLPIVVNANHILVIRDGRLVQQGTHKELLQGNNYYRELWSQHTSLGKLSTEVDYI TSTA_108830 MQAYTLVTEEDMINGIYSNINSCQALPLHGPLKYYQGAYGETAL YAAASSGNYSAVFWFFGLPEITHGQYGLSDQRTGAFSRLEPATAFQLEPRNREQERRV ASPLDPVYMENTEPQEADKRLRQTKRQRKIGTPDQ TSTA_108840 MSQTLEVSIPTPVIIRIVFRKINNPKPKVNAEQRANDYVGFLQE NIELLEKSLWEKGVRYYVNSYVPLGLGYADLAVAASGLEAVKAAYVTMDMKDEFTERD PLSQLLGVGNVTVGLTTVRITKHRR TSTA_108850 MKSQVEHPIQTKRPVQRSKNPQSVVTVEQLTATYLCEGERLPTP EIAETLDAVLSWICSTEPSDQLLNRICCYGLTIMKGPNRSIKTIIPHPTRRYHHAFQN GNRWVNIIDWISKDLECKLTGIIAVLSKRRLWERARQDTWQEALTMLSKRTDMVECAR KATPSVNDILQTIRNTIPFCQTVAISNPSYAWNVPTIQAYSSPTTRNYHTESATSQWK VASTAAAHNVLNQPDADTSLLLVLLCFLNDSILPLDLLSRGTTRRKRWNDSGGIEDVD VLHFGLSSKLASICSTPTRLDETLDKLQSLLAISKITDYTFELDKSLPAAVIGLIPNE FHPFWRLQALIIACRSIPWKYLEHPSSNMELFLPHIRHTLQEGRKHDIFKDMSPEIKA DIILSIIEASRFPGMEWKQFAIRQSKEILSDLRDVNVQSYVRSYIAQRESVLRRLTGS TGQSFPPPKSSQYETAPGLFTRKSHSGSGHGAIQWALDCIQREMLEKAAEVLANWEPI HPPSLMETVVLFRKHIIMGNIERHRGNFKKSLEHLMISMEIANGYTNIAFHEDSSDLV CSLADTYLELEDPVAAESCLREEIAQQSTGSATLLKLALAESLFAQYRRSEAEGICIE IEHLPKLLKMEKLRLSIILAKLAHTSAKYDEALRHWTDAMTAISRYNLANGRATRIIL LSMYDVLQKARDLDTKIRSESQLKTQEQLEAMKTLAGPTGVFYWIGGLRHWLEFLEFT LETQMDTPGRKAVSLPFLYQAGYRLSPAEPDLCPLSEF TSTA_108850 MKGPNRSIKTIIPHPTRRYHHAFQNGNSQYKTKAFISSTYSWER ARQDTWQEALTMLSKRTDMVECARKATPSVNDILQTIRNTIPFCQTVAISNPSYAWNV PTIQAYSSPTTRNYHTESATSQWKVASTAAAHNVLNQPDADTSLLLVLLCFLNDSILP LDLLSRGTTRRKRWNDSGGIEDVDVLHFGLSSKLASICSTPTRLDETLDKLQSLLAIS KITDYTFELDKSLPAAVIGLIPNEFHPFWRLQALIIACRSIPWKYLEHPSSNMELFLP HIRHTLQEGRKHDIFKDMSPEIKADIILSIIEASRFPGMEWKQFAIRQSKEILSDLRD VNVQSYVRSYIAQRESVLRRLTGSTGQSFPPPKSSQYETAPGLFTRKSHSGSGHGAIQ WALDCIQREMLEKAAEVLANWEPIHPPSLMETVVLFRKHIIMGNIERHRGNFKKSLEH LMISMEIANGYTNIAFHEDSSDLVCSLADTYLELEDPVAAESCLREEIAQQSTGSATL LKLALAESLFAQYRRSEAEGICIEIEHLPKLLKMEKLRLSIILAKLAHTSAKYDEALR HWTDAMTAISRYNLANGRATRIILLSMYDVLQKARDLDTKIRSESQLKTQEQLEAMKT LAGPTGVFYWIGGLRHWLEFLEFTLETQMDTPGRKAVSLPFLYQAGYRLSPAEPDLCP LSEF TSTA_108860 MSLLKVGQTLRGMLGKYVIRKQIQETVWFAENQRKETVVVKGVQ GHPRVENERDLLQRFQPSITHLRPLLDEIQDPAAPPIIVLKHLDDHLLNSSIKKILNQ KELKFVARYILEALSVLHKEGYVHTDVKLDNIFVNYQNGDNRFSEVQLGDLGGCYSVD SEFARGGTPVGAAMWSSPEVIMETPWNTATDIWSFGTVLISLIYGGDFNLFRPRTVPY GHEEYNLEVLKQQFRYFGPFPAKYEEIASPETVTAILYLMHEIPQSQTTPFSQTTERE VSLKDKEFISKIMKLDWRDRPTAQELLNDRWFLNW TSTA_108870 MSKWHKSTGIFRSPPLKDPLRPNSLPAVTVHEKRDVLVRNLLQS SAEAGDIPLNSPAVPSTSLYFPDISMLQVEESVLQAGNTAPGADEIPTCILKVAWPLI KDKIGYHPKFFRHAILAIIQKPKKTDWSLPRSYRPIALLSVLGKGLERLVARNMAWIS IHHKVLARQQFGALPLRSATDLTTCLTHDVEQALNQGMTASLLTLDVKGAFDAVLPGR LIRRLREQGWPTNLALWIASFATGRSVQIRLDGEIGPSTDIACGLPQGSPVSGILFML YIAPLFRLGNPRNRFGYADDAANLAISTSLATNCEALSDSLQEALNWGAAEGITFAPD KYELLHFSRHKADQDPTYTPSVKAGSITISENTKRLYLRWLGILFDKKLTFKWHVRET ASKALTVANALRSLGNTVRGVKPDLLQRAVSACVLHKAYYGAETWWPGRTRPGPSQTS NRVGEHLEKLTKVILTGARAVLPVFRTTPKPVLYRESGFSPPEIELDRIALLATVRLR RLDPYHPLRRRAEQIASNGRQTSRFARRTLALPNSEQINPLQYAPWHPREPRGNAQAR IGAPMGRTKEQAAANFMAFQRTIPSSDIVIFSDGSRLADGRAGGGYIGLQAHHQFLRS SLSYGHGKEVFDAEAEAALAGAQAAIVYPTAQFATNLWICLDNLEVAIRLLSPSTGSS QEIFESFRTLAAAWPLRKRLPHTKSGSIQIRWVPGHAKIPENEAADLATKEGAASIPP APHKSSYASLKRYAKTQSLSAAQSQWEKVAPHSYQDLEITTSPKRPGELQLNRLDLGR VIAARTGHGDFADYHERFNHDDAHLLCRCGARKAPLHFFFCYIAKRRAPRPPGPPSEV ISFLLGTAIGAQKLATWLAETHFFEDICPRQPLLST TSTA_108880 MARNRSEPDKRSLQTVLQETTTAATTRAAEGQKIFSPIAAFLDK HRNQTAGLSPHLQGALATLSDNLATVAQHHFNAYISGITPTSSIHSPAPALSPIPSPL PPMPPSSRPPSGLAQSSYATITKSNPARSGTTIKQIKPPTKKPTPDVKQSLPDYRLFV RLSIDHAAKMMDSYTIYTSLWAQLGINSNALKEVQTTKTGFALCPATMEALSTLEAQK EVISTVTNLPRKIGQITNGQYSMIPVDPAILSTEIAEQTGYRPISVSETTASAANVNS PSSSWFINFSEDSKASLLTRLRLFGTITNARFLTRKKWHNARSCARSPRCRLCSSSEH IEEEHNNSLEIRKTCAINLAKARTEQGCSSESSATTQEQHMVIDTPPTQIQVASPFRP TTPPPLAPSQEPPVTASVVRFATPKPQNRFNSLLEEHL TSTA_108890 MAQVEKSILKARNTAPGEDKLQTNILKTAWPLIKDKILFLFQGC LRLGYHPKCFRHAILTILQKPSKEDWTNPRSYRPIALLSVLGKGLERLVARNMAWIAI HYKVLASQQFGALPLRSVINLTTCLTHNIEQALNQGKTASLLTFDVEGAFDNVLPGRL TYQLQTQGWPNNLILWISSFITERTIQIRFDNELSPHTDISCGLPQGSPISPILFMLY IAPLFHMGNPRTRFGHADDGATLAISLSLLTNYQTLSESLQDAIEWGTAEGITFAPNK YELMHFSWHRADQDPATTPSVSMGSVIVTEATDRPYLRWLGILFDKKLSFHWPYRPVS SVKPTSAQRRGGQAAPDLVPALRQTLPQSQT TSTA_108900 MEKINPLLHPPWSTKEPREAALRRVGAPSGRIREEAADNFQILL QSIPNNDIIIYSDESKLENGQTGGGYVGFQAGSQFLLKAAMTHSTAQCSPNLWICLDN LEVAIQLLSLSIGSSQAVFESFNTLAATWPSRRRLLQIESGAVRIRWVPGHANIPGNE AADCAAKEGAGKTVPTSHPWSYTAFKRHTKSQAASRAQTYWQSAAPQAYQDLEITTFS RCPLELQLPRHILGRILAARTKHRDFADYHERFNHTDAHLTCRCGARKSPIHFIFCQI AKRKAPRFPGHPSEVIPFLLGTPKGATKLAKWLTETRFFEDICPRRPPLST TSTA_108910 MLSTQEWMQLYKISAIPGSRTVEQSQQTYKIDGDGHHGFLCLEE NGRSYSTWMGAGTTLGDNLFPDDERERELQGIFLYPNETIE TSTA_108920 MLCIRDFSQFAMKCYRSLNPGGSVEISEALLIPYIHDLDPTKLP AFSKLVYRIASKALSLGIDLQNINLKKWSWELEKAGFTIELVARLSRLLHDKIGDIFE ARFRQISLRLLTLQEGSFAQAQLDIANAIKELRRDEVRVEWPL TSTA_108930 MAFANITQLLESVPDYKETWIECLGDLARYRMAIEEVDMYNRRR YTSIARYWYAKAANLNPDVGRIQHHLAVLARPDLLKQLFYYSKALIGVQPFTKARDSI LLLFRPLLDPAKAAIKYPEYYSRTLTVFVEAHGVLFTRKDAPTFLRLAEEFLSELDKH AGLVAAIFDYGHDGAEIPSMFDQASGRVESRVRDRDEGGSIQTRTLEIMEQAYESWQN PSCVQVGIEHRTAGISSSKQVVSMASHLSFATLDVILGGLKDIENTLPSVHVSLAFLW CMAMVLEAMSRIQADVPWKRLATYLNKLIKTDTDMVGIENGEFPARESGLRQLPEDFL IHGLSWSRIYYPPGFFSDMAEGDERSIEPPSVTVARTKRCLWLASKIAKFNCWLLYDV ETHGFYATQFADELTALSQRYQIIGRTDSIITSSI TSTA_108940 MASMELALAALRSADPGEKPNISLVVRTYGVSQSGLYKRFHGVT GSKEEQYDKQRILTTTQSRALIKWINQLTERGLPPTNSMLANFAREISGKEPGKNWAS RWLKAHSDKQYNLGPEQIYNMDEKGFMLGVSTKRKRIFTRRKYEQGGYKQHLQDGNRE WITTIGCICANGTALAPSLIYMAKSGFIQDSWLQDYDPQTQRCFFAASESGWTNNDIG YCWLVDVFDKETKSQASRGWRLLILDGHGSHVTMKFIEYCDSNRILLAIFPAHATHTL QPLDVALFSPLSNAYTKQLDDFIRDSQGFTRLTKRDFFRLFWASWNEVFISKNINSAF RTTGLYPFDPEIVINKFNKKITSRPSSSESGASIIPPEDWRRLEKLVKTVVNNIYDEK AVQLRETVSHLSTQLILLQNENQGLKRALINAKKPKNKKQPLLLGLPSEQDGGALFMS PTKVQQARDIISQKNDEAAQKQAHKDDKKLQQQLKKQAREAEKVKRAQIRQEKREQRE QEAAEKQRLKDEQELAKLADLQLQNDVISTPKASKRPTKQISRQAKPRVQPEAHVEDN EVVVTTNRRGRAIRPPARFRD TSTA_108950 MSTNIRWFRSLLNPQQEDADIGRQHRLDSSIRHIKDEQAGRRHH CPPKEHHEQRYPTKIGGSYAKLQQKASGGRHKYEDSTLVFSAYSTSIGHRRPPRPRYT CEEMHFIWYHRVDLKKEWSDCIAAFNEHFCSQGHTERKIPGIQCKLYRFMYAQKCPTV RKTQRSKNRILPKHGVVDWCRVSYPWMKAEHQRLLRNDEGYCIDGRGKMRKENSVYSP TSTA_108960 MAMPYPSDFSAPTESLSWTAPPEKQESYDLLSFSLPIFHIECHR TSLKISAQLQGMFWLTESPRANSTNEILPVHAAELTCYRRNLFQITGSVTLPRIMYTK TEQGDRIPIVKRELTVSATESVEGKPVKIIYVAPAATLADDRAVAPTPKEKAENEPPS ILLDTMAGHDMDSYYTTFPVDWKRLQFRRATANNGCRKELQQYFIVHLTFVVTLATGT EITICEARSGRIIVRGRGPGKFKSDRGFLLNGRISSGQNKRKKANRHRS TSTA_108970 MKSLSMPAEQLPDTQLDPFGTLELSALETSQSFSLDRHNPSLYP HMGHGGSTKGRATYRKNRLVINVTGACEYSEPSSLEIGNTKEVTAYYKSAFRQLQTSD CRSLAEIFVESFATYEQANRPCNSGKEAVMFQGEESQKSRPEWWPQDIKHEGPDDIMK ESLIELLVHLLQNLLPIGTTAAMFEKASDGAKNQASPAEQARRRTLILDEIFRVRKTE ERYKKNEIDGTTQVFVSNGDKA TSTA_108980 MLIRFPHIKPPELPAHLKIKSLRYSLDTVITNRWTSCNNTVFHL ISSVPRRKDPVAHHKRMELKKRMSEISHVGNPHLPNTQPIVNYVRPGVPPMGPPPLPT QVQQNPAGGEVVAGDTREKSPVYVNAKQFHRILKRRVARQALEEQLRLTSKGRKPYLH ESRHNHAMRRPRGRNGRFLKGQLSRLYG TSTA_108990 MVAKLKKYLTVCSKRKHSSEIRITPDIVQAAATNEVTEEMVMRL LLDERGSKIQITPDIVQTAAKNEYSGEMIVGILESRRPGHFVLVFISATWLKMVFEHK CLLCGKKWMNYTDLTRHISRYGDNDQVQGKESRNAFPFLRLPPSKRNRGILYTPLVQG IIRDLTNPKNTVKRSTWVIAFRQLLQVLQWLHSHHLVHRDIKLVNIGIDLNPLHVSIL DLGAVGKLDSHTGTVHYIAPEMESTDYNGKVDIWASAVGCEIFLGSHPWPLSVNPWRA DKNPRLDEYKKHHRCFVQSLRQNAKSETTMPVLSLIADMLNWSPKARHSALEALEYQF FLDTLNQERQGNVKRRKLSAD TSTA_109000 MPLRPVNQPVRADIGAFAVTYGDSEEQDGNLDGMPSMRTNPQGD RRRGDKKRNSTTDGSIFESNHANAWWYIFPKNAPKSGIQKVFKKVDKNLKGDNKPTEG IARPLMLPNIHLKFNAARWYHITNERPEGLDQLSTSPRGRFCMGRGHASGSSWVRQSS CKWRGGFRVVPSDPNHMKSQASYIDKIANLADKRGTYHTPMEKKELFPYQQIASKSSI HKFQIEVGSLMYAALSTRVDIAFAVSRLSRFLTNPGPEHHEASDRVLCYFKQYRSRAL QLGGADTFVEASDVSFEDNTLDRKSSQAYVMYLFGGAGLLYVPALDVRELHMLAGVPG CPGPSTCFRASITYTAAQHEQLLINIPESRTMKGSRKARCAGD TSTA_109010 MARSEIDQLLQRLQEAERQREDAERQREDAERQLKEERKRREDA EQEHEKEQKRREDAEQQLRNTTLPEFLHACHEELFRGLKVHKDKNSSTKGDPANAERK IRPNNIREWTGFPQEQMEVWGYIMDSGFVTERHFTSFSTLNDYGKEVQDRMLSSELDL GYFERHTVESRVASVVKVLYANVRLRRIFHLHGDVTFENHANTLTDESRIVEDLSSMS LTQDQPRRSRRLAAKKSGGKHSATPPERSRATQPRSVRPRADQFCVYNKGSERKDPAF IIEYKAPHKVSLAHIKAGLHDMDVDEVLRVQEEESPEYTCRRVIAAVITQAYSYMIKG GLEFGYVCTGEAFIFLRVPHDDFSTVYYYLSVPEEDVGQSTGWSGNLHDDNRLHLTAV GQVLAFTLRALQAPVRDAAWKRRAEDSLETWSMIYDDLLDEISEYNIPSSAFKSPPSQ NGYLRVSPVKTRSMSAAVASCNSSQHQRLSNDDDTEDDPDTPSRQPQKPRVLHSSPSC SSPSKTSEGSHTKGKFRQYCTQQCLRDLIMKGNLDIKCPNALDHGQHQLGLGALMLRL YEQLSSNNPNCESLHIHGTRGALFKVTLLPQGYTFVGKGVPVEFVNYLKHEESVYSHL TPIQGVYVPVVLGSLELRQPLSYDGIADMVHMMFMSYTGQTLVKRNETDRTQLIPKVE ESLQAIHRLGVIHRDPIAGNMTWNEECRQVMFIDFERAAVKNRRNPLKSVSPNKKRKR ETRILDKRSKTGFNCFEREIQRMKNNI TSTA_109020 MISIAFDDEAYNVPELVSPRQVFALKAKKGPSQIVPWKQEMLDI PVFRRAIKTPRGVETSKDAPLPYNQYHGWLVLLGVALGFIYTLTTYCLRRALGNAIND DPNSNAAVRNLVLDHGTGSKIFERNYLSRTIRYFTQDQFWGRSSDHESARTASQIGLL RDPDRPRKLSAEQGQQVRQDPEVRELAAVRSRLCAQIEEIFGVIEMAKGEPIYNDYQA VKASLAATIRKKERALLKQIQEEYDLNAPVLAIQQQLNAEQSDDDDNDDVKEGSPADV DSIRIAERRYIAECAVRDPSVLHDQKGYAFHVEFSVALIALCKRRDRRPVKTRCSPEP VLVKAALDSPRLSQIEPEIKRDSPLKCQGWQCVFCLASNDLPLEERQCRYKRKYTLQK HVDRCRLKYYGPDDPIPCPDDHACAGLILNGKMELKAHFANKHSCFL TSTA_109030 MFKRTLTKMTSAPRDYIGASGSCYRFQNVLQERPHIGRVWLATS GLDKFVLKDIPKDIFDNFRENILPRLRKSPYIRLPYDIVPGQQIYIYRYLTDDFLSLV KRGISLRARKQILHNTLLGIAELHDQDVVHLDIKPDNIMVESKQDGEDIIVESVQIID LENAAYLPKGRCIKGMLAGNENWRSPEAHFKGELNKPTDIFSFAIVCIYAMLGRVIFG PDQDFRKHEAQGALPAFIRLQRQVSYFGDMQGIGGLSKHISDDDISCQVLQMLWDERA DEHIDYRPFVDWPDVDPSFKDLIQKLANLDPSKRLTARQALEHPWFLG TSTA_109040 MASSSHNSKPQAQVATPPDIRQLHRGQTGTKRPVSPYRRHIGHV RNFQAGDAVTEIDDCSQESCRFPRTELDARSVEATTSPNSPHVAPSNFITKEELKWLF TEVLRIQSAQPTSDGKDSSSNEKPKEQDNGARIRASKVGYKTVNEVWDSAKYEYKIIN SAPVPDVDELDEYIFVIRKRSHKQSKELIVYVDIKSPELRDILREVLKDIRTANFDAD RPAIERDLLYHYLDELRDYQKRLRSQEAISDDAKLHLSKLMQHLEETYAPVVEQLKLL QESQKITWDLIWALLKPGTLVFATCPSTVNGRYLDYDGEVFGESTETLQIGSFRSTKQ IQTLPVYPLKYHTDPKIWSRLVCNGRHFVSLIGSHHREYRGNMFIPDKNRLLKLHVNG RIMVDAAIFRKTNPNYPRLEIKKPDTVDFFFEQVKQGGPESRIRGKDIDFSAMKEEDL AICSPTVLGFSLNEKIWGEYYQAKNREFGVESIKEITFSNAPFDMLTVPEDKKKVIKS LAESRVSTRYEGTFDDIIAGKGRGVIILLHGPPGVGKTLTAEAISERLQRPLYSISSG DLSIRAEELEAQLTRAFQVASDWKAVLLLDEADVYLQKRDGFHLERNRLVATFLRTLE YYDGIFFLTTNMLGDFDSAILDRIQLKLQYDDLDRSARKSVFQHFLREHSADIEEEAL TQVSEVKLNGRQIKNVVKIAHNVAMSENTRVCTSHLRLALTQSGYSIPTQGVLAFDDS LYPSLHLPPPYSDWNRVGGGEATGISELNYRARKEVEVCSVTFLGKGSKSGCLNFKAE HY TSTA_109050 MKRAIASDSHDDVGQRPAKLRRRDSSSTVPIPSSKRQEQITLQP PSPLSNNVSSRKINEQECGHSAIVPPSKPINKRQEILEWIPYPSEEFDEVDIMKTKGC FMRQSPAGPTSEEVALCQRLLHQPVETPRGTLFDSEFIESFHDALRNRSEARLLIDLH PLLIPSAENLFIQGKEELKDVIDGYNDPWLRLGPIYGPKPQPDHARGLRWSTFSDIQR RKLGIKPDEKSLYAVREDMYFPYLTAEIKCGNQALEFADRQNMHSMCIALRAVVSLAQ AAGCPEEVHRKLLGFSISHELETVRIYGHYPEIGEDGIKYYRWLVKQFNIWIEEDKWA CYHFVENLDREFLPIHTGRLMHLLRDIGIDVEKNKFS TSTA_109060 MSTKAVLITDQLEKPESDSSHYRVLLVCNLNTAKASASVNVKAG TFNDKGLPGMAHPNSIP TSTA_109070 MARDRLPHWLRKWQINKQKTIKSVAKSVVSIHFCQTRPFDTDPS MSGQATGFVVDAERGLILTNRHVACAGPFWGRCIFYNNEECDVSVLYRDPVHDFAFLK FDPETIRHMKIMELELDPEGAQVGVEIKLLGNHAREKYSFLSALISRVDRNAPEYGGY SDFNTNYIQAAAAASGGSSGSPVVNAAGKVVALQAGRRKGGWINYFLPLYRPLRALQC IQNDRPVTRGTIQTQWTIEPFDECRRLGLTSEWEEHVRKMAPKETGMLVAKIVLPEGP ADGKLQAGDILLKVNGELLTQFVRLDDILDSNVDQKIRISIQRHGKDLDVYCIVGDLH AITPDRFVSVAGGTFHDLSYQQARLYAIACNGVYVCEAVGSFKIDDDTTSGWIVDSVD KRLTRNLQEFIEVMKTIPDRSKIVIAYRPIQYLHMTVTRVIDLDRHWHPMMSLAVRND ETGVWNFSGIANPIPAKPPAPQKAEFTQLGGNSQTATAEIVRSFVRVSCTLPLKLDGF PKTKTTGCGLVIDADKGLVFVSRAIVPHDLCDINITVAESIIVTGKVVFMHPLQNYAI LRYDPGLVLAPVRSAQLSTEYIKQGQGTIFVGFDSNLRLAITKTTVTQITTGIIPVNA SAPRYRPINIESIMVDTELSTICTSGVLLGEDGIVQAFWLNILGADDCEYNLGLATPS FLPVISKFQQGVIPTLRILNMERCVLEMSDARPRGVSNKWINEVAKADPSRQQLFIVG NLGRPLSFAHEDDDLRVGDVILTLNEKLITGVSDLDIMYDNEVLDAVIVRNEQEMHVK VHTVPTKDIETDRAVVFCGAVLQKPHLAVRQQISKLPSEIYVSARSRGSPAHQYELSP ANFITAVNLVPTDDLDSFVQEVKKIPDNTYFSLRCVTFDNIPWIMTMKKNDHYFAMSE YVKDTSSSPASWKVITDEASMAQGNITADAMDVERDDC TSTA_109070 MKIMELELDPEGAQVGVEIKLLGNHAREKYSFLSALISRVDRNA PEYGGYSDFNTNYIQAAAAASGGSSGSPVVNAAGKVVALQAGRRKGGWINYFLPLYRP LRALQCIQNDRPVTRGTIQTQWTIEPFDECRRLGLTSEWEEHVRKMAPKETGMLVAKI VLPEGPADGKLQAGDILLKVNGELLTQFVRLDDILDSNVDQKIRISIQRHGKDLDVYC IVGDLHAITPDRFVSVAGGTFHDLSYQQARLYAIACNGVYVCEAVGSFKIDDDTTSGW IVDSVDKRLTRNLQEFIEVMKTIPDRSKIVIAYRPIQYLHMTVTRVIDLDRHWHPMMS LAVRNDETGVWNFSGIANPIPAKPPAPQKAEFTQLGGNSQTATAEIVRSFVRVSCTLP LKLDGFPKTKTTGCGLVIDADKGLVFVSRAIVPHDLCDINITVAESIIVTGKVVFMHP LQNYAILRYDPGLVLAPVRSAQLSTEYIKQGQGTIFVGFDSNLRLAITKTTVTQITTG IIPVNASAPRYRPINIESIMVDTELSTICTSGVLLGEDGIVQAFWLNILGADDCEYNL GLATPSFLPVISKFQQGVIPTLRILNMERCVLEMSDARPRGVSNKWINEVAKADPSRQ QLFIVGNLGRPLSFAHEDDDLRVGDVILTLNEKLITGVSDLDIMYDNEVLDAVIVRNE QEMHVKVHTVPTKDIETDRAVVFCGAVLQKPHLAVRQQISKLPSEIYVSARSRGSPAH QYELSPANFITAVNLVPTDDLDSFVQEVKKIPDNTYFSLRCVTFDNIPWIMTMKKNDH YFAMSEYVKDTSSSPASWKVITDEASMAQGNITADAMDVERDDC TSTA_109080 MLLNLLLNIPISTWAITAAITILVIILKRRYVSPISDIPGPLLA SFSTLWQVYHLIKGHTEEEMIKLHKKMGSLVRVGINEVSVSHPDAVELILCADLDKGR WYGVFCFPDFNHINLMSELNHGRHLQKSRNVATGYAASKINGSERYVDAILEELESQF DRLSTSNSPIELDRWFNFFAFDVVGEVTFSKAFGFVETGTDIRNAIANTRALALYLAI MGHYVWLHNLTLGHPFLSRIGLQPSSHIFDTCLAAIDARKHNPEVRNDMMEQWLSARR KYPDLMEESEVFGAAVANIGAGADTTSATLQALFYYLLRNSKYLKKVRDEIEAVLGRG PYESVVSFEDASKLSYLQACIKESYRYHSATGTGLSRVVPAGGLSIDGHHFTEGVILS VNPWVFHRNPALFGEDCNEFNPERWLDSERAAQMNHYLLHWGAGSRKCPGRHLAHFEI SKVAATLLRDYDIEQVDPETEWTFETHFTAVPYNWPCRLRRRPKQQHA TSTA_109080 MLLNLLLNIPISTWAITAAITILVIILKRRYVSPISDIPGPLLA SFSTLWQVYHLIKGHTEEEMIKLHKKMGSLVRVGINEVSVSHPDAVELILCADLDKGR WYGVFCFPDFNHINLMSELNHGRHLQKSRNVATGYAASKINGSERYVDAILEELESQF DRLSTSNSPIELDRWFNFFAFDVVGEVTFSKAFGFVETGTDIRNAIANTRALALYLAI MGHYVWLHNLTLGHPFLSRIGLQPSSHIFDTCLAAIDARKHNPEVRNDMMEQWLSARR KYPDLMEESEVFGAAVANIGAGADTTSATLQALFYYLLRNSKYLKKVRDEIEAVLGRG PYESVVSFEDASKLSYLQAY TSTA_109090 MASRLSPEYLAQDRRPQARIGISVVTVLSGIVVLVRVYARCKII RSFGYDDGLICVAMLINIAIMALTFQVLRYGAGLHIASLSASDVPYLYKWLVSAQLAY MLALWLCRLSGIAFYQRLNPRTGPYSLILKLSIAFVTSIFITQTLIIALQCIPLSALW GATKGKCIGSKAVFYSTASMTIICDSLILLLPIYIIFTIQANLTRKVALASVMCFGIF TSICRILAMIPAIEDSDATWYFSVVMVWSDTEVSTAIIALSLPALKGLFSGMRNKRKA LSEDGRYGTLTEDRKMENFNRFRHEGNQPSDFYGGRTSERDSSGVVGNNPSDEALWRE DI TSTA_109100 MATPEPTSPPRATSAGALEPSDPRQNSARLNRDERIRVLTLRDA GFTYLQISQQLQISYRQVQYTCQSQQATPKKARGNPPKLSEAEVDHIIEWITSSKRTQ RMPYYKVIQELNLSIGKHALARALKKRGYSRCKALNKPPLSDKHKQVTSGFHTRIWVT RKAGEELEETCIRSSPTRKRGWMFWATFHGNNKGPCLFWEKEWGTINSERYCQRVIPI IDGYIRLLRDDIWLQFMQDGAPGHASKETLEELHSRGIYLSVRMQYSYAAYLSICMIL MQHTYVTDSSEHRITFGL TSTA_109110 MAYNLDLSSTASNAPSQADNAKTFPFQEAQRRYLRYGSSISKGW HRQRLQKSLLPRIGNASLSYSIEVRQRMQALPSQAFDPKSHANPAEPNIEGQYELNST SGTSERQSQVRVLELPSKAQCWDHGCNGREFSTFSNFMRHQREKSGAATKWECPHCGA VFTRSTARNTHIAQVKYDQARYKSLITSGNWYCGIESRKDTSQVRWLRYIWETRSHRK PARGCVKPSGNARILNVPSSHLGPRPVYSVTAVKSTGLHQIGCDVSILNVPSTHLRPI ALYYATIVKPTGLMATQAFCVYLSNVVELLLGGLFRANGIVTITCEELIIMLAVMMTS RNRKFLMLVKIAALAVGSEDSIESFLPNNGGRFSLLEGW TSTA_109120 MGLFNSDYSRLPTNTSDKTGVLESPMETSDDPWNSPFGEPPIRS ALESDEVPAFGKLPGAPENFTSILKFDGVPAFGKSPGAPENFTSALGSNEVPAFGKLP GAPKNFTSILFNEVPAFGKSPGAPENSTSALGSNEVPAFGKLPGAPKNFTSILFNEVP AFGKSPGAPENSTSALGSNEVPAFGKLPGAPKNFTSILFNEVPAFGKSPGAPENSTSA LGSNEVPAFGKLPGAPKNFTSILFNEVPAFGKSPGAPENSTSALGSNEVPAFGEPSRP PKKNIRSTFRSPKTSNDSRQNDSSFSDPHHGSMIVEYSPFASWGPRVPPFVVPISPKD IPNLKRTN TSTA_109130 MPPIRKKDPLKSTQDEGKIELAISDLKNGRIRSIREAARIYMVA RTTLQDRMKGVPYRQITRANNHKLSQSEEDSLVKWVLDLIKRGLPPRHFLVRDMANYL LSQHGDQRVGDKWVYNLVQRRPEIKSKFSRKYNYERVKCEDPKIIQGHFDRVRDIISE YGILPEDIYNFDKTGFAMGLCATTKPKLLQPGNREWVTAIEATNSTGWALPSYVIFKV KKNV TSTA_109140 MPQRRTRYADSQRLGLLIVAQFATNLWICLDNLEVATRLLSPST GSSQEAFESFRTLAAGWPLRERLPHTKSGSVQIRWVPGHTKIPENEAADSAAKEGAAS TPPSPCKSSYASLKRHAKTQSLSAAQTRWQTIAPQTYQDLEITTSPKRPGELQLNRLD LGHIIAARTGHGDFADYHERFNHDDAHLLCRCGARKAPLHFFFCYIAKRRAPRPPGPP SEVISFLLGTAKGAQKLATWLAETQLWVMERRWTIAAGKYLKPTRKWTPCKTTAAMEM RQRVPYLYINLIGPEARGLPLGGLCPSRDWWKACESRSTAVKYTHTHTHPELYVTKET SNTQRFNASNHLLINTYKQLIIDHYY TSTA_109150 MTFLRNYGIFEFKPLLRELFTTVGKIEEFGHIILISSPTTKSIS PPSTVTALRRYINKIEESIEGINDILEKSKPDLVRRIKVVNSSSLAMAGLGELHREDF VRLHDTAKRKNNKKNKRQVKASGAL TSTA_109160 MVCLGILAAAGLGAVGFITYFHHGEHHLHGTMESCRLKPESQII ASSLLSPCKGRELTPAKKRVLHEDAQLLVVARSDTTSIVYALYKLALHPQKTIKELRE AISASLPEGETEIRTQHIQDLNILNGIINETLRLYPPIPTALWRLTPAEGVWIDEETY IPGNVVVSTPHYVLGRSEDLYERPDDFIPERWYSKREMIKYEDSCVGRPLAMLNLRMT LAKLIHTYDISLPSSMTTEEVQAAMKGNMKDNFALMPGQLNLIFKRREQ TSTA_109170 MGCTKEQAAADFLDFRHTIPGSDITVFSGGPKLENGHAGEVFDT EEEAALAGAQAALSTARFATNMWICLGNLEAATRLLTPSTGSSQEAFESFCALAATWP LRERLPHTKSGSIQVRWVPGHAKIPENETADLAAKEGVASTSPPPHTISYASLERNAK AQSFAAAQTLWQNVLLQTYQDLGITTSPNLLSAQLSFNSPDLTSAISPRPVLDVETSR TTMKDSTMKIVR TSTA_109180 MAFMIRRHRFNSWTVRKERKANAKKWHVCFGHPGPQAIKHLATT TKGVRVTGLSEGPTIVQCEAGGRAKARRIIRREERNIVERPGKSSMNGEKILMLITDR FRGFIWDFYMTSHKSMEILETLKLFFKYLKKHHDVSPIKIEMDNKIFLHRPEVKEWLI TKHVTIKHSTANNQGQNGAAERSGSVIKDKARAMRNSAKLPEDLWSEIYRASIYLYNR TPKFMYNWKTPYDRFHTCVALQNRICIQDQKPQLAHLKVYGCKAYTLTSGYQLKKNRL QRFNPRAWVGYLVGYDSTNVYRIWNPSTGRIVRARDVIFNEDEVFSGDIQDIKDDLLH VSVEELTILLNKIDIRVQSGEVEDNANFGDEMEDLVFDGNRHSDERTTTGSVTGSGFE DSSQLDSDYPSGPTLTPGEGLLEGIDKYAYPTPPDTPPSALLAASITIIRENDLSLRQ SSKHAAGTSTGGVRPRGSLVQEATIKEDPRGALDSVGTTDPEDRVLSLGTGPEGRQIF VTPWQVSERLMAGISLRTDPEGSVQVPARSVRYPAP TSTA_109190 MSQLPTITVWPHQGCAKRRGTRTTHPGTNGMEVIADDQAPRGAR IFLNFVEKHQHSLHFLDRDRAYLIAEVDPTLANQVWWPERDADIADMFLFMLKSHWIT DKYYEEYPDSDFTELWEVRENRGVNSQGGLRKYADKHGMDYNRLRDIVQVTQKARAIE AEMGTPGLSKSMYFAFKRLRAVRFEEIGPFCVLFRTSESFEILKLYAPTALALWDGYA AIMDRHGRNR TSTA_109200 MNVSDMEYMLRSREDRSGRHTGQKCCDVPTPTEATYPSSWEENH LQIIRTGPQTSKPYGPQYGLQSPRADSTPLNHIDEHKSTTLPALTHANHLVPDTDVEH LKKRLQASY TSTA_109210 MADVIRIVKSTEEVVGKNNHVLKSLELKMTRVLKDAMGRSHTTT STISLDTILSQVDIERMLTNSGSTLNLTLTDMSDDSASIIVVPDSLSLVDCASARIKF PSNATKDQPNIIFPRVDPQNDIDSVLDYSPPSSTTAETPAGEADGITVESHFGLDDNV SNTSKHADEKNITRLTNTIAQQIIEHLRLSKSSSNSYSKKRMPGSRLIDYGEASDPLS TTALNALLSMST TSTA_109220 MSQQAVGQTLTSDQWALRVLTRIRELREFSDLTIVCEGVKYEVH KAIICSQSPVLHAACTGGFQANESSPIVDRFVQFLYTGDYTISEKDHEGVDLSPMQYH ARVFALADKYDVKTLCDLAANKYYDRLKNDFDYVEFLDSIPDVYQRTLPQSRLRILAV RFSRERIEDALRDNSNLEKYDNIASQVPAFTKDLLDSYITCPILADCEKCGPDQPMRA LQARCRKCDYVSRSKIPQMGMHNQIGSQVPSRDADTLFAGSKPIISTGRVENAFTVTD LDPP TSTA_109230 MACLGILAAAFLGAVAFITYFHHGEHHLHGASYFIQFLVACGIS TAYLTWRQEYTFSESIQTTIRTAISFLAGLYITASSTVSVPSIHSIDSQGPQVPESAV SGSSFKSDNGNKRISLSSPPIENMVQSFVPKALKKIHDTGRKAPFYDINKPITSIQTT RDEDLHKQRRKLWGSAFEKTRLPYYEASIHEIHANLLARIDRDSKDEDDGLVNVTRLI NHYAFDVMGKVAYGTDFDMLGTGKSHKAIDMLNESMALIGFMLPIWLIRVAAAIPGLT RKWSKFVAYCFEQMENCRLKPDSPVIASSLLSPCKDRELTPAEKRVLHGEAQLLVVAG SDTTSAAIVCALYKLALNPQITVELREAISASLPVDETEIRAQHIKGIDILNGIINET LRLYPPIPTALWRLTPAEGVWIDEETYIPGNVVVSTPHYVLGRSEDLYERPDDFIPER WYSKREMIKYEDSFAPFTIGKEIPFFLNKPKVTTNTNHNSVAPDIGSYSCVGRPLAML NLRMTLAKLIHTYDISLPSSMTMEEVQAAMEGSMKDNFTLMPGQLNLIFKRRNMARAG P TSTA_109240 MNPTNDPIYQPERTVTGQKIFGWQFDNKYILRGYRPANADYPKI FRSLTFLHNESCNVYTHLIGALLLPLVATTLLRYLAEPKFLNVSTMDYAVFGIYFWCS EVCLILSALYHLMQPHSYQVEQFWHGMDLLGIVFMTVGTLFSGIYYVFFCEASWQQLH WAMVLAMGTVTSILISNPLLKTPRWRNIKFRIPERLAPGKFDIWGSSHQIFHVAICWR CGTV TSTA_109250 MPQQKTSSLKTYLDEIEETNGDDECKAWLKRVFDLKVELANFVA TRRAGEGSGKYIGFLKGSFNFSFRFSFDDGGPDAIIRFPKPGHTATAYRDEKVTNEVQ IMEYLRQNTNIPIPRVHSWGLSAESPQLGPFIIMDYIEGVLLSTILKQPDQEDVILNP DIDNTILDKIYRQIAHYMLQLSQLTFPRIGAISKDHDSDTWYVAGRPLTYNMNELATV SGYLDNKFPITPFDRASDYLRSIANEHITHLWTQRNLADNAEIAQKRFIARHRFTQLI QKYYVEDSGPFIPFSDDMRPSNMLVNPETLSITAVLDLKFTNAMPAEFTYDPPWWLLL SGPEMWLERCAKDEFLTLYEPRLTQFLRALEQVEDETALECKQSSGPPLSTRMRDSWR TGRFWFDYAARKSFDVDIIYWAALHDGSMGAESLDDKTRAEVELFAQAKMEQLKAYKE EYERLDVRRGVWRIFSS TSTA_109260 CKDPEHVANAATKGLEAAMALRRLKIISPRTAMQLFRATVATVA PVADYASSIWMHACGTRGTQYLNRMQKHGAIAVTGAFRTVATAVAEAEAGIQPFHNRH MEKATKLWIDIQTLPKSNPLRKLRTITTRRNYPGIHITT TSTA_109270 MSSYSITLGKRTEQNPYTADLEAMKTALERIPPETCQRWISLLS SNRSALAANRQPRQQSGQETIQQIYKQIQSLQQRGNAVNAIWIPAHTDINLRQRAKAE ARKSMDMKRIPEKQPFQAKSTALRLTLTEQQQEWILPADIGKYSKGHRYLFQETHKNL IRWNEPERGKNLVQLRTGKTRLNSYLNKIGAAEFDICACGQASETMEHFLFRCTRWTT TRGGGKSRSDPDLWQPDMKTVHAVINYAIATGRLEQKLESEP TSTA_109280 MRGQNELLKNIQSQLKIYQRPDIFGQLAAISTSAAAVYQIKRLA DQTERVAGFLKGIEQNLSSHHIRGEHFPQHVHSYIRLLIERYTSDTVPHYFTVLNNSD LWHPKFADIQREDPLGPLYLGHRTDLDEPCAFLAEEVRP TSTA_109290 MRPFLCRFPGLKPFLLSIIVVFTFASKILHLFQHRRTVQGSSFL LYLPTFFLTDFLVSIIACLALSKLSGSLGIAGFAIVTFIGVVDVGAVSSQFGFFYVTG AEVRWNAASTLASDKAGFKLLLSGLMPFFAFSTLLLTLSWILAPLITFILGSWLNADG NAFVGLSLRSRQCLPLTNKPKEPRRRKYLALSISLIALFIILGINVYRPQVPYDHMSG TIPFTLAAAFQKQNSICLSDQQLFPLSDLVAPNLWEPENGRYKGWKPGSQPSAVDSAT LKAPPWAPESWPAGFGRWMKVYNSTQDTLLAMSGVVCPGGNLYNTYDPVLDPMRITNL DLDVLAPVQEALRDHAVPIKHVFLIEMESARKDVFPLKASSHLYQQIIDAHEPANEED LYELNSRLAAMTPVAEQITGESGNFNLRSDQLRNNLTADSWKDPSAPGMGGINVVGAL TGSSLSFKSLVGSHCGVGPIPVNFMFEIEAEVYQPCIMHILKLFNQLKKEGQNEATGM RSQKWKSVFLQSVTGEFDNQVQLSLQMGFEESIFKENISTASAKHWHNGMKEINYFGY EEPEIYPYLKDTIQYANANGERLFLTHFTSTTHHPWGLPAGVEVEDYWPRNGFASAHE AMNKYLNSVRYVDKWLGNVLGLIEETGIANETLIVFVGDHGQAFEEDHHVTGTFENGH ISNFRVPIVLRHPLLPRLEVHANATSMSVVPTILDLLINTNSLNDVDKDVASDLIQEY EGQSLLRPYKNSHNGRQAWNMGIINTGGTMLSVASAAAPYRLVLPLTRDFTYAFSDLS KDPHETDLLEDWDFYSLVHTVKRKHGHDAAHWLKDAEKVARWWVNERKRLWNYHEG TSTA_109300 MVSRDRRAKIKPKGTLSSVSCPVCEQTFPRRNDWKRHVKPHYVE KIWTCPLCPKTTTKIGKSKERFNRPDLLRSHLRRSTEHYEMTKEAIEEIISSQTPTKT ELKPSECPRCNRRFDSLELLIGHFIRNPLQRIQPRRTVKQRNPKTLGGPSITGTRTEE LRIIYEYGLVPLRRKGLETIAVSKGAAKLKGLLII TSTA_109310 MRQLYQACVTLIVDYASTVWHNPLKDKIHLRMLGTVQRTTLICI LSAFKTASTAALEVEAYVLPTNLRLKQRAQIVAARLSTLPEDHPGQTINPTPPPPWQT PAFVEIDIEPDRDKAKDKASARQKASGITVFFNASGQQNALGAAAVALDQNHNIIQHQ KVCIGSIEYWSVYTAELMAIYYAISLVLKIAMENQVILVGQQEPATILSDSMSALQAI SNTRNKSGQRIIQAVQQAAQELKARGIPLCLQWMPGHCDNPGNEAANRLAKEAVSLDK EHPFQHLLSREKGFIRNRIQEDWEQGWRTSKNGGHLRRIDRDLPAVRTRRIEDDKCEC GAIEIVVHVLIHCPKLKSIQQELRKKIGTAFNNISDMLGGGSQGKQDSCILHIASARG QGMNYTHTHKLLMEPHRAQIILVLVWAKKATCKFCLYTLLPIVAPYPHTVPSVGRLRL NETSSSTESIFTVHKI TSTA_109320 MQTAMGNILLGTKGAKHLLTRIPPDNVINTTSTTEPSITTYRTY VNHSAWRLYRPTYPNTDESIRFRSLLYVNKRISTSSHRQIHCNHPDLVAIKVWTAEIQ FLIFSVYIPPLDVHQATSTTSTESALKEIENTIEQHTRESNKSTRLILAGDFNRHHPA WSHHSVSHIFTAQAEELINFFQEYQLQWCLPPGTLTYWSPSHLGKTSVLDLTLTNDPT TLIKCQLYHDNYGSDHRGTYSEWDLRPEHNENAKPKRAYDRADWAKIGQRLVESLGQA LEIHSTADLDNEVNQLVETTTVVLDQHVPLQKPSPYSKCWFTPELKPQQTLLNQTR TSTA_109330 MALSTTKPTKDVQVAGVGTTKTGYVIRFRDAQLAEIAQNNTAWL EELGNETKLVKPQFKDFDLDKEKKEGIEKIMEENDLAEKGFEIKDIAWLKKKDSPLGK SASMGIWLNTPEAAESIISNGLLVGQRYIGSVEPYKVKLKRCYHCQKFGHLA TSTA_109340 MAVPEGGPQTPENFARNEAIPRAPGRRQNLQAETLRTPVVEFPP LDDGKRISYQMVAKLVNGLNTAIIQQTNTIETNHPERTEREIAGGDQALRTQIENQAT TNLPPKPWAEVASAINPSAINIIP TSTA_109350 MLRPTLVALSVGTMKISKKDCAERFASKEHNVYGADIRDHWDIL KEVLRTIVEQSTTKTKCYVSKEFVDKYMRMTADNTTVKETKDKLHYLTPQQWHQFFQS CLGGAVDSLRHGKNQGSRKRSRSQDSSSSKDGERTPHQSKKSRNQSRSRDTDAINSIK TAFLTMVENSKDYIGIKIPLDAEIFCQLPDHPDKLASLSVYLLIASQQDPKFLNSRQL DYGRLEKQFLARYPTNDSGMEISYTSHQIKHLVFDSITLQSAVEKMIMSSDEFSLETM TWTPTKRPLLRFDVQLFSEDEDGVVTGYFQSSFIDSIPSLT TSTA_109360 MPETQTTHQINKKTGRQKKRIGQAKGTMWKPKTACALFNICLGI FLEQDPAVGRVVALDEAHKYMNSSPESAAFTNSLLSTVRLQRHLGARVIVSTQEPTVS TALLNLCSTTIVHRFTSPEWLRILHRHLAAAAYDSQHDERVFAGAMDDDADAADSDTL FHRIVRLQVGEALLFSPTAMVISQDSEGQEPTFCYLGDKYVTIKIRARVTEDGGKSVL SS TSTA_109370 MNDINPRASATLHRSSSAQVLPSNPHEDDELLQYQLKFLKATNA DETEHIVLSPILIGNFLRGGVLALDHPQYGLLAGISQLLKVVHPEQTAQDLSNNHDPR VFFNATTPSSTFICGSQGSGKSHTLSCLLENCLIPSKLGNLRSPLTGVVFHYDTFISD NMGSPCEAAFLSSNPAVEVRVLCSPTNIRGINGTYSRFGINVHPLEIDQKDLNTKRML DLMAVESSTGTIPLYMHTVQRILREMRVSQQASGLPFDYLEFKRRVLGSDLTPG TSTA_109380 MGKITTEARRRPRAAQLLLSLALRALNTVQRSALIQILSAFKSV ATATMEVELFTLPTRLRLRQRAQITIVNLLTLPWDHPIQGVLLRARRRRDNGGNGSGP RFPLAEAMKTMSLEQFSGLETINPKPTAPWKLPAFKEIDINPDREKARKNAASLLANP HQVVYSDALGHDNHLGAAAVVLDRNQNIVVSRKMAIGPMAHWSIHIAELIGVYYAISL ALKIFHQNGQSTRPGAGEAITILSDSKSAIQAIKNPRNTSGQRVIEAINQSAYELDSR GIPLRLQWIPGHCDDPGNDAADCLAKAAVGPTKIHPFCRPVSREKAAIRRQILKEWED EWKTSNKGTHLRRIDTKLPAIRSRRLYQSLPRNRAYLLTQLRTGHSWLATHGKALRHR EDDKCECGAKETVVHILIDCPRLTTERQRLRKEAGDAFGNVSLMLGAKGQGITSSSAP GGILDAVLDFAEASQRFCSRGPKDPQQQNPRQRPTTGP TSTA_109390 MSHYLDSRMLQFGKFCEALESSSALFENIRHSWSDSSNCTLKES PETLEGWAEAIRDDRNLTFSHRHSLLLNWMFLLSRLQPYLTPFDILANFLEDKLSDET LRFFSSLLTVSGHYKEPPNMSDIEDSISRGLKEDYYVASKLWEVYRRHDYGWRHSVRG RVFDEDFSPKKVADEIKNFENHSRNWWEFLREAEDDQSRDFQIAIPQYYSKEVFDMTD DGEAGKLFFTLHGKDLETIRKMCPSTKRSIIISNL TSTA_109400 MIDPESVVKYHLYHDHYGSDHRATYSQWSLQPVRNAEPKPRKAY DRSDWEKIGKSVHAQMAPLSTIQSITELDRVVEKLISYMQRKHRAWTQTIEKVKSQHW KEFLDKAGEGHLWKAASYMKPRESYGYIPPLKDGTNEVVDNTCKAKLFMDAFFPKIVA PGAMEDPKHNEKIRWDSITKEEVYRALQRMKTRKAPGEDEIPTLKRARIVVLQKPNKP DYTIPGAYRPISLLNTLGKVLEAVIAKRLSYYAETYNLLPNTQFGGTSDTVITLVAFD LKGAFNGVNGGVLDSQLKAKGIPSVLRAWITSFMEERTASITFNDFESTIVPLENAGL TQGSPLSPILFIFFNADLVNQPVDHKGGSSAFIDDYFRWVVGLSADKNLRRLQEDDIP RIKQWAKQTGSCFAAEKTELIHLTQKKNKLSKGQLIIQSTTIKASTTAKLLGVVFDNE LQWKPHV TSTA_109410 MEVELFTLPTRLRLRQRAQITIVNLLTLPRRQDNSGNGSGPRFP LAEAMKTMSLEQFSRLETINPKPTAPWKLPAFKEININLDQEKARKNAASLLTNPHQV VYSDASGHDNHLGAAAVVLDRNQNIVVSRKTAIGPMAHWSIHIAELIGVYYAISLALK IFHQNGQSTRPGAGEAITILSDSKSAIQAIKNPRNTSGQRVIEAINQSAYELDSRGIP LHLQWIPGHCDNLGNDAADRLAKAAVGPTKTHPFCRPVSREKAAIQRQILKEWEDEWK TSNKGTHLQRIDTKLPAIHSRRLYQSLPRNRAYLLTQLRTGHSWLATHGKALRHHEDN KCECGAKETVVHILIDCPRLTTERQRLRKEAGDAFGNVSLMLGAKGQGITSSSAPGGI LDAVLDFAEASQRFCSRGPKDPQQQNPRQRPTTGP TSTA_109420 MQGAPARRTWMPRDDDYVREWFITLQEDIDHWARSCALQAQITD SGKNNVLSELDGYVRNRDWDTLVSGTKNYARILVEALLAKDIFENIFGNPFFCFDEET KQEEQSRPSFGTQLLELYHEMQKVNEVGAHIWRSDTLRLLNMLTHPGQESNLTSKVQE AKRLLNNIQVPDNVHLPQSGPEPFFCFNKETKQEEQSRPSFGTQLLELYHEMQKVNEV GAHIWRSDTLRLLNMLTHSGQESNLTSKVQEAKSRLINGLTNPSRFPGPEPSLTSKMR DSRKRVSMKRAEDFLQGPVQSLLCVDLNNKREESLKEIYIWAAELAAFLWTERAYMTI DRLPRLNVFPINSPEVLAHPSHKLHEEEEDIDRMEQKNILLVVYPLVSAFGYDDGDSY DQSTVWANGIVLIEDR TSTA_109430 MIDLSGFLRTKHWATKVAGIPASRILIFGQSMGTAVSVAMSGQL ALRSPSMIFAGIVLVAPFADCAMLVSTYRVAGIIPMLSPLKKFPMLFIYLQRFIRDKW SSKGRIAQYIRANEVNSEKYRLTIVHAQDDYDIPWHHTEVVFWCAVNASIPGGISYEE LKEKKLDSGVNLGAAGSVMEWRTDNGVIREEILQTGLHDVVMGYLVVTIAVMRLFEVA GPSFAC TSTA_109440 MPSSEDEIVLDWEGVVDGLNLQTSRTSERLNQIDRVRANGIGDH IALPQLAGISGIPFPQQDGLCTRFATEIILRHDPREQRATATIIPHVSRTEEAKTLLS GLRRHIHDFTELPAIIEAATNLMGVRGNGADIDAPAFSADVLRLELVGHTGLHLTIVD LPGLISVSEVEEDVELVEQLVDSYLENPRTIILAVVPASSDRARHYDPAGYRTVGIIT KPDLINAGTETRIARLASNLDRTKLNLGFFLVKNPSPAELQKGITISDRRRAEVDFFS AETWKSQHMDPSRIGTDNLRMFLQDLLDSHIERELPRVREDVQRLLNATNDELVDLGM ERSTPNQIRVYLTRISSDFHNVVKAGIEGAYAGRDAIFFHVNRNDISIRLRAAIHSEN EEFAAYMRQQSVKRKVVADEDLDTAEAEEDQLLVTDDQMMSWIRKIYKQTRGRELPGN YNFALLTELFHVQSERWQNISRRHVEDVVSLVARFIQSALAFIVKDSKVRQSLMKTIK VTLDTNARDAYEELDKLLQDEACHPITYNHYYTDNIQKARLDRSKRALEVTMGDAIRE DRHDKPRVSNSQVEIDRLLSSLQERVIVDMTELACVEAKSDLAAYYKVAMKSFVDNVC RQVIEKHILAKLQNVFNPLTVSTYNDQDLLHLATESPEICNRRAEALRLQEALEQSLH DLGV TSTA_109450 MVSSDHGRAVQSASQPKYQVSFPPSVCRGDCLFAAPKLKVLASD IFIAAFTVKLKAIVNIRLGGYYLIGYRRLIRYSLFFSTAGHAGGYDSPNCADIRAIHR IFNNTEKDETIRFIPLAIVQAAAYISDPNRGRSVRQYLDEFQKSDRKKFCLLDHEEGQ FRRDWEAKNSVLITWQISFYSIRQSRRSAANLLSLMSFFDRQGIPETRLRDRGRQTNG ELNDDDDADDSESQSSTQISSKMTSSHCKGTP TSTA_109460 MARKGSGTDGPLQTALLESTFAATTRASEGQKIFSPIAAFLDKH RSQTAGLAPHLLRALTALSDDLASIAQQHFNAYISGISTTSIPPALSSSPSLTPNSFL PSPPLSRPPSGLEQSTYATITQHAPAKSTPTTHSKAPAKKPMPLIKQPLPDNRLFVRL PADHAARKMEAYAIYSSLRSQLNSNSAALKEVQTTKTGFALCPSSPEALLALEAQKEI ISAFFVNCQIERSSRWVSYRVTNVPRKIGQILNGQYSLIPVNPTLLSSEISETTGLKP TSISETTSSAVNPDTPSSSWFVNFPEGMKTPLPIQLRLFGTITNARHLPKKTTVIQCT RCWKWHNARSCARPSRCRLCGSSEHTEKGHANRCTALEPHQCPPRCIHCHGPHPADFS ECLLRPRGNTKHTKAQQAEIRKSCATNLAKARTEGGCSPQLFIGTQETPMAIDEVPTQ PPTHEIISPFRSVTPPPRAPTEDPPITARAAPLKILQLNVGHAPDAHEIALTLAYTSD IDIILIQEPYTFKDLSRQITKKHPSYECFSPTDSWAISGRPRVLTYVRKKKGIRTSQL RPFTSDTEEASDLLFLQIFSPTGKSALIVNIYNAPAGCIRAGEAAKALTTLPEAYFPQ TTILAGDLNLLHNRWQPSLQRSPTTFAEPFINWLDLQGLVLISDIDCPTHERGNVLDL SFASSPLALAGAKASIASHLDATSDHQPLITTVPWDQRYKETAQKLRFDTLDHTSFLS LLASNLAGTESSAATEEDLDAFAEKLTSAIQGAYRGSAKRTLTQGIGQPWWNKDCKNA LHNYRSGLCSKTDFRRITRWSQRQFWRDKLSTVTQMKDVFDMSKWHKSTGTFRSPPLK DPLRPSSLPAVTIHEKRDVLVRNLLQNSAEAGDIPLDSPAVPITSLYFPDISMSQVEE SILQAGNTAPGADEIPTCILKVAWPLIKDKVQMLYQGCLKIGYHPKCFRHAILAIIQK PKKTDWSSPRSYRPIALLSVLGKGLERLVARNMAWISIHYKVLARQQFGALPLRSAND LTTCLTHDVEQALNQGMTASLLTLDVKGAFDAVLPGRLIRRLREQGWPTNLVLWIASF ATGRSVQIRLDGEIGPSTDIACGLPQGSPVSGILFMLYIAPLFRLGNPRNKFGYADDA ANLAISTSLATNCEALSDSLQEALNWGAAEGITFAPDKYELLHFSRRKADQDPTCTPS VKAGSITVSENTKRLYLRWLGILYDKKLTFKWHIGETASKALTVANALRSLGNTARGV KPYLLQQAVLACVLHKAYYGAETWWPGRTRPGPTQTSNRVGEHLKKLTKVVLTGARAV LPVFRTTPISVLYRESGFSPPEIELDRIALLATVRLRRLDPYHPLRRRAEQVASNSRQ TSRFARRILALPNSEQINPLQHAPWHPRETRENAQARIGAPMGRSKEQAAADFIAFQR TIPSSDIIIFSDGSRLVDGRAGGGYIGFQAHNQFLRSSLSYGHGKEVFDAEAEAALAG AQAAIAYPTAVHSFSSDPRLPRCDIALSRLAMLVSVSGLLDTVPSSHTLPREILLMET RKMVLGVEHPDTLISMDNLALTYRNQGRWKEAEELDMQVMETRKRVLGVEYPDTLTSM DNLASTYRNQGRWKEAEELEVQVTEMSKRVLGIEYPSTLTSMANLASTYRNQGRWKEA EELEVQVMETRKRVLGVEHPDTLTSMDNLASMYRNQGRWKEAEELEVQVMERRKRVLG VEHPDTLTGMDNLASTLRNQGRWKEAEELDVQVSNS TSTA_109470 MRMTDLPTELSILIATFLQKERDINNLTQVNKKLYYDLNWYLYK HNYLHGNSSALRWAAKYGEKSTAVMSMRERASITSIDKYNMTPLSWAAAGGHIAIVEL LLKSAGVICADDEAANGNSIIEKPPPIGDSFTSLNMEYYHDTKQLWKKLACKLSTATR RVPEFNLNCRDHLNQTPLSLAAAGGHYAVVAVLLNIAEVEIDSRDDNDRTPLWRAASV GSVQVAKLLLETGKVDPDCRDSYNETPLQQAVIYGHEEVVRLLLKTGVVDLHGRDRFG RTLLHLAIIQRHEAVANILIETKNFDLNSKDHWGQTPLRLAAAHRCGATVRLLLDTDS VDINCADYQGRTPLSLAAGNGYETITRLLIEKDETELNSKDRLDQTSLWWAATQGHAS IVKLLLETPGVDSEYIWDLDTLKANSLED TSTA_109480 MKFIEHCDSNRILLAIFPTHASHTLQPLDVAIFSPLSNAYTKQL DDFINDSQVFTRLTKRDFFRLFRASWNEVFTSKNINSAFKHTGLYSFNPEIVIQKFSK KIASRPLSSLPSEQDGGALFMIPTKVQQARGIISQKNDEAVQEQARKDDKKLRQQLAK QAKEAEKIERARIQQEKREQREQEAAEKQRLKNEQELAKLAYLQVQKDVISTPKASKR PTKASFHASAAKSAS TSTA_109490 MAPTDYTDFDPNGKDRKTIEPCVITRTKIYTDLYDDDLWFTFKD DFGDWTTDNLCKATVPVLGKLRDVLRTNGIYVPKGGHAGRVLANTLTLPEPHEWTESE VVEHIQLKGTFNSPFIQLKFAATIKRINDAANVTIQNNAQFVQEDTPSPPSTNLHGMV TRMRASAGGLQDMIPHAETAPPTPTPPAPQAPLVQVATPTQTATWQGTGYVPAIRDQE RMYSQVGQFAPLYVNSIAQLRKVYTTDSTKYGDNEDSFDLAHNIFLDLCRQMGLHTAE ARNQAFSVMLKGLALDYYYTWKDQWERMGIDPAVAVKNHFENDEHLRKVQTDWDAINL YTVIVKYPEKSTTECLEMMFRDIQKLYHKLRPELRNEVIWHAKLISATRTHPACHAAT GNPASTIPGLMQSLRGSVSQFEDTKRAAQQHFAGTYNTDPYDVPRTNMTERRFFNNNL RYQPQNRSRFTRKPSRHFRGPRNDKKTCYICKKPGHLSYNHSDEEREAHKREWNKNRS GSYQQFMAEIEGWEYDPESIEELASSGAYFEDDSSDDEPPRTKDSITSKDSANKNAPL QTTSTHFASAFFTTDEKPKGELGKLITTELANRATMHCVKALATKEAQDGDNIENDVE ETIDTSTYVSASRYSEETWQGILIDTGAADFSTAGYSQFLAYQKAVKGAVIDTSTANS VGIKFGSGDPQETYESCLLESLDENPCFLTETELRRLHRRFGHPSTDRFYRVIERAGH DADREAIEHIRKFCHHCQIHGKSPGRFRFTLQDDIHFNHSIIVDIMYIDGKPILHLEN PLPLVICTDSKSLYDCLVKLGTTQEKRLMIDLMCLRQSYERQEITEVKWIDGNSNPAD AMTKNKACNALQILVDTNKLHITVDGWVERSTTTPQNRAIKANSVAFANPQ TSTA_109500 MQGPKIADPRIGNLVLYCKNELKKNRELTRHLKHEQEKNQELAL QLKHEQEKNQELALQLKHEQEKNQELALQLKHEQEKNKENFEKIRIIEKEVADVEKSV RELVALCIPEDGPNIKVAIDTFKGQSILHTKTASKKSSIGACAEPRMV TSTA_109510 MATPEPTSPPRATSAGVLEPSDPRQNSARLNRDERIRVLTLRDA GFTYLQISQQLQISYRQVQYTCQSQQATPKKARGNPPKLSEAEVDHIIEWITSSKRTQ RMPYYKVIQELNLSIGKHALARALKKRGYTRCKALNKPPLSDKHKQVTSGFHTRIWVT RKAGEELEETCIRSSPTRKRGWMFWATFHGNNKGPCLFWEKEWGTINSERYCQRVIPI IDGYIRLLRDDIWLQFMQDGAPGHASKETLEELHSRGIYPIFWPAFSSDLNPIEAVWN WMKDWIQEQYPDDEQLSYDRLREVVRAAWDALPEQFLKELIDSMHARCQAVIDARGGH TKY TSTA_109520 MHSLVQLATRRWLEMNGKLETWKRQYIKNLKAEFPTGEYENWEH CQGRWKEAEELEVQVMEKRKSVLCVEYPDTLTSMDNLASTFWDQGRWKEAEELEVQVM EMSKRVLGVEHPDMLTSMDNLASTYWEQGRWKEAEELDVQMIEISKRVLGVEHPDMLT SMDNLASTYWEQGRWKEAEELEVQVMETRKRVMGVEHPDTLTSMANLAFTWKGQYRSA EALDLMKSCVQL TSTA_109530 MPPAEYHTTFSNSTNYRLQVGNNTGNIETHHHYAATSRVSTTSV PIDPVSRNPDFVARGTILNQLHHQRAAPGSWTALVGLGGAGNASQFEQSYQDIANAVK ILGRRDPKVNIFKLVHDWLRDSKNGKWILILDNIDDVYFLLQLPDIIQGHAGRENGKA DRPLREYLPQSWNGSILITSRNREATLKLVDQRNIIALEPMDKEDARALFEKKLGKGQ TSTA_109540 MSQFEKIPPELRLLIYRELFSVKEVIHVGTPKSKMSRYPNILQS NRLIYGEATEILYTETLLILHLHPDNYPEIGFRHNPFEDERWEKGYAWPSKDLVAVQY GGEELKGSMEPHVFARFRRVLICLQSTLEARYVASWVNPDISIVEDIKSTVERHPHPL AFIGKLLRRSFNLQLAGLHLGLVLDGHKLENVNVQDLIKRFNKCSEQIMNNTGLLHGC EKIKASLHATTQNPEQKCSLLSTPYSDLESHLSQPR TSTA_109560 MVKGRYGLVRKPRMTRDDRFEANAGIVRSLVKGIAEFSPKAFVL IVSNLVNSTVAGVFDPKRLFGVTTLDVVRAETFVQEYTRPAFEIPADKYDALVNSVQF SGGEVVKAKDGAASATFSMAYAGFRFVESVIKALNQRYGVSQ TSTA_109570 MQISTVLINFALMASSTMGASHRLQVRGPGGEVVVYWGQNAAAA SENNDLSNYCTAGSGINIVVLSFLYEYGNGITIPSGVIGNDCSISTSGEGTNCDALAK QIATCQSNGVKVILSLGGAVGAYSLTSQAEAETIGQNLWDAYGKTSGGSVPRPFGATF VNGWDFDIEANCGNQYYQYMIAKLRSNFVSDPSNTYYITGAPQCPIPEPNMGEIIRAA QFDYLWVQFYNNGYCSYPNTLNYKDWVSYVSGTPSANAKIFIGVPASELGSTGTETGA AYYQCPSALASTVSSFHDSSKWGGIMMWNAAFSDKNVIDGCNYAQQAQSILTTGSPLV SMPVDYLHMRRNEGIAWDAAFAEGHAKYHLSRIYILFDIHQFPLIIGQMLCF TSTA_109580 MPISSSLGLGPINRNSLTEFPGNIRLPIWDGGATFETQDAAMKF LNSFTKTYGYTLVTKRFKTPKEGGPIYRVYLQCSRGGVYRERTNKKTRVRETSTQCIG CPFRLILRHNKHADCWCLDLTDPRHNHHSATGSTLASLRHEEIESKETQIKSYLDSKM STNQILSTLYKENPESIIKPRDIYNKKRKLRDDFLDSKTPVQALISVIPDNGDWIINY GTSDTNTLLAIFYIHKTSLEMLRQNSNILFMDYTYKTNQYKMPLLDIVSCTACNKMFY AGFGFMLDEKEESYKFILECLAKVYAQANLPLPNCILTDKDMALMNTIPTVFPMADNI ICLWHIEKNILTHVHPILTNKVLHTIYFGDPAATKKDVTYQLTISRNELAHWTLKRDL QVSTNDLLETFESFDRTVTRQHVIIKQTHEDDKVLNMKNDFLGPTKKPFNPEYSGITK RTIGIPCIHIIKHCIDSEEPLQPYHFHQHWQLYSSEELPLIDPHIIVLNPAVVQGRGR PRGSINHPIASQAISIRDSSIRRELSAFEHVIAQGNLNTGSRGRRGRGRGE TSTA_109590 MDRLLSLLPRTAPLQIQVPDIATTEIEPPRPEDIAIQKALDEVL EYHRVHRPKITTKNYEPKQKEWKAWCKKMGFKEGGRYLPGDYVDEGKLLLFIKEEVAS HPPRRGQRLKAERKRKRTEAVEVLSEGPPSKRKREKISVPSMAFEELPIERDDDEVCS ELVLMYNTVRSYCSAINELWAHQTSLGLHNAARPQRVAMTALKTSIARGQHQSRRDEF TDRGLATIRDGYVASQIPDLTRKVWAQCLGQNQIEQQFRTQLCFLFGNSMLLRLSNRL PMELPDLFSMPLPSEGPKGKGWCLVTVMDQGKTNQHGRLEYGAALRHRDHQSCLIGAL ATYFFWRWHCSGESFPYFRTSQDWYSIKVSGARLAELNGVSEDQIRRGGRWNADQMTG CYLTTLPRSFMRGIADFDPDWSSSYYLFRETVCPPPALLKRVWPDLDRWQAAHLERTD ATERVEPNIAAGGFLELLQRLRTVFLQDSVLWRTEFPSHPIFRDPLFQMAEYKAFELD IHMAVTIAMEEDPHSIAIQKAIPAVNDRLRTMTAAIQTGQVTHSRALRSLEDLMVSRI DQLTATINDSIGGTFTCQFVPRGQIVPPPVTGAAFGPTAPIQLPVTTLEKASQAPQYQ LWKEWTVGLNGQLSIERLDELYGSGWRSGPESSAERQFYSRRKTLINEIRRLATVEDA SLGDPCQTVVAKLEEERIRAGASLSKLIDALKSILDLIGCQRKETLLSCHTLITSSFV VANHSGEEQFATLLPVLEDYGIIQKLGAIVCDNHTANNKLCRIISKHLMEAKEIKWDP TYRRIFCIGHVINLAVQAFLFQDVVEAEELSSYDEAEAKGEDGDDADNIQMRAKFRAL GPLGKLHNIRTKEFKDFAGRLIPLDNRTRWNSWYHMLHVALQYEGAVDVYTKKHFDTL EVDYLSPIDWEKLRTTSKFLGLFEHATLKTQGDQATIDNVLFIMDAIIKHFENARVEH ASDKEFCARIQNGWDTFDKYYSKSDDSPLYAAALILHPARRTKYIQANWRKTWQKPAI QKVKKLWEEYREKAPFHIVMPLYEKNTTQDLDELDQILQDLGNFARPASQDEFEDYNS ESPYQIRTSALTWWCQDQQQKRWPRLSYMAIDILSIPAMSDEPEPVFSGARRTITWER AQLSAENIERIECLKHWQKSGISKEKLEE TSTA_109600 MAKQVVAMPNKEVFDAEAEAALTRLKATMHSTAQHAPNLWICLD NLEVTIQLLSSPTGSSQTVFKSFNTLAATWPSRRRLLQIEGGAVRIRWVPGHANIPRN KAADCATKEGTEKTIPTSHPWSYAALKRHTRSQAASRAQTY TSTA_109610 MDNHGLLPKDKFRRTIRKAQRQFWRNKLVIAAQTKEVFDISKWH KSRGSYRSPPLKDPLRPNDLPAVFVQEKRDLLVRNLLQNTTEAGDISLECPAVPTATL SFPEITMAQVEKSILKARNTAPGEDELQTNILKTAWPLIKDKILALF TSTA_109620 MAQSRSEPDKRSLQTALQETTTAATTRAAEGQKIFSPIAAFLDK HRNQSASLSPHLQRALATLSDNLATMAQHHFNAYISGIIPTPSTPSPAPAPSPTPSPV PPTPPPSHPPSGLAQSSYATITKPTLAKPATAAQQTKPPIKKPISDAKQSLPDHRLFV RLPPNHVARKMDTYAIFSSLWSQLGTNSNALKEVQMIKTGFALCPTSKEALLTLEAQK EVISTYFGDCQIEQSSHWISYRYSMILVDLTILSAEITEQTGYRPISVSETTASATNA NSLSSS TSTA_109630 MSSTHDDYTIAWICALPLEVAAARVMLNRTHSPLPNPSTDSNAY ELGELDGHYIVITCLPAGVYGTVAAANVVSRMRSTFPRLQYGLMVGIGGGVPGKNNNI RLGDVVVSKPVGQHGGVIQYDYGKTVEGGKLEPTGALNKPPQTLLTHMSQLEAKRITR SEDLKKITEEALKRNPDIEGRFSPPEQLTDFLFESSYHHVAGEGTCEKCDKEQLVKRK PRETRTPYIHYGLIASGNQVIKDSETRDRLALQHGILCFEMEAAGLMDELPTLVIRGI CDYCDSHKQKQWQGYAALTAAAYAKLLLSTIPALPIVNVDVKLREYYTNNKINRLRIE RLSGVPLDMQQCYINLSIIEYKQNDQNMLPQEKSLSSTFSLPNRLKLRAGDPEKEVTL PQLFHERKLLDNRNAQPRRILIRGRAGVGKTTLCKKIVHDFLHEQMWADLFDRIIWIP LRRLKGMSDLDEFFHQEFFKDKAERKGLVTKLRKTALDQTHKKKTLWLLDGLDEVSGY RNPSGADLTEIFNSLLNEDNVIITSRPHAVKLSGLTPFDLELETVGFHPRQVQAYLAK AMTDLDTFNQISSFIQSHWLIQGLVRIPIQLDALCYSWDNDFRSGAVLQTMTAVYQAI ELKLWKKDILNLEKRNNTGHNGGTAVRKLRTRLQIESQHMTPEMKFLECLAFTGLYGD IIEFHSGHRDWLYEQPEFCKMSDDVLDRLSFLRTSDASDQDKSYHFLHLTFQEFFAAQ YFVRCWRSESRELLVYLKPGHARENCKAEIPLERFLREEKYSGRYDVFWRFVTGLLYS IDKQQVCSFFEKMEEEPRDLLGSAHQRLLMHCFSEVPLLEDSGLAKSSNDSLLDLREK MELGCIQWSHYEDFSLEEMRLCSETEFPEHILCKLLQENSLQRERSCTKKILRALAHR WHMSFNLMGIVANFIGDSDSDVRRAAIEALSTQSPWSPDILEAMIRRLENDGDSHVRH AAIKALGTQSPWSPDILEAVIRRLENDRDSHVRHAAIDALGTQSPWSPDILKAVMRRL ENNGDWRVRHTAIKALGTQSPWSPDILEAVIRRLENDGDRRIRCAAINALDTQSPWSP DILQAVIRRLENDKDWYVRRTAIEALGTQSPWSPDILEAVIRRLENDRDSHVRHAAIE ALGRQSPWSPNILEAVMRRLENDGVLYVRHISIQALGTQSPWSPDILEAVIRRLENDR DWGERHAAIEAIDALGTQSPWSPDILQAVIRRLENDKDWYVRRTAIEALGTQSPWSPD ILEAVIRRLENDRDSHVRHAAIEALGTQSPWSPDILEAVIRRLENDGDRHIRRAAIQA LSTQSPWSPDILETVIRRLENDRDSHVRHAAIEALGTQSPWSPDILEAVIRRLENDRD SHVRHAAIEALGTQSPWSPDILETVMRRLENDGDSDIRRAAINALDTQSPWSPDILEV VIRRLEKDEDSDVRRAAIQALGTQSPWSPDILETVMRRLENDGDSYVASKIEALLWKH DEFLFPFFDLNANATSALCKIWAQRSIHEIFACYVRDGNACFETSDGRRSIPLSEGKI KLLKRILWAETVNSPILRLVYRVRFPFASLES TSTA_109640 MRFLSIISALLSVAYCIEWIGQVGNLICNWAQLRGTIAISVYVP SSLSLLTIISQSTSSQFSNGQNGTVQNDGNFEGSLFRLDLSQTFNTITTNLTSLFSRV PQTTVSSSDYTDGVMWADTNELVLYGGLLRPSNSTSQPGSRSLYGYSINSTSSLHQPG FYYAEVPDNMTRYVTNGAGVSAPSERLGFYFGGMRGYDWGPISTMMNNMDSRFMQEVA IYDIASKIWYSQNTTGDIPSARALFCSVYASAADNSSHNIYIYGGYDGNDLEHTPFND VYILSLPTFTWTRAYVGSDNDGRSGHQCIRVLPDQMLVVGGEFKDPTHCLPVLRNFNL NTLDFQNEYIPSEYASYEVPSPVIEVIGGK TSTA_109650 MAPTICSPWPAEDPEQFPGSLHVPGINEPYNNDNFDRYWSAMDT SDPDFPLQRAIGQDLRPTSPSSGFSASVSLAGTDDKSRIEELINCVTDMREELLKMKM LMEMIHNSLLNHIMPGGINPIAHYAKHYRDLKKSKRFGTEIPLPDPSLMGTTRGTYSY RRRDSKVHP TSTA_109660 MPAEITLPKRAKFFTDGSGFDNGIGAAVYSSIGQAYKPVGSSDT HTVYAGELEGIDAALEILLRSQPCDDNPHEATIYTDNQAAIRATCQPGRSSGQYILRR IVRHLGLLRDNRSRWRVRLQWVPGHEGVPGNEKADQLAKLAAVEATRRTQENARIARI SAPNQTTPHAARMSYIPNQSTILMAVCRQRLHAGFAKRWKEQWEHANHGRHLYRIIKA PTKMVLQLHEGLRRAWSSVLIQLQTGKSALRSFLASVRIEDSPQCECGLGDQDTAHVL IRCPTHINLRMETLWKEARETDYRKLLSEPQWVRQSIEFMMRTGLLTQFHHVTPLTTT RSQ TSTA_109670 MSNSCSLGGISLQANCPTLTDWRLRDLGNNCSAQLFYADLNRTY SLGTFFYPETESCEKFGQIIFLDDVPTGPGDLDVVCNGQQQARDQCYTVTVNNSPCMG TSSGTSSNSNYIEPVCLDRSPTIQPTASSPGPPISFTATPTITNTEIFPTSTIASNTS PTPPTVPSDTSPTPPIVPSDVSTTTLTPFSPPSFISPTAADSSPPPTIPSDVSTTILN PPSTVTVTVTAISQPTTFTTIFAPSSEPTTTLPYSSACNFFLHNQRDNNYSLPSLQSH QKSPSQLHR TSTA_109680 MAQKPGGQDISNRVGEHLEKLTKVILTGARAVLPVFRTTPKPVL YRESGFSPPEIELDRIALLATVRLRRLDPYHPLRRRAEQIASNGRQISRFARRTLALP NSEQINPLQYAPWYPREPRGNAQARIGAPMGRTKEQAAANFMAFQRTIPSSDIVIFSD GSRLADGRAGGGYIGLQAHHQFLRSSLSYGHGKEVFDAEAEAALAGAQAAIAYPTAQF ATNLWICLDNLEVAIRLLSPSTGSSQEIFESFRTLAAAWPLRKRLPHTKSGSIQIRWV PGHAKIPENEAADLAAKEGAASIPPDPHKSSYASLKRYAKTQSLSAAQSQWEKVAPQS YQDLEITTSPKRPGELQLNRLDLGHVIAARTGHGDFADYHERFNHDDAYLLCRCGARK APLHFFFCHIAKRRAPRPPGPPSEVISFLLGTAKGAQKLASWLAETHFYEDICPRQPL LST TSTA_109690 MIDSASLEKTSYPEMEHGGSQPSAIYYSLPISADKKEAVYFLPN IYNMLWKLFGSSRQRSPPSHPTKSDSRNTQRDSPPPYTPAASQTHADTKTDDSAYSFL SKFDTIFLVDDSGSMAGRSWKEAEEAIAAITPICTQYDPDGIDIYFLNHCSNFDRSSG YTHVSSPAAVQEIFRNVRPMGMTPVGQRLRQILFPYLRRIEKMDTNIDEYGQLQDQAL AVHPINIIVITDGVFSDDAESVILDAARSLDRCQAIPWQIGIQFFQIGTDEAAMKHLQ QLDDELGKAVKSDHVRDIVDTVPWKGQTGRTLSSDGILKVVLGAVNKRLDRQKGL TSTA_109700 MDEKLTYPLRLRHLPAHQPDSLPRIDKNVLADILDGKLDDFSKL MIIDCRFDYEYKGGHIINAINYEVSDGPLFANLELLFANLEPGTTLIFYCEHSILRAP NVASAIRGIDRDSNIECYPSLTFPEIYILDGGLLLDDGKTGLPSSWTNLLLQGRYRSS NDGGALNPPLKHLKLAVRLVVICFHSSY TSTA_109710 MYAAVSTQPDVAFAILRLARFLSNRGPKHHEAAKKVLCYLKRHL SKDASFADNTLDRKSSQAYIMTLYGATIGWQANKQDMVTTLTTEGECVLRLLLELGIQ FKTPALHVFCNNKQMLELLEKDAPLLCTMFRYVDIHNHWVRQEV TSTA_109720 MAVYAIWSFVTVLTLLNLHYLRRGAKWKSGKGFEKSTTVFWLGR GSGLFADARSKLGAFIYGRQLFADAYSKCSSKNEIVKISTISVPLVLVPKTHRKWFFK EKSDVLDHRATGFDAVESLYTMPCGHILDFPTHVKLIHRILTRELPNLTDDLVEEIED GIQKYWGSDTESCRSVDVWETVLMLVTRTFNRISVGKPLCADEGYLNNTRRYVTSIHA MSAIIRVFPSWAKHLVGPILSIPCWYYYWRGKRYAMPLIHKYLEKARMDPDKCEGFSN KPNVFAMWIVQEALHSQIPREMNPETIYARLMTMNFAGIHTSSFTTVNMLLDSLSRPD IFKDMEEEVRKTYHYHGDKWTQPAVNQLKYTDNVLRESMRLSGPIIRLLREVKAKNGI EINGVPLPQGTKIAIDMHNMHRDEEIYKDATVFDPLRFNPSTGREMPATTKTSENFLP FGHGRMPWPLLCKSRDKANRCDDHHEI TSTA_109730 MSREDLGPLQREKAERFLGNARVSVNYISFTNENILSQGEKKWV TELVKVVSQAQDKHEEPDHKTRVLIPREKYPEGSDGNVPNLKVSLEGLYGRYWVAACK MVNSRSDVQWEVEVYSDDMSEGLKKYLGTEYVPNHAANILWEIYKIKDSDGSETSIGL LLKQLNPSYVTRIRSLVYSKNQKYREFSNALRDVLKMPGQRYAFSAAQVKYFQSSHCY EELAYRLKFTSEFYIWLLCDLNTTEKDVLQKVDEKTVRELDGLVPKLEKRREISEKLE NGEIFSEFTREQRNAVKSKLLNFDGMIPTLEGFRRDFEVLKDCSTYIKELCLGKVTGD ETIRSSMKKIHRSNQNAGSTYSTTEESPSMSFDLAYQQIWLHVMRSLKGKNSKLTAIS QRRLGELAHYLQFSSETIDKTRGLDGLRTDVSTFISTCNPKREYIYDLNLESDLVEKL REYKPERVPLDHALVKVGSTRYGWIEDCISDNSESVENCDRHNLYIEQMYKDKMDSIV TTLFARQCMFFSFFGLLKYRHSTWDSLDAENEGRGEKLKMSHLLRDPAISQERLEDIE DIEDRSVSRNVGEQDEQFATPGNNQDGDATGQDNQKVIGLNENDDSAYETAQEASDQD NHDAIENHEKEENVIEEVCDRDSDQEYTGFNDGNDTDNASIDEYIEATPTYSSNRAHY SDDDSITPTQKASDEDDKELFIHFEAKRQELYLETRREHGKHHEDGFAFAQECRQILE SNLQGKIPRKKELKIRRDLHRAMDFLLLGIDRLSTKWLLSQDVNDAKTSVQSLTESVK TERRMMQTQFNAALEIATAEQNQIYEGEIQELREMVIVMKNRALQDEQVSNAYKELEQ RNQEIESLIRDVQKSLSFLTLKESNHGIEPQYNDENGKISESLRLDIEERNEAISDLQ EQLRDKDGAAAEANLDSMEIETEKLRERGQQCSTEYDVITKEYKNLEKERNKVVEEKK QLEKEIKSYKEQLQSKKEIIDQLTFEAMELQELAGDRAIQTGREINFASDPEDDKILY GAWPPQGELNLKKNECVPQGNAAVMKGAGEKEETQPRIRIKRRYKNENDMGPAVKLHD QNLTSRKHRSRSGSSGIIHNNYRKRNPKGERYSLRLQYRKVNGANRGANSRHGQLVLN TSPINVESVESQMDLSDTDTAGSGNQSTAQISESKKSERSVEQLKDQSACDIVQSGNM AIVPKLKGVRIPRATTRIEATESQLDRVTTPSAHDGATKSQESSPSVFAAYGEATEDH KSPPSTSAVTVAATEDQGRSPSTSSVAIAATDDQGSSPSTSSAAVDATGDQGSSLSTS SVTFAATEDQGSLLSTSSVTFTATEDRGSSLSISPAAIATTGDQGSSLSTSSVTFAAT EDQGSLLSTSSVTFTATEDRGSSLSISPAAIAATDNQGSSPSTPSAAIATTDDQGSSP STSSAAIATTGDQGSSLSTSSVTFATTDGQGSLSSASPATVAATDDQGNSLSTSSATV ATTDGQESLSSTSATNIEAIATELRQLSSHKGSKIEVNRTSWNNHSLSSRDYSVKDRY KAIPRTARNPKMLHPRQNHHLYLKALQDGHNRYRKLGLQGKRNSQSLRFESVNNSQTD TKDISQQAEISVLYPPEASVIDQTGQSITSPATVPAPEDQGSLLSTPSATIAATDDRG SSPSTSSAAIDATGDQGRSLSTSPTAITATDNQGSSLSTSSVTFAATDDKGSLSSTSS ADIAATDDQGSSLSISPAAIAATDDQGSLSSTSSAAIATTGDQGSSPSTPSAAIATTD DQGSSLSTPSAAIAATDDQGSLLITSSVTLAATDDKGSLSSTSSAAIAATDDQGSSLS TPFAAIATTDDQGSLLSTSSVTFTATEDRGSSPSISPAAIAATDNQGSSPSTPSAAIA TTDDQGSSPSTSSAAIATTGDQGSSPSTPSAAIATTDDKGSLSSTSSAAIATTGDQGS LLITSSVTLAATDDKGSLSSTSSAAIAATDDQGSSLSISPAAIAATDDQVNSPNTSSA AVDATGNQGSSPSTSSVTFTVIDDRGSSLSSSSATVATTDGEQSLSSTSATNIEAIAT ELRQLSSHKGSKIMVNKTSWNNHSLSSRDYRVKKRHKAISRTARNPKILHSRQNHRLR LKALRDRHNRYRKLGLQGKRNSQLLQSKSINDSRINTKDIPQQAEISIIYPPEVRDID QIGQFITSPAAVLAAEDQDSLPSTSSAPVVATEDQENLSSTDRVGPGTETTTQMETKK RKASIEKLTDQSASWDGRMTLSSGSNKRLKISKGSKTDTIDESNTGVKAINSQLGGLS TSPGIIDTTDASPENTNTINISPANVDTTDESPTNFDAVDASPANVDTADGQIHLSST DKASVQAAAGEPHGSSLPRPYNLKRKRSVEKSEQQDAYYISWDNSNILGSESKKRPRS TTQSGTAPMRVASSPDIPDTDVDTTESQQYGLSTSLADIDTTLMSAKDINTTDTSPDN IDTTVTFFEKIDTTDPSPTNIDTTDGPPASVLATDGQMDLSSTYTADVQATAEEPHDS DIAPSEIKERPNSKDQSNN TSTA_109740 MELQAPREEHLNEMRSGSTLYKCAYPGCPAGAFQTEYLLSSHAN IHSQDRPHFCPVAGCPRGIGGKGFKRKNEMIRHGPVHDSPGYVCPYCTDQQHKYLQRH VRIQHVDKGKDDPLLRELDEYVNCKNMQRAMRLFKVTLLRIFDEVSLAILAYYESYDS LSEGSEPQACFKELDPAIAFALEGLKKDMKYHIFMNESEIYYTALILDPRFKGTLI TSTA_109750 MSTPTSTSDTASQAFLPGSSNNVLPGSYLPAKSHYEAYPHAAGA LSADQGGKNESGKQTYPILISAISEIPSARHLGQYRWKEAETILWSFDVRQISLVIQF GFFHDNNQPRQILLQRSSNVLDSLLKSLLPPYESGIIPSLTLNQKVEEIFQRCQPTII SMNLHWGWYPSETLLGPEPAIIAEEIEAESQMHFKAVPFEAWVRCSLGFPAAEAHWFF LQHNALYIILLNNLQEYPYELPKYREVEKLLRQKSPFAHKAVLQCLRHFPDAKGETPK LPNKPSLDFIAGPIQELFQDYTADLVSILKKLSVINIRFRQTYIHVPKVNWNKRLDTR AKYLDEWLKALSPKDLARSLTRADNQLFGQLSREALTDDQNKIPDMLHARWGDLVMAV RECCTAMPDFVQFIQECIQILYNMRNYNSTLALIQGLQHISINFLWVNPTNTTTTTTT MTNTQPEIQTVNIYSLTPPNVSYIADPSNNYASYRYAMKVTPGIPFLLPHIIEYRQRG VAALDELFGTT TSTA_109760 MLPYWHNNVSPVFDSVSSIFDSVPSIFDSISNSISSNFNYSNFS YISTKLNSVSVYGLA TSTA_109770 MPTTFIEALYMAWVSWPVWTKLEVLLFAITAYIIGIILYRLYLS PLAGFPGPKIAAVTTLYEFYYDAVKGMKLYEHIQALHGIYGPIIRISPHELHIDDPDF YRTLNRKNNLDKCPRYYAIADTLVTTPDHERHYHWRAGVQSYYKSAAERYEPIIYGVV KRFSRGLTDSVNLSSAFRTLTYEIICRVYLVPNPEGLLASDFAAHLNRSFGQFIRIMT LLRLLFGHTIANWIGGAGNVGFKLLALFPKRLHYHVTGWSLENENSIVHLQQKLARLV IDPLISSESLPDDPMCPMLPAIYASDKVPPEAKKRSSLLPDTLGVVLAGTEATANVLD TIMYYLLKDKEKLERLQTELWETLPGNSENVDFQQLKDLPYLHGTKLTASLRLERGSE FRTPRILHEPLCYNGQFVIPAGTSISVTQKTLHDNPKIFEKPSEFQPDRWLDDDKKQA MLGYLVPFGIGQRSCLGMQYVLLNPTSKV TSTA_109780 MKFTQNSQIRCFAHILNLVMKAILKSLCASSHKEACDLLNDVAK QSWKKIKRIQKWDNRPDCTKAINYDVDTRWNSTFIMIERAEECRRQLEDTVNDELEIE ALRLTSDDWRQLSDIKKILAPFNEYTEYISRDSPSIHMAARLYEELHSMLLAIRERQG DWKNLSAEATILVSDGISLLERYYDYVKCNDIYYIASILDPRIKTKWLKMLPDGEKII VRIRAFLKKAYPAQKKPISTALSANYKSLEYRFLEAFQPTQYNISESDIDQYFDTPTI STGFDPNQSQTEFIRNWWKANKLEFPCMAKVAQDHLAIPAAEVDVERLFNGGRDILGI RRFSMNGRTLGTLLRLKDAARWKSE TSTA_109790 CQGPLSSWISKEKKLPFEEALLNWIISTSQPFTCVEQPSFKTML RSAGSQDSIPSADTISRRLSLWLDAVDSELRGLMSSASSIALSLNGWTSQNSLPMLAI NAHWMSSDFQQYRACIEFVEIEGNHSGENLANIVATALQRFHISDKVITITADNASNN DTLHRYLHQ TSTA_109800 MANRGERREDERRTSVPAGLLVDLALCIGIQGNTRASIVIRTAM EVIRREKENLKRSKAKNSELEEKNSELKAMNTALEEKKSELEEKKSELEEKRSELEEK KSQVEENKSELEAMNTALEEKKSELEAMNAEFQAKLTMMEACGKELGTILRIQGDISP KKILDSVSRNATYVNLLSTSTAMTQEQEKEKTASARRKSGDLKRQLRVAYHQMKCLKD ENDSLKRSKEAIFFAEAFQNIWNNILRMWDQYYIRETSEFSLAVSHVWQHLCDKIWCH EGPLEGLPSLPAGDTRYYTTSILAKFRSVTQDNGPLDSDLAHIFLEAANLWVDLHKDN FSVHVSRVLRELDGDSFISPLIVVQNEHGPLVCSGNAYFPKAELKECYYKT TSTA_109810 MFFLTIIASIFLFFHLVKASYHLKKSFTKNGAFFENFEFFTGAD PTKGYVNYMGREAATEAGLAKTLANGTVYLGVDSHTIMKSSGRPSVRLTSNDSFKYGL LMLDLIHMPDSVCGTWPAFWLLGSDWPTNGEIDIIEGVNIDSNNTMTLHTSSNCQMTQ SDFSGTMVTSNCNVAAAGQPANAGCSIISDSNVSYGTKFNQQGGGIYAMEWTDSEINI WLFTRNGNLFPDMSCDNMDPTFWGKPTAQFKGDCNFNDYLGPQAVVFDTTFCGDWAGS VWKASSCAELASSCEQFVAENPSAFANTYWQIKTLNLYQ TSTA_109820 MRQVIFMLGFIVLWDPVSILASDPAKSLFLRDSSGITITVTTDV TETECTCTETSAASTTSFLSTTTAPMSLTTDTIVVTVPVTQTETLPGNEHTVTETVST PTTVIVSYFSTGATVVTVPVTQTDTLPGNEHTVTETVSTPTTVIVSYFSTGTTVVTVP VTQTDTLPGNEHTITETVPTPTTTLQSHTLGERTYYNRDRANADDSNGLLFQYGHAFG ERTYYNRDCADTDDIPVTRTRTIPVTNVISSVTQADTALGKELGITTPDTSIRICPSL KTNPTYTPPTPLPTNYLWGCPPNTLCNPNHTERCIEASLPAESYVCSPENCIETPPLP SPEFWGIPIESNETGKFQPPPKYFNINPHYFGLPYDIFIFGSSEAKLSYRQITTTVPP MCYDECNDCALEAQRKGKVPGLCSPGSDFQELKGLCEACIHNHSEPTEFSITAVLPDF VQFLSYCGETIAASTISVSDTKTTSAASVGRSATLSWKNYSVTASGSQRITAEARPSL SASSYRHVRELQKVLGFKVLECLKGITSPDAGYLRFYLSSTISRLACHGNIWQPTIYR VVKRASAPHLFFGFVSCTIAVIESYSRQVALLPSLLVCLGSCQREDLYGNLAYTEGMS LGLSKCMTYIIHSLVTSLKWWWLRAERRSQASCEANITYTNTAVTWKSIECSLPDTGE LIYYEQMLPPSIVLSTSNRTAFMSSFDSSRANDPLPLTSNNDSTHLH TSTA_109830 MAFASRDIFEILTIDILRMTTDGTGSRLFSEDGFENTQVIILDS QDDGPYFDLWGLFSKKPIKRIHEITDWSTITPTNIIIALAGSSNPLWQGDWGSHSFDF YQAGIEVIENIFDVQAAQDSSTILVTYIDRRQKRRLIDQEEYLREVQERFPHIRVQNV DFASIPFKEQICILQKTDILVGVHGAGLPHAMFLKPKSVVVEILPSSCNFNMFQHLAR LRGHIYYSAHGAEVDIDPVKRDRDLKDVTISKERFLKLMEMAIKSLYNTGLRSYDAV TSTA_109840 MSVDSRNLLAFSRVDPLCSTFSNLPIDTCRGPFQSTGGQVEDAI YGQIAQIEQYPRTSQPDASFFVADLGEIRRQHSRWMSHLPNIMPFFAVKCNPDVELLQ VLKELGVGFDCASVEEMQTVLRLGAPSTSIIFANPCKTPHALAFAHGAGIKMTTFDNL DELDTIKNYMPDARLLLRIFANDSNALVALGEKFGALPDSIQDLLMRAKDLNLNVVGI SFHIGSGASDPSAFQKAIAHSRQVWDSARSMGFFLQILDIGGGFQPTEAEFTPMATAI QSAISEASFPGQTIFMAEPGRFFARSVFTLVCRIISCRKSKATESPQRVDMLYQNDGV YGNFMNALIEREDFAPSLIITKGHLPRKSEYHAYTIWGPTCDSTDCVSRKASFALLRG MLTGKPAYTSATATNFNGFSSKTKTIYTPKEKGY TSTA_109850 MQQLSRPLHRQNFEIALIYALPVEREAVEALLDVKYETHSLSSG KSPGDLTAYITGRISGYHIVLAYLPHPGKAIAAGAASYLRSHFEGIKICIVIGVWGDN LGNRNLEITSFLRNLSCQPALQRLQHKTLSYSKELLEQLRSPEFAWPGADEDKFSPSN YRHKHQDPNVCTTCARCYHLEDSVCDAASKLSCIALGCDDMLLERRGWRNRQGELEAS DGLLSRNSLMSGVAEIVGAAGIGRALLSISGRILGVLIAFEMEGAGVWQYLPTIIVKG GYSAITAAASTKVIIEEWSMQRPLKALIPFSLSDGAYHIDPGAGRYYVLWNKKYYTTQ TEGGNTSGAEDTKKKFEELEAALKTVPTQAAIEPEIALPESALAQQDFCRVVRTQLRL YSASREGFQRTALALAAVIKTNKSDPELSWLTLRLGASELHRNK TSTA_109860 MPPILNKNKKDLAEQEGRILLAISDLKNERILRVAQAARIYKIP RTTLQKRLSGTQQRSQIRANSHKLTQFEEESLVKWILDLDKRGLPPRHSLVGEKWVYN LVKRRLEIESKFSRKYDYEQYFDRVRKVILEYGILPEDIYNFDETGFAMGLCATAKVI TGSDRYTWPKLLQPGDREWVTAIEAVNSTGWALPSYIIFKAKKYMWLGWFEDLPAN TSTA_109870 MNDLLLARKENHDLRTAHEKEKQKRQKSKKQLSNEQGISTEEAQ TSTA_109880 MSFSDSQSTSPAKSSFDHSAGTSLTSAENVEEGPKLEVGVFSER KASETEDATSEDLNLVEWDGPDDPENPQNFTTARKWAITMLLSSLTVWVAFSTSVFSQ ATRVTAKEFGVSDEVIILATSLPLFGFALGPLLWGPVSELFGRKGPFFLGYFVFIIFQ IPVAAAQNVETIMLARFLIGFFGCAPSAIVGGVLADIWNPVDRGVAMALFAGGTFGGP TLGPILGGFIVQSRLGWRWTAWINLIAAGLFMIIALPIVPETYQPVILQRRAARLRLK TGNWAYHSRMDETHPGAGDMLVRYVKRPFQMLFLEPILLCITLYLAVIYGILYLLFES YPYSFQEIRGWKSAGVAALPFLAVLIGVLLGCIYIIFLTKTRFARKLQKNGRLIPEER LIPMFLGSVLLPIGLFWFGWTSDKNINWVAQALAGIPIGAGILIIFMQGLNYVVDVYL TFANSAIAATTLFRSLLGGSFPLFAMQMYKKLGVPWATSLLAFLTVAMIPIPILFYFY GAKIRSLSRFNFGT TSTA_109890 MRSRSFAVNVVSRLIYNNLSADLQYACQYWVYHLGHSEPHIVES LAFDFLKKHFLHWLEALSLMGVISEAVAMIDAVQSRDGVENLWRSGLQTLEGHSGLVH LVAFSPDGQTLASGSKDDTVKFWNVKTASELKTLRGHSNSVYLAAFSLDGQTLALGSG DDTVKLWNVKTSCELQTLQGHSNSVYLVAFSPDGQTLASNSGDDTVKLWSVKTGSELQ TLQGHSNSVYSAAFSPDGQTLASGSYDDTVKLWDVKTGSELQTLSGVSSSLDSVAFSP DGQTLASYSGDNTVRLWNIKTGSELQTLRGHLGWVDSVAFSPDGQTLASGSEDDTVKL WSVKTGFELQTLRGHLGWVNSVAFSPDGQTLASGSRDDTIKLWDVKTGSELQTLRSHS SWINSVAFSPDGQTLASGSGNGTVKLWNVETSSELQTLQGHLESVFLVTFSPDGQTLA SGSYDDTVKLWDVKTGSELQTLRGHSGSIDSVAFTPLAEVHTATRSGMNRRLHKCDPN LWSINSQISLSNNWVALGDENLLWLPPEHRQFTISAVKEASLALGYRDGRVSIIGFHT L TSTA_109900 MTTLTHNDYTVAWICALPVEVAAARAMLNKIQSPLPKPSSDSNA YELGELNGHYIVIACLPYGVYGTVAAANVVSRMRATYPRLQYGLMIGIGGGVPGKNND IRLGDVVVSRPAGEHSGVIQYDYGKAVRCGKLERTGTLNKPPHSLLTHINQLEAQRMM GSENDVLKIVEEVFERHPDIKQRLLPPEQLTDFLFKSSYHHAAGEGTCEKCDKEQLIT RQPRETRTPYIHYGLIASGDQVMKDSETRDRLAQQYGILCFEMEAAGLMDELPTLVIR GICDYCDSHKQKQWQGYAALTAAAYARSLLSIIPISRPDIDIMKSKNMRHWMVSLPRN PKFVGREDEITKLEELITMQDRPKRIAITGLGGVGKTQVALELAYRIRDRNKECSIFW IPCTSHAMIDQTFLHIAQKLRLRDVNPAEVKEQVKIYLSSERAGKWLLVFDNADDTKM WLAASHIAPPLEDFLPESEQGRILFTSRNRKLAMKLAPFNVVSIPDVDKETAVKILDK TLAHEDLPRDSATTAALLEQLAFLPLAITQASAYIIENGIDLSIYLTLLQEHEQDVIE LLSEDFRDPGRYQDIQNPQIQHQDPLAADYLFFIACINPRNIPQSILPQATSRRQRVD ALGLLNAYSFTNGQERDIGMHRLVHIATRNWLRKNALFSHWIQRVAEHLQNVFPDHHH TNRGLWQQYLPHALTLVHENEFVIQEENYLDLTEKIADCLFIDGRYQEAEVLYRKLMT IYQEKAGPQGRSTLRSMANLASTYRNQGRWNEAEKLEVQVMEASKIVLGAEHPSTLTS MANLASTYRNQGRWNEAEKLEVQVMETRKTVQGAEHPSTLTSIANLASTYRNQGRWNE AEKLEVQVMETSKTVLGAEHPDTLTSMANLASTYRYQGRWNEAEKLDVQVMETSKTVQ GAEHPDTLTSMANLASTYRNQGRWNEAEKLEVQVMETSKTVLGAEHPDTLARMNNLAL TWKSQGKLQNALALMEQCSDLRNRVLGPSHPHSKSSSRALSDWMVEYNA TSTA_109910 MYGPTSTRTSPPLEQSSGDHEPTSTGILPSVGQPSSMYGPTSTG TSPPLEQPSSDHEHTSTGILPSVGQPSSMYGSTSTRTSPPLEQSSGDHEPTSTGILPS VGQPSSMYGPTSTGTSPPLEQPSSDHEHTSTGVSPSVGQQSSIYVPTSTEVSPLLEQL SSDHEHTSTGILPSVGQPSSMYGPTSTGTSPPLEQPSSDHEHTSTGILPSVGQPSSMY GSTSTGTSPPLEQPSSDHEHTSTGVSPSVGQQSSIYVPTSTGTSPPLEQPSSDHEHTS TGILPSVGQPSSMYGPTSTGVSPPLEQPSSRYRPTSTGTSPFVRLPSSRYGPTSSVSS QIPLSVSKPRTTRLSIPMISIKTSTILTTSPISSGIPAPDTQIPDVIVPANASIHIPA NYSRIQLGFKKELSWQVIATSAIWQAQLYYYCPLGIAYALQLPRSDVVPYWLGLDYNT TTLMRFFIPNDKVENLKVMLSTESSELFLQSATPNNPPAFAKSSIQSIFAMLDTKVPL MQPATGTASPSTSLIKHNPTAAQPTQAVNSGAPRSNNNDKNHEKVVKAACGTILGTSI FVMLAIYITRRYKAKHKRLQKLDKAGNETIEIMVPSRPTGNYVAFVLVLTASSGPIYM KKLYIYALPSTTPLERSFLVSGI TSTA_109920 MAGNTTTPTSSTVGGNSDVARLQLRIQELEAQLTAKQPKTPKPQ IFDGKRSELKNFLTQMDMHVAINAVSLGTEESKVVFVATCLTGEAFQWIEPVLREYYN SEKKDWSDHTKEILGSFKTFKEKFQLAFGNIDEARNAERQLRQLRQTGSARQLAIKFK QIAMILDYSDDVLIAMFENMLKEDVQVELIKMDRPDDIDEFIEQAVKIDDKLYEIKQK RQEIQGWRRHGTALPANQRRHRSGNHGQRRHDPYGPMPMELDATAEAKNYEKKKVRFD KTTVKCYNCDKMGHYARECRSPKKERQIKATQDNGFNEEDQDGYAEWDNNYGVNDYQN DRDICSSFSGTESETNLHLTTMHAWDYEEVEDEHNRVDDYPEIDNEPESQYQDAQERP ETEIPTDDEWEEIKALGNPCESDCQVLYKDPKHPGHGSIAFIFCNDDWCSVHLGAKQG SGYFPKPHQEIKPENCKWLRRDNEGPSRISLAKTDDTAMPNTAKQSAGTGDTNEARKP EGPKRRQRTLVPLRNDEESPEEDSNTTRQRKIENDIKQLMREWTTLRKEEIERIGEAK NLKATAHGRHFKITANILGSDVRIMIDSGATGNYMDPRTQEKLQILGRKKPTPIPLTG LNGEKLSEEGITDETGWLAMSIDGHLEMINFDIAKLGRDDVILGIPWLRKHNPEIQWD KGHLHLTRCRCGTTRTIKASETTESQEIDIQETMDTPQQDETKGRVIAEVTEKITLHK EQEMFRELLEKAAKERQLASSTNVALPTEYEQFRDLFDGTYQALPDHSEWDHTIPLKE GKEPIPQKIYPVSGNEEEALKKYIEENLEKGYIRPSTSPAGYPVLFVKKKGTTELRMC VDFRQLNNITVKDSYPLPLITEIQDKVRNKKWFTKLDITDAYNRLRIKEGEEWKTAFK TKFGHFEYLVMPFGLTNAPATFQRYINNVISPYLHDFTIAYLDDILIFSNSMEEHVKH VRLVLEQLKMAKLQVKLKKCEFHVQETDFLGHRITQEGIQTEKEKVQAIKDWPQPRNL KELQSFIGLINYYRRYIENYAKIMTPMFKLLKKEIPYEWKEEQQKAFEEAKKRLTTAP ILAQHDPELPTTLETDASDFAIGATMTQPGTDGRPRPVAYYSRKLIDAELNYEIHDKE LLAIVSALRHWRVYLEGATFPIRIITDHKNLTYFTTTKVLTRRQARWSELLGNYWFTI EHCKGKENERADALSRRPDHEEGIKKPEPALLRLNKEGHLEYNPQVATLAATAETTTD SELQDKIIEETAKDDLIQSLIENEDDKVTATETGLVFWHGLIYVPKSLRNEIIRLNHD TLTSGHPGQLNTMERITRNYYWPRMMKDIETYIKECDACQKNKISRHQPYGLLQEIKA PDYPWQWITMDHIVKLPESRGCDAILVIVDRMTKYAHFIPTTEGTNAEELAEELIDGV FQHHGIPEIIISDRGPTFASKLWKSMMDLMGGEQRLSTAFHPQTNGQTERTNQTLIQY LRHYVNHHQDNWAELLSMAQLAYNSAKHSTTGVSPFFANHGREPRLMGQPKFKESVNA TAEEKVQQMKALYFYLHTEIINQNKLTTGYYNEKHQKGPDFKRGEKVYLSRKNIQTTR PSDKLDHLRIGPFEIEEKISEVNYKLKLPNTMRVHPIFHISLLEPAPQNAKTQEEITI EQETYEVESILAEKESPDGKLYYLVKWKDYSTKESTWEPIENLVGAEEVLERYRRRHP TNRREIVQNWRATRQTPRRSQQEHGIPVVLS TSTA_109930 MPPHSSHLLKPLDQHYGQIVEQRMRLGFNHIDKIDFLTAFPKAR MMAYKAQTVRNSFTATGLVPFNPDRVYQQLTVRLKTPTPPQSRSSDTQSSCLQTPQTP RQFKRQMTKTKKRISRHTRSSSEAIGEVFTRASKAYEMSINKLTIAQKELHDLWAAHE KEKQKRQKSKKQISHDQGITREEAQALVQGQIEASQAVTTAPAEHELPVSHPPV TSTA_109940 MSTNPSQHELMAIAKLASNSTNDFPFAMEAANLENESENAYEVV PNINNERTHASSPAPGTIEGSARAKVISNGNNGHRTIYTCQYEDLEKSDSGPCLKTPN TEPVDTRKVVSHIFGRNKKVTRCIPGELWTWYCRKHYQRSKYNCRSQWPQKQIDLVRL ALDNFEQWGKIECWNIVLRKREQDRQKCSRRSDNAMQSTSISNPVSPGMPHFVYYYLD QDVSFNSVRKLVDEIERYIQGENKVAEGLAREGVQDVYGRAPQFKKFPDIEFLPIFTL EYQRLLDRNHEKCKRRSNLRAQNFGLELSSFKKLSDAL TSTA_109950 MDDFTAWVTGPTARSNREGIEVIITDALDWERRSRATFEANKTA IIHFTPKAHKSEQEPFTIKGQAVKPKDHVKILSVIIDTRLKYKEHIARAASKGLEAAM ELRRLRGLSPSTARQLFTSTVTTAVDYASNVWMHAFKDKAIGPINRIQKVGQINADRE PILGGASSNGTHIEHATNIKAVQNHAPDEQ TSTA_109960 DIAFRKVPQLKRIDGTTTTNHKEQAEELLAKFFPPLPDDIDDEG SRPQRAPIEMPAITLEEAPGEDGLLVIVWKMTWPAVKHRVLNLFSTSLEEGTLPSQWR HAKIIPLKKPNKKDYTI TSTA_109970 MLWVNKDVEAEQVLIESPDLTAAVIRLPERLIFMALVYVEHGDA QALRDTCNHLRKAITKGGGQGGDYESTIDLVLASENLIDSMVKCAIHGTEHGSDHRAI KTVFDVPRPDTNHRERLLLKNAPWKEINARIAQNLDSTPAEGTLQQRTDRLMSVVLEA VHTLTPKAKPSLYAKRWWTSDLTQLHYIYTYWRNHTRLERQAGRDVTHLEEMAKSASK QYHDAIQQQKKKH TSTA_109980 MPSDGVPVGRAATRTPEPPDRDEHENSPPRLRPKRTTKPPKDYA QEQEQEKETEQRKTHSQQKRKTQKRPATQCDAPTGDESATESEDLSEDLDTAKLVKEL IKLRKEIRRQDEMHKEELKKVKEEFSAALAEVRQEMQTLTLLSRSESCSQNSYDDILH EIQSLRTSITTLDSANHLSYADVAHTPATNTSKIVDNKSDRTSAGSIRAVVEKEIRTM ENHMNWRCRAVIMDPKNAYQIRIACRDEAEHQLVKKVAEAKISAGARVLRDKLYPIKV DSVRKAAVLDEIRAGATAAFSEENDTTVAKIAWLSSKESAKAYSSMVVYLTKGTDARR LLADGFFHAGRESSVTSVFEY TSTA_109990 MDLPPNANRPPKRLKRSTTEPLAGFAHGDYTVGWICALPETELV AAMAMLDERHPSLPATNPHDANSYVLGQIGDHNVVIACLPAAITGKVSAATIAKDMIH SFPAVRFGLMVGIGGGAPYYGAKRNNDYAGGEEEEEDSKDSKNNPEDIHNIRLSNVVI SLHLKSLDAVVQYDFGKSLQEKESIRSGSKTHGLIPLSGHPRDQPYTAATAASYAKEF LLAISGLGVKRMDPIKQSM TSTA_110000 MEDKPHDFLGPAHQRILMHCFGEVPPNSGLENLRTLIEAQLKQW ALFEYKLRGEMTLCREMEFPDNVLISMMNEGEPEDVKNAILQALRHRSNFSVCLVNLI AYLLGQNVSSDLEWFAINALGRQTSLPDNILQAIVHRLEHSEWRTAINVLGKQTVLSD DILQAIVRRLEDSNGYVRQSANYALNKQITLSDNILQAIVRQLEHSEEDVRQSAIDAL DKQTSLSDDILQSIVLVLSKNTSSTDSESVSMLLKQDNLYDSFQNFDVETLRSLYRLL VQQSFSEHLTCYMQDKTFYINMPDRQKRVSLVQSKDVFLDAFRDEAVALGRPLTASTD NLRLR TSTA_110010 MEENEMGLHGFRISQIAWLQKDKENAIGKHGSLGIWFDSREAAE WLMDHGLLVGRTHIGSVVPFQVKEKRCYQCQGFGHLAWACKEWARHPGSTSTIDLMVT NHPESVVKCHLYHDHYGSDHRATYSQWSLQPVWNAEPKPRKAYDRSDWEKIGKSVHAQ MAPLSTIQSITELDRVVEKLISCTTKAIDQHTPEMKPSPYAKRWFSPELKLQQGEVNR ARRKWQESCATRGRQHTVLNALFQDMQRKRHAWARTIEKVKSQHWKEFLDKAGEGHLW KAASYMKPRESYSCIPPLKDGINEVVDNACKAKLFMDAFFPKMAAPEAMEDPEHNEEI RWDPITKEEVYRALQRMKTRKAPGEDEIPTLVWKQIWPYLSEEIFQIFTASINLGYYP QQWKRARIVVLRKPNKPDYAIPGAYRPISLLNTLGKVLEAVIAKRLSYYAETYNLLPN T TSTA_110020 MEVFSTANSKRKGFRRFSEPGSLALWKSGPLALPLTILNHHSSP GERGSGPRISIIANTPVDHKGGSSAFIDDYFRWVMRLSADENLRRLQEDNIPRIEQWA KQTGSYFAAEKTELIHLTRKKNKLSKGQLIIQGTTIKASTTAKLLGVVFDNELRWKPH VQQVLKRATRVNTALGGLRYLRPGQMRQLYQACVTPIVDYASMVWHSPTKDKMHLRAL NTVQRSALIRILSAFKSVATATMEVELFTLPTRLRLRQRAQITIVNLLTLPWDHPIQG KLPAFKEIDINPDREKARKNAASLLANPHQVVYSDASGHDNHLGAAAVVLDRNQNIVA SRKTAIGSMAHWSIHIAELIGVYYAISLALKIFHQNGQSTRPGAGEAITILSNSKSAI QAIKNPRNTSRQRVIEAINQSAYELDSRGIPLRLQWIPGHCDDLGNDAADRLAKAAEP TYGELIPSSRPSVPAGYTNRHSWLATHGKALRHREDNKCECGAKETVVHILIDCPRLT TERQRLRKEAGDAFGNVSLMLGAKGQGITSSSAPGGILDAVLDFAEASQRTQGKGRPQ APRRGIKFARKKCDDDYSGCNLHIARVARGQGK TSTA_110030 MRATYGPYFNFCPSRPELAVCLGFNQDNSYQDLVLHELPKPKIE HDIRVYLEDKLSKIRDERLFSNDWPGNEAIKELVQMAVPLFIFAATTCRFIKEGTHPK KHLQDFLKFQVTTSASQIGKVYLPILNQLMGDKEDDPEELLKEFRDIVGVIILLATPL SVKSLARLLNLPEQTINELLDLLHSVLNIPSDKDVPIRILHLSFQDYLLTTESLFHID ERKTYQKIALHYLHVINTSLKHNICGLPSYRTQRDDIDRQAVNQNLSADLQYACQYWA YHLNHSEARIVESLAFDFLKKHFLHWLEALSLMGVISEAVAMIDAVQSGDGKGIDVEI SGFLYDAKQFILKNTYIAGIAPLQLYSSGLVFSPMQSIVRRIFSGSIPKHLHILPQVE NLWSPGLQTLEGHSDSVRSVAFSLDGQTLASGSDDKTVKLWNIKTGSELQTLRGHSSS VHSVGFSPDGQTLASGSSDDTIKLWNVKTGSELQTLRGHSYSIWNVKTGSELQTLRGH SSSVHSVAFSLHTVENPATRSATIPQLHHNCGLTSYSINPQISLSNNWVALGGENLLW LPPEHRQFETSAVKDATLALGYSDGRVSIIGFHTL TSTA_110040 MINFLIFSVYIPPLDAHQAASTTAAEPILAEIKNTIEEYTKEPN KTTRLILAGDFNRHHPAWSHRPVSHVFTSQAEELINFFQTYKLQWCLPPGTPTYWSPS LPGKASVLDLTLTNDPAKLMKCQLYRDNYGSDHRGTYSEWDLRPERNENPKPKRAYDR ADWDKIGSALLELLGQGPEISSAADLDYEVNRLVEATTTVLDQQVPLQKPSPYSKRWF TPELKSQQVIVNQVHRRWQSSCATLGSSHPITTSLFNDMRHKRREWTRTIEKVKAAHW KEFLDKAQEGHLWKAATYMRPRDPYTNIPPLKVGSEEITENDAKARVLLETFFPKMAD PEIEDPVPPSEGIPWYPITELEVHRSLKAAKGTTALGKDGIITLVWKHLWPYLQKMIT YIFSRSVELGHYPHQWKQARIIVLRKPGKPDYGVPEAYRPISLLNTLGKILEAVMARR LSFWAESYKLLPDTQFGGRPGRNTEQALLTLANAIDRAWLRSKVITLVAFDLTGAFNG VNDSSLDARLQAKGIPTVARRWIRSFMENRYAGISFDDFQTEISPLEHAGLAQGSPLS SILFRFFNSDLVDQPVDHHGGASAFIDDYFRWRAGQKRISPASRHGPDERDPHLTQHG VGQIIINGTVIKPSDTVKLLGVIFDKEMRWKEHVQQAVKRATQVNIALGGLRHLRPEQ MRQIYQACVTPIVDYASTVWHNPLKDKIHLRTLGTVQRTALIRILSAFKTASTAALEV EAYVLPTNLRLKQRAQIVAARLSTLPEDHPGHTVVTRAVTRSNHIGSGPRFPLAETLR IMNLTRLQALETIDPTPPPPWQTLAFIEIDIEADHDKAKEKASARQKAAGITVFSDAS GQQNVLGAAAHIIQHRKVCIGSMEYWSVYTAELMAIYYAISLVLKIALENWDTTASQQ EPATILSDSMSALQAISNARNKSGQRIIQAVRQSARELKARGIPLRLQWVPGHYSDLG NEAADRLAKEAVGLDKEHPFQHLLSREKGFIRNRIQEEWERGWKTSKNGGHLWRIDRN LPAVRTWRMYGSLPRNRAYLLTQLRTSHSWLATHGKLHGHREDDKCECGAIETVVHVL IHCPKLKTIRQELRKKIGTAFNNISDMLGGGSQGKQGKEGDMQGGSILGAVLDFAEAS QRFQSRAP TSTA_110050 MAVPEGGPQTLENSARNEAIPTAPGRRQNHPAETLRAPVVKFPS LDDGKRITYQTVASLVSSLKKVITQQTNIIELARAEVREIKTEQITLREQNVKLQEEI QALRTQIENQATTILPPNPWAEVASTIPPTINILPRPQKELNCVRISTAQPEATDNNG NNNDNFTRFLPTDTANKHIRTTLSNIESTKDIQVAGVGTTKTGYSAKTAQNNTAWLEE LGNETKLVKPQFGIVVHRVPTEDFDLEKEKREGIEKIMEENDLAEKGFEIEDIAWLKK KDRPLGKAASMGI TSTA_110060 MTRKGPGTDGPLQTALLESTSAATTRASDGQKIFSPIAAFLNKH RSQTTGLAPHLLRALTALSDDLASVAQRHFNAYISGISITSIPPAPPFSPSPTLNLSP PSPPPSRPPSGLERSTYATVTQYAPPMPLVKQPPPDNRLFVRLPGDHAARKMEAYAIY SSLRTQLNSNNSALKEVQATKTDFALVFSSPEALLALKAQKETISAFFVNCQIERSSR WVSYRVTNVPRKIGQISDGRYSLIPVNPTILSSEISEITGLKPISISETTASVANPNT LSSSWFVNFPEDMKTPLPTQLRLFGTITNARYLSKRTVVQCTRCWKWHNARSCARPSR CRLCGSSEHTEGSHVNRCTALEPHQCPPRCIHCHGPHPADSPECLLRPKGNTKHTKAQ QAEIRKSCAINLAKARTEGGCSSQLSIGTQETPIALDEMPSQPPTHEIISPFRSVTPP PRAPTEDPPITARAVRFTTPQPQNRYVYNAPAGSIRAGEAAKALSTLPEAYFPQATIL AGDLNLLHNRWQPSLNRSSTNFAEPFINWLDLQGLVFISDIDCPTHDRGNVLDLSFAS SPLALAGAKASIASHLDATSDHRPLITTVPWDQRYLETAQKLRFDTLDHTCFLSLLTS NLADIESSATTKEDLDALAKKLTSAIQGAYAGSAMRTMSQGIGQPWWNDNCKKASHNY RSGLCSKTDFRRITRRSQRQFWQDKLSTVTQMKDVFDMSKWHKSTGTFRSPPLRDPMR PNSFPAVAVHEKRDVLVRNLLQNLAEAGDIPLDSPAVPSTSLYFPDISMLQVEKSVLH AGNTAPGANEIPTCILKVAWHLIKDKVLMLYQGCLKIGYHPKCFRHAILAIIQKPKKT DCSPLSPG TSTA_110070 MPVATRAAVGRSATRTPDLDNPPSSSPEIQRSKSAMLQGNTAAK PANPNPNANATEIGAQMIINLINSLKKAITQ TSTA_110080 MALANTEPTKDVQVVGIGATKTGYVIRFRDAQLAETTQENSAWL EELRNDIKLVKPRFDREKKEGIAKITEENDLAEKGFKIEDIVWLKKKDRPLERLASIG VWFNTLEKFGHLAWLCKEQVKCSHCSSQHEQRYYPPGIRLRILEMPNTSEPKRIPIMT TTLHLLQLNIWKSRAGMEALINDHQSQSLDLLLIQELSITTYCTHVNHSAWQLYQSTY PNTESTRFRSLLYVNKRILTSSHQQIHCNHPDLVAIKIWTAAIQFLVFSVYIPLLDVH EAANITAAESALEEIKTTIEQHTRETDKTT TSTA_110090 MESRYASISFDDFQIEISSLEHTGLAQGSPLSPILFRFFNSDLV DQPVDYYGGASAFIDNYFRWRAGPSAEDNVRKIQEEDILRIKVNIALGGLRHLRLEQM RQLYQVCVTPIVDYASTVWHNPLKDKVYLRTL TSTA_110100 MEEDWDYLQRYFKHAGRKVSRGITEVSKPRAIGPLNTTPGTKAI IGRDEALNSSTRIR TSTA_110110 MLGGGSQGKQDKEDDMQGGSILDSSPEGIFQRLLPIIQNVPGAY FEIRDFLIAQLDPNVADPVCKNTPLHHYASVRDLDIVRKLVARGANLYFRNIHNVSPL DLAVMNGDTDMVEVMCKEATEVMRTATKQKQNDPSNNELIKKFCWEKLNAYFGDVESF LKGLRDSDSIIYGDFPLWVLTQGKCSPEGKNLCLDIYSDTGGYWWLQSFLSLHGYGKE RSALLFNGQPMIIWYNQERNKMVSVTKTENTTSINAVFSSIKTTGFANFITGRRIYCL FPYLTLQLHETYSWEKTNVEENSILAFAEHKCLSEASLKNQFLAKMFEDRSAGDEQTL RIGLYDGENGYVEKAQKHEKVFSVSEMTN TSTA_110120 MASTAALEVEAYVLPTNLRLKQRAQIVAARLSTLPEDHPGRSGP RFPLAETLRTMDLTRLQALETIDPTPPPPWQTPAFVEIDIELDWDKAKDKASARQKAS GIIVFSDALGQQNALGAAAVTLVGQQELVTILSDSMSALQAISNTWNKSGQRIIQAVR QAAQELKARGIPLHL TSTA_110130 MVLTPRDPNILVTKPPREDISSSHPITTSLFNDMRQKRREWTRT IEKLSVFVGRERFYKKGPKRATLWKAAIYMRPRDSYTNIPPLKVGSEEVTENNAKARV FLEIFFPKMADPEKEDLVLPLEKIPWHPITELEVYWSLKATKRMTAPGEDEIITLVWK HLWPYLWKVITYIFTKSVELGYYPHRWKRARIIVLRKSGKPDYGSPEAYRPISLLNTL GKVLEAVMARRLSFWAESYKLLPDTQFGGRPGRNTEQALLTLANAIDRAWLQSKVITL VAFDLKGAFNGVNDSSLDAHLQAKGIPTVARR TSTA_110140 MSNPQDYTVGWICALNTEYVAAQEFLDDEHEPPEFVSPNDTNDY TLGRLGKHNVVIAVLPDGEYGTALAASVATNMLHSFPNVRIGLMVGIGGGAPSRKHDI RLGDIVVSAPRDGDGGVFQYDFGKTIQKQAFHYTGFLNQPPQILRAAVAGIQAQHERK GHRLEEVMESILERNPRLRQKYRRPQPSSDRLFKAEITHTKTCAELCANDPSCLVERR ERAEHEDDPVIHYGLIASGNQLMKDAVIRDRLAEEKDVLCFEMEAAGLMNHFPCLVIR GICDYSDSHKNKEWQGYAAMAAAAYTKDLLSRIPPNKKDPLWSDPSGQLKRGFEYRRM GTDSEIDKFRRIVGTIILLAEPLSRSSLASLLNIDSQQIEGHLSSLHSVLSVPSSTDS PIRMLHLSFRDFLIDPDKRHMNPFWVDEMETHKMIMAKCLERMSQPGILQQNICNLPG HGTLRAKIDGKIIGNHLPPVIQYVCRFWVYHLKESQTRIHDGDPVHVFIEDHFLHWLE SLSLLGRIAESIQLIQTLQTVASDKDTEIYRFLNDAMRFIRKNISVIDQAPLQLYASA LIFIPEKSIIRNKYINKIPSWIQKLPEVESAWSAMLQTLESHSHQVRAVAFSPDGKLV ASGSGDQTVKLWDSATGTLRQTLQGHSGWVNAVAFSPDGKLVASGSGDDTIKLWDSAT GTLRRTLEGHSDSVDAVAFSPDSKLVASGSGRTVKLWDSATGTLRQTLQGHSGSVHAV AFSPDGKLVASGSSDRTIKLWDSATGTLQQKLEGHSNSVDAVAFSPDSKVVASGSGRT VKLWDPATGTLRQTLQGHSGSVHAVAFSPDGKLVASGSSDRTIKLWDSATGTLRQTLQ GHSGSVYAVAFSPDGKLVASGSGRTVKLWDPATGTLRQTLEGHSGQVYAVAFSPDGKL VASGSGDQMVKLWNSATGTLRQTLEGHSGWVNAVAFSPDGKLVASGSGDDTIKLWDSA TGTLRQTLEDSGWVYAVAFSPDGKLVASGSSDDTIKLWDSATGTLRQTLEGHSFWVYA VAFSPDGKLVASGSGDQTVKLWDSATGTLRQTLQGHSGWVNAVAFSPDGKLVASGSGD ETIKLWDSATGTLRQTLQGHSGSVYAVAFSPDGKFLETNQGRFNIESLHVCSLSQTAS SPYKNILVKGQWLTRNDSNAIWLPVEYRVTCSAVYESMLVMGHVSGRVTFLKNWRTVS EEACRTGAKNGPMAVPEGGPQTPENSARNEAIPRALGRRQNLQAETLRTLVVEFPPLN NSKRISYQMVAKLIENQATINLPLKPWAEVASAINPSAINIIPRPQKELNCVQISTAR LETADNNGNNKDNFIRFLPTDTANKHIRMALSTTEPIKDVQVAGVGTTKIGYSAETAQ NNTAWLEELGNKTKLEKKEGIEKIMEENDLAEKRFEIEDIAWLKKKDSPLGKSASMGI WLNIPEAAESIISNGLLVGQRYIRKVWPSSLVMQGTENILLGTKGAKHLLTRIPPNNV INTMSTTVEHLEIQGRNGSPH TSTA_110150 MAVPEGGPQTPENSARNEAILRAPGRRQNLQAETLRTLVVEFSP LDDGKRILYQMVAKLINGLNTAIIQQTNTIDTARPETADNNGNNKDNFTRFLPTDTAN KHIRMALSTTKPIKDVQLNTPEAAESIISNGLLVGQRYIGSVEPYKVELKRCYCCQKF GHLAWLCKEQLNIWKSRAGMEALINNHQSQNLDLLLIQEPSITTYRTYVNHSAWRLYR PTYLNTNESIRFRSLLYVNKRISTSSHRQIHCNHPDLVAIKVWTAEIQFLIFLVYIPS LDIYQATSTTSAKSALKEIKNTIE TSTA_110160 MRQKRREWTRTIEKAKAAHWKEFLDKAQEGHLWKAAIYMRPRDS YTNIPPLKVGSEEVTENNAKARVFLEIFFPKMADPEKEDLVLPLEKIPWHPITELEVY WSLKATKRMTAPGEDEIITLVWKHLWPYLWKVITYIFTKSVELGYYPHRWKRARIIVL RKSGKPDYGSPEAYRPISLLNTLGKVLEAVMARRLSFWAESYKLLPDTQFGGRPGRNT EQALLTLANAIDRAWLQSKVITLVAFDLKGAFNGTEISPLENASLAQGSPLSPILFRF FNSDLVDQPINYYGSALAFIDDYFRW TSTA_110170 MASTAALEVEAYVLPTNLRLKQRAQIVAARLSTLPEDHPGRSGP RFPLAETLRTMDLTRLQALETIDPTPPPPWQTPAFIEIDIELDWDKAKDKASARQKAS SIMVFSDVSGQQNALGAAAVALD TSTA_110180 MDLVQRRLHEKYGYLIRIGPNELSCADPKAKKDIYRLRNPLQKT DFYSVFKNPKIGAGENLFSLTNEKEHSKLKRAIGNVYKLENIDEKCVDRCSQLFLGRI GELADEGHNIELGRWLHMFAYDTIGEIFFGHMFGFMEHRFDHGSYIESVKLLMPILCI AAVSPVYLRPIIFGFSFMVPAIRRAFQALDNINCAAENIVKTQLETEQTNNNGLLQKL FSLEKKKAINMDHVKMEAWSALFAGADTTAIALCSIFYHLMRNPTAYEKLQVEIDSTY LSISPGDDISFSEARKLPYLKACILEATRLSPSIGLTLPRVVPLDGLSLCGRHIPSSY RVGINPAVIHYDETVFGRDASLFRPERWLEENAAEDMGRYLLNFGAGTRTCIGQNIAN LEMYKLPLAVLRRFHICMKPNQAWKTRDVWFNQPEELYVKITRRSYPM TSTA_110190 MGVTTRRQARRQALGLPGNEVSSRNRTKTIEHLSRDSQMHDSES YFSYIPRESVAGHWLLKTDEFKSWERFLWIKGKPGAGKSTLMEHIARFTAYQMRDQAT VTFCSVTEIYCVMLYQILRRNPEIDRSIKKIHNKRYLKSCLKTAITKMSRPLICFIDA LDSCWSDELSELLDFCNNCSSSIKFCFSSRHYPYIAAYEGPTVILEEQQGHYDKIQSY LKNNLQDLERLVPEMRDSLIDEIVQKSSGCFLWIESLINLWEEKQISDFRIALDDVLP EMKQCLEDIVNRPEMKACFEGVVRGTYLEFLRCVQCVMHAKRPLNMEELFFAVLSGLP DRSNQPSTWTKPEVEKFVVTNSRGLVELDKSSGSIRFIHQTVPEILRQIFEENGITYD YFKAQEYMRQSCENYLSRPGSLTPYIKSSTLSGFIHAKFPFVRYALESHDHHSQAATS VKYQGHSTCDKMTDGQLLVAWYSGQTHLK TSTA_110200 MLWLPTIMAENSESKLKPTSNIPGADIPTYIKDRDDECPHLLGD FENFITSILEPHKNRILLRNKHAPHSDLMPTLNRLSHRLLKLVSLTTGQAHPSCPESV LRYHLLTSSQLDDLARYYHQIWPPTPETYQYPKIIIPWIGTEEQDTIDIETKRERIGR FIGLRRT TSTA_110210 METFAHATEPETILAGFFRILRPSGRISLLEYNRELTENVPEVL VLPGSVTQLKSGVDLEAEDAQ TSTA_110220 MLNCAATYLIESRVKDMIDCLTCLPAAVPSRLYIRIPLRELKIG TKTYSLPIASPFNDIALVQDFLIGSIIFTLLAVVGSCFLLSDQQRFDEFKCHLATACL MLASDILIIPIRLRKWAGVNMWTFQVYVCTDIAKATGVVLLSIVYPIFQLIFPQRLEQ FEDREMDTLPEDDIEKGLLHEDKDWKGVSTFSLYSAIASSLISASLIALSARSIVQSF DDLTLWETRISKSFFGFILMPICGNAAKVIGSFGGKVDHTISSAYGSSIQCVLLLLPF TILVDRMTGKDQIDSDFRDEYRLHLLFVAVLAFYSLVFDGKCGRFKGVLLMMFYAIIA WAVWSYQQI TSTA_110230 MSQDLESGRHCDLRFENSSMPPNPGAMNTNTGVNNLRTTESFDS SKRPVFTIASQLRATIFKSWINLLLFCVPAGIAINYCNLNMTAVFVVNFIGIIPLAAM LSDATEEIALRTGETIGGLLNASFGNAVELIVAIIALVHDEVTIVQTSLIGSMLSNLL LVMGMCFFFGGINRTEQKFSKLFAQTGASLLALAVASLIIPTAFRKWSAGGASHTDEL SRGVSVILLLVYTCYLIFQLKTHADIYNKPSEKTEKRNKSRAKGDTQKGVVAMSGLSA YIVTGTPQLGLPVDEKDEKETPQLHFYVAVLVLVLSTLFIALCAEFMVNAIDAVTSGT SGVSKTFIGLILLPIVGNAAEHATAVTCAVKDKMDIAIAVAVGSSMQIALLVLPLVVV LGWVMGNDNMTLDFGDGFQVVVLFMAVLLVNYLIADGKSNWLEGILLMTLYIIIAISG WLYG TSTA_110240 MLPAKVMQTSGSLPTARLYSMSISDGRPSHPSEMAQGPGFHRRD FVRRKPEQQLADLKDLHASNDLVTLKSLLRVTKITLDPELTKLLLDEAVRRRDEDLAC LTISKGKNADSTVSLVLTVNRQQDMIDYFLALGADIKLDDHKGEPSSLRIACDYRDIE LIKLLLNHDEMKWIVLLQQLLRKLQHCYRPTGTPTASNNEGYGGLLRTLLHIAVTRHM AEREESFKKIVQWLIDNGCHPLATNNAGLTPYQYGRTQRILQENYPVAHR TSTA_110250 MKLEEEKMELEEEIRRLREEVRRAREDENHKEARTESGAEVQSK ILESCAREKQKDKIIFKLEAEVQLLRMESQARDKTISRLRGICSQLQDSLKTQQSVNE SLQNQIEKFVYRNVSSEANAQMNAMGLNSTLPSPNRVGGGEATVILKSALHFILTPLS MRPTPNTLTRVVVVRQERLFYTQICQKISLSLGGEAESRKEQKIN TSTA_110260 MERSHKSRTNTQYSFKIKKPLGSTSKYPYEKARQWVNRSPEQRQ QEVAERNGKITRPLNAFMLYRMDNASYIRENIEGGLSWALEPKKVKEIYADLALEDQI RHVEAHPEYKLTQRKFAKTEIQDSERWESALFKHMDGHSHRQTEFYNPSELDFPLFTS PLFQLTDEDWDNSGYVF TSTA_110270 MLEQCRKEVLDKDDVFHTDFLRCIVLGGVCSDNVHLEPDVISLF ERWNTLKVVLYKHDLGIATGPYCLVKGNYYSVFKAYPDTQLAFVQALWPSLHKDGRFV EVNAAGSPYRAHKIAVPARSYFTSSKDTTKLLKGLRVAVKDNYHVSGTCTTLGNRAYF ETYPIEQNNADTISLLLAAGATIVGKTHLSSFAMMEHPTQSVDYQAPFNPRGDGYLIT GGSSGGSAAAVAAYHWIDLSICSDTTGSARIPALQTGIFGFRPSTGSISDEGLVKAWA ELDTPGWHGRGLDIFPDVFRALHPSGEQDNKASIDEGELVILYPTDFMPEDNVEQLRA MENFLDDVCKATGCSYRIISIESDWRETAPVDEKDLHQYLYNLMRHGWYYAAYHSFGK FRARYHELHGHPPFVTEVVRKYWYAY TSTA_110280 MARKGPGTDGPLQTVLLESTSTATTRASEGQKIFSPIAVFLDKH RSQTTGLAPHLLRALTALSDDLALVAQQHFNAYISGILTTFILPALSPTPSSSPTLNP LPPSPPPSRPPSGLNQSTYATITQHALVKSTPTTHPKVSIKKPMPLVKQPFPDIWLFV RLPADHAARKMEAYAIYSSLRSQLNLNSAALKEVQATKTGFALCPSSPEALLALEAQK ETISAFFVNCQIERSSRWVSYRVTNVPRKIGQILDGQYSLIPVNPTLLSLEISETTGL KPISISETTTSAANPDTLSSSCYVFLALSPMLVTSLRKQQLFNAHDAGNGIMHDPVHA PLNADYAAPQSILKRAMSTAAQPWSLISALPDAYTAMDHILLISQNAFCAPKAILNIL KLNKQRSANPAP TSTA_110290 MPQQAAAEQVKPRKLSQPCQRRDLNLLHNRWQPSLQRSPTTFAE PFINWLDLQGLVLISDIDCPTHERGNVLDLSFASSPLALAGAKASIASHLDATSDHQP LITTVPWDQRYKETAQKLRFDTLDHTSFLSLLASNLAGTESSDATEEDLDALAEKLTS AIQGAYRGSAKRTMTQGIGQPWWNEDCKKALHNYRLGLCSKTDFRRITRWSQ TSTA_110300 MKDVFDMSKWHKSTGIFRSPPLKDLLRPNSLPAVTVHEKRDVLV RNLLQNSAEAGDIPLDSPTVPSTSLYFPDISMLQVEESVLQAGNTAPGADEIPTCILK VAWPLIKDKVLMLYQGCLKIGYHPKCFRHAILAIIQKPKKTDWSSPRLYRPIALLSVL GKGLERLVARNMAWISIHHKVLARQQFGALPLRSATDLTTCLTHDVEQALNQGMTASL LTLDVKGAFDSVLPGRLIRRLREQGWPTNLVLWIASFATGRSVQIRLDGEISPSTDIT CGLPQGSPVSGILFMLYIAPLFRLGNPRNRFGYADDAANLAISTSLTTNCEALSDSLQ EALNWGAAEGITFALDKYELLHFSRHKADQDPTRTPSVKAGSITISENTKRLYLRWLG ILFDKKLTFKWHVRETASKALTVANALRSLGNTVRGVKPDLLQQAVSACVLHKAYYGA ETWWPGRTRPGPSQISNRVGEHLEKLTKVILTGARAVLPVFRTTPKPVLYRESGFSPP EIELDRIALLATVRLRRLDPYHPLRRRAEQIASNGRQTSRFARRTLALPNSEQINPLQ YAPWHPREPRGNAQARIGAPMGRTKEQAAANFMAFQRSSQEIFESFRTLAAAWPFRKR LPHTKSGSIQIRWVPGHAKIPENEAADLAAKEGAASIPPAPHKSSYASLKRYAKTQSL SAAQSQWEKVAIAAHTGHGDFADYHERFNHDDAYLLCQCGARKAPLHFFFCHIAKRRA PRPPGPPSEVISFLLGTAKGAQKLATWLAETHFYEDICPR TSTA_110310 MSTTSQPKTEERTVTWQKISEWQFDNQYILRGYRLPKADYLEIL FSLTFLHNESCNVYTHLIGALLLPLVAATLLRYLAEPQFVNVSSMDYSMFGIYLGCAE ICLVLSTLYHLMQPHSHQVEQFWHGMDLLGVVIVTVLTTGTVTSVLISNPLFKMPGWR KVKAGTFVIFGSSSFIPLLHGVQRYGLEYMLQYLGMKWYLLELTFYGIGVSVYAFRFP ERLAPGKFDIWGSSHQIFHVAILCAMYTHQAALL TSTA_110320 MTNSREEIGYILSFSDRLRPLEEADGFNTKDKVTQAEELLATHF TPIPKSLKHEGTQSQREPIHIPHLMIEKIKRKAPGDDGLPAMIPEARAYSSNDGFDD TSTA_110330 MPRKLFLWHYYTVNYMCSESRQSVNLIGSPAKGPSNGYINGFRP YDIDYRNLRPSEHGYKMEPAKKQPFQAKARAFRTAIDATRQD TSTA_110340 MANEGYIYFPNSASPGGPGVPPDSQNPENPENPGSARDFVRNFG LAGFPNSASPGGPGVPRDSQNSQNSGNTATGNTQNLFEHDSTFPIDEFDFRYLIDGNP SSFSGILNQESSQPPKENDHDLNAAEKAIAEKGLQFFITLMRASYESVLPEKDADDIK RQVKRGSDIDQKVISSIYNIIASGTSVCTPDIIEKLTQDEKLQLAGMFLQDIEVAAWI RMYNRGKFSSLYKDMVKTGLLVDLILPGKKDVGRKG TSTA_110350 MVTPTIKERIIKQSFAVMKNLNEIQANCGCIKHVYTLIRGHVMP CGSVRWSEASRLLPQTNTNNDLVTFLEKNLVETFTFDALDKYQRSFVHYTAMANCIDL LRYLIQNGASVHDRDMWGRTPLTYAAENASLDAMKLLLAMGAEVNALDDDDTSLPPST PLDYLLINVDITNELPDEFAATAEYLIAAGGVSVSFKAYRDGPRIFQIVERKLVWRMI FQFYHMLTTVEPGKF TSTA_110360 MAAVYAKDLSRIPPNKVEAEKRINDITSSEYKDVHNVAQKTNAT IEILDTCSDRRRKELIAQLLYAKGSTSESFHKDGKLGASLFFKRGEADRGSVAFLLEK IPLLITHIELATDADLNLFNKAMGEQFEKLICQPLSQIPHQLPTVSQLIVIDALDECV QDGDTLLRLLSEKREQQIRSGFKDVPEEVLNHMELHEIAQSIIRRDINTFLEYRFAQV QEKYAKDGRPLPSGWPG TSTA_110370 MSTGFFPDNVIKNLEDLFKCALLNKKFYEIYRKNELSLIQGTLF CMNPPAWELREMTPPWKNVLDMIKDPERPRPKYTPSSYLQCYGRDVLVLAQLKIQVLR RCHSILRPETISGLENPNDDIRATEVDRAFWRIWTFCRIFGCGKDRHAEIGRQISWLK GGAEESEQHMALFNIEEPLFDPGTAFGKGNGDGLSLSDLLDMNEIWVCLQKMLREALD PLSNKDMLKKILSPNLLNEISLAETGTRKTHNTSLYNFVEEWLYYIMTLGPYVLTCLV STRSPNEALRTVEYLGLTKWDPPAQDDTRQVFFGQAMTRFFEYYLSKG TSTA_110380 MTRNQSIYGLVLLALGFENKYKPLEIVTYNPTVPEGGPQTPENS ARNEAIPTAPGRRQNHQAETLRAPVVEFPSLDDGKRIIYQTVASLISSLKKVITQQTN IIKLARAEIHEIKTDNPQNQLINDQHNPMTPERTELRPNQYCTAKAADNNGNNKDNFT RSLPTDAANKHIKIALSITEPTKEVQVVGISTTKTGYVIRFRDAQSAETARNNTEWLE ELGNETKLEKKKGIEKIMEENDLAEKGFEIDDIAWLKKKDRPLGKSASMGIWLNTPEA AELIISNGLLVRQRYIGSVELYKVELKRYHHCQKFGHLA TSTA_110390 MARRLSFWAESYKLLPDTQFGGRPGRNTERALLTLANAIDRAWL RLKVITLVAFDLKGAFNGVNNLSLDACLQAKGIPTSFIESRYTSISFDDFQTEISPLK DAGLAQESLLSLILFGFFNLDLCWLLRAIEMPGTGMQQGEVTYGLHVTSSSKDYIDRV RASLRQIDTTEHRTQESPIEIDSSASAPTKAWARRMGSSFNVKKTVLIHLTRSKRQYG VRQITINRMLIKPSDIVKLLGVIFDKEMRWKEHVQEAVKQATQSVYAAELIAIYYAIS LILKIAIENQVARAGQHELATILSDSMSALQATFTLRSRAASFNHRYTGWRQFPTYQT GR TSTA_110400 MGPPAIPTPCWNIAAISLLQKPPTTVPGSPVPEINLDRADKVQQ AQRMIRDEANQAPDIQKKVLSEAIQLLYPYAPRSGQLHALFQLNFSCGDLILIVKTSF GKSMIPQALSILIDKTMTIVILSLIQIGAEQSECISRRGGRPLFLEKNTNKIGLMTDI KKEAYTRILLSPELSANPQMRFIFEESLINQRIAAVVIDEARLVHHWGDGFRPEYAQI GRLRIILGPRVPWFACSATLDHHTLKVLMERGNFKMNTVSGWGNTSASTREVYRVTIF I TSTA_110410 MPRWRPTPDSKQKTGESKRGRTSRAGELPLAKSGEYRLVAVSSL THAHNVIPTGVHDIQKCLSSPGSLPYCNFMYHLFYPSELRLILSKPRFTINGSDRSLD AKVWINKITQANDLVQAQLFSESVIGPDVKLSTLPGSFNLFDSEEESTQKLVPSDLPI RGAHRVASETKAFFSFREMAGIMHPVDEIGKQRYRAACDACRYSKVRCSGGWVCIRCK KHDYKCRYGVAHRPGRPKGSKNKTTLEKLENLQAAQQRMYVPKAESGVGFSKPEKTIN NSIVRSTPGNFGHSAHIKMGNYVFANPEFSVYTSPTFAGIGYYNTPENDYENISPAIA QNLPWPIRSADTTGWMQLLGWTRVQSKTNVWALTMFPSDRGPNHRPFVIVQNSLSYHG SGDRIEDPTLRNIMLYKLYNMISEDLRC TSTA_110420 MKEAEELLKWFDGLTTRILDLVGDYAGDELFLIEGDSLLLQCFS DENLDFSHGLQLLHITYLVEKLLSQLKQRKCVFHVVFFSNHAQICIPPNIDERLQPKY RLAREAILQHLAQNLPASVPSIEIHFFDDYRCGSFEKYLHTVGAYFLMCHDGTNPRNI QGFAELSEDSDTEQSDDDYLEVSDQDEYSNSPLSRDWPGKTMLRSMMRWFICHGYNIS ILNSLECRNSKVMSTILEGSVSRDRNICESITYHSTSPDPSSFSPTNIDDQVPNNISA MVKQREVELTQSEWVTVIALGMMRLELSPSDYGIVEACALLLHTAILSECRLVERAVV QKRSHAGEAFLEQYANAVVNVLTSRFWNEFITQIPGPCDLGDVVDGNLFLETLSVLKR TKSIDSFGLAALKKFELLRMVLSDMFDINILSAVGSAASGVYGCDPPSPRSVKVVGAL REKATDVLPFSNPIVDAHLKPVSIITRDCTEATVSSTTSRIFQELSHWHNHKGLLNAQ LKPQLTARQTFITRRYQFFMKDVRRYAASLTNAVGGSLKPETVCVKPRETEVPKNLKS SKGRENSTSKLGINRGPQSSGRKIGGKHVIRDQIATQQQWKHDEIAERHLSAWQSIIE IFDSELDYSTRYIQVRQYLERLPSDKRSAVEVEVLAYMTSTLVLMWKGKCNGRCRDSS MPLVALIWHTIQQIAKAKHGVTEEIAQCIQTTLKALKLPDLELPRHGKRRMTFNFAVL DMNIANISVGLSPPDFQLFYAGPYIDRSMGSTRDPRIHDFEPDMWQREVLDQIDARGS LFVVAPTSAGKTFISFYAIKQILEDDNDGILVYVAPTKALVNQVAAEIEARFSKSFNN MPGKSVWAIHTRDYRINNPTGCQVLITVPHILQIMLLAPSNAKSWSPRIKRIIFDEVH CIGQAEDGVIWEQLLLLAPCPIIALSATVGNPEEFRQWLEMAQKANGLDLRMIQHKTR YSDLRKFIYNPPNKFLFNGLSTPPKLSAPGLDESPSMAFLHPIASLIDRSRGLPEDLS LEPRDCLMLWKVMKKHATDTFPIDDLLDPTTALPEIIKKADVIEWETKLKAVVTDWMS HDNSPFEAVVLELSKTLSTANPELQVSGEFHGSLTPAVIEKSNICDTTLPLICSLHDQ DALPALFFNYDRENCERICDHLLNQLEESEKRWKETSPEWHKKLTKFEKWQKAREKQV LLVKEPKSKYRRRAGGDNQRISKSELMREMASKESSHFESFNSEDPIRGFHFADEMKL TSSEFENYATELRIFEVPERLISALKRGIGVHHAGMNRRYRQVCEILFRKGYLRVVIA TGTLALGVNMPCKTVVFSGDSVYLTALNFRQAAGRAGRRGFDFLGNVVFQGLSYQKVC RLLSSRLPDLNGHFPITTSLVLRLFILLHESNQASYAIKAVNSILSCPMVYLGGPESK HTVLHHLRFSIEYLRRNWLLDRQGRALNFAGMVAHLYYTENSSFAFHALLSNGYFHRL CKNIDRSPNETLRTLMLVLSHVFGRRSLPTSVLESWEAREKSTSVVILPQLPRSAAAI LNSHNEKTLSVYSAYVTTFIEQHIHDSDSTLPLTGTKCGGNEPAKEVSTLMSFLEPTQ VTSSFIALSGHRDEWNSIRDLCNKVRSGVWLEKSAIPHVQVAPENGQAPLNAYLYDFF KHGNVHALVNENKIRRGDLWSFLNDFSLVLATIVTTLENFMKLSPRIDVDMLETLGSG DRLEEEMDENALGSVENQLTSMNLPPSKEKQPVKPVAIRLAKTKANVLENWDDDLIDD EEDNRVSQTGDSGFTQYHGSADPFLTPDTDVVLSSQGLLQVLQAFKMLQVEFNEKFKA MWA TSTA_110430 MASYIKHSEPIFDGLLLSTLAPIIFNQYPTDPVPFDDTSTPSRR PRRTLTNGDNRKVVLGFPRFTFRYQRSLIQKYMIS TSTA_110440 MSTPQRYRAACEACRYSKVRCSGGMVCDRCSERNFQCSYGIAHR AGKPKGSKKKVTLRLEAARRRIGKPSGESTLNTSGPSSQAYTVFTGHDCNHAFPSSNS SAYPLPIPIEKETDMGSYNTPLDYKNDVSPVIERNLHSSGDYQSTASNIPPYSSTLDR RSYIDGLKAYPQQNYGLYQDPSFIPWYFMTSFRPPEATPGAQEGGTYLFPIYPPHMLD DIFPS TSTA_110450 MAAVHSQTQGSHHLRPPLATGSYQRPDMYALPPLGPRVAYRAAT PRQRTAIACLYCRRRKIRCFVLESSSNGRCTHCVRFNQECIFKPVSSQTQAFVLAHAA YSHPRPRAQEGRDGYPGDKSVLNGAHGQSLPPQDPVMAERALPPLQGAYYHPHGCFHV DNRILPPLQHQLFQDQCQRGGWRSSGAALVYPEPINLAPVSTGPPATGYSLDSYYHSP PPPSFQMVQPVITKQNEFWQGGKEDQSPNRKLTKRPALHEKSANMESTNGFKFATKRK IPRGQNKLSKYYEAQNFGPKKARIMPLNRYLNKIGASESDICACTSEQDSGTIPIPLH EMDNNGRRYELKHKLKMRKSILLLRGKSRSDPDLWQSDMKAAHAILLRPPKIGHRTLW RRYMYVEIYRLRLLHTTQNFQVPSPHSKISVSKYFT TSTA_110460 MAENSPNGRTVVRRATYVGSQATPDYVVRSVALKPLSRVDTVRV ETISEYEAGCSVHKECFGHMCKAPGGTILVPQSLASSKGETSKDYLKAAKYAFSGDAV GYADAVRNLNLTKSGKMRKDILGSAIAGSARLVIVPQVQFPGGTVAFPRNIASLMRIP VRVEDKDTGMPTNVIVSLDYCSATPNMKLFPDYERTPQYPAGY TSTA_110470 MECSRLSLVGARGSSGSTYRLPHSSELVYNAPYALMSNCVVRYA ATSPSAWGTVEAIDEYKESSAGAGGTRYVVASFANAPSVGGRDAFFMRLPQDGALYLC CEVLDVSDDYWEAMASLHSIGYGPSTLHGVMDLLAVKDVRSVELEVRGASLLSSDNDE RMQVDGPHRFVDGSILEVRFSVEPKAKAVVIHDMFYKPDKPHANDTVACKEIISCALR GTQAPGDIQRRVASTWCSNLTAMMCSAAWSTRRDGNIVVDFGSGGGQSLDVLKDNALG SYILVQPDKAYGG TSTA_110480 MNKHYNGINCWRVALAAEKKPNIKLALEHAKLPSGVKLSLALDY WTSLFRQAFMAITGYFLDENWEYHEILLGFEHLHGSHSGANLSVVLLKLLQEHQITDR VLAVTTDNASNNISLMLSLKDLLGKMKAAPKIDMAEQTWSDDRVDSLCARQQKREIVD TLNKVRSLAIYTNASPQRRESFYNLQTKELKLVPIQDVATRWNSTFLMLVRAKKLQQT FDAFCSQYDQEHFALSQEQWR TSTA_110490 MTLLSKSKDVTIHWVFKVYNKLFDHLEKSIRQLRRKRVAWKQVM LASLEAAKNKLSIYYKDTDNMDDWDPEEGGIDYQAIYRQSLESSLEKYSENLAQEQQI VDAPPTSTAMDEFDLACTQSQMPNLEPGGNITKMNSLEWRGLHEMFFLFQLVVQE TSTA_110500 MASQQRSVAHMTANMGASESRISNSDVRKCIDAIEDELLSGDYS DGVTPDSAIMCLRTIYSAAEKGGGSVITSPRPAGHPDDPDRDPDRDPDHGGSWVVSPY PDPP TSTA_110510 MATFVPITREEVRRWDPVVYLRRAFADPKSLLHAMAFTGTLISG SRALEYFVKDMTTPESDWDFYASGNAACINTISAYLESIGVMWGDHSDIEDSEDRDDY GYSQKFTVKRGRLHQQASGGTDYVKVQLIWTRGAGCLYYSMTITKQSYHWTANDARTK AVDKYKARGVFYINYPAGPVDRPEGDPFFVPFDQYVGHINDEFTEYSRKQLANVRWEV RRCECDSIGTSPLPERCEQTWFYLLGNAFAEYLRATAPPLLDDAVNESIVEELKQRLV I TSTA_110520 MKSQYASISFDDFQMEISPLKNAGLAQGSPLSPILFGFFNSDLV DQPVNHHGGASAFIDDYFRWRASQSAEDNIRKIQEEDIPHIKAWARRTGSSTVIKPSD TVKLLGVIFDKEMQWKEHVQQIVKRATQVNIALGGLRHLCPEQMRQLYQACVIPIVDY ASTVWHNPLKDKIHLRTLGTVQRTALIHILSAFKTASTAALEVEAYVLPTNLQLK TSTA_110530 MARKGPGTDGPLQTALLESTSAATTRASEGQKIFSPIAAFLDKH RSQTTGLAPHLLRALTALSDDLASVAQQHFNAYISGISTTSILPALSPSPSSSPILNP LPPSPPPSRPPSGLNQSTYATITQYAPVKSTPTTHSKSPVKKPMPLVKQPLPDNRLFV RLPADHAARKMEAYAIYSSLRSQLNSNSAVLKEVQATKTGFALCPSSPEALLALEAQK ETISAFFVNCQIERSSRWVSYRVTNVPRKIGQILDGRYSLIPINPTLLSLEISETTGL KPISISETATSAANPNTLSSSWFVNFPEGTKSPLPIQLRLFGTITNARHLSKRTTVIQ CTRCWKWHNTRSCARPSRCRLCGSLEHTEEGHVNRCTALEPHQCPPRCIHCHGPHPAD FPECLLRPKGNTKHTKAQQAEIRKSCAINLAKARTEGGCSSQLSIGTQETPMALDEMP TQPPTHKIISPFRSVTPPPRAPTEDPPITARAKAPLKILQLNVGHAPDAHEIALTLAY TSDIDIILIQEPYTFKDLSRQITKKHPSYECFSPTDSWAISGRPRVLTYVRKKKGIRT SQLRPFTTDTKEASDLLFLQIFSPTGKSALIVNIYNAPAGSIRAGEAAKALTTLPEAY LPQATILAGDLNLLHNRWQPSLHRSPTPFAEPFINWLDLQGLVLISDIDCPTHERGNV LDLSFASSPLALAGAKASIASHLDATSDHQPLITTVPWDQRYKETAQKLRFDTLDHTS FLSLLASNLAGTESSAATEEDLDAFAEKLTSAIQGAYRGSAKRTMTQGIGQPWWNEDC KKALHNYRLGLCSKTDFRRITRWSQRQFWREKLSTVTQMKDVFDMSKWHKSTGIFRSP PLKDPLRPNSLPAVTVHEKRDVLVRNLLQNSAEAGDIPLDSPTVPSTSLYFPDISMLQ VEESVLQAGNTAPGADEIPTCILKVAWPLIKDKVLMLYQGCLKIGHHPKCFRHAILAI IQKPKKTDWSSPRSYRPIALLSVLGKGLERLVARNMAWISIHHKVLARQQFGALPLRS ATDLTTCLTHDVEQALNQGMTASLLTLDVKGAFDSVLPGRLIRRLREQGWPTNLVLWI ASFATGRSVQIRLDGEIGPSTDITCGLPQGSPVSGILFMLYIAPLFRLGNPRNRFGYA DDAANLAISTSLTTNCEALSDLLQEALNWGAAEGITFAPDKYELLHFSRHKADQDPTR TPSVKAGSITISENTKRLYLRWLGILFDKKLTFKWHVRETASKALTVANALRSLGNTV RGVKPDLLQQAVSACVLHKAYYGAETWWPGRTRPGPSQISNRVGEHLEKLTKVILTGA RAVLPVFRTTPKPVLYRESGFSPPEIELDRIALLATVRLRRLDPYHPLRRRAEQIASN GRQISRFARRTLALPNSEQINPLQYAPWYPREPRGNAQARIGAPMGRTKEQAAANFMA FQRTIPSSDIVIFSDGSRLADGRAGGGYIGLQAHHQFLRSSLSYGHGKEVFDAEAEAA LAGAQAAIAYPTAQFATNLWICLDNLEVAIRLLSPSTGSSQEIFESFRTLAAAWPLRK RLPHTKSGSIQIRWVPGHAKIPENEAADLAAKEGAASIPPDPHKSSYASLKRYAKTQS LSAAQSQWEKVAPQSYQDLEITTSPKRPGELQLNRLDLGHVIAARTGHGDFADYHERF NHDDAYLLCRCGARKAPLHFFFCHIAKRRAPRPPGPPSEVISFLLGTAKGAQKLASWL AETHFYEDICPRQPLLST TSTA_110540 MRPRDSYTNIPPLKVGSEEVTENDAKARVFLEIFFPKMADPEKE DPVLPSEEILWHPITELDKEIELWEDFLHNLLYFPPLPRLSHGTGSCTVDPTASIFHN TALSRDHVVDTFESQHQTINHQDNRVNPIRKSPRLVRIMASQTLDNSRQPLLSNDPEV FGSATSHRSEAESEPTRIPDDDFMTETGFNTVTGHKKIDPTLWEKDAGPGDSVEALVN YTIRAMYEAAVSHHFDRELFEWLHDYFEEWTLVEFNKLDRTMRSKLKDFLQIRGVYLD HRGKKNISEGLVELLQMDIPPRWPDDMIAGKKFDSRSRMALGQQAQLTPRSDTTPPAK RIENHNRDHLIGLPYSDKEKEETTLGRDPERRINNNPLQLETHVRDLDSRTPLTGANA VPIGTPAPSPIKISTTPPPRPSTSLTSARQLDEYMRLPPTEYEQEDIDPSLAAKFSKA WDKAESYSGERYDILDDKVLAFLRVCRLIGVQLTQCWILFPEMLSGRAKTYYMHHIGQ DASFTDAYKAIKAYFDTDSNHRVYYQDWTSTTLKGVLRNNPSKTLVEAVEILIEKLHL CQRALGNAYKGQEHLVAAVTRACQDSPEMSDALSDPATNFETLVSRLRARAAVVQGKE SASQYITRVKANSAPNGIGEDNPMTLYTDRKFLGRTNQNNRQTPRQGYRRRGRDDRNS RQQGDRKCWICHRSDCRSFKHSDEERRRARERFNDYRRVDGRRSASDRTYRAFVMDFE KGCMIESDSEEDDVEEEDDIEDDATAYFMINELQDRSFIHWISGYHDDIDQEGFHHKL EVRELDQSERKDGLLEPASQFVLEHHEGEIFQGILPDTGAAKVSTVGRRQLAALQRSY PEITVDRTRAGEHSIRFGQGESVHSEGAVTITTPIGDVDFHIMNTPTPFLLCLDDMDK HGAYLDNIANCMVKGDVRVPIIRKRGHPWFFLDKMQAPVTFLTEIEMRRLHRRFGHPA VDRLHKLLKRAGHDDVDYNNLAEIEKFCHHCQMNRQAPRRFKFTLNDDREFNYEIVVD VMYLDGKPVLHVVDWATSFQAARFLKSLSAKDTWEALRAVWIDTYLGPPDVISHDAGT NFAALEFKTEAKMMGIQCHQVPVEAHNAIGKVERYHAPLRRAYNIISAELGASVDKDV ILQMAIKAVNDTVGPDGIVPTVLVFGAYPRITTDSPPSALTARRAEAMRKAMAELRRA VAERRVNDALNTRNGPIITETLNLAPGSEVKVWREGDGWSGPYKVISVNGHDVTVDLG NGAVAFRATSVQQYLRDSKDESDRLIRLPLSPPQEDLNRQDGRSQVDFDQTPRTRARV RLQDHPANPNHHVETGGVHAPQTPEMPALPRRRGRPRGSKNKPKAYAEVFISKKERDD LELAVKLRREGKIATNGAPFELSGKTEIDSLIANGTFKILHRADMDLRGIRIFNSRLV NEIKGKNEIPYEKSRLVIQGYNDAGKDKILTQAPTIQRASQRLLVSLIPTLIEMGMVV EIRDITQAYTQAKTKLERLIIANLPIEMQDKYPPDSLLLVEGPLYGIPEAGVHWFGTY QAHHLNKLNMETSTYDPCLLISKLGDDEFGLVGMQTDDTLLICTEKFSRGEQAALQEA SFKAKPKTRLSETKPLEFNGARITLQNGIVNLQQKGQAAKIQPVGMEERAQKYVEQRA RGAYLASICQPEAAYDLAVAAQLQEKDRSDSDYEALNKRLIWQAQNPERGLRYVPLNL AKARIMVFTDGSFANNRDLTSQIGFLITMVNEDFSQQGRFVATGNILHWQSAKCKRVT RSVLASEVYGLTAGFDHAFTIASTAKMITSRLDLPAMPVIICTDSFSLYECLGKLGTT KEKRLMIDIMALRQSYEKHEIHEIRWIHGDDNPADAFTKSSPNKALRDLVDSNKLTVR VEGFVERTGSD TSTA_110550 KEGIEKIMEENDLAEKGFEIKDIVWLKKKDSPLGKSASIGIWLN TPEAAESIISNSLLVRQRYIGSVEPYKVELKRCYCCQKFSHLAWSCKEQTVMGNILLG TKDLVAIKVWTAEIQFLIFSVYIPPLDVHQATSTTSTKSALKEIENTIEQHTRESNKS TRLILVGDFNRHHPTWSHRSISHVFTAQAEELINFFQEYQLQWCLPPGTPTYWSPSHP GKTLVLDLTLTNDPTTLIKCQLYHDNYGLDHRGTYSEWDLRPERNENAKPKRAYDRAD WAKIGQRLVESLGQALEIHSTIDLNNE TSTA_110560 MAVPEGGPQTPENSARNEAIPRAPGRRQNLQAETLRTPVVEFPP LDDSKRISYQMVAKLVNGLNTAIIQQTNTIETNHPERIEREIAGGDPGATHLDREPSN YKPTPKTMG TSTA_110570 MEAVVRMHHTLQRDFGWQDWRLNLRAGPECIGILGQCLLITSRS DLIGIKLSGPGLEYETLFANISDCAEFGMETFRKTGEKMTRIASLSNELANSDGIIEK MLKFCNSNQDARRDRHLRRYILLGRKSVDECVVAINEIKEAFDKWSKKTSDLFKALEE AVGNKKSEAEQVKNEIVKSEKEKKQKEETREAEEKRLQAHYKAMEIVREKKEWYEDQA AKLVSAARVAEGGLAAAVASVSAVAISGNGLVLGVAAASIHYLTLKGDLSRMEEDQAR REMEIKGLMAKAVELQAALQKLSSEKSSIAEVMEIVRTSVYHLTALQAQINSFMDFLK QISTIIDLTVNKSNFVYDIAEDTDGLIDTGIKKDLLDNAFDMRTRFHFVARASEIYKT ISAQYIIPTIDKLPQLRLVDDGTDEEINSRLAALNTLRVEICLGAEEKTAKVYAHGPQ KRSPTDSSNVSSVFRACPSFGRTGSLIFPSPD TSTA_110570 MEAVVRMHHTLQRDFGWQDWRLNLRAGPECIGILGQCLLITSRS DLIGIKLSGPGLEYETLFANISDCAEFGMETFRKTGEKMTRIASLSNELANSDGIIEK MLKFCNSNQDARRDRHLRRYILLGRKSVDECVVAINEIKEAFDKWSKKTSDLFKALEE AVGNKKSEAEQVKNEIVKSEKEKKQKEETREAEEKRLQAHYKAMEIVREKKEWYEDQA AKLVSAARVAEGGLAAAVASVSAVAISGNGLVLGVAAASIHYLTLKGDLSRMEEDQAR REMEIKGLMAKAVELQAALQKLSSEKSSIAEVMEIVRTSVYHLTALQAQINSFMDFLK QISTIIDLTVNKSNFVYDIAEDTDGLIDTGIKKDLLDNAFDMRTRFHFVARASEIYKT ISAQYIIPTIDKLPQLRLVDDGTDEEINSRLAALNTLRVEICLGAEEKTAKMHTDLKK DLRLIAQMSVVSFEPVQVSEGQDH TSTA_110580 MFFQFQSREMELCAQAGDSLENPVDEIPQWTTSRQDREPLTIWY GAFSNSQPTYAPCNSSWEPQAMPANRSLPCDTDDIPIIPSIVLWGPNLVDLPVLQSPD SRFAGCQPSPLLPNDPGCLLPSPLIRSLSPKQGYSEEEWEAMKETLHRLYMKEGKSLD EVIVIMTLVYHFKATPKMYYWRFRKWPDFQKNAVQAQSVTSKGLRQKPEVLRTRRKEK LREISDFEDLLFQRLAGNIAASTSSSSLSIKSTETYRLQEVVLHSVHNFVFGLFETHR WTADQFSIFPPSGSLDLSRMWQQLADQVFGARVLIRKLEMRHAGQTFRNIFHLLERAA FSPDPAMMVKFWRICLYFMDICASMNNYSLLNSFFQHYRSLLLTRYQENYPLVQLLGA LAKVENEAMLRTLQIGYLKSIHSLKSFLRGDHAVVLSMWSNYIKHWGFDSLHPTELAA SYRVLLTEADFRLGRCSNLSISVLHQFTYSVFYNLHDDAMSFELAIDLLQRSQEVLSS LHPQWQWGLEAQAFAFASKVVALIYGNQGSRVKAQKYYKEAISLFERGDRECRTRALM LANELTHCMTRWHDVDDAKRLHWNQCLVASTLSEQANTGQGTE TSTA_110590 KRISPTLRHGPDGQDPCSTQHGVGQIMINGTVIKPSDTVKLLGV IFDKEMQWKEHVQQAVKRATQVNIALGGLRHLHPEQMRQIYQACVTSIMDYASTVWHN PLKDKIHLRTLGTTASTAALEVEAYVLPTNLQLKQRAQIVAACLSTLPEDHLGRTVVT QAAKRSTHIGAGPRFPLVETLRTMDLTRIQDLETIDPTPQPP TSTA_110600 METALKLQMENFQVGWICVLNIVLATFSWAQEGHLWKAATYASM RLLHKYPATKGGIRGDHRKQSKGQSISRNLLSEDVELGHCPYRWKQARIIVLRKSRKP DYGSSEAYQPISLLNTLGKVLEAVMARRLSFWAETYKLLPDTQFGGRLGRNTEQALLT LANAIDRAWLRLKVITLVAFDLKGAFNGVNDSSLDARLQAKDIPTVARRWIRSFMESQ YASISFDDFQTEISPLENAGLA TSTA_110610 MLYKAELRKNYKASEQAAFGIAFPSMVTTNYTVRKLAQSLKDFV QESTNKKRKKFSSHLAKAIEYRTLAAKEYESHYARLCTGDEKLASQLQCQIKNHEYYL NQMRLVLSLASNKRAATVTVSSNQQDQEFQLDEGENAAVPTEFTASNEEAESVTKLPP GPVKQKKEKSFDEEEFAMFCTGLSIAIHDIISIWERAVKGSIRCSVAAAITSCLYRII KSDLYAAQQIYPHWFDNAFLQAREYHLIQDLKLKVMRKYLCVESGGAGDDADSIKRII TEALQVPSIGPIYLSDMIKTILMKEMKVTVPDFHVFEFSIGVHISIHAKSTPERSDQI EKIRSATGEELLHLQAVLRRHKVLKFDKDSGEERFLGKVKELVSRIQNMTQPSIICSI ALWIEYHLSEVYQALLAYPLIPTMIHVYIYLRLKASVNKLENLETFCEQYGATLFWNG RPSTLEGWETAVKQWVITANNNSPKIAESRHKAFASLITTLVKNEYALNTDVISQLEE GSGNASETLEALKLKLQSEEITDTVLLSDAILAVVRAFAKQEMMGANAAIYIYSIRQL DSAPAFIEQIHSKWEAYLNGRPAWKKEFYRKSFRSKITVDGKGPNDK TSTA_110620 MASESFRLDSRYPLNTGLYFPPKDGYYWNTNKLGGLDPRPGNPG YFAVLKRVVSDANIQNRAELAKYVFEGEELFKKCGFTSSMMKDARIKAEGHLKSRQQC IEQKWKEAIGPLSDNAGKFDEWIKVYAEKTAYGQAAAATWVTKIDASLSDLEESLQNE LLIDRETAREYFSILAKAVESRISDVLASYTNAFEQLYLTVGIKEEVEAFIKFEKELS IMPMVAGAPSSAPKGLGRNAPFGMTPCADVVVSFIGDGELYVGIIEPSDDSGVSKMLG GKRDPKYHVADDGSLYVTRDLAKDTALKEFMEETGADKVETLTLNETQLGLLGKFAGD WETVLNSLNCRITHTKETNEVKVTWSQEAMIATGEGIKKLLKPIRMDITVPDNRTTLN AGYSTTVYQANINTNNRDQRRLLTLVLTRQGSEEGRYLFRCATEVEMQSTHKHIFKEC FLEKKWSSYLRLILLLTSMITNVCAYFWSFFYT TSTA_110630 MCDATVRNSRTRGSEDEVDEFVATFLTRYHAMRNVQSYAKIGNE IASLSECIVNSWSDLNKNMKFEASIRNKWNKMSVNQRKKLLLEAWPNISQFRRPDIVV DFQRANGPSEIDDKTKSSMLWPYINQQSLTTDLVLPYFLSHRGGHTPNVFFFSDAVWP GFSVFHGRIPPSWTASTCSGHIIIQDPSTSNRYASLVSDSDINQRLETHCQKIPVSEG YLTLIVQKGIYEFLVKVCELLKPEPPPQGQTVPLPPINLQRLLSDESGLAELPHTPYS LPADFNVISLLACVEAKFSASESHIWDLREDPRYFAETLYAQENSKEKDMWSQTIRTV IAEAYTDLQTWSQLRRLLTDLQKCLERDKKQFQANNALNEELQLAFQKLITFLVPMCR CQVTRLITAISGDINSFGMKKFQSAFKRLCDERNWTISVLPSLIDALGHALQKEHSSN KSISPLAMRIISDLSIVTVCFREMNFFQPWAMELLTEMRSKSEEEKQELYQDWELIAR SREIFETEIEGFDFSNLGKPDGGRFSCPTQNLVSERSVNKQRRAEKALDDFWNQKMNK RTEGNENNEMTLANLVFESLSIKRTLHRTPEWTETTYAENKSVSQNPTTGPLSQSDID FELRFRTTATIGQEENNDNTRFRTKPKSRGATTPQVKAPVEVNDVCNAESKPKFTVKE ETIEKLPGEIPWTAFLKMMKAAGFCKYNMFGSARNFFKGERTIQIHEPHGQHGRKLPF TMARSIGRRLTSNFGLNYESFMVGG TSTA_110640 MLDEKFELSFYVPGDDNCYTYGRIGVLNVVLSPLSETNIAKTTI VATVATSMRRTFARLKLILLLGAAGAIPNDVHDMRLGDAVIAVPSDGSSGIVQYSFPE TEVIRELASPPQSILDCVRQFQFELQDDPEKITKWMEEGLNKFPHMKRTFGPPSNRVD RLFHADYLHISQGCYRCDSSRLVQRGSRADTNPRLFYGAIATADTEIFNAKERDKIGR QTNCLCVDTGSAGLQLIEVPTIVIRCIINYADSHSKEQRKWRDYAAMTGAACAKGFIL DLSTVSWDMDPSEHSVDSAERLWTSTTAKTTIAATQFTTSQGTPTNTAGRTTPTGSTW SLVDMNPSVASTGTF TSTA_110650 MWGKKKRTFVGPSTKKTLRPRYQDREGSMAVFPLWTRKEGFGVI SIFKGPDNTLYIENDSIPLGETAYFANKPDLRVVKYDGELLPAED TSTA_110660 MGDDFRVFGSPISVPAFGKLSGAPKKNTGSKEVLRLGEPFRAPE KNIGSIFRYSTTSDGSKPANDSSFASWNPKSHLGTTKRTSNDDSIDATKVPPFGNPFG PVRESDDVPPDPDSLRNGSYFYPNGDSIHEGPDGSVTRRYHDGTVIETGSDGISV TSTA_110670 MPSEKYKEEENRISEALEILRKNPKQKIKPLARQFGVDYQRLRR RVLDGTSQLNRRPAHKRLTEDQERAIILWMNDLDDRGIPPTVRMIKNYADKVLQNMHP GADNPPQLGDRWVYRFLKRLPKEYVKMKQKTIDPKRHLAENPSVIQAWFDRLETAIER YKITPSNIWNFDESGFQIGQGGDEEVVTRYPDALRHVPSSSSRELVSTIEGISAVGNT IPPLLIFTGKVILESWFQYLKEDEWKVTISETGFSNDEIAYDWLKHFNEHTREQAGND FRLLFMDNHEAHLTSEFLSYCDDYRIIPFAFPPHTTHLLQPLDGLPFLQYKRVHRRAI NEQAHLGGYFYDKIDFLANIARDLQEGQELLIYDGNEEPDISSSPTNASFSPPTTAYK LQRSITKVDAQLNEISDVIPSIRRSLKKIFDGSLTQAHLKDQQQAQIERLQTLNERKS AKKTKRQVQIGGILTVKDANRAIKKRATAEEKKAERKRLKELRQAALGSMPPPLTQSD EAAIDRNTLLNLIEQAYPRCSDPNLIRWVDERM TSTA_110680 MEQTTPVAERWLRFQYGNVHDQLQMWMKDLPIQDTIEDEKMDKI LKFLAGYLSFENWNRIEDALPTLTDHRTENEIKETKESIIRILIEAFSAKEVIEMCSK VKYWLV TSTA_110690 MTYIQQKDLIEAARTLRSEGARVVPDSRVLPNPQNAVTDAASRD EGYDTLEVLPTDVASKDGDDGDDILRIFQDIWTVPGSWRNADGYTMLTLLMLRNVAVQ WDWLGKYYLTKMAHDDCFGAGVYTHNWSKDGHMPLHFAVESDDYKYIEELKGLEDEPN FNGVTALYHAVDLGKEEIIKKLLSALRLIDKRRVVLSAILTNCKGKSALQLAKDKKLQ NVVKTIEEYARAFHDCALVLQPTMHCCELNEFSIHQVQKTITDQRPDLFRYYVCHWPN DCLGWREEETGNTILQWMLRNRCNIYDIFRETFDSTPYYMAMILLDCAGQKKDFEGFI NCTNIEMETAEHIAIKTKQYEYLDLFHSRGSNIYARDNKGNNALYCFLSTEDALRDGS NAPYLDSILCLDKYYLSNPTKIAGSSIAGSCIKPKDGDLIMTKGSDDKYALELVLAKY SNADDDTGVAKMLVQMWPEILTRLPEAKYKELWEAQRLTKRKLEKVLYKGMDPLWYSR VGEIFIRNQDKNFAVELLDHGVLPSLGLKNYTNSPLHWFVKDYNRYKTENPLLSFKDV DMNSTDFYGKNIIFYAIEYCNCELLELVINLDVSVRLEDIVHAVNKGWANVEAKLVNL LITGWLKKKISYGPCRHLDEALSPKRMTLTGISSFVFRIS TSTA_110700 MGLCATAKVITGSDRYTWPKLLQPGDREWVTAIEAVNSTGWALP SYIIFKAKKYMWLGWFEDLPANWIINISDNGWTTDKIGLEWLKLHFIPLTNDRSIGKY QMLILDGHRSHLTAEFDCICTKNNISPPLDVGYFAILKRYYGQLVEQRMRLGFNHIDK FDFLTAFPKARTMAYKAQNIWNSFAATGLVPFNPDRVYQQLTVQLKTPTPPPSRSSDT QPSCLQTPQNARQFKRQMTTIGKQISQHTENSSEVFYKAITQASKAYETTMNDLLLSR KENHDLRTAHEKEKQKRQKSKKQVSNEQGISTEEAQVLIQDQIEASQAVTTVPAEPEL PVSHPPVRCQFRCSGCGIKERG TSTA_110710 MARKGPGTDGPLQTALLESTSAATTRASEGQKIFSPIAAFLDKH RSQTTGLAPHLLRALTALSDDLAAVAQQHFNAYISALKEVQATKTGFALCPSSPEALL ALKAQKETISAFFVNCQIERSSDGSHTESPMC TSTA_110720 MARKGPGTDGPLQTALLESTSAAATRASEGQKIFSPIAAFLDKH RSQTTGLAPHLLRALTALSDDLAAVAQQHFNAYISGISTASILPALCPSPSPSPSPTP NPLPPSPPPSRPPSGLEQSTYATITQYAPVKPTPTTHSKAHAKKHMPLVKQPLPDNRL FVRLPADHAARKMEAYAIYSSLRSQLNSNSAALKEVQATKTGFALCPSSPEALLALEA QKETISAFFVNCQIERSSRWVSYRVTNVPRKIGQILDGQYSLIPINPTLLSLEISETT GLKPISISETATSTANPNTLSSSWFVNFPEGTKSPLPIQLRLFGTITNARHLSKRTIV IQCTRCWKWHNTRSCARPSRCRLCGSLEHTEEGHVNRCIALEPYQCPPRCIHCHGPHP ADFPECLLRPKGNTKHTKAQQAEIRKSCAINLAKARTEGGCSSQLSIGTQETPMALDE MPTQPPTHKIISPFRSVTPPPRAPTEDPPITARAKAPLKILQLNVGHAPDAHEIALTL AYTSDIDIILIQEPYIFKDLSRQITKKHPSYECFSPTDSWTISGRPRVLTYARKKKGI RTSQLRPFTTDTKEASDLLFLQIFSPTGKSALIVNIYNAPAGCIRAGEAAKALTTLPE AYFPQATILAGDLNLLHNRWQPSLNRSSTNFAEPFINWLDLQGLVFISDIDCPTHDRG NVLDLSFASSPLALAGAKASIASHLDATSDHRPLITTVPWDQRYLETAQKLRFDTLDH TCFLSLLTSNLADIESSATTKEDLDALAKTLTSAIQGAYIGSAKRTMSQGIGQPWWND DCKKASHNYRSGLCSKRDFRRITRRSQRQFWRDKLSTVTQMKDVFDMSKWHKSTGTFR SPPLNDPMRPNSFPAVAVHEKRDVLVRNLLQNSAEAGDIPLDSPTVPSTSLYFPDISM LQVEESVLQAGNTAPGADEIPTCILKVAWPLIKDKVLMLYQGCLKIGYHPKCFRHAIL AIIQKPKKTDWSSPRSYRPIALLSVLGKGLERLVARNMAWISIHHKVLARQQFGALPL RSAIDLTTCLTHDVEQALNQGMTASLLTLDVKGAFDAVLPGRLIRRLREQGWPTNLVL WIASFATGRSVQIRLDGEIGPSTDIACGLPQGSPISGILFMLYIAPLFRLGNPRNRFG YADDAANLVISPSLDTNCEALSDSLQEALNWGATEGITFAPDKYELLHFSRHKADQNP TSTPSVKAGSIIVSENTKRPYLRWLGILYDKKLTFKWHVSETASKALTVANALRSLGN TVRGVKPHLLQQAVSACVLHKAYYGAETWWPGRTRPGHSQISNRVGEHLEKLAKVIVT GARAVLPVFRTTPIPVLYRESGFSPPEIGLDQIALLASVRLRRLDPHHPLRRRAEQIA RDGRPTSRFARRVLALPNSEQINPLQFAPWYPREPRESAQARIGGPMGRTKEQAAAGF SDFQRTIPSSDIIIFSDGSRLDNGHAGGGYVGFQANHQFLCASISYGSGKEVFDAEAE AALAGAQAAISYPTARFATNLWICLDNLEVATRLLSPSTGSSQGVFESFRTLAAAWPL RERLPHTKSGSVRIRWVPGHAKIPGNEAADLAAKKGAASTSPPPHNFSYASLKRHAKA QYLSAAQSHWQKVAPQTYQDLEITTSPKRPKELQLNRLDLSHIIAARTGHGDFANYHE RFNHDDAHLLCRCGARKAPLHFFFCRIAKRRAPRPPGPPTEVISFLLGTAKGAQKLAT WLAETRFFEDVCPRQPLLSTS TSTA_110730 MPFNNFFWDFNGSTHVVLCIETSSPAISEFALGIFMFQSSTLVR LSYSLFTRRASNCTSSCTRNGARSYNEEVDIYASSLFGCEIFLDHHPWLLSVNPWRPD KNPRLREYKSRHEQSLRSLNHKTGAKSAEKLRPITRDKSGWGFMECFSLVVNAYWKIL CFQF TSTA_110740 MGETAIIPFACIVISSLLIFFARILLRERGQVLAAETTDLKTIY HTPSENRSVIAAEIIHGARNQYTKYESKSVPSEPIQRGFRIQNAFTSDNKSYVEEFVK MSRNLINISSDNWEIIVSATQDTVRRWKSDAQGACESRREVELVPMVQKKVTTNDVTF KSLSNLAEAINETWIMSKKEDTFIPFEQNDKLRSALIEVLPDGNISDPCENPLNLILP GFETMWRVVLRGFLEVAYKAGKEHPNWREALVSYSKKPTAEQFKRASSPDGASAKNLI KEVLRLYPPTKRIYRAWKEANFPEPKIIAADVEACHLSTSIWGKTAGKFDPLRWQKLT KEQEEAFLAFGSRPFECPAKPVFGPRLVGVLVGTLLDAFPHHWTLVSAYGDAVEFGTE RLSNERMGCYGLYLELIRD TSTA_110750 MFQGHPYHSLQVPSDEPFKLKPSPGKGWGAFATRRIERGSIIFT EKPLFVIRKPHTEITEGYVWAAFQQLSPSEKEQFLCLRDNGSRSFTYMEEAFAENSFA ISRDNSLRSQGTSIHGLFLLHSRFNHSCIPNSKIPNTDQEIITSFATQDIAAGHEITF CYNTDFECRLRHERHQALRFVCDCKACLIDTQFQQLSDMRRTLIRGLQYLTLGVDLNG QKQQMVSPIIFDPYLKKAAETFQIPLSARLIYNLFIIVLLEEEGLPKNNFISEALLQA LLGDSERILCEELCSMRFTT TSTA_110760 MPRKLPLRRQKASHAEAQDAYPGQELLDALARLQTNSKYSDLTI LCGQESYAVHRSIVCTRSDFFAKACDGKFQEALSGEVRLDEEPALVKQVIEYLYTLDY QVETHVVTSNRGKTEKNAPNPVEEGANSFNVPNSHSIAQNEHDVDAAEGTAPLLDPLS FHILIYSLADRLLIQGLKILSGQKLKSALIQRLDAGSFPSVIVEIYNTTPEQDRGLRD IAVQITMEYFSTLRSASKTGVAAFQDALLQSVPQFSYDLLIAMMKKYVPVLEGGSV TSTA_110770 MEPQEFVLNYPHFFKPIPLILTEYVVILRETHIANGEKPSRTQG KPSWPSGSLSNSEREFRSWKHSIPLNSETVLSSGKLALVFQKAHQLIEIDVSVRQAVI QKLSEDGGLGCIKMLVEQNFEQLPTSTKEHLFQTLFLPLLKTISHPDVLQSLILERPV GTIYNFLFGVGGIRASKLLTFCSEVLMHCTKDESTLEWLDASVLVFSRIVDLNSTALV QVSLKNCASQFQLIFTTWVTDHPEHPLNESRVHLERLLRRFEVGVSLPNMAQNNVSQG PKVRGSFVIAYDPPGGRHDNDHTDICQIRIMPTFQEISSRRHEYLPPFDPTQWHVNGF HGLLDRNFRLLREDTVGLLRDAIHSEIQPSRSVLNHKSQQRTNVYQSGRIVQLGFHWK DGFQFKLGFSQPTHVGKMTAPRREEWWNMSKRLQSGALVCLILQRNSVVFCTVINPQA TAHKRQGQNDNKSQHKDSDFLWKDSQEAAVFLQLTSLNDRSIEMVLNNYTTKDSHIAL VEFPGVLLPAFEPTLKALQLMKRIDNLPFSELLIPNSTHAGSPTYAAPLYAAEPGFQF NLRCLMNDNSDFFVRVGHPTDLQKLRQNSSLDGAQASALIHTLQRKIGLIQGPPGTGK SYTGVALIKVLLANKGRGKKSLGPIICVTYTNHALDQLLESLLQKDVTKQIVRMGGQS KSESLKPYNLHELARNFSKTKMEKGSLWICHNSLERCEKEFDQIGLRDDISPTRVMTH LRDSYPAHYNQLFGIDEDGFQHAHKDNPGKAFDQWRKSGGKASTGHIRRVAQLESVSL WQMSQPERESLYKHWQAQIQDEMHQELINICISHKDTRREFDNILSEVDLRCLSQADV IGVTTTGLARNLNMLRRLKSKVVLCEEAGEVLEPHLLTALLPSVEHAILIGDHYQLRP QVQNYELSRENKNGGEKYSLDVSLFERLVEIDSAMGCGLPYSTLQTQRRMHPSIAQLV RETLYPQLQDAPSVSDYPQVRGIRKILFWLDHRELEGNLSNAEASATSRWNDYEVEMT TALVNHLVCQGQYGSGEIAVLTPYLGQLQKLRRRLGRSFAITLGDRDQDDLDKAGLQE DEELAPTPIAVKGTLLQSLRVATIDNFQGEEASVVVISLVRSNPQNKCGFLRTSNRIN VLLSRAKHGMYIIGNSITAMHVLMWADVINIFQRNENIGTSLELQCPRHPETLITVSK PDDFPWVSPEGGCDLRCIKRSLVVVIWRKGFPAGRARICRPYVALCLSRRRFQVATTK FELHVTLMSVCFNTGVLCNAGVYWHAAIRAKTNVSSASQELSQGMSARIMEFVDRNVA KIRIRALTDATPLAMVNSNAHHASHPAKLTADIPNARESVRSRAHPAPKRNVFLHVRT LLACGHQCPSVCGEICPPEKYCQICGSEEIKDYPVDFILGESYKDIDLDDNPCIFPKC GHFLTTETLDGLMDIGKYYVLDERRKPSKISTSSEPFSISDIKTCATCRGPLRNIARY GRLVRRAILDESTKKLILYLNREYVPLAQELPQRKQQLQDTKNERSTSWPKTIRIRDE RNALVRHMRLIISPQKNGRWKEILDLRQRISTYQKRVSPEEQPFIRVHQLATNARRWQ NTINTSDDFGDVSEVLQTKGFLQGTALSLRLDIELLADFLEMRRNALKAGEIKVDMDL SAMRTECETLIKSASESKRPLYKAEGYIFLAQLHAFERSHSSSPEMAERHLIEGKEAI NEAKTLCNENPGQTQGLATDIEGAEKMLRGTTFYTAVTNEERMAVIAAMAREFSGTGH WYYCRNGHPFTIGECGMAMQRAVCPECGEPVGGQNHQAVEGVTHARDLEVTFAQMGLH TSTA_110780 MEEAYIVCDHFKEGEQLSIRSQEALARIRILALWIYRSPQQTPR VPIYYELHDLLDEASERKERFLDLDEDISLAVKEGMKKYKKYYTFMNASDTYYTAIVL DPRIKGDLFLDELEDETTRKEILQALCDNLHCDYPVPNIESSSPTGWCLLDRTTEHND VESRLLNRSQPRNQPLLSDIDLYLNNPLLFQPLRAQSRVTLSGRIEKSYQHQMSELDR GRRLAQRFMIAYSNQADWQRHG TSTA_110790 MDSLDECIDRLVQVIDAKIGEKFAVIDFAELATFFTLDVITERG YGKTFGYLSSQTDLYHYIKVIENSVAFMTLASNWPRLRSLVSKSLEWPIARTFIYKLC GLEELVRAVDQQVENEFSDLPSQNTVRSPEKATMLQLFKEKGMTKEQAKVEALFLLTA GSHSTATAIRMIMLHVITSPHIMGRLRQELHKNSEGCEYLNACVKEGLRIFPPFPGLL MKERISKTKASNSDKRDVDSKVQDVNSNIRNLPLGVEIGYNIVGVQRNPKIFENPEVF DPDRWLISDPGKHHEMDAALNLVFGQRGSWGCLGQKMAFQEISQVLLEVMFDLCKFMN CMLTRDLAAIQTL TSTA_110800 MSPQSQDHIGLKQVIKVAEELYANDAQIVAPSLHLPKLITDVAQ RCNEKEIPEVVQDSYTLPGLWRDKKGYTLGTKLMSEGVIEWTEDLRSYMFQIDYNDLS LLGSGPGNLAQYTHNWSEHGNNALHFAARSGDINFAKVLGPSDDDPPNFQGKTALYLA IEYFDEDKKQFIDELLKDKNKSFGHAARVVQSATFISREGKSALELARNKGLSGLIET IQGCVKFLDEPEDGYWPTTKMLKFILNRGSLTKVTFREEDTFKEVKHAIETSNLELFQ SCIDQYWATILGWKDPKHENTILHSLLQFQFNASRHFMAMIILDIAQREEKYKDFINR TNKYGETAEHIVIKMSLQVPYLELLHSRGSNIYATDNRDQYYMKNPREIAGSRKEPKH GELIKTKGKDKKSALELILSIKAPRQVKILVQIWPQLVTMLSEEDRKTVNEIWQLKLK HCEDEEVKPDKYWLEIVRRVGLRLIGPTGVLNRQQCQQRDSNCGRSKPESNSEAKKEV IQEVIATNRWGRQIRHPERYGYT TSTA_110810 MTTTKKRISRHTRSSSEAIGEVLTRASKAYEMSINKLTIAQKEL HDLRAAHEKEKQKRRRSKQQISHEQGTTREEAQALVQGRVEASQAVTTAPAEPELPSC YYCSGRA TSTA_110820 MSRELPSHIPGTVHLVDIDHSMRTRHANANGDIVLDPTPSSDPN DPLNWSPRRKLLSLICQNLYTWFAGISVSTVYSVLVPLSKASGVSTDTLNQGTGYMFL FLGWSLLFWQPFSVRYGKRLTFLLSVAGGIGTSIWSAYVTSNGEWIAKCILQGFFIAP IEALPEICVTDLYFTHERGTYMGIYALTLAGSNYFAPVICGFIAEYQGWRWVFYWPAI FLGVVFVFLALFMEETTYHRNKSTLTQVDRLSSLELSGGEKIAVATPTPRVAEDGYID SQKKPFVTKKSIGRTNRGQNMFNHALQSLRFLGWPVIFYAGFSYGCYLIWFNILNATA SIILSGAPYHFDSSMVGLSYLSCCIGVIIASIVSGRLSDWLTIKLARHNNGIMEAEHR LWPFAICVITVPSSLILWGVGAQHGVHWFGLIFGMGVLAFTSTMGITLSINYMIDSYH DISTDAIVTVILVRNTMSFAIGYGITPWLTNLGYQNCFISAAFIGMAVSLVFLVMVKY GKPLRVRTASQYWAMVNCDKSAEDQ TSTA_110830 MAQEAPTNVSKPIPVGTASFEEKRAALLKAFDRKVPPEYHLRAE FLEDPPSDVSTIPANCGILNEEEIKITEEYDAVGLAKEIAEGKYKAVEVVTAFSKRAI IAHQLTGCLTQWFMVEALEQAKQLDIYLEKNKRPMGRLHGVPVSIKDHIPVAGTSSSL GYLSTIVEDESDCQMVQILRGAGAVFYCKTNQPQSLMHLESDSLWGRVLNPFNINLSA GGSTGGEAALIALMGSPLGVGTDIGGSIRCPAAFCGIYGYKPTSHILPMRGFLPTPVA AELNIPASTGPMCRSIRDIDLFMTTILEAKPYLLDPNLVPISWTGSRTPPNSHRLKIG VISNDGFIQPQPPVAKAISWARKLLSDPVHASQVEVKEFKPFGAADAWSKIRRMYWPD GGDLSKKAIVSTGEPLHSLTEWIWEEQQESGMQTAQAVNLLRKERDDFRHKFAKSWEE QDVDVVIGPAFVGPASAHDTAFYWTYTSLYNMVDYPGVVIPTPIRAISGEQYDQGYTP LSDACQDVKKLWGKSDFKGAPINLQLVARRYHDNELLSALSLLKNILGLAY TSTA_110840 MLGATIINLKYFFYPIGNTPATNVFACRVLPLQCEQKEEERVKI LLLACGDPRNLLFTLWCKSNHDAQIQWEFTCCDVEAAIIARNVILFSLIADNASEAAI WNIFYHFYVTNADIALIKSQASKLLVCSETPETWFSSKYGAFISFMSEDTLCRLRQFW HQYSEMANLSARQRNSFEEKTREAIKSTYMKNIGDNVLTTHGLRSAAVHWARAMPVLS SCFQKFWETGVVAGNTIDVQNLEVDGRGRVNPLFALSSAPNGKFAVHYGTDPLLGFHL ASAFDDETCSEWDLKNKIVGLAKTQFLEWCTTFKEQVHANTLFIRVFCGEALRFCHAL QAVHTSTYQIPKITRAYTYPWDSTELVLQTVDPPGDSSLYDIIDTSNLVDHVGMLNVL PAVIPLLAHRITSVLYTNGMLRAAEDTTTTLSTLLCSDVATTSLLFGLTPTAQLFPYT VDAVGIEAAVDLIIPKKVGRQSQYYVSIAWRIPNFGDCFQVQDGLSPCHQLAYKPEQL AEYFYKLYLAMFSCEDMSLMMDSLMRQVESPLSVDLRHYNRMTFVGLLSLAKNHVYTD WSPFIKYLLDMIQADTRLLVGSNSLQELYVLLHLLGLFTSDVLRQPPRSIGRTPYGQP RPRVADSGLLGREELPPVVYVALIVPRHKLEVFTKESDPRGTPGLHVSISNIEQGFDN SFYAIQCFFGQLRTRNNDHAICDVISDDLRWQGIADLIVTCAVPTWSLLLGPKAGIRV ALAVSTTPSTSHYIPHLGLRLSVYECGLDSYNLRILSGPPGVTCWPQSTHATIEQTIS SQREACIVHLDKDSRVHTLQVRKTSDAAVTCGTSFEATQISPCTMTVKIQNFDDLQMH YPFPVDGEQQKTSIKSGVVEMTVPIASALSRGGYNHNLFPIYMCRQQLNPLLISNLNL DRQPEICAAGKLDWLTQFMGWTLSGKERASYEKKSAETCDVLLQLKSSINNLLQSFMG LNPHGQTRVFQLTCKHKRDSSDTLIFASALRHNIPQRSILLDAFVVPLTKERVSKVAE ALKSLATAGEILSVIIKSREEEILWKKLLPVQVECCRQVWHHGEGCEYRSQGRIPLST EHSVLPICVCGENHDIDRFPRIRNWEIFAKYATRIAIMPLSAIPYMESFITNEQKRQL QSQLRGPASEKCDNCGKHDVRPKKCLRCGIVSYCNRDCQKAAWKKHKKDCKKGRTGIL TSTA_110850 MAQDPSHNLALQLCLEDLNELGHRQKGKQTAGKPTDLELAIASM RDDLLATQKILMSIMYDESVARHDHQLARGIDGEEHEVLDTVGEGNSRQEFDDSDAIS TVIGDLMERMHLNSKAVERGTPVRLTPTHRTTKQCGSCLDDTDIFFESACGHGFCRDC IRQLFLGAIKDEELYPPRCCGQIIPPGITLRILTYHELQDFGERAIDILQKIEFTVQS SHVRNSSHLPQFAATMNVLALADTENWMRCLTAERWSSFNTVVTISLAAAVMNFATSA GRCGRIVIVPCGMRTD TSTA_110860 MPPIRNKNQKNSAEQEGRIPLAISDLKNGRIRSVRKAAEIYNIS RSTLQNRINGMPYRAEKHANNHKLTQSEEDSLVKWVIDLDRRGLPPRHSLVREMANYM LLQRGNQQVGENWVTKLTKRRPEIDSKFSRRYNYERAKCEDPKIIREHFDRVITGSDR YARLKLLQPGNREWVTAIEATNSTGWAVPSYIIFKAKKNVRLGWFDDLPSDWKINISE NGWTTDQIGLEWLTTHFIPYINDRTMGKYRMLILDGHGSHLTAEFDRICTENNIIPIC MPPHSSHILQPLDVGCFAVLKRHYGQLVEQRMRLGFNHIDKMDFLMAFPQARTVAYKA QTIRNSFTATGLVPFNPDRVIQQLNIQLKTPTPPPSRSSNTQSSCLQTPQNIRQFVRQ STTITKRINERTGSPNQVIDQAIMRMSKAYETTMNDLVLVQKENRDLRAAHGKEKQKR QKSKKQISIEHGITGEEAQALVQDQVEASQAVTTAPGELELPASQAVVRRQFRCSGCG VEGHKINRCPNRTSS TSTA_110870 MQDGGLGHANKDAIEELQPRDIYPIFWPAFSPDLNPIEALCDWM KDWIQGQYPEEETLSYDQLREVVRASWDVLPEQFLKDLIDSMQARCQAVIDAAGPTMA TPTPRTILITGATGKQGSAIIDALLAADDSDKILSIIAVTRDTTSRSAQALARRPNVC VVAGDLADPDSIFDQATVNGNPVWGVFGVQINSPEEEKQGKALVAASVARGVQHFVYA SGDRGGTEKSEIDPTFVKNFAAKFNIEKHLQKMAATSPQGMTYSILRPVTFFENMTAD IHGKGFARMWEQMGPNKALQLISTKDIGYVAAQAFIHPDKYRNVAMTLVGDELTQPEA GVIFQEVLGFSMPMVPCPIGSAVKFFKKDTVGDMFRWFEENGYGGDVVQCRKEFPGLM DYRTWLVERSSFVQRP TSTA_110880 MTRRRHCREKVDDSPSEADDAIFSDVDAVQETDLTCDDDDDNDN DVNYAQDQSDLADFLADNEHPPQYYIDQLKNFDETIYNQEDYSSGTQRMLDRVEGCWN GFCSYTQTDPVESYSALSAKFLGTFLEWVLNLRRGKGGRRLRGIKTKSSLQTFWKVFR LVHERATGDKIDPVTTRQMKRVIRHLSKKYHLKKKGRAKSVMYVEDLAKVVETTVVTT RKKFGHGRHRIELCLFLQLAGLTTNRPQAILDLCYRHILVTLLRDPCGGPHRILIELT FEFTKEFLGAKDENTFPLPEIIFDPSLVLSPHVFLLGLLFADRAFRCVDGEEVLVSAE QLLRLYIRPECNELQFAA TSTA_110890 MGVLTSFRQVARPYSLRYGAAKALDNSGSVSDALRNLIMHHADT RTFLKYYLSRRIDKDVAGIIRGLDPQEEMMRAACRMSRTIDPNRPQELTTAQSSSVNQ LPEIQDLIRRRDHLGRRLGRPLSQHKGTVKYDLYQQLTKELGSARRRARDALLKEIQN QYDQEQPMLEVQRQLSGVKLSESVSHRLYQSEEIPLPQKRLSAALLTLPRPTLEGEML RRTEAIDAIAAYCLFEEGDTCRIAHDKRQYSVHGPIDVTHKLSHPEVETKDQGISPQE SKLHEAIRAVSMDSRSRNRKEKVQRPLLCFLCVGNPNLDIVKRTQTFKAHGDVTKHIK RKHLKHIVEGNVVSCGVCGQTFLRKMELQRHASDAHSTVT TSTA_110900 MASHKSPTQQTVSESSRRLSSKLKQEQDGSITVEEDDPDCEQCS TPIFIHEGVFIGFWFADQSGNGRRPVYCLINRDFEFAYLKGKTFILVRYDDFDPLDEF GDWLGDDTEDEDAMSPEDVKGWVQMMWEIRNYAGIQDMTLED TSTA_110910 MDDITEETLERILRLHSFLILPAFQKRSKPGFTEALTAILTRRG LAVDPEKLNGCEFYGPLDWVTGQHLTSFEIKDIARIINFPLPAQRKRPETAAQAVPEV PTQAGTKTEGTTVIESTTLSSTLTPITMSEPTPVPGWITSIFQTNIQPHISYSKTQYK RDVVYILTLLRRISEEQQTQFAGLIRETDYTQARALAACMDTAKYEEFLAIARDAINS SGYPANNIETFRLFMERFRAGPSERAPTEIDIANGQPGTDTGNYPEERRGQLEAIESR EPSGRQESSSSGQSHQNTMQESLTECIFQLEQRFKKSSFEARQEADKLKFRFAKEKDL PLREYVERKVILLQEANIKEEDEIVTRVWENLDPVIMNTIRQEDLSLDEFTRRLFLRE VPARLAWNQLNRFAPTHTSPRAYPKSKYKDDKPERQKDKQTEKDTKKEIPSKRE TSTA_110920 MKKVAIYATATTDIPSGIQKGVLVMIGRRGHHKLPYTKRGYLFQ PEPKIDLATETYASAPWALLTTDTTVIPVANLGKTDIKIANKELVGWLQEIPPQSHRI MSMLTDDETELMEAFDDPIPFQIGFQDDPTNVELADISDEFGPEIKEKVIQLLKQHSQ LFQSELGLLRGSRMPIPFKDDNLDGLKTSPYQMSHRDRAASNEILDALQKEGRIEPVP ESETSSIASPGFIVWQNGKPRFVVDMRKINVKLLLNSYPLPRQDDVFEAVGGSCIFSS MDIRKEFFQQPIDEKDRWKTTFITPHHGLERLKVSTMGLATTPSFFQQRMENILRPYL WKTVIVSPDEHLNHFGKQIRLEWCGKNVAPFRDPNVS TSTA_110930 MPTIPFARPVSIWWSLSVAVSVSEAYIACGLPQGSPVSGILFML YIAPLFRLGNPRNRFGYADDAANLAISTSLATNCEALSDSLQEALNWGAAEGITFAPD KYELLHFSRHKADQDPTCTPSVKAGSITISENTKRLYLRWLGILFDKKLTFKWHVGET ASKALTVANALRSLGNTVRGVKPHLLQQAVSACVLHKAYYGAETWWPGRTRPGPSQTS NRVGEHLEKLTKVIRTVLPAFRTTPIPVLYRESGFSSDIELDRMALLATVRLRRLDPY HPLRRPAEQIASNGRQTSRFARRILALPNSEQINPLQYAPWHPRESRENAQARIGAPM GRTKEQAAADFADFQRTIPSSDIVIFLDGSRLVDGCAGGGYIGLQAHHQFLRSSLSYG HGKEVFDAEAEAALAGAQAAIAYPTAQFATNLWVCLDNLEVATRLLSPSTGSSQEIFE SFRTLTAAWPLRKRLPHTKSGSIQIRWVPGHAKIPENEAADLAAKEGAASTPPAFHKS SYASLKRHAKTQSLSAAQSQWQKVAPQSYQDLEITTSSKRPGELQLNRLDLGRIIVAR TGHGDFADYHERFNHDDAHLLCRCGARKASLHFFFCHIAKRRAPRPPGPPSEVISFLL GTAKGAQKLASWLAETHFFEDICPRQPLLST TSTA_110940 MTEVQKLHAERQIRDALNTRNGPASTAVYLLPINSDVRVWREGN TSYAGEWKGPYKLLSVEGETCTIQFPDGPKQFCTTVVRPYYKAPDENDQDTNSEHTNE EPEAPLGTNSTPPTPQDDEPDTSTPQARPAQRPQRNRQLPARYRDDLIQSVFAQFDQS QEKEINGLLENGVFEVVKFVNEIKNQGTDKAFEKSRLVVQAYNDKGKEIVLTQSPIIQ RCSQRLLLCLTICITDTHLFLRDVTQAYVQSTTPLTRNFYIRPPPELVHLFPPGTILK VVKPLYGIPEAGNHWFRTYHIHHTDKLNMTTSTYNLCLLHCIDQSQGFGIVGMQTDDT LILADNTFANREENKIKRANILCKPREKLTPSNPLKFNGGLITEDAQGITLTQERTCK LIRSVQDRHADTTSSRGKVRKDVSPQEQYVAQRALGAYIASVSQPEASFDLSFAAQIT NPAYSLRPD TSTA_110950 MAGTATVQFGPGDPYQSIGSIDVPTPISTIRFYILTSTTSFLMS LYELDKLKLYFDNTCNLLVNKKTGKTTPVIRQFGHPFLVWDYSYHTYLLALFDHNPCL LTNAKLHRLHCRFRHPSTNRLRRVLTRAGHETNKEAIEYIRKFCHHCQMYDKSPGRFR FTLHENVNFNHSIIINIMYLDRDPVLHIVDEATHFNAAAWLPNISTKVINIYLGPPDL VITDAGKTFTSREFSQSALAVGTIIKTVLVEAHWSIRTVKRHHAMIRRSYEIIKKELP DLPKDAALQMAVKTVNDTASPDGLVPTLLVFGAYPRIVEYNPPAPTIT TSTA_110960 MAGQSGNDTDQAMTEKKTLDATVKAKIKEYTNTYDSRLLRAFKQ DFDKWTLNNFNTVSVTELGKLVDLLQTNGVYVTNDEQDDEAEKLYTLLSTEKYRPWTK EEVIRHLRQGNTIESKVLNDQFETVINTYNQPSNYGTNEAQNQTGEGPLTRSRSQQQL QYLSPRQQDTIHHKIKVNGRELSQSHQMKSNKPQIWLSSHRQHNPFLRHLFNSRRYIQ TTKSTVEMRIPTGQSFESLTTTVNEASDRDPVEGIRAYFEGPEYYRTVLDKWSGISLQ NTIDENPEKTLKACLNMMLIELASLYNRLALKLRNEEFYLARLLQATLLQPTVTLALS RPFTTTALQSKRQEKLTRHTPKERADSKRHYLDHFNKRIDQYITDYKGTEDDDEELPE ELLSAANNLILTDDYKSRPTHNALSTLFTATFFIMYNDNDTNHGPSITMELVNRSASH WIASLFLKPDLETNSYKTNKATLKVLTPKSSHVYLNEGRYSSESFKGIVIDTSATQLS TAGYG TSTA_110970 MLQLFIIIDLKMAASLFMDLDGMPILDSDVIGYGGSGVVVRRGN LAIKMPLRYPGSSEEDVQSNIKVLQHEQEVYRRFNSFTEDLIDRIVPCSRLCTNAIEL GFMENGDLRSYLQKHQPSRTVQIAWFRQMARALEQIHGKYVLLCDIASRNILLDSDLS IKICDFSEASILPLGTTMETVNDQGFSVQTDIGLLGAVIYEVIAGQQCKFNLFDGPAA SQATFPHRTSLPSTASLWLGHIIEKCWTVGGFQNAHALSQALESADLEQENHSTNKKC TTLLEYFRGETETSIIVLAITFSALIAVTTWVRRQT TSTA_110980 MVEPITKISTTRIKPDELLEVVEEKVEFKCDITWETPSIGTRVL PPKDTVAIVVNLVDSPGAIRIFRDEKYIDGVFIDDSAGSQESLYTIVLWKNGWWFRAS GLPKVGYVETKK TSTA_110980 MVEPITKISTTRIKPDELLEVVEEKVEFKCDITWETPSIGTRVL PPKDTVAIVVNLVDSPGAIRIFRDEKYIDGVFIDDSAGSQESLYTIVLWKNGWWFRAS GLPKVGYVETKK TSTA_110990 MSGGRINRRTRAAKLAARTMEIKEQSEIFIEKGDDFVFDHIKLI LEENGKYFHAEIKHRLSPSLVIDVDTLRPVPIRDFWPPFDRSLTRVPNTLSKDYYIKR PTLLYYKDDQAQDLSTQILDEANVCEILRNNPHPNIAEYLGCIEENGRIKGLCFVKYA TDLIQRVQTGRTLDIDFYIQSIESGIRHLHRLGLIHNDINPRNIMISEDDRPIIIDFD SCKPEGEELGKPGTPGWVIESAEYARQENDFFGLSMIKKYLMDNCA TSTA_111000 MPPIRNKNQKNSAEQEGRILLAISDLKNGRIRSVRKAAEIYNIS RSTLQNRINGMPYRAEKRANNHKLTQSEEDSLVKWVLDLDRRGLPPRHSLVREMANYM LLQRGNQQVGENWVTKLTKRRPEIDSKFSRRYNYERAKCEDPKIIREHFDRVRAAILE YGILPEDIYNFDETGFAMGLCSSAKVITGSDRYARPKLLQLGNREWVTAIEATNSTGW AVPSYIIFKAKKNVRLGWFDDLPSDWRINISENGLEWLTTQFIPYINDRTMGKYRMLI LDGHGSHLTAEFDRICTENNIIPICMPPHSSHILQPLDVGCFAVLKRHYGQLVEQRMR LGFNHIDKMDFLMAFPQARTVAYKAQTIRNSFTATGLVPFNPDRVIQQLNIQLKTPTP PPSRSSNTQSSCLQTPQNIRQFVRQSTTITKRINERTGSPNQVIDQAIMRMSKAYETT MNDLVLVQKENRDLRAAHGKEKQKRQKSKKQISIEHGITGEEAQALVQDQVEASQAVT TAPGEPELPASQGVVRRQFRCSGCGVEGHKINRCPNRTSS TSTA_111000 MPPIRNKNQKNSAEQEGRILLAISDLKNGRIRSVRKAAEIYNIS RSTLQNRINGMPYRAEKRANNHKLTQSEEDSLVKWVLDLDRRGLPPRHSLVREMANYM LLQRGNQQVGENWVTKLTKRRPEIDSKFSRRYNYERAKCEDPKIIREHFDRVRAAILE YGILPEDIYNFDETGFAMGLCSSAKVITGSDRYARPKLLQLGNREWVTAIEATNSTGW AVPSYIIFKAKKNVRLGWFDDLPSDWRINISENGLEWLTTQFIPYINDRTMGKYRMLI LDGHGSHLTAEFDRICTENNIIPICMPPHSSHILQPLDVGCFAVLKRHYGQLVEQRMR LGFNHIDKMDFLMAFPQARTVAYKAQTIRNSFTATGLVPFNPDRVIQQLNIQLKTPTP PPSRSSNTQSSCLQTPQNIRQFVRQSTTITKRINERTGSPNQVIDQAIMRMSKAYETT MNDLVLVQKENRDLRAAHGKEKQKRQKSKKQISIEHGITGEEAQALVQDQVEASQAVT TAPGEPELPASQGVVRRQFRCSGCGVEGHKINRCPNRTSS TSTA_111010 MSYIKQEDLIEAARVLRNGHARVVPNSLVLPEPKKAVADTSKDG YDKGDGILGILRNIWTLPGSWRNADGYTMLTLLMSKGVTVEWNQSVKYYLTKMAHGDC FGAGAHTHNWSKGGHTPLHFAVESNDCKYAEVLEGFEDALNFDGITALHHAILLGKKE IIEKLLSAPHPIDKRRVVLSAILTNREGKSALQLARDKKLQNVVETIEKYAGEFRDCA FVLDQFSIDRVQNAITDGGVDLFRHYVYRWPNDCLGWREKETENTILQWMLRNTHNIH DIVFDSTPYYMAMILLDVASQEPYKEKHGGFLNYTNKKKETAEHIAIKTGQYEYLDLF HSRGSNIYAKDNMGNNALYCFLSTENGLYDGGDAPYLDSILCLDRYYLGNPGKIAGSC IEPKDGDLINGSDDKYALELVLTKYNDANADVNANVNADADNNDDDDDGARVAKMLVQ MWPEFLTKLPKAKYKELWEAQKLTEKKLKKVLYTVGEIFVGNQDKNLAVELLDHPVLP SLGLKNYTNSPLHWFVKDYNTYMGEEENFLLSFKDVDMNSTDFYGKNIIFYAVEYRAF ELLKLVINLGASVGPNDISRASEKQDFEMVEFLIRELAKKSKIEIGKGSV TSTA_111020 MSDHNLINPMKTYLSLILGQNWSLETHNWSDKGNTPLHFAVEVG NAELVKLLACRDKANFEGNTALYLAIEKGNQDIISSILSRPEYKEAALSAISTNRRGE SALQHARTKSSLENVVETIENCLRKTAGLTFIVNPHNITCTDSSKFDIELVKGAIKAK DADLFQHYISLNGWPELLLRWQDPDTHNTILQWMLEFNFLINNDTVYESDSDTNFNIN SDVVTATHYYMAMILLDFADQINNFKGFINHPNKDMETAEHIAIRTDQMFYLDLFHSR GSDIYARDKDGYNALYRVLTVKTLRDITQVDSILCLDKYYSNNPGKIAGRISNPNHRD LIGTKGNDGKCALQLALENREDAKQLVQEGNIWYPLRASD TSTA_111030 MYIQVEAALAGAQAAIAYPTAQFATNLWICLDNLEVAIRLLSPS TGSSQEIFESFRTLAATWPLRKRLPHTKSGSIQIRWVPGHAKIPENEAADLAAKEGAA SIPPAPHKSSYASLKRYAKTQSLSAAQSQWEKVAPQSYQDLEITTSPKRPGELQLNQL DLGHVIAARTGHGDFADYHERFNHDDAYLLCRCGARKAPLHFFFCHIAKRRAPRPPGP PSEVISFLLGTAKGAQKLATWLAETHFYEDICPRQPLLST TSTA_111040 MAESPLYLSRPTCTNNLISRLTSFDRDPKERNALEAVVSKMIEI FKDDRKLLYVPEVAELSSAVSGKDYLELTVALSNTVIKGTSDGSILDEKLLTNYAYFL RRANGSLSPATTVLGSVLMSLQKRLDEVIKQARNGTQYQLQLVSTVSAILDAMVDLKI YGLDRVDLYEPLQEQLKSLMDHQELRLAQAATYSREALLGLPNNESSYHAFLRHACAV ARGAAGVAGAVPTMDPAKLMDAIPDLMNVPDLIKSVVDVASDFYNIYDGVGSMAKGMK RVPKQKSWYVSLRYTDMLIRSAAWQKLEEFIREVPCGREETFLCGLYAQLEQAWIAGD SSIRDRVVDSIQRSISQVGPKHHRAQEWVKLIADTVGRPSWKNSLPSHRHILRIRKNQ KYEPKLKMFPIEIGKTGNLPRHLLNRAWSECDEAQKFYADAFLREYYTQGRLKIKRLS EDLLDMEQCYINLAVIELSQNGAKRQLDNEKTEQQPLVFTLFTWLKVEVGNTEIEVKL PNLFKDQIRPDGTMSRPKRILIRGRAGVGKTTLCKKIVHDFLYKKMWEELFDRIFWIP LRSLRGKSSLEELLSQEYFSTQREPEWLVQALWRGLGDEKNERTLLLLDSLDKISGER SISGTDLTKKFRGLLNRHNVIITSQPYAINIPGHTPFDLELETIGFHRDQVQAYITKV VDKTDAEAIWSFIQGHWLIQGLVQIPIQLDALCYSWDSDLRSRGVPETMTALYKAIEL RLWKKDILQLKKGDGRGGLLSKSQVQKLQIHSQIEFLAEKEIELVEFFAFTGLYNDII EFDIRFRSRIYTQPSLRSMSDDELDRLSFLRTSDPSSERKDRIYNFIHLTFQEYFAAQ YFVRRWISRQPLQCLTFGFQKNIVEITPERLLQQEKYSGRYDVFWRFVAGLLQSQDEE QLCRFFQLMEDKPHDFLGPAHQRILMHCFGEVPPNSGLENLRTLIEAQLKQWALFEYK LRGEMTLCREMEFPNNVLISMMNEGEPKDVKNAILQALRHRSNFSVCLVNLVAYLLGQ NVSSDLEWFAINALGRQTSLPDNILQAIVRRLEHSEWYIRQSAIDALDKQACLSDDIL QAIVHCLEDFKGEVRESAINTLGKQTSLPDNILQAIVHRLEHSEWYIRQSTIYVFGKQ TSLSDDILQAIARQLEDSEGQSAIDVLHKQTSLSDGILQAIVCQLEDSEGYVRQSAID ALDKQISLSDDILQAIVCRLEDSDSYVRWSAINALDKQTALSDNILQATVRWLEHSEG YVRQSAINVLDKQTTSSDNIFQAIVRRLEDSDEEVRRTAIDALDKQTVLSDDILQTIV RRLKDSNRDVRRSAINVLDKQTALSDDIFQAIVCRLEDSEGSVRLSAIYILGKQTSLL DDILQAIVLRLEDSEGYVRQSAIDVLDKQTSLSDDSLQAIVRRLEDSDSYVRQSAIDA LGKQTSLSDDILLAIVRRLEHSEWYVRQSAINVFGKQTSLSDNILQAIMHQLEDSEGY VRQSTIDALGKQTSLSDDILQAIVRRLEHSEGYVRHSAISALGAQTSLSDDILQAIVR RLEDSKEYVRWSALYALDKQTTLSDDSLQAIVHRLEDSNRHVRHPAIYVFGKQTSLSD DILQAIARRLEDSDESIRWTAIDVLGKQTVLSDDILQAIVRRLEDSNGYVRQSANYAL NKQITLSDNILQAIVRRLEHSEEDVRQSAIDALDKQTSLSDDILQSIVLVLSKNTSST DSESVSMLLKQDNLYDSFQNFDVETLRSLYRLLVQQSFSEHLSCYMQDETFYINMPDR QKRVSLVQSKDVFLDVFRDEAVALGRPLTASTDDLRLR TSTA_111050 MVFIEYDLNEFGSNITILQPSFNPDLTQDPVVISISRDQFVAFR IPNEYGISVIIEQHLTPKEIVVLLVNNDYALIGRVSVYNARDPSYWGKQLNGALTEQL HWRDKLADSKLFVWEPKEEIPEEFTTSFLKSWTSNWNLHATREVYEVMAKETITAMEF NKHKITRTLDSWTKCGVESKTLYRSDYLSKL TSTA_111060 MGTSWHALILRSFPESPYFRFLRWLRQIRNHELGLKSFKKSPRF AKVGKWELPGGGVDWILRETILRAGQRELWEETGLDLASFDDYVGQYQFQAPWMLWMK DNLKIIFIGSVKDGDEGLKNIRLSPMEHEAFCWATESQIKEMSLHAEKLALVMDGCEA MPKPDQWKQMAFISEEGKHYAIEAFNRLRN TSTA_111070 MVEDPHESDSAPSDDENDADYLDHSETEDASESLHPSKRRRRSP GDASSATQEVPKTLSSESPLADQPESIPENPSPESESIPIQGFLRLRTKGTEEKEQIR YFTVVPANLFSLPHVSPSITSTLTTNDLPSLDTLLQEYHTFQTQNSVSYTVNDSQHIS EITPWLRTTGIHVHLTRLDLETVGDLYRLPNHDETRLDLICASVDRIWRQTEQLLHHN PVSDIPRLSRYNARLLNTFTRGEISQNPIQPLQNPQSRSRYIQTWQRLICYWSQVMDD QLLPNPLFLPTEGQKSTWDDVITAAKNLSHQQELEQNNDEALHQLQQEMDKQTLAFCL KIIQHNYTSFLSQLIYNCQIWILALSILEQQNHPTQDLGDIIIRHRDRWLLNDTKGPV AELLENRLYAFRIAMSEVPPAQVRWDREGQVITFQDVSLSLLELSRLIREGISTAQAI FEQELCLSGPARPATEIPQFDLSNLMDNWDATQAGASFLTDSRNHAYVVPYQDWLFRR VSQDAVLFPIFWELGVDQTWRISPKMVEQYEATIQRFLEALLVPFFIGSGQQARRTEF LGIRWRNTLLHTRDLFLHDGQMLFILDYHKSRHLSHLNYALPTVVTAPGANYAFFHIP PLCDYLWASTTKPWSDNHLTWTVIRTGEQILGKKIHIRAWRQITVGIAIKKFRTLASQ FIEDSLDNEDDLIEDHNGSMAAVFHYQAAHTPHTGNPIYGGTINFRAGLTDAGLQEFR QPSEIWHQLIKQPSQYSTPSLLKRRLPAVFTQSLQPANVNIEWEWDESPSKRVRSEAT ESTLVQRFHRCHEPRQSQQRWTMEQAQTILKRMYGPEAQYRTSNQQQALQYIIQGFSQ VVAVLRTNEGKSLLYLLPCQLPGARTTVVVLPLLVLKQDMLLRYESRHLGSSPLILVS AEQAVHINFRTFLLRLQLANQLDRVVFDECHLTLTASSYRKGLALLPTLRDIQCQMVF LTGTLPPVMMAEFEQTMLLSQARLIRSLTTRRDLSYQVVSCPMDQDFFKFAIPWIQQE RTQLDSQERAILYCQTQAITEKVATILACPFYHADSGTREEKAQTLETWRNGNPNWIV ATSAFGMGIDHPRVRLVIHLGAPSSLIEFTQEVGRLGRDQQGGRSITLLPPSWSITKS SRPGHMISSDVQAMHAVLDQPNCRVAAMSSFLDGAAVACSAPDPLCDQCRFRQENPES SSTDPTTTCSPNPEENVDCDLTIGSQMRIQQIQQESQQLQQYEDSLQALRGTCVICRI LPSSSADTKKHSFIKCWNPRRQDFLESKKRAQQEGKRFQGWMQRYAGCFRCYNPQAVC SQQGQGTCLYPDLVMQACWAIYQIKAWTEGLLPGLGGEHVQSNEAAYMLWLGQKRATF GVEGLNAAWVAYHVFQQLLEPAKGSV TSTA_111080 MIKPPTVNVPNRQLLTFLNHQLSIGNWINRELAQPPTVNLPNYQ LFNDQRPSWLNRHLAPCSIVNCLLRSANCQLTLPVTGNLLIHQLSTNLAGDWQLAQPS TDNSQLQLAQPPTVNWLPRSTTNWSTGSSSTTFLAEPSSSQLSTVKRLNRHLSTGSIT NCQMFEPPSRTLLNRQLSTCSIVNCQLSNGSTVNCQTAQLPSGNLVNRQLSTGSADNC QMLDPRSATLLNHQLSTVNCQLSTCPTTNCPLAQSSTVNRQLAQPPTVNLSASDWQLA QLSTDNSQLATCSTTANYQFVQSLIDNCELPACLIVHCQPSNG TSTA_111090 MVNCQLSTVNCQLSTVNGQLSTVNGQLSTVNCQRSTVNCQRSTV NGQRSTVNGQRSTVNGQLSTFSTANCQPAQPTVKWLNHQLSTGLTTNWLNRHLAPFST INCQLTKPQTIDFLDHQLSTCSTNCQMVKPPTVNWLNQLSTGSTNCQLTKPLTIDFLD HQLSTCSTGNVLNTANCQLDQPSTAHLLNRQPSTAHFLNHQLSTGNFLDHQPTGSNTN SSTCSIVNGQLSNGSTAIWQLGEPPTVDCLNHYLVTGSIAIWQLAQPPTVNWLPRSTI NWPTGFLADRPSRQLIWYLAQPPTVNLLNRQLSTANLPNHQLSTCPTTNCQPA TSTA_111190 MPYCLIDLEGGESGASIIPPEDWRRLEKLVKTVVNNIYDEKAVQ LRETVSHLSTQLILLQNENQGLKRALINAKKPKNKKQPLLLGLPSEQDGGALFMSPTK VQQARDIISQKNDEAAQKQAHKDDKKLQQQLKKQAREAEKVKRAQIRQEKREQREQEA AEKQRLKDEQELAKLADLQLQNDVISTPKASKRPTKQISRQAKPRVQPEAHVEDNEVV VTTNRRGRAIRPPARFRD TSTA_111200 MPPIRKKDPLKSAQIEGKIQLAISDLKNGRISNIREATRIYDIP REKRANHHKLTESEEDSLVKWVLDLDRRGLPPRHSLVREMVNYLLQQHGKSQVGKNWV TNLIKRRPEIDSKFARKYNYERAKCEDPKIIQEHFDRVRAAISEYGILPEDIYNFDET GFAMGLCASAKVITGSDRYAQPKLLQPGNREWVTAIEATNSTGWALPSYIIFKAKKNV RLGWFEDLPDDWRINISDNGWTTDQIGLEWLKTHFIPNINDRTMEXXKVDHL TSTA_111210 MLSPCSVEQLGLRYQEPFLITVPVSNPHNSEDAQSQKWIAVGSF CTNCDQLNFIYPPSLFPPTPPKYPTIATFSLFQHTIIFPEILCIIMNLQEYDYGHLPE YLVRSIKENPEHESAVFEFLEASQRLARVAEENVDVKFYFFPGNTENSSILQPSGLVE DIFLTEPDKVQDASRITPNRLNIQNEPRNEAPPSDGLIDWSEWLVPGDFEHNWTDKIL PSTVHENPITSLPTTAESLDCSTRENNKVVMLANRQPTAEDVSGEGDNFILQAELDQR TDCDGDPPTLSRGCPVAAKVDRPSKHLYGSKKGQSMKVKKAKRGRSTTQVNPTHKRLL KSKSSDVNKATPETTISHQRDESHSVMRHSNYAQMWSLFGPGSDSALQETHLMEIFSL ATLISRPNLASFLEMQSQLWQTDRFWNPDPLRLPVAENLPTDKSCYRIFRYLQDLKEE TQINAVRRRFAQIQFHLTFVRLQKEMDQRDRNKSKISSHVIDYFEGLGDHDGEHPDRS SKDKDRQSFINNNSWGRKWLLVSHYVGWGSLIVWNSIDSKMLKLKKPKLKALITYIVN AKPEIVALCREYEKPAIDLVVGRKPTLVLTQEDVARTIAHISREINANESTHKPWQQV DMDIETDKIGLVH TSTA_111220 MASMELALAALRSADPGEKPNISLVARTYGVSQSGLYKRFHGVT GSKEEQYDKQRILTTTQSRALIKWINQLTERGLPPTNSMLANFAREISGKEPGKNWAS RWLKAHSDKVISRYSTGLDSDRKKADSAYKYALYFELIGRKIQQYNLGPEQIYNMDEK GFMLGVSTKRKRIFTRRKYEQGGYKQHLQDGNREWITTIGCICANGTALAPSLIYMAK SGFIQVLGFRLRSPNTEMLLCTSDIWYLAKPPTVKWLIRALPPCSTTNRQLAQSSTVN CQLAQPPTVNLPNHQLSTCLTTNCQPA TSTA_111230 MIKPPTVNVPNRQLLTFLNHQLSIGNWINRELAQPPTVNVLNHQ LFNDQRPSWLNRHLAPCSIVNCLLRSANCQLTLPVTGNLLIHQLSTNLAGDWQLAQPS TDNSQLVTNLPNHQLSIGSLAPRPTGQRAHHQRPSWLNRHLANCQLSNGLTAICQLAQ SPTVKCLSRRLAPCSIANCQLAQSSTVNCQLSNGSTAIWQLGEPPTVHWLCRQLSNA TSTA_111240 MAINDTKGPVAELLENRLYAFRIAMSEVPPAQVRWDREGQVITF QDVSLSLLELSRLIREGISTAQAIFEQELCLSGPARPATEIPQFDLSNLMDNWDATQA GASFLTDSRNHAYVVPYQDWLFRRVSQDAVLTKQLSSDPRGFTRPIFIGSGQQARRTE FLGIRWRNTLLHTRDLFLHDGQMLFILDYHKSRHRTNASRWPARFLLPEVGHLVTQFL ILIMPFRQWLQHQVQTMHSSTSTPLCDYLWASTTKPWSDNHLTRTVIRTGEQILGKKI HIRAWRQITVGIAIKKFRTLASQFIEDSLDNEDDLIEDHNGSMAAVFHYQAAHTPHTG NQIYGGTINFRAGLTDAGLQEFRQASEIWHQLIKQPSQYSTPSLLKRRLPAVFTQSLQ PANVNIEWEWDESPSKRVRSEATESTLVQRFHRCHEPRQSQQRWTMEQAQTILKRMYG PEAQYQTSNQQQALQYIIQGFSQVVAVLRTNEGKSLLYLLPCQLPGARTTVVVLPLLV LKQDMLLRCQNAGIKVTIWNQQDESRHLGSSPLILVSAEQAVHINFRTFLLRLQLANQ LDRVVFDECHLTLTASSYRKGLALLPTLRDIQCQMVFLTGTLPPVMMAEFEQTMLLSQ ARLIRSLTTRRDLSYQVVSCPMDQDFFKFAIPWIQQERTQLDSQERAILYCQTQAITE KVATILACPFYHADSGTREEKAQTLETWRNGNPNWIVATSAFGMGIDHPRVRLVIHLG APSSLIEFTQEVGRLGRDQQGGRSITLLPPSWSITKSSRPGHMISSDVQAMHAVLDQP NCRVAAMSSFLDGAAVACSAPDPLCDQCRFRQENPESSSTDPTTTCSPNPEENVDCDL TIGSQMRIQQIQQESQQLQQYEDSLQALRGTCVICRILPSSSANTKKHSFIKCWNPRR QDFLESKKRAQQEGKRFQGWMQRYAGCFRCYNPQAVCSQQGQGTCLYPDLVMQACWAI YQIKAWTEGLLPGLGGEHVQSNEAAYMLWLGQKRATFGVEGSNAAWVAYHVFQQLLEP AKGSV TSTA_111250 MDKYELALEALHSLKPGEKRNVALIARTYGVDPSNLRKRFRKVT GPKEAQYNNQRLLNEGQSQALIRWINHLTEKGLPPTNSMLANFARDICGRKPGKNWAS RWLKSHSDQVISHYSTGLDMDRKNADKAWKYALYFELLGRKIKQYNLAPEQIYNMDEK GFMLGIMTKEKRIFSRRKYEKGGHKHDIPRLSRYNARLLNTFTRGEISQNPIQPLQNP QSRSRYIQTWQRLIYYWSRVMDDQLLPNPLFLPTEDQKSTWDDQEMDKQTLAFCLEII QQSVSLRAFNSILVSFAALLFWIPDKKQWMTVSNYTSFLSQLIYNCQIWILALSILEQ QHYPTQDLGDIIVRHRDRWLLNDTKGPVAELLENRLYAFQIAMSEVPPAQVRWDREGQ VITFQDVSLSLLELSQLIREGISTAQTIFEQELCLSSPSWPATEIPQFNLNNLMDNWD ATQAGASFLTDSRNHAYVVPYQDWLFRRVSQDTVLFSTFWELGADQTWRISQKMVEQY EATVQRFLEALLVPFFIGSGQQARRTEFLGIRWRNTLLHTRDLFLHDGQMLFILDYHK SRHRSNASRWPARFLLPEVGQLVTQFLILIMPFRQWLQHKVQTAHSRTSTPLCDYLWA STTKPWSEDHLTQTVIRTGEQILGKKIHIRAWRQITIGIAIKKFGTLASQFIEDSLDN EDDLTEDHSGSMAAVFHYQAAHTPHTGNQVYGGTVNFRAGLTDAGLQEFRQASETWHR LIKQPSQYSTPSSLKRQWPTLFTPQSSQPANVNTEWEWDESPSKRARSQATESTLVQQ FHRCHEPRQGHRRWTMEQAQTILKRMYGPEAQYRTSNQQQALQYIIQGSSQVVAVLRT NEGKSLLYLLPCQLPGARTTVVVLPLLVLKQDMLLRCQNAGIEVTIWNQQDESRHLGS SPLILVSVEQAVHINFRTLLLRLQLANQLDRVVFDECHLTLTASSYRKRMALLPTLRD IQCQMVFLTGTLPPIMMAEFERTMLLSRARLIRSLTTRRDLSYRVISCPMNQDFFQFA IPWIQKERTQLDSKERAILYCQTQAITEEVATILECPFYHADSGTREEKAQTLETWRN GNPNWIVATSAFEMGIDHPRVRLVIHLGAPSSLIDFTQEAGRLGRDQQGGRSIILLPP SWSVSKSSRPGHAISSDVQAMHAVLDQPDCRVAAMSSFLDGVAVACSAPDPLCDRCHF HQENPESLSTDSTTTSSSDLEENVDLDLTIGSQMRIQQVQQESRQLQQYEDFLQALRG TCVICRILPSSSADTKKHSFINCWNPYRQDFLEAKKRAQQEGKQFQGWMQRYAGCFRC YNPQAVCSQQGQGTCLYPDLVMQACWAIYQIKAWTEGLLPGLGGEHVQSNEAAYMLWL GQKRTTFGVEGSNAAWVAYHVFQQLLEPAKGSV TSTA_111260 MGHAQRIIPALRAAAQVSPWFADMAPTTVAVLVLQEPAPMAHVH SWLNVILVYIQAGVARRLISAEVRHGPLLLALVIRLSRGESATTKPLIWIILVIIPVG AYTHLIFSFLYIDPDTYEITPMESNQTDLYARFTTLKQYGVETWITIGGWAMNDPGEY SNVFSDLAASSTAQKAFLDSLVSFLGTYGFDGVDFDWEYPGAPERFGNDADYANFVSF LQNVRSTLGDYYGLSITLPSSYWYLQYFDIVNIAKTIDWFNFMSYDIYGTWDATISSI GNYVYASTNLTMIESGLQLLWHNNITASQVNLGLGYYGRSYTLKDSSCTAPGCPFTSG AKAGPCSRTEGMLSYDEIMDIIDDPSRNPTVWLDSAAAVKIAVYDDDQWVAYDDAETL QMKLDFANSVCMGGVFAWAVDEDHTGGLSDSVSNSTNLFPAGGSGKVYVLPEIWDSDS PEISCQPPCTFILPPFPLPTTTTVSWPAITTTLLVSSNGGVTTTTTTIPVPEFPLSVV PFWPVTIANNQTFGALNPVPSVTPPSLVLNLPGSVTPFPQVTVNYTVVAENQVTGTSS STGTITSPPSTTITASAVVTPSPIAADMTEGCTQFYQVQSGDSCWSIETTYDIAASDF EAWNPDVGTGCSSGVWLNYYYCIGHGSLTSTSSGSGGQQSSTGTTIVPIFPSTSHPIT IQPQPTVADAEPSITIPPINVVIAPPTDSSSSDGDCQGCGNLNCELFGCDGKCGFFGC DGGCGLWWCGGGCGLEYCGPGCSDGPCIVEGGGGGGGSTGTIGTDNTNDDCSSMETAD ICTVFIKSFSTSGMTSTSTTTTTECYTTEGCSVAPSTTTTTISTTGTYSTITNSFMFV SQPTEAASVMDSISASIVSQRSVWDATRWAGYTITVTPTSTTTSSQAAKTGFEIIQLA IDYSDSDYSFLAINYDGLSNPIVINDTHPFGEDLKSTNSQTTFCDQTSTFVQEDSGDV LGSSNNGNEYDCTTVVRPVTTTTSVTISPTSTNRAYFIPMWQCLTNICD TSTA_111270 MTPKLYKEEEELIAKALSACQHEKKPNFSKLSREYGVSRKKLSR APPTNQQIEESANYLLGKDFSGPGEAPRAGKNWVHDFIKRLPKQYVRIVQKPQEKERT VAEHYGEVERWFIDLELAIQQYKIRPQNLWNFDETGFIVGQGKDEAVVTAYPKTSKRV SSLSSRESITVIEGINAEGKIIPPLLIPKGKVHLEEWYRHIKDDDWLVAPASNGFITD EIAFEWLQHFDHFSRPGAFPDWRLLLMDNHTTHLTIQFVQYCEIWHIRPFRFPPHSTH FLQPLDGVPFQQYKHVHGRVVNKIARLGGFDFDKNDFFEELRDIRIKTFTTRTIRHGW RERGIWPLNPRLILDMMLQPEEAFEALVAEGDALKIYGEADDTIPSSPTTKSISPPST AVKLRRYVNKIEKSIDGIKDILDEVSPGLSRRIKVVNQGSLTLAELGDLHRESFAKVR DIATRKNQKTTKRQVKASGALYVKDANRLIKRRHDGDLLKIYKSHVVGVPQPMEEVAS TEPQNSGFFFDTQGDR TSTA_111280 MITEYYVLKRPRLVNIVYDSKEANHLPTIPVLSILQFTFHSGVD VLDPSQLGSLLWRKSLKYVSTIPGFRSLYWAPKNSAPSFDQQQVMIVLVQWESGHGWK LFQSSLGFSMMLGYIKDISNRCVQLDLPNFSHFGSLLEIVSFRFSSSVNTDRESDFIY KWKETISPLLNDETTGSELIDCCGQWLEADEASEDRFFVGLLFWKPDTWANHQRQSRE ANIRNLIDQITDLTTDATAVVSVFTTQLNHVVPATPTLQLSSLPSDSVQSQTNHPIFK TSIKPEYNVNDSTYSGTLDLIHVKSVSQARKNPPERIACGPAGNWCPMGIISQHHLPQ PGQYGTGTPGMEIISFRGRADNPRIKSSFERLRQKLWDSLGDCPGMFWGRAKDKEGDF DKISLFVETRNRNREIRKQLQRSIQEFSKECGDNIQDLSTGRSISIDCSRLAPNMDVT FFEVSENERDQESFEYAFSNYVETTHPKLVYGRYYNAVSKVTCLSRGWVTNHQSPSGQ SEQDRTILQFMSVFTYEKEGAGEEWYNDFAQRAQTQYDLLGHIVDWIRTLSTRITIQR FALEKQDSWMTILREEKVRQTYPPDLLALLPPLPPVILPQSIQSIPERPPSPPPPSIF DLPWAKRQGESNERK TSTA_111290 METLQPSSPGAVASERLENPVRRAEPSHQGTQTFMDSPPSTLSL DESLLCNTENAAESIIVLDDDDEQAQATTSRPRRANRRQLDYSYPDYEHLMNAVAARN PSRKRKRQKDSDALSLESILDQFSQDVRDQGQAWISEIKDLRFALKIVYEQKEDLKEK LRRLEDKLHASE TSTA_111300 MDLSQSQAEEAVPSNDRVCKKQRDREEESRYIIDDLKSGNFILL LQETPKVKMSHCQAWRCMPRKRTGKPVIKSHYRFMLKDISVPSKPKGEYYHVTCLERL LPNLSALVRDGHLKMDGYISAPHNGKVCLESSAE TSTA_111310 MKFIEYCDSNRILLAIFPTHASHTLQPLDVAIFSPLSNAYTKQL DDFINDSQGFTRLTKRDFFRLFRASWNEVFTSKNINSAFKHTGLYSFNPEIVIQKFSK KIASRPLSSLPSEQDGGALFMSPTKVQQARGIISQKNDEAVQEQARKDDKKLRQQLAK QAKEAEKIERARIQQEKREQREQEAAEKQRVKNEQELAKLAYLQVQKDVISTPKASKR PTKASFHASAAKSAS TSTA_111320 MANYLLSQHGDQRVGDKWVYNLVQRRPEIESKFSRKYNYDRAKC EDPKIIQGHFDRVRDIISEYGILPEDIYNFDETGFAMGLCATAKVITGSDRYARPKLL QPGNREWVTAIEATNSTGWALPSYVIFKAKKNVRLGWFDELPDDWRINISDNGWTTDQ IGLEWLKTHFIPLTSGRTLGTYSMLILDGHGSHLTAEFDRTCTDHKIIPVCMPPHSSH LLQPLDVGCFAVLKRYYGQLVEQRMRLGFNHIDKIGFLTAFHRLARTVAYKAQTIRNS FTATGLVPFNPDRVLQHLNIQLKTPTPPPSRSSNTASSCLQTPQNIRQFVRQSTTINK RINERTGSLNQNEEINQAVIRLSKAYEILANDALLVRKENRDLRAAHEKEKQKRKRSN KQISIEQGITREEAQVLVQGQVEASQAVTTAPAEPELPTSQAVVRRQFRCSGCNVEGH RINQCPNRTSN TSTA_111330 MAPKEYKKEEEQIAKASADFLLRKDFTGPGEPRGVGKTWVDRFL TRLPEGYQRIKQKPQEVDRTGAEHYGEIECWFIDLKLVMQELHITPKNLWNFDETGFI VGQGKNESVVTKYPKTAKRVSSLSSRESLTVVESINAEGRVIPPLIIPKGEKHMEEWY RHIQDPEWLIAPASNGFITDEIAFEWLQHFQHYTKPEYTFEWRLLIMDNHTTHLTIQP LLRELFATVGKIEGFGHIILISY TSTA_111340 MTSKALTIASALRSLGNMVHGMRPHLLQQAILACILRKAYFGAE TWWPGRTHPRSRPQADTLPISNLVNKHLMDLSTVILIGARAVLPVFHTTQVPVLHRES GSKLENGQAGGSYIGFQAGSQFLRSLIPLQPNKEVFDAEAEAALARLKAAITHSTA TSTA_111350 MAQVEKSILKAGNTAPGEDKLQTNILKIAWPLIKDKILYFRHAI LTILQKPSKEDWTNSRSYRPITLLLVLGKGLEHLVAQNMAWIAIHYKVLASQQFGALP LRSAINLTTCLTHDIE TSTA_111360 MSVSDHQYLSFTETLLATIRLQRLDPYHPLRRRAEQVASNGQQT SRFARRILALPNSEQINPLQYAPWHPRESRENAQARIGAPMGRTKGQAAADFMVFQRT IPSSNIIIFSDGSRLVDGHAGGGYIGFQVHHQFLHSSLSYGYGKEVFNAEAEAALAGA QAAIAYPTAQFATNLWICLDNLEVATRLLSPSTGSSQEVFESFRTLAAAWPLRERVYL FSEAYEDLDGEREAETAPSIAVRSAALFSGILVCLGTHRIWIDPFLVCGSKTQALSTT QTQWQIIAPQTYQDLEITISPKRPEELPLNRLDLGRIIAARTGHGDFVNYHKCFNHDD AYLLCWCGA TSTA_111370 MENGLVDYPPQNSTKIEKLGSASNVILIDNYDSFTWNIYQYLAL EGASVTVYRNDQITLEGLIAKKPTQLVISPGPGHPDTDAGISKAAIKEFSGKIPVFGV CMGHQCMISVFGGKVDVAGEILHGKTSVLKHDGKGVYDGLPASLDVARYHSLAGTHPT LPDSLEVSSSTNLEQDAKPIIMGCRHKEFTTEGVQFHPESILTKHGRTMFRNFLKLSG GTWKS TSTA_111370 MENGLVDYPPQNSTKIEKLGSASNVILIDNYDSFTWNIYQYLAL EGASVTVYRNDQITLEGLIAKKPTQLVISPGPGHPDTDAGISKAAIKEFSGKIPVFGV CMGHQCMISVFGGKVDVAGEILHGKTSVLKHDGKGVYDGLPASLDVARYHSLAGTHPT LPDSLEVSSSTNLEQDAKPIIMGCRHKVQSSQPRECSFTQKASLPNMDERCFAISLN TSTA_111380 MQAVRLHPAPASSRAYSPSNPAPSSALHLDKDLPIPKLSKPGEL LVRVRATTVVRDMLTWPETYAHDYPILGNDLSGVIIETFSQQSSFKPGDEIFGMTDGD RPCTWAEYCIVMEDEVALKPKGLAWDAAAAMPLSAMTAYEALYDHAGISVPVLGDISK PGGRRSLTSEHKQRLLITGAAGAVGIYLVQLASISDVYTVAATSSNARNKEFLQTLGA DETVEYNALLSGNKKSFDVIIDTVGGSTLSGCWENIKEGGSIISVDSASYDFVADHQK RSLSKEGINALFFIVKGGRRVLGFLAQLAEMDMLRPFVLQTYPLSEVRQAYDHANGRL LGRVDVGFPI TSTA_111390 MKLFISTILPAFAAAATSVSISAGKINGGRCESAEDTVFFKGIP FAEPPVGDLRFEPPKPYNGKFPEEGLNATTSAITCIQFTHDYTPELSTSEDCLYLDIW APGNVTKDSGLPVKVWIFGGSNTEGSISDSLYDGCNSPDGDSILVTINYRVGPLGFMA LSSAGIQGNQGIQDLILGLEWVQSNIASFGGDPNQVLLFGQSAGAENAYVIASLPQAP SLFNSVISESGGGRGLITNATTQKIGASYAQALNCSLTDKACLKFKTADELTKAYDDD DFLSQGVGYWGLLGILGPITHSFYPYADGNIVPEDPYTSGVKVPAVFGFTRNDGIIYS IDWANSSGNIPSLAAYEEFLRKDFGQAASLVEKHYPLSLFESTIGDSPLLGELSALGM NKTEAAVIVAMATVITDSTYKCPAYYGAAQATHNNIPAWTYEFTHNSTCTWIDTIPQK YVSLFSATHTAEIPFVFGNMNNFDLPNGNCTFTQSEKYLSQQMRSLWTSMAEHADPST AGLTWPRFHTTEKNLTTSGMIFGDSAVAGTVDYTGCDLWSQVHAILAGSNSTTTLSSP TLG TSTA_111390 MALSSAGIQGNQGIQDLILGLEWVQSNIASFGGDPNQVLLFGQS AGAENAYVIASLPQAPSLFNSVISESGGGRGLITNATTQKIGASYAQALNCSLTDKAC LKFKTADELTKAYDDDDFLSQGVGYWGLLGILGPITHSFYPYADGNIVPEDPYTSGVK VPAVFGFTRNDGIIYSIDWANSSGNIPSLAAYEEFLRKDFGQAASLVEKHYPLSLFES TIGDSPLLGELSALGMNKTEAAVIVAMATVITDSTYKCPAYYGAAQATHNNIPAWTYE FTHNSTCTWIDTIPQKYVSLFSATHTAEIPFVFGNMNNFDLPNGNCTFTQSEKYLSQQ MRSLWTSMAEHADPSTAGLTWPRFHTTEKNLTTSGMIFGDSAVAGTVDYTGCDLWSQV HAILAGSNSTTTLSSPTLG TSTA_111400 MMAPSELHGSTLPELPTTLYEAFYQNVVKFPNAVALISTQQPST LYGIPSTSIDSAKHGADYLRWTYQDLNHAIQRLVTGLQTKGLKRGDPLVMFMPNTAEY VIATWAAYEMGCTYVPINPKGLSNAREMRHMLKIVTGQCQSDFMGIIAGKSDMCARIE ELTSEQDCMRILVEGEMDGWTPFKEVMSDPAPDLQQSICRDHDSEFSDRTIFFTSGTT SLPKGCLVPSAYGFSAALRWRESSVPMLPGDRALFTLPNNHGFGWLCIIGPFLNAATV VLPGPSFVPEAVEKAIREEQVSHAGLVPTMLHALSNVSLASGKLNSLRRIVMGGSPPT EEVIKICLDTLGASGVENLYGMTEGVLVSSDVVSHASDIIKGRDVSIGTPLPGMAVRV CAKDSNASTGTGEAGEIHFSGPTLIKGYIGGIDSNFYNGEDGRLWFRSGDKAFIGNDG RLYLIGRYKDTIIRGGENIEPSAIEAVLGQIPDINVLQPQIVRAPDNIAGEVPVAVVN QKLDDYTADRLKDIILARMGNLYVPADVIPIQALGHEKYPTTMAGKVQKTKLEDLVRT YWERQDTQGGHSIDGPISGPQVMRSLGMAIEKAMGHPIDLSTGMIELGIDSIRSIAIL KRVQVETGVSLPSSLFFTQATVGAIFQQISSIPDTAGFLDFTPVMKDAPEDRCFSVLL QGTPRAGVPSLFLTPPGSGNAFVYRTLPKFSNDCAVYSFGSPFLMTKSESSWTIEETA TIYANTIQSIDPDGPYMLCGWSMGTATAYETAYQLHEQGKRVLGIIMLDLALPRPPPK IPEATVELFEMMGVFPPIRREGKPDVEIPAFRKKHRVAAYYAKMKYAPRPFNNSGNTS RPRIFVIWAGHGDHDRMAAMVLEAMKLAKNEGPGTRLKISNDWLTVPRESFDAGGWDE MVGPENVEWAIVEDADHDTLIESEKLVVLTKDLMEKAMDKWLRQVDLKPNSSV TSTA_111410 MAYRTTSTRSKSTTVVGERSLDVADLEVIKLESLTAKDPAETAK LLIAAESQGFFYVSFDDSLSGKISEFLRICYLNSHEFFTKPLDEKMKAFREDVNYGYK RAGMESFGISRDEQDSMTLPSPFAEHAVATLEFSNICDTIVRTCLHCFSDSLGLGEID NAHHPDGKSDSEIKFVSYPTKASAADAPDTTHTDSGSITLLWCEKWASQMQTKETKEW LWIDPKPGCVLVNVGNHLQGQTGGRLHSPVHRVSQPIDGFEDRYFVSYFLRPNYES TSTA_111420 MPDPANVEPSLDVAREVVAKSQNSQYPPNVLPLTASIPADLITP TVAYLKIAAKSKLSFLYESAATTETIGRYSFFGADPRKVIKTGLGHGPECDPLPILEK ELSEVRSATIPGLKLPAVTGGAIGYVGYDCARYFEPKTARPLKDVLGIPESLFMLFDT TFAFDHFFQIIKVITYIPITDGGANLEEAYQKGQGTIQEAINTLLHPDIPLPPQDPIL QNQEYKSNIGQAGYESHVTRLKEHIKVGDIFQAVPSQRLARPTSIHPFNLFRHLRSVN PSPYLFFVDCGDFQLVGASPELLVKNENGRIITHPIAGTVKRGRTSEEDEALAAELRG SLKDRAEHVMLVDLARNDVNRVCDPITTQVDRLMVVERFSHVQHLVSQVSGVLRPDKT RFDAFRSIFPAGTVSGAPKVRAMQLIGELEGEKRGVYAGAVGYFGYDRSSQDGSSKIT GDMDTCIALRTMVLKDGVAYLQAGGGIVLDSDEYDEYVETLNKLGANIACIKGAEKKY LLLEKEAAAAK TSTA_111430 MSFKFESAIANHQAGFPGYLKAEGSDDLSAPGLKELKELKEPRP LATATVGLARELEFYKGLYFKLQNLEEHSDVIPVARQAFLVLLSQALEDYAKAPETNI LSVQHFDSEALSRFLRNDQNGVTSQYHQYISRRRSGGPRELFLNRQAAGDWLREIAPL KLVDGAWLGHLNKITMPFSLRHTVKQTWQVFTDELGNGNPDQHHVKIFEDLLRVIEPD LPSATTKAILHPRYRLGSLKYWRAAVAQLLVSLFPHEFLPEILGFNMHFEALQLETMQ AAKELPEVGFDGYYFLLHVSIDNSHSGHAAMATASVEDYVQHIAESEGDVAADAVWKR IRAGYIFSEWQFRKGNQATNILARLDDDSHGRLESRQSKVLAIFGSKIQAAHRLHCGS RVKIGERLLADWLDPEAFSTEEWRQKFIESLSASKPWIYPGDSQRSKLVQALQWGGKI KHSPSHYSFIGQSPMRLTLDHSDHVALAAGSRAIEPATLASLRKHPGDRTAHLNIAHL LPLWFTQCCLLESFLYAPQRSGDKIGCAVVGFLRAQSGFETDDQPYSAENGGIVEFGL EMIRQASLPVPEDLDGILSVWPSDFATTMLKLASSPIRNFGVLLGMSLAFLELQEMMS ESSNPGLLCPKSSERLATLVRKQYQYLQICLGEIPAGDARRGDIDRGFSMAKIEIHKR FGLVQHPTSTPFHS TSTA_111430 MSFKFESAIANHQAGFPGYLKAEGSDDLSAPGLKELKELKEPRP LATATVGLARELEFYKGLYFKLQNLEEHSDVIPVARQAFLVLLSQALEDYAKAPETNI LSVQHFDSEALSRFLRNDQNGVTSQYHQYISRRRSGGPRELFLNRQAAGDWLREIAPL KLVDGAWLGHLNKITMPFSLRHTVKQTWQVFTDELGNGNPDQHHVKIFEDLLRVIEPD LPSATTKAILHPRYRLGSLKYWRAAVAQLLVSLFPHEFLPEILGFNMHFEALQLETMQ AAKELPEVGFDGYYFLLHVSIDNSHSGHAAMATASVEDYVQHIAESEGDVAADAVWKR IRAGYIFSEWQFRKGNQATNILARLDDDSHGRLESRQSKVLAIFGSKIQAAHRLHCGS RVKIGERLLADWLDPEAFSTEEWRQKFIESLSASKPWIYPGDSQRSKLVQALQWGGKM YGSFTASELETLVLWIDSLDSKHSPSHYSFIGQSPMRLTLDHSDHVALAAGSRAIEPA TLASLRKHPGDRTAHLNIAHLLPLWFTQCCLLESFLYAPQRSGDKIGCAVVGFLRAQS GFETDDQPYSAENGGIVEFGLEMIRQASLPVPEDLDGILSVWPSDFATTMLKLASSPI RNFGVLLGMSLAFLELQEMMSESSNPGLLCPKSSERLATLVRKQYQYLQICLGEIPAG DARRGDIDRGFSMAKIEIHKRFGLVQHPTSTPFHS TSTA_111440 MTTPQEESGPRQGEQLRNPNDRPECFSSTLQECLFVLTTTMAIA QTSFFEGLVLVVSASIGEDLNMSSAEITWITAGVSLTSGAFLLAFGKVADMFGRRIMF MASMAGFTVALVIAGFANAAIYMDVFSGVMGIFCASAVPPAVGSLGVVYSKPSKRKNR AFACFSAGNPLGYVGGMIISGIASQVGNWRIALWTLAVIYAIFTLLAVWTVPSDPPKA KAELNWQSMKKLDPIGMLLAISGIALFSTALSTAGDASNGWKTPYVILLLVLGVVLIA GFLSWESIYSTPLMPLYVWQDRNFSLLMGSLCLGFMGFISSEFWMALYMQQIKHYSPL EITARLLPMIINGILVNVVCGLILHKVSNKLLMLIGATAYMIAFLIMSFTPDDGIYWG WYLVPLLLMVVGADTEFNVVNMYVMSSLPSSDQSLAGGIFNTASKFCANTSLGITTAV YNSVRNQGPASPIKPYLSTYWFAAASAGLGVVLIPFLKLGTQGHEDKTNSSDEETLDT PNVEADAETKI TSTA_111440 MTTPQEESGPRQGEQLRNPNDRPECFSSTLQECLFVLTTTMAIA QTSFFEGLVLVVSASIGEDLNMSSAEITWITAGVSLTSGAFLLAFGKVADMFGRRIMF MASMAGFTVALVIAGFANAAIYMDVFSGVMGIFCASAVPPAVGSLGVVYSKPSKRKNR AFACFSAGNPLGYVGGMIISGIASQVGNWRIALWTLAVIYAIFTLLAVWTVPSDPPKA KAELNWQSMKKLDPIGMLLAISGIALFSTALSTAGDASNGWKTPYVILLLVLGVVLIA GFLSWESIYSTPLMPLYVWQDRNFSLLMGSLCLGFMGFISSEFWMALYMQQIKHYSPL EITARLLPMIINGILVNVVCGLILHKVSNKLLMLIGATAYMIAFLIMSFTPDDGIYWG WYLVPLLLMVVGADTEFNVVNSSIVRPVSCRRHFQHCVQVLRQHQSWHHDCCLQLCPQ PGTGKSNQTVPVNVLVCCSVSRFGGRLDTFLKAGNAGTRGQDQLV TSTA_111440 MTTPQEESGPRQGEQLRNPNDRPECFSSTLQECLFVLTTTMAIA QTSFFEGLVLVVSASIGEDLNMSSAEITWITAGVSLTSGAFLLAFGKVADMFGRRIMF MASMAGFTVALVIAGFANAAIYMDVFSGVMGIFCASAVPPAVGSLGVVYSKPSKRKNR AFACFSAGNPLGYVGGMIISGIASQVGNWRIALWTLAVIYAIFTLLAVWTVPSDPPKA KAELNWQSMKKLDPIGMLLAISGIALFSTALSTAGDASNGWKTPYVILLLVLGVVLIA GFLSWESIYSTPLMPLYVWQDRNFSLLMGSLCLGFMGFISSEFWMALYMQQIKHYSPL EITARLLPMIINGILVNVVCGLILHKVSNKLLMLIGATAYMIAFLIMSFTPDDGIYWG WYLVPLLLMVVGADTEFNVVNVRALSLSP TSTA_111450 MSFRLEVAISRCRNFEIGSFYVFCCVVFLFCYWWKSTKLENPSG LPVIGRRWYEIGYGKASERFRHDCLGLVRSCLEKYGDAFYLYTDSRYRLILSGKYVDM LRNEKRLDFITALADKLVNGVPGFEPMESMTSSKKIIHAVTKHSLNRNLGTFIEPLNE ESNYALDQVWTDDPEFHEVMLKDSVWKIFGRIMSRTFINDRDFYRNPEWVNASSEYVE LSALAGYELRAFPKWAKCWVAPFLPNCRKLQSLFKHINKLLEPLKEKLDQQPPGVDSK DPLSFLYQKTGGRLDELASMLIALCLVSYDGGGELFTHVLHSVFTNSQLVNDLRAEIV TVIGKEGFNKNTLQNLVLMDSVLKEVQRMHPESVLMMQRIALEEVVLPDGLIIPKGTA LFVSACHIIDASVWPEGDKFDGYRFFNLRRKGKPSTSQVSYNFTSTSPDHFSFGHGSQ ACPGRFFASYMQKILLCNILMRYDVSVTIPDEGAWFQRGQTHVAHPGLKARVRRRKEE IEL TSTA_111450 MSFRLEVAISRCRNFEIGSFYVFCCVVFLFCYWWKSTKLENPSG LPVIGRRWYEIGYGKASERFRHDCLGLVRSCLEKYGDAFYLYTDSRYRLILSGKYVDM LRNEKRLDFITALADKLVNGVPGFEPMESMTSSKKIIHAVTKHSLNRNLEFHEVMLKD SVWKIFGRIMSRTFINDRDFYRNPEWVNASSEYVELSALAGYELRAFPKWAKCWVAPF LPNCRKLQSLFKHINKLLEPLKEKLDQQPPGVDSKDPLSFLYQKTGGRLDELASMLIA LCLVSYDGGGELFTHVLHSVFTNSQLVNDLRAEIVTVIGKEGFNKNTLQNLVLMDSVL KEVQRMHPESVLMMQRIALEEVVLPDGLIIPKGTALFVSACHIIDASVWPEGDKFDGY RFFNLRRKGKPSTSQVSYNFTSTSPDHFSFGHGSQACPGRFFASYMQKILLCNILMRY DVSVTIPDEGAWFQRGQTHVAHPGLKARVRRRKEEIEL TSTA_111450 MSFRLEVAISRCRNFEIGSFYVFCCVVFLFCYWWKSTKLENPSG LPVIGRRWYEIGYGKASERFRHDCLGLVRSCLEKKLVNGVPGFEPMESMTSSKKIIHA VTKHSLNRNLGTFIEPLNEESNYALDQVWTDDPEFHEVMLKDSVWKIFGRIMSRTFIN DRDFYRNPEWVNASSEYVELSALAGYELRAFPKWAKCWVAPFLPNCRKLQSLFKHINK LLEPLKEKLDQQPPGVDSKDPLSFLYQKTGGRLDELASMLIALCLVSYDGGGELFTHV LHSVFTNSQLVNDLRAEIVTVIGKEGFNKNTLQNLVLMDSVLKEVQRMHPESVLMMQR IALEEVVLPDGLIIPKGTALFVSACHIIDASVWPEGDKFDGYRFFNLRRKGKPSTSQV SYNFTSTSPDHFSFGHGSQACPGRFFASYMQKILLCNILMRYDVSVTIPDEGAWFQRG QTHVAHPGLKARVRRRKEEIEL TSTA_111450 MLRNEKRLDFITALADKLVNGVPGFEPMESMTSSKKIIHAVTKH SLNRNLGTFIEPLNEESNYALDQVWTDDPEFHEVMLKDSVWKIFGRIMSRTFINDRDF YRNPEWVNASSEYVELSALAGYELRAFPKWAKCWVAPFLPNCRKLQSLFKHINKLLEP LKEKLDQQPPGVDSKDPLSFLYQKTGGRLDELASMLIALCLVSYDGGGELFTHVLHSV FTNSQLVNDLRAEIVTVIGKEGFNKNTLQNLVLMDSVLKEVQRMHPESVLMMQRIALE EVVLPDGLIIPKGTALFVSACHIIDASVWPEGDKFDGYRFFNLRRKGKPSTSQVSYNF TSTSPDHFSFGHGSQACPGRFFASYMQKILLCNILMRYDVSVTIPDEGAWFQRGQTHV AHPGLKARVRRRKEEIEL TSTA_111460 MMGQKSHDIASLTTKAVASIDTYQATQTEQTRQDALASAISLVR ALETPADAIYKLFTSPAILMAVKTASDLNIFTILSDRTSPASWKELAGPKNADIQLVE RIMRVLVCYDFASELGPGQYMPTDLSRKMTERKAIGTMDSLFIDFLPIIQKTPEFFQK SNYKNPGDPNGGPLQHAYNTTDSCWDWLAKNADALSRFNTFMEGGRDDTSHWADWFPV QERLLDRLSPDRPLLVDVGGGRGHDLEGFKQRFPVEAGKLVLEDLPSVIDDIQHLDGG IQRIKHSFFEPQPVQGARAYYFKHIMHDWSDYNCQVILRHITTAMERGFSKILIEDYI IPDQNARPKETLTDMIVMVWCPGIERTRQRWTELLESVGLRVIKFWLPHGYHKGIIEA ELQ TSTA_111470 MSSNGPGIQLKITVIGGGMAGLASAIALSDMNPNHQITVLESNA SLSEFGAGLQLFANSTRILHKWGLTPALERVAFQSSHVSIRRWEDDAELTFNMNNPYS TWLYGWPQWQIYRPDLQKALFERAKEFPNVSVLFAKSVKSVDAMTGTVYTVDGEVFEA DLTVAADGIWSRARRCLPASKDVSPTAYREHNYRAVIPRSRMLSNAITAPFIASPEAK LWVGPGVFVLGYTVASKELYNLVIGVPRPSEGVPVGSWNHPANLETMRGLVSGWCEEV RALASLVQEDCVSWTLGEVPPIPSFVSTSGRVALVGDAAHSLLPHAAQGAGMAFEDAA GLSEFVRHLRSKEDLPKVMDAWSHFRQARVDHLRNISRGNASDMTLPDGELQIARDMK WSTIRDKQRAQWAELGLEKIREKMIREKPTPDPDCKSTFEPGGRIREFCREHLGWQ TSTA_111480 MLCGFASTPQQDRQLHDTHDRSMTPDHDQPASSGSRDHEADGCQ DVNAVPDDQTARAQAKATEEPASDNAGHRLIESHTSHPIVSQRDDGASLSGAVLRVST DPDGNTYPEGGLQAWLVVVGSWMALFGSLGLLNTIGTFQTYVSKHQLADYSDGTVGWI FGVYACLTFLCGAQIGPIFDAKGPRFLVLGGSILTIASMVSLPFCTQYWHFMVTIGIF IGIGTSMIFNPAIAAIGHYFYRRRGEATGIATTGGSFGGIAFPLILEALFPRIGFAWA TRVVVLLCLLTLGAGCILIRSRLPSKPASKENILPDVRIFRDPIFALTTAGVFLIEWG LFVPITYISSYSLAQGYSTSFSYQILAILNAGSVLGRWLPGYIADSVGRFNTMILAIL GCLVSCACFWLPAGDRKAMLIVYALAFGFFSGSNISLTPVCVGQLCKTENYGRYYATM YTIVSFGTLTGIPIAGEILSRCDGEYWALITWVVACYFSGLVAFIAAKLAKVGWKSLW VVF TSTA_111490 MAPTDYTDFDPNGKDRKTIEPCVITRTKIYTDLYDDDLWFTFKD DFGDWTTDNLCKATVPVLGKLRDVLRTNEPHEWTESEVIEYIQLKGTFNSPFIQLKFT ATIKGINDATNVITQNNAQFVQEDTPPPPSTNLHGMVTRAKASTGGPQDITPPTETAP QAPLVQVATPTQTATWQGTGYVPAIRDQERTYSQVGQSALLYVNSIAQLQKVYTTDST KYGDNEDSFDLAHNIFLDLCRQMGLYTAEARNQAFSVMLKGLALDYYYTWKD TSTA_111500 MTEHRFFNNNLRYQPQNHSRFTQKPSHHFRGPRNNKKTCYICKK PGHLSYNHSDEEREAHKREWNKNRSRSYQQFMAEIEGWEYDPESIEELASSGTYFEDD SSDDEPPRTKDSITSKDSANKNAPLQTTSTHFASAFFTTDEKPKGELEKLITIELANR ATMHCVKALAIKEAQDGDNIENDVEEMINISTYVSASRYSEETWQGILIDTGAADFST AGYSQFLAYQKAVKGAVIDTSTANSVGIKFGSGDPVRSKGSVDVDTPIGRVQFHILET MTPFLLSIKDLDRLNVYYDNTKDLLIGSKENMTTQVIRRFSHPFLIWQETYESCLLES LDENPCFLTETELRRLHRRFGHPSTDRFYRVIERAGHDADREAIKHIRKFCHHCQIHG KSPGHFRFTLQDNIHFNHSIIVDIMYIDGKPVLHIIDEATRFNAARWLPNISSSATWD ALRVAWIDTYLGPPDLIATDAGKNFVSKEFSQLATSIGTTVKSVPIEAHWSIGMVERY HAVLRRAYTIISDELPDLHPDMALQMAIKSVNDTAGPNGLVPTLLVFGAYPRLTQNDA PAISVEQRATALKKATAEVRKLYAQRQVRDALNTRNGPSTTVIHSLPLNSNILVFREG NTGYAGKWEGPYKLLEVNNETCTVALPSGPTQFRSTVVKPYYAEDMPPEDIATTLDHD NAPEPPTQGNALLPPSTVKIPSQQPQRNRQPSAQYRDDDFEAYINNKEITQPRANFDE VLEQTRFTDSRKQEVDGLLERDVFHFVHENEVPKGERIFNSRFVDKMKNSGTNKAFEK SQLIVQAYNDEGKDFILTESPTIQCCSQHLILCLTACMVTHSLWLRDVVQAYIQSQTY LNRDIFVRPPLELAILLSPGTLLKVVKPLYRIPELGNHWFNTYHSHHTEKLQMETSTY DPCLLHCIDPSNGFGIVGMQTDDTLILADNAFANREEKEIKAAKIQCKPRERLSPTNP LKFNGGLISETAQALGAYIASLTQPEAAFDYAFAAQSTNPQKEDIKYLNKRLQWQIDN PYRGLKFVKLNINTIKLYAFVDAAFANNKDLSSQIGFVIVLADASNNANIVYWSSVKC KRITRSVLASELYAMVNGFDFAASIKATVTQILHLENPLPLVICTDSKSLYDCLVKLG TTQEKRLMIDLMCLRQSYERQEITEVKWIDGNSNPADAMTKSKACSALQALVDTNKLH ITVDGWVERSTTV TSTA_111510 MSKRRKASRPPSYAPLQLTLKKPRISCSFRFFRPQESCSRVGEA AKALTTLPEVYFPQTTILAGNLNLLHNRWQPSLQHSPTTFAELFINWLDLQGLVLISD IDCPTHERGNVLDLSFASSPLALAGAKASIASHLDATSDHQPLITTVPWDQRYKETAQ KLRFDTLDHTSFLSLLASNLASTESSAVTEEDLDALAEKLTSAIQGAYRGSAKRTITQ GIEQPWPLLKDRLPQDNKMLSMATQMKDVFDMSTFRSPPLKDPLRPNSFPAVTIHEKR DVLVRNLLQNSAEARDIPLDSPAVPTTSLYFLDITMAQVEESVLQAGNTAPSSDKIPT CILKVAWPLIKDKKSKKTDWSSPRLYRPIALLSVLGEGLERLMAWNMAWISIHYKVLA RQQFRALPLRSANDLTTCLTHDVEQALNQGMTTSLLTLDVKGAFNAVLPGRLIRRLCE QGWPTNLVLWIASFATGRSVQI TSTA_111520 MARKGPGTDGPLQTALLESMSAATTRASEGQKIFSPIAVFLDKY RSQTTGLAPHLLRALTALSDDLALVAQQHFNAYISATKTGFALCPSSLEALLALEAQK ETISAFFVNCQIERSSRWVSYRVTNVPRKIGQILDG TSTA_111530 MLPSTLAAADFTAFQRTIPSLDIVIFSDRSRLIDGRAGGGYIGF QAHHQFLRSSLLYGHGKEVFDTEAEAALAGAQAAITYPTAQFATNLWICLDNLEVATR LLSPSTGSSQEVFESFRTLAAAWPLRERLPHTKSGSIQIRWVPGHAKIPENEAADLAA KEGAASTPPAPQKSSYASLKRHAKTQSLSAAQSQWQKVAPQSYQDLEITTSPKRPREL QLNRLDLGRIIVARTGHGDFADYHEHFNHDDAYLLCRCRA TSTA_111540 MTASLLTLDVKGAFDAVLPSRLIRRLREQGWPTNLVLWIASFAT GRSVQIRLDGEIGPSIDIACGLPQGSPVSGILFMLYIAPLFCLGNPRNRFGYADDAAN LAISTSLATNCEALSDSLQEALNWGAAEGITFAPDKYELLHFSRHKADQDLTCTPSHV SETASKALTVANALRSLGNTICGVKPHLLQQAVSACVLHKVYYGVETWWPGCTRPGSF TSTA_111550 MSKWHKSTGTFRSPPLKDPLRPNSFPAATIHEKRDVLVRNLLQN LAEAGDILLDSPAVPTTSLYFPDITMVQVEESVLQAGNTAPSSDKIPTCILKVAWPLI KDKTNRSPISTWQRTGALGGTEYGIDLYTLQSISKAT TSTA_111560 LATSQPHTSAEPFINWLDLQGLVLISDIDCPTHERGNVLDLSFA SSPLALAGAKTSIASHLDATSDHQPLITTVPWDQRYKETAQKLRFDTLDHTSFLSLLA SNLAGTESSAATEEDLDALAEKLTSAIQGAYRGSAKRTMTQGIE TSTA_111570 MARKGPGTDGPLQTALLESTSAATTRASEGQKIFSPIAAFLDKH RSQTTGLAPHLLRALTALSDDLASVAQRHFSAYISGISTTSXXQQLSNAHDAGNGIMH DPVPAPLNAGSAAPQSILNRDMLTAAQPWSLISALPDAYTAMDHILLISQNASCALKA TLNILKLNKQRSRNPLSIGTQETPMALDEMPTQPPTHEIISPFRSVTSPPRAPTEDPP IIARAVRFTTPQSQNRFASLLEREL TSTA_111580 MSLRDALDSSVETTPLRAQSNAPRDESIIASLEADTNEETDDNE DSVSEDDDLPVVVPDRPPENRLLRNTPLSESTLFNSLDIEIAATNLYNAKEDFKKLSA KQRIKVTEHTLRKAWKDISIVNTSNI TSTA_111590 MTSSYLTLTYVDIAIAVQETLNSLLKDDILKKSYILTISIYVKS GQIIGDVIKFGNHDRTVVYNRRADYDQILQAEMSGELIERLPNTQEEKGESLVMGMCY LSVGT TSTA_111600 MLSNVATEYKLNSPEDWDDWQNELLNRARANDLLPILQGTERPI KKPVRPKIQDYLPESQNTTQNRVGAAQGTRQNSAQSTQTTTTEDTTDDRTEQRARNDF NLRLNNYKMEFSEWEVQRRDIDKIFEWMKRTVCHSYLKTCVHVTHSWKEAYNNLKMQV GQGSREIQRRIRDDYNQHMKPFRGTPRDPEAWLIKWEEIMLQGKKKSMSFAQDTEDWA SRFLEAIRPLDEAWVTSFEHSIDPKIDDKSLTYKDLSNGFRRLIPRLRTKRQSRIIKG SFATHLSKSDDSEEENEESLQRGRAVERGRRRSRSQSFEGGQRSRFAPDKRGGPKPTC PACGGMHFIDFCFYIFGYKAPQEFEPRLWLQQRADRWLNSNAPLAKETAVGSCKSTCY IRMEKDLAALSINEYPLKHSALLDSGSSIHVFNEIERFVNFRKATAGDFLWAGTHQVP VLGYGEVDIEIHGLGGRLQILRLYNVAYCKDFVANLVSFQQLRKHGIWWDTRRGFDCL RNEKNQVLAYIKEREGQFVLEHIANDHPLVKMAFMIRRHRFNSWTVRKERKADAKRWH ARLGHPGPQAIEHLATTTKGVRVTGLSKGPTTVQCEACGRAKARRIIRREERNIVERP GVHLAIDFHDFQKSSMNGEKTLMLITDRFSGFIWDFYMTSHKSVEILETLKWFFEYLK KHHDVSPIKIEMDNEIFLHRPEVKEWLITKHVTIEPSTANNQGQNGAAERSGGVIKDK ARAMRNGAKLPTDLWSEIYRASIYLYNRTPKFMYNWKTPYDRFHTYVALQNGICIQDQ KPQLAHLKVYGCKAYALTSEYQLKKNRLQRFNPRAWVGYLVGYDSTNVYRIWNPSTGR IVRARDVIFNEDEVFSGDIQDIKDDLLHVSVEELTILLNKIDIRVXXYHCTVRSCGRA KARRIIRREERNIVERPGVHLAIDFHDFQKSSMNGEKTLMLITDRFSGFIWDFYMTSH KSVEILETLKWFFEYLKKHHDVSPIKIEMDNEIFLHRPEVKEWLITKHVTIEPSTANN QGQNGAAERSGGVIKDKARAMRNGAKLPTDLWSEIYRASIYLYNRTPKFMYNWKTPYD RFHTYVALQNGICIQDQKPQLAHLKVYGCKAYALTSEYQLKKNRLQRFNPRAWVGYLV GYDSTNVYRIWNPSTGRIVRARDVIFNEDEVFSGDIQDIKDDLLHVSVEELTILLNKI DIRVQSGEVEDNANFGDEMEDLVFDRNRHNDERTTTTGSVTGSGFEDSSQLDSDYPSG PSLTPGEGLLEGIDKYAYPTPPDTPPSALLAASITIVHENDLNLRQSSEHAAGTSTGG VRPRGSLVQEATTKEGPRGALDGVGTVRSRGSRAEFGDRPRGSPNLRDSMVGLREAHG RHILEDGPRGSLEKNGVGFREAPVKTVAAALTTQRGELNPWRFAFLAGTRHRQYEVNT VKFDHVSLQRRLRSGRRLQSVLVRDLPPAPKSHREVEKHPLGWLFEEAERDHLKSHDP SGSWTTVPIGKAKGKQILDCMWVYVYKQDKKGRLVKCKARLVVRGDQEKRDDTRDTYA ATLAARSFRTFMAIAARFNLELKQYDAVNAFVNAILDEEIFMRMAPGYRESGKIYRLN KALYGLRRSPLLWQKELTSTLIELGFKTVPHEPCCMLKSGIILFFYVDDIVVAYKKSH QPEADSVMNQLRAKYKISGGGDLEWFLGMRVIRDRSNKVIWLSQATYIDKIAKLADTR QTDDTPMAREELLPYEGTATYKSNHQYQRKVGSIMYAAVSTRPDVAFAVSRLSRFLSN PGPKHHQAADKVLCYLERHRAYALRLGGGEDYSVSTDASFADNTLDRKSSQAYVMTLF GGTIGWQANKQDTVTTSTTEAELLALAQGVKEGKYVLRLLLELEIRFRTPTLHVFCDN QQTLGLLEKDAPRLRTKLRHVDIHNHWVRQEVQKGDIQVHYMPTKDMIANGLTKALSK QEHQKFLNQIGVEDIDSYLTPQQKNMENPDIEELLSLNDVPDNL TSTA_111610 MAFGALLSRPTTPPPGETLGGAAVYFLRKGRPCASDEHRKRLEE YNPGLLSPEKFRDQFPRILKEVNNRMGIRMDPASSDSNVFSEDVLKVEICGPDEDYLT LIDVPGIFRNPTEGVTTNYDIILVQNMVKKYIKDNRTIILAVLPSNVDPATQEILTLA EDYDKASERTLGVLTKPDLVTEVSARAAVCNVVLGKKKPLALGYYVVRNRGADDDDKF DQIAVEQLFREKPWSDLPKDRLGVQALKSRLSELLGQITRREFPGLRKDVQRQLTDCR SELNHLGPACQTEQEQRLFLSALGRQFQELVQAALNAHYHSHSTFESTNELRLIMHFN SDFEDKAQLRYFETQFQSGGERNDNDSEEADFIPEPDINTNQTGVEDPDLEDPVLENI VIKDDDFDYPQDNIMDWLGGLYLRSRGVELGTFGGAILSSAFKEQSSKWEKMTQVYVS KIIMAIHRFMITTVKMLCTDIRVQQEIWSSILEEVLDRYRAAMNQVMFLVSTERDKRP YTSNHYFNEKLQVSRGNRIAASLKGKARREVQPKGVITSDNLLSILSLSDKLQPLKAM SNTSKRKYMTFFGLTTKWRGKDSSIIPLAVFSQEWAIRLETEQLETIAGESPATKERR TILAKKINDLEIAIRILKP TSTA_111620 MALRAFLIRAAATKEGPLILFSIEPQGVCTQIQFEVDDMWRIFM AATSDLASRNTICVFDALDECRDQDQKELIERLRGFHDRHLDRQGNWLKFLVTSRPYD NIQDYFRPVTESFPQIHLRGEEENDQIHEEINLVVKAKLAELGKDLGLRADTQERLER ELCEMKHRTYLWLYLAIDDIKRTLKNSLRPDRETIPPLPKNVPKAYERILNRVPSDQK AKIETILRIIVGARRPLTVQEMAMALGVATTPGAETATEAGLSPNGLDKKIRQLCGLF VFIKESKIYLIHQTAREFLVSRHD TSTA_111630 MTEICVKYLLMNELVIDDERPVGSLLNYSAEHWVDHFRDVLSPK SGLLDLAEMPYYKDPKMNALHLAAFNGHEEILLRVALNKKEAIDQTDNSATSALQWAC LRGHSRIVERLIKKGANINAQGGYYSNAVQAASTKGHLKIVQHLLENGADINAQGGAY GNSLQAACAGGHIQIVQHLFENGADINAQGGIYGYALYAASAKGYLKIVQQLLDKGAN INSEDRYYRHALHSASVGEYLEIVQLLMGKGP TSTA_111640 MIMAGDLILITGVSGYIGFKTLILALEAGFPVRAVIRKAEQATK LQSHARVAPHMDNLQWVVIPDLSDTHSFDPHLAGVTGILHIASPPAIESDDYDRDIIN PALKVTLSILNAAHRTPSIKRVVITSSAVTLISFAWMFGPAPASPDLTLFTAADINSN IAGPYGTSMEAYFASKTLSRIATKKFMEEEKPEFEFVNLLPTVVFGPDELATNAAELV TSGNSLALGPLLDANIPQMVGATVHVDDVARAHIDALKPSVQGNKDYILSSDAPDGID WEDAQNYVTKFFPEAVENGTLKLGGSLRARIWRLDTRETEKEFGWEFVSFKETLKELV GQYLKFVAAEKK TSTA_111650 MNIKPRTSGTTSCRHIDNDTGPFLCKICSKAFTKRTSRNRHVLY CRKKWVGSLPVLRKSCAACRKAKARCDSELPNCSRCDDRGLTCVYELTRKSATSAQQP AFAPPDEPSPDGTLLVDIPEPGNQNDIPWNAHADNGNDAQISLDMDAPSLWLAPVPDL TPQNDLALWEPDAGFVEDVELSDMGANISSNGYSINGSSINWFIPGPTPSLTLDATFS TPADCMFHTPYLVLRPIDRDLSPTSPRSPFIHAYLPTGSQIGRAFLLQNFKSYATALA TKNLPPFIHGTSLPSPDPSSGQTPTCATPPPLPTLEICKSIVSLYTTKTPATSSFLWR TITMEKDRFMDEYVNGDEWTVLSMLQAVTLYILLRIFDEDSFSAEFDRELTRAMTEIA IQAEQCRLVCSAEIEGRRPEWKEWVLVESKRRTVTVAFILYLLFDIKPEQRAKTKVGL SVLPLPAHKHLWEAATEGEWIEKYDEMLRARDGRCYLRYADLMALRRGHGGDKMNDLN SWMVSGDAFGILVLMAANSL TSTA_111660 MAQLDPSGTVSDEEIIAALEKVQLWNVIKSRGTGGTSGSNTAAP SGTATPSNDETANGAITPKKAKVDPLEAPLKGSPLSHGQILILDEATGNVDARTNGLM QRIIREEFAKHTILTIAHRLDTIRSVDTILIMDKGKVVKVVTLDELLAKMARKKNAGG GEEEEEEEEEEGEEEEGADMAWFREMWDNAH TSTA_111670 MARKGPGTDGPLQTALLESTSTATIRASEGQKIFSPIAVFLDKH RSQTTGLAPHLLRALTALSDDLAQVAQQHFNAYISAPVKKPMPLAKQPLPDNRLFIHL PANHVARKMEAYTIYFSLRSQLNSNSAALKEVQAIKTGFALCPSSPEALLALKT TSTA_111680 MNLTVTVGTTILTLRVSAPDISSLGRFVLVPCYRLCFVIRTIAK LTIIKARDVIFNEDEVFNGNLERLKDDVRDIPLPESAELLMKTNITQEGQILGSNSES EELSPEEDTILLGNPLSVEESVEDINIEEDLDQDQISAIPETKKNLAGTEEFAYPTPL ETPLAALLSATIKISDDLDTLANNLLGEESKQVDSEFRPWNASFLAGQRQSCR TSTA_111690 MSAPTISKLTPNSGPVGTTVLISGTNLASATTVRFGATTATILS NTSTSLFVTAPTGTGTVPVVVTTAAGSSTQNLQFTYNTTPSAPVISLLSPTSGPAAGG NLVTINGTNLLYTTAVSFGGTPATSFAVLSNTQISAVAPAGTGTAAVNVTNATGPSNN LTYTYVAAPTVTSITPVSGTTAGGNTVTINGTGFTGATGVTFSTTPSPSFAVISPTVM TAVVPPGVGTAPVTVTTTGGSTTGPNYTYSSGPVVTTATPSVGSTAGGDTVTITGSGL GGTTGVNFGTTPGTIVSVSDTSLGVVTPADVAGTVPINIATTGGTNSSISFSYVSPPV LTSITPTSGPAAGGTVVSITGQNLASTTDVVFVSATAVVAAASFTVNSDLSISATSPA AAAGNYALEVVTTSATFVPLPPILQQKTGTAGIFYLGNNSHDDSQPRKIGQIQHLQEG WILVSVTL TSTA_111700 MAGATSVSASNGSSTSGSLPGSTNKVLPPLIISVKPDSGPIPGG NTVSIIGLGFTNATAVAFGPTPATSFTVASYETINAVVPPGPRGGGTVSVRVTGSQGI SNTMPYTYKAHPVIDNATPNNGPPTGGNTVIITGSGFANATSVTFGSISATYFTKVSD TQIHAVVPPGSSSSTASVHVNGPGGISNSIPYIYAIPVINAISPTSGRVAGGNTVVIT GRGLLDVTAVTFGPNHASFTIVSDTLIDAVAPPRLNGIGTVSVYVTGPGGITSNGIPY SYNQIGPVIGTISPTSGPVAGGNTVVITGSGFTNITAVTFGSNPQTSTPFTVVSSTVI NAIVPPGPSGGGTVPIYVIGSTGISNGISYTYTQTGPVISSISPTSGPIAGGNTTIIT GSGFTNATAVTFDSTSTSFTTASDTVINAIVPPGPSGGGTVSVHVTGPSGISNGISYT YTQTGPVIGTISPTSGPVAGGNTAIITGSGFTNATAVTFDSTSASFAIASDTVINAIV PPGPSGGGTVSVHVTGPSGISNGISYTYTQTGPVISSISPTSGPIAGGNTAVITGSGF TNATAVTFNSTSASFAIASDTVINAIVPPGPSGGGTVSVHVTGPSGISNGISYTYTQT GPVISSISPTSGPVAGGNTAIITGSGFTNATAVTFDSTSASFAIASDTVINAIVPPGP SGGGTVSVHVTGPSGISNGISYTYTQTSPVISSISPTSGPVAGGNTAVITGSGFTNAT AVTFDSTSASFAIASDTVINAIVPPGPSGGGTVSVHVTGSSGTGTVAYTYALAPIVTG LTPAAGPIAGGNTVTITGSNFSNATAVTFDGILASSFAILQPTQIVAVAPPATAVKVA SVVVTTPGGSSTPGPGSEYAYTTAPSTISVSPALGSTAGGDTVTIIGSGFRGTTSVDF GTTPATSFTVFSNTEINAITPAHFIGTVPIVITSSGGTNSTLSFSFVMPPVLTSIAPT SGSAAGGTVVSITGQNLASSLNVNFGHTAVVPTSIIDDNTVTAISPAGTAGVIAVTVT TAAGTSNGLPFIYVM TSTA_111710 MRCMQERQASSGLPTLAQSKTATQNVHQKAAEKKSSRKRAAASE KELASHSSTGAPSSKRVRFELYALHNILANAVVTAASHLIVLSSEDAPQPSEANNNSG EEDNGLSPLPTGLCSREFDSSS TSTA_111720 MQVSDKAPGLESQDVDYDVLQEAQAGAGAGTARDDDDMHRMGKI QDSNSQGLTNGGMAGLFWSFIWTFIGFGFIIASLSEMASMAPTSGGQYHWVSEFSAPR YQKFLSYITGWMSVLAWQAGSASGSFLTGTIIQGLISIRDPNYIPENWQGTLFVFAMI LVIYIFNVHASNLMPVLNNLLMILHILSWAVVVIALWALAPHQHAKAVFTQWENLGGW SSMGLSVMIGQISAIYASLSSDATAHMSEEVKDAGRSVPVAIAWGYFSNGLMAVVVLI AYLFSMPSVDDALNDATGFPFLYVFENAVSTAGVNGLTAIILLPVIFSNILFNASTSR QTFAFARDKGLPFSKWISKVDEKRKIPVNAIVLSCIISCLLSLINIGSQTAFNAIISL NVAALMYTYIISISCVIYRKIWHADTLPPCRWDMGRWGLPVNIVGLVYCCFSFFWSLW PSSLPVTLDTFNWSVVIFGGVFMTSLGMYVFKGRKQYAGPAVILLRLQD TSTA_111730 MFAKAIASFMMLASCAFGAPGKNVQIGAPHELVSNPSGQKVTSS EAFTSGAGNRDNSNIYDGTGNAGTDQYNCYYGGWENFPPKSEWIEFDAMWNYSKSAMS TSCSDLGIGSCSNGAGAFGSGDSGQQIGMIWNAIQQVAESSLVDHRFILATILQESSG CVNVCATTNPDPSQPDNPGLMQSDGGSTFVGNSASNSAQQTSITQMVIDGTQGTADGD GLVQCINQYGNVYETQAARCYNSGSVDSSDLNNGEGATNSYVNDIANRLTGWLYSNSN FDNC TSTA_111740 MLSESEAQQIYRSAIHFDGLNIANWSRDIFEAWNKGGITGVSCT CGLWEGFRQSIANVVQWKKWFEEHSDLIVQAHTVVDIRQAKKDGKTAVLLSWQNTAGI EDQLDYLRIFRDLGVRKMQLTYNTQNYSGAGYTELNDSGLTGFGREVVDEMSKLGIVL DLSHVGPRTSEDAINYSSKPPCFSHVLPAGLKDHPRNKSDYLIKLIGSKGGFVGLSQF GPHMAKGNDSTIDDYVAALDYVIDLIGEDLVGVGSDSSEGHGRPSEFMAWCNKDKGYA RPERGKLAEAMARAGWSETKMRKVLGENWLNYLEKIFDE TSTA_111750 MKGAFQQFRISKPPPRNGRRTQQQSQSTGTSDPPSVSASQSTPD EFEESSRYSAQFACGRCRRLKKKCTRTAEGSCSLCLAAWLPCSFPKSIQKAQEREKAF QERISWLSRFVNEARPPNSVPVELIETGQDIITTSITPPIPTEQAPIASDSWTVYSHQ QELLLGFGKTLKGYPKTINPPCLLDKATGTRLVDAYFRHVHRAYPFLDRHEMVRCMIS CFLSATNDTILLEISRIPTRLAVVMAIGRTTLQRVNEIGNTLVPFFNIPEKEIIHDCL CKNDLASLEILTLLTLYSLFEPNSVPPGSITGILTRKVVSMGLIRDSGSSCEISQVEL ERRRRLFWSVYVLDRMISVSYGLPPGISDDDIAVPLPSITVDEYASSDRYSYAMTLQV NRHVVSLRRLEGRIMQKIHLLSSQRLAAMSSPISTSYYEDTRREIDDWYTQGCLMSSS AMNNTDHLPFHNTITWHNVRYQNLYVLLYSPSRFNVERSLDEIEELHSAARNDNKSRN YILPWESPNSTTGGESTLYGMANDVALCARVLESFSEDWGAAKRAAGVLQRFSGYVTE QQSTSFVETTPRADQGLQSLARHYDPVLDAVVGASVLDAPLNDRQLLPLQTIRREIQE LIKDTLGETTIYSHAVKEFESTSRLMKTDDGPRLFPAEKYGATSLAVSDFPDPDASAD KSGRITSSWPDFMDDLGFGVL TSTA_111760 MSAQDDERKSMRNTATTSSESEDGGYPQRLPLSKRILGTIWDSL DKSPEERRLIAKLDWWILSYVCVAYFIKYLDQTNVSNAYVSGMKEDLKLKGNDLNYLT TYWTIGYIIGNLPSQVILTKVRPSIWLPTLEIIWSILVIGMAGAKNVTTIYTLRFFIG LLEASAYPGIMSLLGSWYTPAELGKRSCIFQASSSAAQMFSGYLQAALYKGMNGRSGM SAWQWLFILDGIIGIPIALYGFWAVPDSPTDTRSRWLTAKERELSIERMKNAGHAPPR KLTPRTFFDVGCTWAAWLFSLLFIAHVCGIRIYSYFNVWLKSTGRYSVEQVNLIPTAG FGAQIIFTLSYAWISDALQMRWPIIIFAAIIALIGTIILSVWPANNIPAMMTGWILTF LETGAGALIIAWINEVCAYSREHRMLIIGFVETMAFTFNAWVPLLAYNTSQSPHFKVG YKLAAMFFALEIVLTLLIAYVEKRWNLQKQYLRREESQQGQGEQETHTGVSVD TSTA_111770 MAPSLQVESPSHPLSPGLGGHWRRISGGILDFDSVSNGAARSVV SRRVSRKTAAGGESSTISNVVYSLKRRSGDELGGTTSSKLMNANHATLLDWIRHQRMS KIPPEGSSYDKVLAWAQLFVERLHSFDLAIEHFAGDSYLAAQLAYGYCAILLELGEEN SSALRISFGFFYSISMVLVNLLERTELFAVSQEIKEQLVLALTDLVTLVASVSTYFHK ALRGLTKPIISVNIYGTFSGQIESFRSRCDKISEAMWKHQLLKDDLDGERVSEVKSIR QWLAPEDRVLANVAENTSHLAHDREELTCLWLSPYLTRFLKSEQLKGLSITGASGSGK TVLASVIVDHLQHPIGGVTYNTLFIPINARVPVETEPQAVVKSILRQLFEKRIGNTHL FQVLSDAYDRSKKVTVIDDYEKILWDALDTSLGTPLRGSKPLVLVVDGLDEASGSESI LLQRLQAATKKTNNLKLVVLGSQKFEASNVLTNIHITSDLIFDDIAAVIRNGFETYPV YTTLPETDQEILIERITQAANGSFLWAKLTAKLARSADSAGSLQKFVNSIVTSKLTIT DLVTQFLQSPDLTDEAKLMVIWLATAQRPLSQSELSTLLSIQIDKATVTDRKFDVLKL LKPVNSLVCVEDGYVYLRHGQVRSAVIDVFSKGKLVPTVKDRHADLLRRLFVYSRFAI TEDHEPSLTSFDRFEKSKLLDKYVLLDFSLRYWFTHLCQTTTFTTDGEAGAAKEFGKL FPTSTTFYLLQQTVWEHRPIPTLLSWYTTVTKLTRNVLTPNHLATLQSILTLALFYRQ IGRYPESGQLFYEAISISRTVLNSQHIITTQLASLFLEVTAEKVTETRTDIMTKREEI LRLLVEAYKTHYGATSEIVISTLTQLAEHYQLIKEDSKAREIYLSLRSATIQQYGADS QEARDIGGSLHVHLTGHTSQIETDTQTTLLLDVEERDELLESETQNFWALLKKAEQYS SESQFQLAEKTFVQIWQQASKECRMHYSSEWEEKKFKAVLAYSKFLKAQKREYEASSL LTTTWQEYEQTSVATETSISYIAEIAKVMKSVGLSSLALSVYKRVSQFYESTSRTQTS AYKEIQESIHITSQEVLKVVSTSSSVVSETTLEEIVYEASSSITTVDQTSITATSTLF EMYISQHRWHDGTRLIKRVLQGIWPTLFAPSLQDVTLPGKHVEHCIELAERLSQCYHA RRRIGKEEDIRARIYRAVRSDRQVGDKLLDRVTTELLRLFERTSQTDKVITLRQELLG DYLGKYGLHHSIVITNLWALAELTRPRPIFVDYYRQIITAINKDSDICLPDAFEPLVI VATELWTQGRYSDAVSYYQILYKTFLQQPKLNPKFEDQEFVKSFFTRYTHSLRALQTE FAVIHKITVDYQARVKAIFGATASITIQASLTLAKICQESKRFEIEAIHLYEELLKIK SDEIDYQEITATLDAIYEEQTAIVTSTQSESVSSAQIERAASVLKRRITTVRKTHGWA HEESLSKMQEMISFYSKRNETETVVKELKEATVQILTTETSSTRLIASASTIATSYIA SNQIQKATELSHEVYRQIVMKDSTNAKSVSFDLTSKDRQSLVFLAQFEYSLRQNSSLT LNEIFSSLTTEYIYFEEYRSQLRSTSTTLQSLTFNAARLHAFLLSRERHSIATRVYED FLNYFLGTEGKRIKLTEISQARIFTLTLLNYFSVHSTKNFLRSIGIASYEHTQQLITD KRYDAAADLALAAFRYISAHEEYRSTSILKFVFQLGLAVAGRNTVPASDEGARSKLLK VSSVILQEAFKVVKELKINLTRLSLGHLNNLIALLGEQQDYRSLSWLLTLLWNSRDAQ RSWPPSVTFALGRRFILVRYLVGEVTGALRLAEDIVYNCRRVHGPRHPSTLEMSVLLS QLYTSVAQRYQNQKSGQEIAHRYYKKSAAVHENILRVFSDPSFAELEGGDMSMSMDGS TYSMEFGNVASSSWTEGQHVRQHLALLKLAIERLGDWPKDYSEFERLNADIFREFKED LNGVEGVEKWAPKKFGSGKAERNDDLLDTNIKSWEIVDASVLNGAHAEEEEEL TSTA_111780 MSDEVVHQRLNLPLTITPEKCSGGTYIVTGANTGLGLEAAKHLV AVGAAKVILAVRNIAAGEAAKDRIIKETGKLGTAEVWALDLTSYESVKTFASKAIEEL DRIDAVIENAAVASAHGRAEGHLLSMTVNVYSTLLLGVLLLPKLEENAQRYGITPHLT IVTSGASFDTHSKWNEIKDDPLAKMDNESIGLLLWVSAIPLRLHVVFCRSEFADSILY RYPISKLIGIFAIRKLASLLPVSKTGVVLNLVNPGLCKTELSRNAPPAFQQQLSEMHA RFGRTAEDGSRTLLHGAVAGKESHGVYLNDCEPADHKLPSWITDEEGNRTQDLLWGAL ARELEVISPGCIHSII TSTA_111790 MTFEFIDNNSKIDPRTRKRIRSLVATGKNAGKTVVRPSRIKALK EQLTHPVAFPSAQIHSELTQSESSSEETVLPIERPIGDDVCYFFTSSQPNLASRSLAK RDCLTQQSSPSRDAIHHLCQTFRLVNKKLSDNVVPLSTIATILMLAQYERHQSQHYQG LIHLNGLLRLINVRGGVLEVQKEMPIIMQKAFRVDLDFALYMGTATIFNVNHVLASRA IIFGSGVCPLHHLRCTVDSSLLGFSMNLSIDLHNALVEAMSLSRQLNDAAETKALKVN LYFFNANIILLGYQVISISPLSQSYQLSRLENSIHLGLAMFVTTFMNRLDRKIPDMPF MSELLRVLLTFDFQVHLSVLLWLLFLGNATILGPNDQEWLAPMVATTAQKLGLYSWNG VSKALVKLPWVNSLYNSSSQSLWLKASTYYESPFVEKSSASPNLHLV TSTA_111800 MPRILILGATGYIGRRVASRLIESVRTQEKALQLQKEEIIPVLC ADPVSNPEPYLATVRSSSIDIVIDVSGANKQSHAFLNDLKRLGQERIESYRKRGIANG PKLGFIYCSGTWVHGSSNRPVTDLDLVGPDSMTAPQELVAWRVKLEDEVIASNDILDA MVLRPALIYGRESTIWSPFFTPVLEAARNKIESPIEIPLEAQSKPGLVHIDDVATAFQ NAVEKLPIIAGANVYPVFDLVTSQESMREVFDAVGACFGYAGVIQLKGHGDDLFAKAM STTFRGTSARAELLLGWRPRRRGGFVKDMDIYCMAFAAGI TSTA_111810 MGSVVDAWEACSKIDTVFILVCCVFCWPIIPAVGLGYSGYSTRR SGLASFYPGLIAVIMCTVQWWIIGYSLAYGEGNSIIGGFEKAFHRGVLAQPVGTIPEI LFSEFQLIFCATVCAIAVGGACERGRLLPLFPFCFLWSTFVYEPLAHMVWSENGFLGN LGVLDFAGGTPVHICSGASATALSVYLSYPLFRSRKSACRTPQHLKLHRPHNSMSQVL ALITIWNSWLAFDAGTTLSLNFKSVMAACVTNICAAAGAMTWAFITYWESGVWSLDST FMGAISGLVLITPSAGFIGMSSAFFFGVFGAVVCRQALRIKFTKQAARWRWVDNGDTF ATHCIGGFVGTLMTGLFAQKEVAAYDGATFISGGAVFDGNWKQLGIQSLEAIIGFSWS FIGSYIIYALIDCIPGFEVLATDSEVISGMDASQMDESLYEAQWETEADYHPFTGSLR LE TSTA_111820 MYLRTVHAEGNLAILQEFVKQNPLGILNTALKSTVYPFIQSSHI PFVFNVDTSATGHEDNQQGYGVLRGHIAKQNPQAKVLIETLAATQTELGDETIRELPE DVLVLFNGPHHHYVTPKFYVETKPTTGKVVPTWNYSAVQVYGKVEDLSRQAETSIMGY TGGGNLSPWEVSDAPVSYIDILKKNIIGIEIRIHRMEGKFKLSQEMGKGDREGVIQGF DNLNSDVGRNMAEMVKDRGNKKDEGA TSTA_111830 MKSIVLSLLILAGLTAAAPLTEKRLARRAAGTRRSSPPYVPNSY GVEQLNITNSKNVEYSENWAGAVLIGTGYTAVTAEFTVPTPTAPGGGNTEYCASAWVG LDGDTCDSSILQTGVDFCVQGGDVSYDAWYEWYPDYAYDFSGISISAGDVMKITVTAT STSSGSAVVENVSTGRTVTHSFSGESDRLCEYNAEWIVEDFEEGDSLVPFANFGTVTF TNAVATHNGAGVGTSGATIIDIEQDSGVLTSVSASGNTVTVNMKHTNTDIHDDGGG TSTA_111840 MRVAIIGAGPGGLAAARYLYAEKAFSAITIFEQRDEVGGVWCHT SEDTIDEDFAIPHTKPTTEAEKPIVTNQANNHVIFQSPVYDLLETNIPHTLMGYSDKK FPKGTPLFPSHRDVKQYLQGYAKDLSSIMFHTQVVDVCLRDENAANATWLVSVQDLRT HYLSTHEFDAVVVASGHYSDHYIPDIVGMREWNTAYPHSISHSKHYKRPEHFTNQKVV VVGNSASGVDVSVQIATVSKKPLLLSERSDSPVYLRDNHRIRTVPEIIEFITRDRALR FADGHIEKDIDHVLFCTGYLYSFPFLSSLSPPVEVPNGSRPDHLFQHIFYYPQPTLTF IGLPLKIIPFPLSEGQAAVIARAYSGRLSLPPLEEMKAWEADWIARHGSDKSFSVLGF PADAEYLNHLHHWSLQARRKDGLENDGQGKIPPVWGDEEQWLRELTPTIKAASQALGN KRKEIRTLEELGFSYAKDGKSKL TSTA_111850 MLSDSLYQYAVSDKSVSSELAENPNQSPGEIANRLFNKHKPKAS GDNAKPPSRDEVEEEDLQRALECGNWGGQRPSNLFLKCYYNALRSLENQPLAGLVSPC LMGTHGVVPLTIISTLPDINRHTANCIVRAEKEVFLATNFWIYSDASIIITNALRELS RRAGERSDKVVVKIIYDRGSVKQLYENHQNVSPKEYTGDKIQLPAPDDIPNIDMEVIN YHRPVLGTFHAKLCTIDRKIGLLQSNNIQDNDNLEMMVHLEGPVVDAMYDMLLISWAK HTKPSLPMISSPAAQRQIPSHHSSLTKAQLPNSTASGSSQRQLEILPESTSTDPHYDY NFVEEARRVNSQLDTRPGETRRDAATRHLNKRTLVHNKEALQNQKLPQPNGPDITESN KMTPYIVMPQHEPVPMALVNREPNGSPGNSNYPVPQNATWLAAVNNAERTIFIQTPNM NAEPLLEPLLNAVRRGVTVTAYVTLGYNDAGELLPGQNGTNEMIAYRLYSSLAIEEEK SRLRIYNYTAKDRKSPVHNKFKHRSSHVKLMIVDETVAIQGNGNLDTQSFFHSTEVNI MIDSPLICKVWREAIDRNQNTSQFGLVSSEDGCWHHPETGAIAEGTIGPDPGRFSWAR GIVGAVQRVRGAGGF TSTA_111860 MVAKLRTLTGCLQCRRRRKKCDETKPACAGCRRNGLYCQWPGPD SVDKRCRRYRRAATPKSQSPPELTAHDNRQIRIPKSIKHFHHSFDQKGSMLVEYCLFS FLPSQYHQAADGKPTVDLSYLRYMCLESHLLLNAVSACAMITLNTASKLDQAYDSSHR LYMAAISEISAGIAEGTLTGTEDSLLASVIWLCVYENSRIEVRRQSSIHAEALRRILL LREPMDFQHSSMSELVWERMCVESYIYHSAVTTLFDGQVSLIEDTLMVLRKFGTRMST ATAEIDVFQKAESQTSQSIIQSPVLGAPYQMFLFLVEGTRLARDICPGSVTDEILAWS RYYAVCKMQFTLEETASNYYGDSRRWIGKLYAVGIRVLFLYILSASELNHNESLEHYL SWCTEFNLTLSEARRLLISTRDDIGKTWGKFFLWPLAIIGAVLYDASDISVVKSWLDR IMRKSNSSSVMVIRKVLEDRIWTQQLSPGDLESPQSYMQGLTIMLDTNIMNEGAASLT YQFPL TSTA_111870 MPGVPSGKACDCCRKSKKKCDEKTPTCSRCIRLGLKCVGSGQQR YKFQEQQPFGTSKTKKKTNSSNTDSGLQVKADVIASLPRLPPSNKITILTSKYVQATK LTTNLKYNLAWAYGFFMFEILRHMNENEALDSAVDALVCAHTNFCTRSDISVETLSSY SRALRSLRKCLDDPTKAGTSETLCAVMLLLICQNLNGSEGQRWSGHCEGAAKILKARS FTKPQDDFEQQLLLSLRGCVLFEGFFNEKIELDPQQWTFLVGNELDAKLPEGQLMQYL AHVPNILRRARAATGEREIAILRAETKPMYEASQKLLLQLQGNWARGPPSDPNNTLKI VVLHAYYQRAYGIGLLIVTILNWVLHAFTPPFDAIELIADSTSLVTQTLELAKLACIY RPLGSGYILILLSASWLIASNDNEKLTVNIMLEDYRRDFPLRDTDSLMTELKWMAEQL QYFPVACA TSTA_111880 MSSNSDSETYSLYPYHPSHVLPIVFAVLIGISLVMHIYQNFRYK FWTVTFWMSWGGTVFTVGWIARCISSYQTSNVNLYIVQTVFIYAGPPIYSASAYNILG RLLHYLPMHAPLHPNRVTIFFVYLGAAVESLTASGAARMATNTDSLSRYKSGGTLVST ALLLQAVIEAMVVSVIALVHYRASRAAHRPPPNVRKLCITLYGTSFLIIFRCICRAVE SFTLYASIESCKQGDCSPILTHEWYLYSFEAAPMVLFTYWLNIMHPGRLLPRQKTRYL DLDCKTERLGPGWIDKRSLWQTVIDPFDMSGMISSKPNHESFWLWPEKWPAVVDGSFA QGTASNIQNSRSKPNERSESGHKMLPSQSNP TSTA_111890 MTKDIEALGSNDSKTIVDASILDKKELKCGEYEHHNVGTLQRRL KSRHVQFLALSGAIGTGLFVGTGQTLSLAGPLSAVLAYLITGFNLYAVINSMGEMATW LPLPGAVPVYAARYVDPALGFTLGWNYWYQFAIGVPIEISAAALVIDYWPESVSTVAW ITIMLVAVVAINLFPVRFYGEIEFAFGAIKLTTICGLILLMLIITLGGAPSHDRIGFR YWHNPGPMLEYLEPGALGRFLAFFKVFISATFAYGGSEMVVVAAGETENPRRNIPKAV RRVFWRIAVFYVLSIFLVGMCVSATDNRLLNAIKQGAPGVGASPFVIAMQNGGIRVLP SIINAVVLTSAFSAGNSFFYASTRVLYSTALDGKAPGFLKYEKFGVPYACVAITAMLS LLVYLNVNSSASDVFFWISNLSSVSTLIVWTSVSITYLRFHKGMKHQGISRSSLPFRA PFQPYLAWFSCLFSSTVAFFNGFDCFFPGKFSAKSFIPPYIDIPIFATLFLGYKIYHR TSFVKLDEIDFFSGKEEADRLEGSWEDAKPRNIFERVWFWIA TSTA_111900 MRVTVSLPFIVLTTVSVGNALSLYRRDAPAVLEAPIIRRQSAGT LDKRSTSPLDVSIMNMQNSSYWFNLTLGTPPQNFTLSLDTGSSDLWVVAAPGSGKQGG VYDSSSSSSFKSLGLGYNATYAYGTTALGTYATDTLGLGDATVKDFQFVVVNETSSDV GIAGVGYNISTYAAGQNKTYNNLPYALTANGITKSTAYSLWMDGTDADTGILLFGGVN KAKYIGELQTLPVVPVYNNYYSLALALTEVIVQTGDKSTSSTTNLPLAVSLDTGSPFI LLPDALVSEIYKSLNATFSDKDGVAYVNCDLMTTNYNVTFSLSGAKINVGLSQLVLYE AFSDWPKNSCLLGIAPGKAGVNLLGDTFLRSAYVVYDLENNEISLANTNFNPGKDDIL EIGTGANAVPGATIVPSAVSTATGNGVETATTGVVSATGVTTTASASSGGSSKSTSTS SGIAALATGNAKHFLSGLAGAGLFLLF TSTA_111910 MATNAFKTYRIATIPGDGIGPLDFTELDWSTARYKKTGKYMPDD GLNVLKTFDAGIFGAVGAPEAPDLISLWNLLLAIRGPMQLYANVRPVKNSPKLPSLLR GVEDGDIDWLLVRENSEGECRGQGGRTHRGTPWEAATERIMRFAFDTARSHPRKLLTV VTKSNAMLNGMVLWDEVAAEVAREYPDVKWDKMLVDAMTVRMAANPESLDTIVGTNLH IDILSDLAAALAGSIGIAASSNLDPTRKNPSLFEPVHGSAFDITGKGIANPVATLWSA SKMLD TSTA_111920 MLPSTLAAANFTAFQRTIPSLDIVIFSDGSRLIDGRAGGGYIGI QAHYQFLRSSLSYRHGKEVFNIEVEAALADAQAAIAYPTAQFATNLWICLDNLEVIMH LLSPSTGSSQEVFESFHTLAAAWLLRERLPHTKSGSIQIRWVPGHTKISENKVADLAA KEGAISIPPSPYKSSYASLKRYAKTQSLSAAQTRWQTIAPQTYQDLEITTSPKRPREL QLNRLDLDCIIAARTGYGDFADYYKRFNHDDAYLLCQCRA TSTA_111930 MAQVEESVLQAGNTAPGSDEIPTCILKVTDWSLPRSYRPIALLS VLGKGLERLVAWNMAWISIHYKVLARQQFRALPLRSANDLTTCLTYDVEQALNQGMTA SLLTLDVKSAFDAVLPGRLIRRLREQGWPTNLILWIASFATGRSVQIRLNGEIDPSTD IACGLPQGSPVSSILFILYIAPLFCLGNPRNRFGYADDAANLAISTSLATNCEALSDS LQEALN TSTA_111940 MGEYIASPDGPTAFGRNMLRKHFMINPRYRPLNHGSFGTFPVQV RDAQRKLQDEQESRPDVFFVISHAEHVTESRKAIANLVHAPVDECVFVKNASTGINTI LRNLDFKQGDVIVYFATVYNAVEQTLESLMETTPVQTRRVSYTFPITHDEILKKFLAV VKQTKSEGQNVRVAIFDTIVSVPGVRFPFEKLIKACTKESILSVIDGAHGVGQIPLYL GDLSPDFFVSNCHKWLYTPRGCALLYVPKRNQHLLRTSFPTSHGYTSPADRGRGIHAG KSDFEILFEFVATADDTPYMCVPAALDFRKRVCGGEAAIYTYLHTIAQEGGDVVARIL GTDVMQEPGLSIPIEQSDIRRCAMTNVRMPLAFKDDKNVNRHVPDPSSSPFPLLSIKD ATPVTEWMQAKLIQEFDTFAKFYPHGGWLWLRLSGQIYLEIEDFEWIGHIARSLCERV ASGEWLHKSRI TSTA_111950 MQFLKTFLLGALTFVVPASAATIQKRDTVDVFIWGSANFNDYTE SYTFNPGECQAITAPSSGSVGSATVDENASCNVYASSDCSGNVAGTFSAPGISDTGSL RSSFGSVVCTIPGSGSGGSASTTSTAASEPTDVCDL TSTA_111960 MLRWLINSIIAWTLVKSVGGLGWQNEVNVSMCNWAQFRANVIRD TIYLDGGELWWQVGLSDGSYASPQNDGGIDGVVYTLNLGQPFNRSTNVTGLFKTLSRT GVSASNNIAPNYVDGAMFANNDEWITFGGLVDATNSSSAPPANAILGYEAYQYGPARQ AWSAGFITKDLPTNITRYVTNGASVSAPSENMGYYFSGMRGAGWRPIVNEGGSVENLA NTLISVNMSVMRQETWANDTLPPFVPARANAELAWIPAGNNGVLVAIGGVINPVSLTA VQALNASQTNDSTQISPKFMETVSLYDIANKKWYQQNTTGDIPPQLTLFCSVVAPARD QSSFNIYIYGGYDGINQSSIPSDDVYILSVPQMVWTHAYSGQSNHGRSGHKCFMVYPD QMMILGGIYAGNPVICLQGGIAEVFNLNNLRFQDSYDPRVWAEYRVPDVVTAKIGGNM NGSATVQPPPTIGDVFATAYTKTIATYYPYDLHPTNSTNTSTPSPGPTTITVTGGSGL PTWVGPVLGVVLGLIVVAVAVILFLIWRRRKPRRSTRASETQVSTSGIRGFVLRWLHG TATQDGKSQAELGAADIDGATVVSSKIHDRHVSEAGSMALHEMESIGTPVFEMGSNDQ SPHWNETQWTQPERSPPLVSPNIDEMMYSSQSPARPSHQNVRHTSNFSIGYRSDESNI SEIGSSDPGRPPQNRQVSDNSVSDAVSLSVSQEGSTVENSNRDHTTTAISTMSGRITT VQEDEEHNADDVVRPVCDINDGQQGQHNLTELG TSTA_111970 MPIFCMGENRTDTDPNTSSHSTSDTEGLAEDCLCSESISTSTST TTTVISVYPPTPPIITSTANHNIYISCHNYFTYSIYLFYNIYLTCHIYLTYSVYIYLS IYHYNSTYLYFSVYLYNSNNIYSSINLNYSIYLYYYVYLYNSTYLNYPIYLYFSIYLY FSVYLYFSVYLYFSVYLYFSVYLYNSIYLNYPIYIYLSIYYYNSTYLYFSVYLYNSNN IYSSINLNYSIYLYYYVYLFNQHRLQHLAPTPFPTDYTWGCKPGYLCHPKNKPPGGGE CNFEAGLPAASFYCSPDECIPSPELIQDPDKGWGDGYHSNKTGTFEVTPGYFNLNPTE FGLNYSIFTFPCDDGGRYLSGFYNHGNSPKDSTGYILNRRAKRQTGGAEYVPPVCYPQ CNKVALEGEKEGKTKSLCDSSSVFMTLLRDCNLCISAHSTGETTQDPPPFEPWLFYCS SLDAVTSSSSTTTATTTSTVPKLPDSTAAGTITSTSTTTTVTQPQTTSSSTSSPSQTS ITVTSTTTISPSGLSSKTTPTSSASQATEMTTTVSTTATSTSPTSTLSLSSVSSTPSA SSISGTSTPSTSTSSTPSTLRAAATSTTFTTSSTSTSSRTSARSSSTSTGLLNTPSGS LTSSSSTPTTSTTSKTSTGAIDTLPSPNSTSSVSSASVGVTSSATAPGNTVSTPILNP GTISGTRTPSPIISGVTETVPATTTGFSHGASSRISQSISGRSLITISAGIWFLITLF TSTA_111980 MPSHVPTHNLSIAEAILQVAHKARSNTPKVRFSMPPLPLDKSKK RRQHSTSNSSSTSIYKARNAPITPTPTPAKLLVAQLSSPTLNTRAEFDLAYKIIKSTT SYASKQLAMTLCRGDIAEISSRLAENSTQLDRVLETAQEQVEKLKVMEQTWRPDLPSS AKEFAPDKVVGKNKDMKEAMKVFDEMRWEYERFITYLDWIEELKVEREKLLEDRNLTS VINHKEYFVNAVPSTFI TSTA_111990 MTSKIPQPPGVPLLGNIFDVDPSNTWGSLNKLAAKWGPIFKINA LGTQIVFICNAALLEEICDETRFRKCVNGPIVEIRQAVHASLFTAYESESEKIWGPAH RIMTPWVSDESNKITFNDQRDSIAAIVPFWTSKPRQKVNVADGLKRLNLQVAVWTFFH QKGDWMSGPFPAFLTSIDKSTMEAVKRPTRPKLLNWLIHQRGYDKDIKIMRSFCADVI AKRKQEQGTFDDMLNVMLNGKDPETGASLDHEQIIDEIINIFIGSATSPCLVSFALYY LLKNPQYITRAREEIDSILGADRELTYENLQQFQFCNAILRESMRLSAVAPGFNIEPR PDVNTGPYVSLAGGQYQLPSNQALIAVLGAVNRDPAVFDDPEAFRPERMFGEAYDRLP SGVKKGFGNGKRRCFGTKYAWQWSWLTLITVLREVDLELADMNYTIDGDVNGAFCVQP WHFVAKVEKRKDRP TSTA_112000 MTLHAKWHKLLDVEILRRSSQALSAVDNKTYVFGGELRPREPRD NALHVVSLGGQAAVNSIHSSSDAPSPRVGTATTALKESIYLFSGRGGVAMAPIDEQGA IWKFDTATGQWSMLSPVDASNCPEPRSYHCMTCDQKDTIYIHAGCPEKGRLSDLWSFS VSTKQWKQLASAPDPARGGTSIAFADGKLYRMNGFDGKTEQGGSIDVYTPETNSWESH SYEPDSKSGPIARSVCALLPVAVGDKSYVVTLFGESDPSNLGHQGAGKMLGDVWAFDI ERKTWHKVDAQGDVIPDARGWFGADVVGKDTIVVQGGLGEANNRLGDVWRLDFV TSTA_112010 MAGNNPEEQPTQDVEVPTNEPEPALPPSIPSNLAYRLYISHFLS TWNSRLFEFGSVLFLASIYPQTLLPMSVYALVRSGAAIVFSQALGFWIDRGERLATVQ TSIVGQRLAVAGSCVIFGLLQQENDIIRGGKVKDGLFAVTVVLACVEKLCSVLNTVSI ERDWIVVITEGNEGVRRVMNARMRRIDLFCKLVGPLTISLVASASTLIAIRVTFAMSV ASVLVEVLCIAQVYKAFPQLRRNEVDEETINTTMQQTSTPATLVRCLNIGLRNILPIS SLRFYFTHPAFVPSFALSLLYFTVLSFSGQMITYLVSVGYSTLYIGIARTVSTALELS ATWIAPRMMKRVGVVRGGIWSLCWQMAWLGVGVTWFFANSNREGRDVIIAATGLAVGV ALSRIGLWGYDLCAQNLIQDVSQYIHIQRKGIDRKDRKSKIRIAENSPPPKPLFKTYS NYCLMRQRSSFQSRRSSSGRSLSVR TSTA_112020 MDRTKYLDSLRGIAAVIVAFDRVFLNDLNLAFRSYWTEPASKNR VWLQLPPFRIVFAAHAMVTLFFVISGYVISINLLRVRQCQQQDFINQVASSATRRVFR LFLPVLVIASLSQILFWANLYTFTSVEIKQFIAENKIRPWSAPGDHLAYLFRYMTDII NPFGALQPKDANHGLNEQFWTMPAEFRGSCLVYFLVVVMSPWRAQTRLIVLGGLAVYF LWSGMWDVATFIAGLWLAELKINEEAENGYTYSHHYPDLEDDSDVSTTSSLSSILSSW SGAFSSDSKMTRQLLTPVSITNLILFALGIHLMCLPSGSTEVNDGHLTTGYRTLLLLQ PSTWKNWDVVHYSWKSVGSVLVIYAINSSPRWLFRRPLETSRTLRYLGRISFPMYLIQ QIVYSLWRESVKNWIWKDLTGYEYPGGQLAGREPFARFVMWMGTTMILGPVLVYLSEE AGREVERWLM TSTA_112030 MTNTKLTDHSASNSPTHVSNEYGSEADEDEYAEEEDWTVSETII RPFTLQLPPPLLPMTSSVVQSHDAKPTESAPAPKFPPPARPGDPVKWPSQEQRIPGKP GNLNISGLVTFDRRDRVELSHCYLERNLVTNGGWLDNVVWVVNTKNKSDLAYLDEILA RSPLYLKSDHAEMRRTVSRETMYIKIEDIVWFAKDTIERLVARKLISHDALAISANIV NNPPVNFLHYHAGALHPYLPDLPKGFNFSAPESKAEQGDGKSGSKQVQELAEKVGEHS TLFKALANHVHNITWKSSGYPNWTGPEDFVWSSDWNIPLPNHRWLRVPDDRALNRTPV AHFTYEGGRSKNESWAMASQQHYSLLENIEKEKLNLYKFDRPWDVKDDYIQLSFLAIL GGDILDTDILDRLDIQGDTLQRDTGRPILIEGTALAAHFNFMHQDGVPTTDLLARYKS LAADQACLAPSPSASD TSTA_112040 MSKYQCAWPSGIAYIPASSPCGPINKTNSVVPCCANGDFCLSDN ICAYTSHSNAGGSGYYSAGCSDGTFLDGQVSSSACANRCADTGLPDIVYDSSDGSWKC CGGNENQRNCQSPTNETFIGAAPSNLFTIWVAGSATTVVSTSMSTSTASTSSSTSSST SSSTSTSTSPEPTVTTSAATTPAEQAITPTPTAETQPPPSGNSSGLSVGAKAGIAIGA VVLAFALLALISVYIHHRRRRKRPVEHPGMPPTETKGRLPSSLYELPPTPKAQELPPN PKPLPELY TSTA_112050 MVHTTQSVPSRIFKAVRRAVKADMFTHLHQMTGLLSFSHSDSGI QSEAFCCGVYCQRVGGSDVDSSQINSISNYSYAKIIISQSPSEVPMQSWYPKNGMSFR QPLDRNIHISDEADFDDVSENENLMADTPSDNSEHDDNTRDMNEDDLLDIDNDEDDVK DEDDGVVDPYYWGYGVSQILVANDTHREQSRCIVRSKLLLDHSVHTKHIRKQL TSTA_112060 MQLYDYLAQIYVFIQNPVRRTGFGLLYYCLPFIVSSAAVLNALL SVVATHRILISGRGNERHKSDLVAAYYHHKVQTIQIVNESLARQPNNITFDVQVAIAI LGIVEYTTGSLDNAQKHMAGLQVIMDANGGTIGAPPDHYSALGIVKIANMLISNDQHD HPMNNERRMLDGILTKLPGDCHPSIPSMFHSLKHLSTTMPRNPAVTIAQQSSWLDQLN ITELQMHQIIENELISQTDALTTPFVTSRVYPIAGSIFLCLWLRQLSIQSNVLDYLVD SLIYALDEIEHEQQYPSVILLWLLFVGGAAAEGRRTRQWFLGRLSNAVQTLNLDSWRR VKNVLEALPYVDECDEYLRRIWEELERSTIE TSTA_112070 MDNTSDHNSIIVEAQDSIDELRRRPSEYKLPFLQQANENNNNPS KIMEDNNSFMILLNSFKRVRARQPIEYANPVARLLMLLPDIESSDEHIPNARSPKLEQ QAQNALHALSKFQGTSDALAEVFCLTDPSRLDNPIIFASEEFHRITQYGMEYVLGRNC RFLQGPKTNKHSKRRIRDVIEAGQQHHEVFLDYRRDGSPFMNLLMCAPLCDNNGKVRC FIGVDVSGLVMDDTRMDSIKGISASGHPSPNGQFEEDGDLAHQNGYTNGIHTMILRMQ NHSIRRQLQKELEKPGIT TSTA_112080 MDVSLETHQSYIGQPASALPTPALVISKPVLESNVKKLHEDIDT LGIGFRPHVKTLKSIETTRIMLANGRYKKIVASTLCEIRGCLPLVKEGILDECLYGLP IRPSVLADLDKFTSQYGLKIVLMVDHSDHIRILEEFNTRTGRTTPWPVFIKVDVGTKR AGIQNSSSRLDEVIQSANESEGVSIHGFYCHAGHSYASKSTEDAVKVLNDEINGVLIA AKKLIDPKTELVLSIGATPTAHVVSNLKASLPKNTQLELHAGNFPANDLQQVATGLVS LSDQAVRVVAEVCSVYPERNEALINAGVLALTKETSSFAGLARWTDGIDWSIVRVSQE HGIFGWVGDDAKREKVEEKFKIGQKVLLYTQHACITSAMFHAFYVVDKQDIVRETWVP WKGW TSTA_112090 MDQDYNHTLSSPPEKMSQAPVSEERSIYEKPDHDWPLKHKVVES KDREERSGFKKRELGVTWKNLNVDVVSAEAAVNENVISQFNIPKLISESRHKKPLRRI LSDSHGCVKPGEMLLVLGRPGSGCTTLLNIIANNRKGYTSVTGDVWYGSMTPKEAKAH RGQIVMNSEEEIFFPTLTVGQTLDFATRVKIPHNIPQDVESHEALRVETKEFLLESMG ISHTHSTMVGNEYVRGVSGGERKRVSIIETLATRGSVYCWDNSTRGLDASSALSYTKA IRAMTDILGLASIVTLYQAGNGIYDLFDKVLVLDEGKEIFYGPLKEARPYMEKLGFVC RDGANVADYLTGVTVPTERLIREGYEHTFPRNADMLLDAYKKSDIYPRMTAEYDFPSS QEAQEKTQMFKEAVTHEKHPQLPNSSPLTSSFANQVKAAIVRQYQIIWGDKSSFLIKQ ISSLVQALIAGSLFYNAPNNSGGLFVKSGALFFSLLYNSLVAMSEVTDSFTGRPVLMK HKNFAMYHPAAFCIAQIAADIPIILFQVSIFGIVVYFMVGLTTSAAAFFTYWVIIIAA TMCMTAMFRAIGATSSNFDDASKVSGLIITASLMYTGYMIFKPNMHPWFVWLYWIDPL AYGFEALLGNEYKNKTIPCVGNNLVPVGPGYTDSSFQSCAGVGGAVQGQAYVTGEAYL NSLSYSSSHVWRNFGILWAFWALFVAITIFATSRWRLSAEDGPSLLIPRENLKTVQQR KSLDEEALPQSADGAVSSSANTLAERPGVQPIQPELDNNLIRNTSVFTWKNLCYTVKT PSGDRVLLDHVQGWVKPGMLGALMGSSGAGKTTLLDVLAQRKTEGTIHGSILVDGRPL PLSFQRSAGYCEQLDVHEPYATVREALEFSALLRQPGDTPREEKLKYVDVIIDLLELH DIADTLIGKVGCGLSVEQRKRVTIGVELVSKPSILIFLDEPTSGLDGQSAFNTVRFLR KLADVGQAILVTIHQPSAQLFAQFDSLLLLTKGGKTVYFGDIGDNAATIKEYFGRYGA PCPPEANPAEHMIDVVSGELSQGRDWNKVWLESPEYDAMNRELDRIVADAAAKPPGTL DDGREFATSLYEQTKIVTQRMNVALYRNTPYVNNKFMLHIVSALFNGFSFWMIGDRVT DLQMRLFTVFQFIFVAPGVIAQLQPLFIERRDIYEAREKKSKMYSWKAFVTGLIVSEI PYLCICAVLYFVCWYYTVGFPSDSNKAGATFFVMFMYEFIYTGIGQFIAAYAPNAVSA TLANPLLIGVLVSFCGVLVPYVQIQEFWRYWLYWLNPFNYLMGSLLTFTMWDSPVKCA EKEFAIFDTPNATTCKDYLSEYLSTFNAAAYLENPDATSGCRVCQYRKGSDYLHVLNI KEYYYGWRDAAIVVIFVISSYAMVYLLMKLRTKASKKAE TSTA_112100 MADAQRSLTAPFPPPPPFWKHFTTENIEKLEKIKKESNIPRTFK GKKWHPSELRALDVPPELRYLIPPEPPTEGSYAVFGELQSLSTNLPSLNEQGIEQLYP DVPTTNGGKDDPNGGQPLNHAYYLLKISKSLLLNFLEFVGVLSIAPEDFEAKLGDLRN LFINAHHLLNLYRPHQARESLILMMEEQLESARSQIQEMDDVKNRVERLLEQLTTEGL DAQQSSFQGSNHEANTVEFDNKAVEEARKLWDLLKDEDD TSTA_112110 MPMLPWLTSPVMLHSSRDPGECTMTPEQCAYKHRYWVFWYEADH RYSLPTVAFFLVAIMLFTMARLIRLFTPRSWKRLSGWARLMAVFRTLSYKKLWILGSS TQSIGALFLAAVGVVYFLAMTLAPRPYYWPNTMEINYGNSPPIATRSGFMALACMPFI YMLAAKASPITLLTGISHELLTNWHSWAAWAMFVLALVHTFPFIVYHIQMGDIVEQWN DGGLWVTGVVALLAQAWLTFGSIPWLRNRYYEFFKSTHFIAALVFIVFFFFHCDYTLS SWDYFIATAVLYTLSWCYSQCKTYFEYGVGHEARLQRESNGTLKITINSRKARWTVGQ HIYLRFLAGGIMHVLTAHPFTICSMPHTDPAEKASQLVFYIRPRGGDTKFLMAQAIKH PNTEIPVLMDGPYGGIPSTQLNFSDSALAVGGGAGAGFTLAVIEHILQRYIVTRKANR RLKVIVATREPDTRIWYIEALKDIAHRYPLSKEAFAGISVDIHETGESGSQEETVNNR SDLEKAQGSTTVQLNNEESGDSQDPSITDMFNVNLYKGQPNLRVTTKDLIADQEDATI GLLACGPSSMSHDMSEAAVSAQQQIISGQLKAREVWYHSESFSY TSTA_112110 MPMLPWLTSPVMLHSSRDPGECTMTPEQCAYKHRYWVFWYEADH RYSLPTVAFFLVAIMLFTMARLIRLFTPRSWKRLSGWARLMAVFRTLSYKKLWILGSS TQSIGALFLAAVGVVYFLAMTLAPRPYYWPNTMEINYGNSPPIATRSGFMALACMPFI YMLAAKASPITLLTGISHELLTNWHSWAAWAMFVLALVHTFPFIVYHIQMGDIVEQWN DGGLWVTGVVALLAQAWLTFGSIPWLRNRYYEFFKSTHFIAALVFIVFFFFHCDYTLS SWDYFIATAVLYTLSWCYSQCKTYFEYGVGHEARLQRESNGTLKITINSRKARWTVGQ HIYLRFLAGGIMHVLTAHPFTICSMPHTDPAEKASQLVFYIRPRGGDTKFLMAQAIKH PNTEIPVLMDGPYGGIPSTQLNFSDSALAVGGGAGAGFTLAVIEHILQRHADMVY TSTA_112120 MDMSGMAMTTTATGMSMPSSTSSSTSSDMSGHTMSMVMTFFISA RSSLFTTTWTPTNDGQYAGTCIFLIVLAVILRFLLALRPILESRVWSDHARIHGGGHI LHDSAQIEQQSIKAGGTTSVRQVRYDLSSRWAGWRVNDAAGRATYDFLVAGVGYLLKK VHPVNWWLMVPVAVIYNGWQYALTPPLLVILCGKLGLRVPLSVNLISPLHHLFKFTIQ SPLLFTLTNADFLSSVFVALYLISCIFVVKRLGIADYLMLLAWVGVLFLKANGVLSTT NGVAVLLHCINPVMQIIDFGFVFSLTYAVGRGFGRHGHDINAEDTIAINKAIYVYNIL YDPTSMAAKSSILAFFLRLTREKKMYYWANCAILFIINGLGLVLTIHQVYQCHPVYEV FRLEAQTSESCTNVFLSALASSPYNIFTDVAILVIPIPLLTRIHLPFRQRLILVFTFG VGISVIAIDVVRFASFQTTARDQLVKLNSFHTEDIGNEDYTWYAAFPMMWSAVEVNTT IICACVPSLKPLLARFTPSLIGQPKGTPPAEPVNDERGHTTRFDNPQTVTGEMMDILT GGETQQGGHVTAGWEMRERYPSNIKLLNLLNLRPTKVLKLNTKESIPAFTMVTTLFFL WGFAYGFLDVLGQRFGLAHLGPLRTAGLEASHFGGYLVIPLTVGLLVLKRAGFTTSFI TGLYIYAVGVLLFWPAAVTVSFPIGVLSNVIIGSGLGILEMTANLFISICGPLEYAEI RLCISQGMQAVGELLSRLLVSRAILVDVDRIDDVVSLQWTYLAISLFVILLAVLFYYI PVPKASDDELQELSDLREANRAQVRRIPVVYLTLALGVWSQFFMEAGHEANVVGSKDI VTGRTHLSSASDIESIGATLHGVSRFTAAFVLWKWSKPRWVLLITSIFSIAFAIACTA TTGNMSTIMSLVIWLTDGPHYPIIFAISLRGTGVHAKTAAAFLAASVVGAVPGRMIRY AASLSIGDASCCVVVAFMSAGTIFPIYLTLSKAARRQVDPIKDEYLK TSTA_112130 MPPFPPPRNSMAFSNQPGPLGAIARFWERTYAADYVALGFVAAG FILIQLFVTPFHRMFYLDNMAIQFPFAKSERVPMPWSIVYSAVFPTLVLLLWALITRP SAHKLHVSFLGLVVSLAVTPFLTDIIKNAVGRPRPDLIDRCKPEPGTPEHKLVTFSVC MQANEHILQEGWRSFPSGHSSFAFAGLGFLSLFLAGQLHVFRPRADLGRCLFTFIPTL GALMIAISRCEDYRHDVWDVTAGAILGSSVAYFTYRRYYPSLRDKRCHVPYDLAVVKG VEGFTKLTDDEERLLGVRDGSGQEGLPMHMDLPRN TSTA_112140 MSVKDDSFPASGAFDAINEALSNENDRKDAIKQGAGIYGFTLTN SAGKEASWHIDLKNEGKVGKGLGGKPDVTLVLSDEDFASLVAGKANAQRLFMGGKLKI KGNIMKATKLDPILKKSQTKAKL TSTA_112140 MSVKDDSFPASGAFDAINEALSNENDRKDAIKQGAGIYGFTLTN SAGKEASWHIDLKNEGKVGKGLGGKPDVTLVLSDEDFASLVAGKANAQRLFMGGKLKI KGNIMKATKLDPILKKSQTKAKL TSTA_112150 MPSQSFSKFWYTDLTRDCLLSMLDTSTLKDLRLVCHDFAATTSR HLFRDVSITFRSSTFTKPARMAALERIGGHIRTVTFVMEHTAETFLPPLLDPGTGDEV SFVFTPLARCPTPPANATPTNRGPTEPTYGTWEMTDLLIKQYPPLFHAAMNVSSFACA LTYMPSLQHLRVNCAGQDPIYRYRRSVVDYALSSLRIAIEQAPLPRLEELSLTPIHPG GIQYLCPMAGMGSLPNSCKRWTRIRKLDIHMDTFPFEKGQATDHLKFLHLFMFHWTDT KGKGPSPLSLATEPALCELAARSQACPKTRHYLRPLKFPHLQYMVLGNAVLDASQVSD FLTEHRHSLLEFDFENVSLRSGTWEDALIPWRTLSSAEYEGAWGEKQQPEVEVMEVPL VLSANPLVGESSPPEQHKRRKRKKKKRHTKTTEVLPNNDLYASTAAMLLEEEPVHRPN LNFRTLREGLSNWARSKRTLWKNHDFLHKTVQSPILV TSTA_112160 MHWSSILSSALLVAGASAFPQYTHKRSPPSPSASSSVAVSTPSP SIAPIKAAGAATDPAYWLADIDHQGKAPFAGSGYTVFRNVRDYGAAGDGTTDDTAAIQ MAISDGDRTAPSSNSTTTKTPAIVYFPAGTYVISSPIIDYYFTQLVGNPNSPAILKAT ANFAGIGLIDGDQYQPNGKEGWISTNVFLRQIRNLVIDMTNIPGSTAATGIHWPTSQA TSLQNVVVNLNADDGSQHTGIFIEDGSAGFIGDVVVNGGLQGLNIGNQQFTMRNVTIN NAVTGITQLWNWGWTYVGLNINNCKYGITFSTSGGTQLVGGVNIIDSTISNVETFVNT SWTSNGDPAGAGNLILENVVLDSVTTAVAGPGGTTYLEGGSKTIASYGQGHEYSPTGP STLSGTYAAASRPTALLASGTSNYYTKAKPQYESYTTDQVVSIRSAGAAGDGTTDDTA AINKALSSAASSGSLVFFDYGIYLVTDTIYFPPGSKVVGESYPIIAGSGDKFSDASHP YPVVQVGKSGDKGTVEWSDMRVGTIGGTAGAILIEWNLAGELGSGAWDVHSQIGGWAG SNLQLSQCPTTADVSSDCQAAFLNVHITSDASNVYFENNWFWTADHDIEDPANTQTSI YTGRGVLVEGSNIEMWGTGSEHHGLYQFQFNGASNVVGGYFQTETPYYQPKPDATSGP YKSITSSPWSDPEFSTCLEGNCDALGLHITNSKDITMYGLGLYSFFNSYSTSCSNVGN GENCQSETFRVDGSVSGLNVYGYQTVGTTNMITINGTSAALYSDNLSVYPDSIALFSY TSTA_112160 MHWSSILSSALLVAGASAFPQYTHKRSPPSPSASSSVAVSTPSP SIAPIKAAGAATDPAYWLADIDHQGKAPFAGSGYTVFRNVRDYGAAGDGTTDDTAAIQ MAISDGDRTAPSSNSTTTKTPAIVYFPAGTYVISSPIIDYYFTQLVGNPNSPAILKAT ANFAGIGLIDGDQYQPNGKEGWISTNVFLRQIRNLVIDMTNIPGSTAATGIHWPTSQA TSLQNVVVNLNADDGSQHTGIFIEDGSAGFIGDVVVNGGLQGLNIGNQQFTMRNVTIN NAVTGITQLWNWGWTYVGLNINNCKYGITFSTSGGTQLVGGVNIIDSTISNVETFVNT SWTSNGDPAGAGNLILENVVLDSVTTAVAGPGGTTYLEGGSKTIASYGQGHEYSPTGP STLSGTYAAASRPTALLASGTSNYYTKAKPQYESYTTDQVVSIRSAGAAGDGTTDDTA AINKALSSAASSGSLVFFDYGIYLVTDTIYFPPGSKVVGESYPIIAGSGDKFSDASHP YPVVQVGKSGDKGTVEWSDMRVGTIGGTAGAILIEWNLAGELGSGAWDVHSQIGGWAG SNLQLSQCPTTADVSSDCQAAFLNVHITSDASNVYFENNWFWTADHDIEDPANTQTSI YTGRGVLVEGSNIEMWGTGSEHHGLYQFQFNGASNVVGGYFQTETPYYQPKPDATSGP YKSITSSPWSDPEFSTCLEGNCDALGLHITNSKDITMYGLGLYSFFNSYSTSCSNVGN GENCQSETFRVDGSVSGLNVYGYQTVGTTNMITINGTSAALYSDNLSVYPDSIALFSY TSTA_112170 MLVKKAAVVSLIPAASLAATSSQTEITIDLQSRYQSVDGFGCSQ AFQRAEDIFGKYGLSPKNQSYVLDLMFSRERGAGFTILRNGIGSSNTSTSNLMNSIEP FSPGGPSKPPHYTWDFYNSGQFPLSLEARARGLPYIYADAWSAPGYMKTNQDENWNGY LCGIEGESCPSGDWRQAYANYLVQYVKFYAESGVKVTHLGFLNEPQEVVSYASMGSNG TQAAEFIKILGQTLEREGIDIELTCCDSVGWKEQEDMIPGLQVIGSDGKSAEDYLSIV TGHGYSSAPTFPLSTKRRTWLTEWTDLSGAYTPYTFFADGGAGEGMTWANNVQTAFVN ANVSGFVYWIGAENSTTNSGMINLIDDKVIPSKRFWSLASFSKFVRPNAVRVGAVSTN PSVTVINNGSSDASLTINLGKTNRVARTVTPWVTSNDYDLEPMSPINVKGGSFLATVP SRSLTSFVTECG TSTA_112180 MGAKTYEGGDHHEDATNVLSTKSNAVGGKPRGANMLEDGDGEFG SDDDDDGGDGQDSSLAMVNPDDAAKPKKKKRSKKKKNNKKKSGAGAQRQTSPPRVPLS QLFPDGKYPIGQMVEVQDENLRRTTDEEFRYLSRGTITDDEALNDYRKAAEVHRQVRR WIHETIQPGSSLTELAVGIEDGVRALLEHQGLEPGDSLKGGMGFPTGLALNNCAAHYT PNPGQKDIILKTDDVLKIDFGVHVNGWIVDSAFTVTFDPVYDNLVAAVKDATNTGLKC AGVDARVGEIGGFIQEAMESYEVEINGKVYPVKSIRSITGHDILRYRVHGGKQVPFVK SNDQTKMEEGEVFAIETFGSTGKGYLRDGPGVYGYSKEPHAGNVHLPLASARALLKTI NQNFGTIPFCRRYLDRLGIEKYLLGMNSLISHGIVQMYPPLVDIAGSYTAQFEHTILI NSSGNEIISRGDDY TSTA_112190 MPQSDSPTYLHPQSWDPMMCDVQTESLSTTMDDLFSFLNPPSES AGHDLLQGVPDQPTPLGISHLYPPTPRDSAQQSSGEEQSPLDPEFLYAKNPLFALSRL NHFLVESHLLLQTQSCPPPWRISMVDVNERTEFVDIVKVWTKSSKQSDESEKEKDEGR SFDFYNIPPAKYTLVKPTILMIISTYLLFVRMFDVIFSRLIFVLRDFPNEAAKVRFEP GFEMGGFPLPQGYLYLKIIVQAFEHQIEFIESSLGLPAEYRVSEISHLTERQEQDHFS VFNKPKYQILLKAAMTQALEDDDQEDENANEILRLREKLSRIKELLQRS TSTA_112200 MSSDQDQVAPVKEQASIPPESAEQAVVTYQSPGKKGFKFWAVIA TLSIAGNLTALEATIVSTALPTIITELNGADKYIWVANAYLLSFTALQPIYGQLANVF GRRHPMLISTAFYILGSGICGGANNIEMLIAGRAIQGIGAAGLNVLIEIVVCDITPLR ERGLYLGIVMGSILFSSGLGPLFGGLIVQNTSWRWVFYLNLPIGGVVLVAMALFLQVK FDNKMAFTLKRLDLGGSIIFAGSAASISLALAWAGSAYSWSSVQVILPLVMGFVGLGC FLMYESFLADPIMPLRLFQNRTSLIAFFLTFLHAIGVMMPLYFLPVYFQAVLGASPER SGIDLLPTILTIIPFAMTAGVLLQQLGRYKPIHFVGSAALAISFGLFSLLDENSSTAA WVLFQMLMSAGAGLIIPTLLPAVQAELTDADTGSATGTWTFIRSFGMTWGVTIPSTIF NDRTSQLSARIQDPSVVSLLTGGQAYERATKEFVNSIADPTVRAQVISVFAESLKRMW QVGIAFVALGFLVVLLEKEVPLRKELNTEYGIEK TSTA_112210 MSSTPNDTPQRATAAQLATRRIKELNKRRAKPATQTAPQTPFSF GDTGPSSSGASNGFSFGQSQSFPAPSASGAPQNGSQSVSFGAGSGSFNFGGFNSTPAN NPFANLNGGNAQIQSQNQQSNIFGAKSSTQPAQPSGQGIFGQNLNSGFQSQPASTVPN PFAKPTPAPTSGPLFQSQPASTGPSLFGQTKPTSTDANLFGRAASPAPASTPTTATTA PSSLFGQNSTSAAPSSPLFQSKPVTNVGSTLFGQNPPPPTTGTTNLFGQSVGASSAGT TTTAAATNGPTSLFGKTPSASSGSSLFQPKPAISGTPNIFGQTPTIAAPGASLFGQSV GAKNDSTPRFTPSTPAKASGSLLGNAPSTGTFNFGQATATTQKPLFNLTTDSDDMSTS PDGKRKPSEQPSAAPSNIFGQKPAESSVPSASNVSRPTSSLFGTFTPSATANPPSPSI SASSNIFGQQQSRSVSPAPNGVTTTASSVFAPTTTASTGPHPFSSLFAASSNKPATSP APAPAPSASATTAPPTQSLFAGSSKSATTTAPSQSLSAGASQLAQKETMFASQLSPGK RMGAEIMDQGLSKKSAATPQVSAEESLEQDKRAQIRDLNRSFKELIPSYDPETQSLDS IILHYIRMRRVIGVPVGSLTNKPGKRKLDDAHGIESPVQRPTTKKSRGQEAEPVLPLF LQPSSSSGDKRKSTENLDDSVNSKRRAQPFVRPTTQAGADGKSETASIFASSFSAPKD ATPASTASSSSNLFSFGALPAKETTRPASPQKEQPETAVKPAFEVPKFGNLAGQNFAS QFGKGSFKASSADAPKVQLEVPKFGASGQSFMSQFSQKAKGDDTDEDSSDSEAEHVVE KKVEKPSSVQPQSNAFSGSVFDSKLGAPSVGIHNIFGHLNASTATSDVGESSDDDLTE ELSKRAEKSKPDSEAEPKTTTSLFGRITRPDGTPVNLSSDEEKAETPKVNPLFGQTTP STSLAAPNSTPLFSPASAAKASNIFGGSSIPSSTFNPFSSSLNPAAQLLAKEGTTTAS VTSDSNAEDTDTETPKDAQLNLLTNAGEEDEDCIFEGRSRGSKYVDKSEGGKTEKSWE VQGVGPLRVLVNKETKRARLLLRADPSGKAVLNTAISRAIDYKFQPGGCSFLVPRRDG SGLDMWMLRFKKEITAEVENAVKTAKEGLPQ TSTA_112220 MTTLLKQPLKLALIQLASGADKATNLTHARTKVLEAAKAGASLI VLPECFNSPYGTQFFPKYAETLLPSPPTKEQSPSYHALSSLAAEAKSYLVGGSIPELE PETKKYYNTSLVFSPTGALIGTHRKTHLFDIDIPGKITFKESEVLFPGNKVTILDLPE YGKIGLAICYDVRFPELAMIAARKGAFLLVYPGAFNTTTGPMHWSLLGRARAVDNQVY VAMCSPARDMSASYHAYGHSFIASPNAEVLGELEEKEDIVYADLTNEAIDSARKGIPV YTQRRFDVYPDVSEGKVKPED TSTA_112230 MFENFSFPSPSSTAEGDDRLMLDCDSTTISPLSSRCPSPSSFLP RRSRPLSRPRSPFHRRPQQPPTSMPSNYEQFQRRISVSTLTEKLNAHTLDQNTTPGLS HAPHESPVSPISPTSFSFSVTKDSGSSRGSVRTLLTPPGEYDDEGYDDLLTSGWEPYH NAQSPTSIPLDSDGFLDVPQQRFPREQSLRSQRQQMSRAQCNVDVLKLALLAENFSSR TSESVGLSDDECHPSSLPPDTSPPSLRRPSIKPRCGSGASVAGNLRYRSRTSLNPEMV RRRSTSSAATFASSTRIAKPRARELLSKKSEQTLRRKSLVCATIASAMEKKKFILVIS VPASLYKLKIILECIHHACQLRSHHSFQHTETGYPNTWECSPSLSSIVKID TSTA_112240 MEHDSPDISPTHSLMSYGIPDDMIRPISASESAVLSKYTSPAPS PGLPQSSHSFAALPPLKEPASATVSPRSPKSPAKTLKQKVSRLFGGSDKRSSPKYETF QENFDRHERDTPRSPLSGHWEVEESVKLIQQPASDKTASAERDNEDPSRHEFEELSSR RRPTSRSYSANRHQTLQDALRQLETENNGLTFEDIMRYIGVEDEDRIVSADDDDDGVH DERVERPWQPDTWNEPTQIRMPHNRPSNSNLSTSAPSTRASIRPYVERAAAFMATTGT SSGASSLSSDLAEAKHDMGNVELINGDEDEFADEEEEYEEEDKGHTTEDDNEETAPAL RIVSRRARPLSSNPPDLDTPILYDSEPEDDASTPPSPNPQPVTRISWCPEIPVRHPDH RYIRFEDIRVTTPPPPQISSAPRVVTTTIAPRKKQRKHCKFPVSIW TSTA_112250 MAYNVCAALEYFHATAFKGCICELLIIPETATSLTVQTITYAKN PRLKELTEEYPGRVIFVPLTVGDEASMRNAVPVVESALKDKGLNGLDVLINTAGIMGA AHAEEMSDLDDVFHVNDTGPHMMILIFLPLLRNGNEKKVINCRFIYV TSTA_112260 MTSGTGVTTVTVVPSGSTATTVSVVTPVWPYAVGAARVAVTTAA GTSTQPVFFNFTSITIPVLTSLNPTHGPLPGGNTTIITGSNLLYTTGVTFTQGATTVP ASSFAVLSNTQLAVVVPPAPAGPGAASVSVRNGAGSSATTLTYTYDSAVALPTVMSVV PNNGPASGGNTVALTGTGFSYATRVNFGSTPAPSFSVTSDTSITATVPPGTGTVTVTV SSPAGNGVVGAPYTYNAAPTPSISSGNTVTITGTNLNTVTAVRFNGTPATIFTILSPT AINVTAPAGSVAGPVNPSCTYTYIALPAPVSIFPTAGIISRGTPVAITGTGLTGTSSV LFGTTPAASITVVSDTEVDAITPPHAVGTVPIIITTPGGTDTSTSFSFQPPPVITSSS PSQGSESGGTTVTINGAGLIIASGVYFGATPAASFTLVSDNLITAVSPPGTGVVAITV STPAAFSNGAKLVMADAMVEEPATTTRSGHVITPSTRAREAIGSTNNTSNVKASKKSM TQMELTASVMIQEQSNTIKALQTQLETVQCQSIEECKQLREQLETMANTPINAALMQT KSQPSFADMISSQSSHQQDTHLGPLAPPTVANTLFCTIDTSRVGEEDKAKAQIANIRQ QIEKEMRGTMAKDPKNADRVKVICRHEDEIQQVKEATQKINMPGMRVLRDQLYPVKID NANQTAVLDADGNILPGAVEVLGKENNVNIAKISWISKKDSNKAYGSIVVYITKGTDA KQLIDGNYFDITGESAYTRIFEQWMGLAQYFNY TSTA_112270 MADPRHHIDVNLSDTISNLLEGFLQSRKKHRGVIVWSPPRDASG LESKEGFGNKWKWLKTSCEDMPVATNYWRTKRGEEPLVF TSTA_112280 MDYFLPSFVQKRLLRYALSRLELVDTEAIDLDSLGIRWGHRSTF ELHDLGLKLDKLSSILQLPATCKLLKARASLIRVTIPADIHSSGIITEVEGVDVHVQL LNDNESGIDASPPAESHATDDGSDKRSALPTTNDLAQSFIESEPREERAEIEAAIASQ SQYLQRSTSSLSDEDEVGLGNEEVLLPSFISGFLQGILDRLQIKVMNVIVRVDMDLPQ DGPVKRVPAEKPDQLTASLVVGELSIGCVASLEGQNVPSTAAGRTITLDSICVQLMSD PIVFSNYSRFAATPPSPSTTTSNGGHGLETVRSVSPLQSPPITESLGPDISQSIMLYR SQVLERPLTPVAATRQSMESSTDSLDGRFSDADSEAGHLYQNPSHSLSGSQFDGFGEE ILDNPGYLDEVFHSQFVDDPADSTGLPPRSPQGSGTPRPQSPQIASSQVIETWHDFDE PHTGNQHPENFEDTRFYGPWERSGEFQSSPEKSPSSSPGQTEQTQQLYYADLPISSSP PDNDAKSHSSRSSSYSGSLPAELSESKIFSHEEASSMYMSAVSGTSSHGEPLMPGAWD SRDFESLTEEKQSFIQSVTRTTEADIPTPKIGAQPSPPITDNDDTPQTPTRESYQPDS EPRSSPSIKTHTDDAEATRKIIDISNVTIWIPKTFDRSQQGPHSQQEGHEHSISMTQS ISSDVLGGSRIDYSTMRRSSITSSISTDTNEQSSNRGAEVFEPLRFANSSAAISINIG RAIVQFDVATGWLLVKASQKVITALNVEALESKTAKSNPKQPSSDSKHFKFSLHSCSI RFLESLGGVPYYAKKKAPESSHATLTPAEDVILQVQLSSVNSDYLVTGGTTKFQLEVT KFVLGYATESLISFNKDLKMRESIRDVRTAVPRDVSLTVVKTSAFTKLNFATLPLHIN FNIQKLDNIFGWLGGLSTLMELGNSIASVSTVRGDQSDTMKKQTRGVRFEGVPTPKSP PEPTTSPPWKINARLGGVILDVVGETCAVKLKTTAVKAVSRYEGIGIQIDKAKVVGPC LVDDDAEHPVTLGIGNIRVEYLYSPKEVDLDRLLSLLTPSKDKYEEDDDIMLDTLFRQ RRQGGVLRVAVATAKLSISDVEGLKPLSDLPHEISRLSSVTKYLPEDDRPGIMTLVLV REFESYIHVNEKIGDLRLLAKDTELAHISIPSLIAAQVKDISLTRNETEGLIGEALNV TTSESSSLSSLPMLMVRFIADEMDPTIKVKLHGLRVEYTLPSIIAFLGLGHDTTPDEL AVKMASSVANLADLTTSQIGGLSFGDYGGSEPDSNPFKLAVSLRDCVVGLNPRDMPAK GLAVFTYAKFKCINQDKGISEANFEIRKATLMIIDDVQMVGTGDNISRRTIVDSQNEQ VNKFADMGFVPVSYISSTILSVTIQSPDAAGDKTMDVELRDDLLILETCADSTQTLIS LLNGLAPPSLPSTTLKYRTEVMPLQDMLSSFTGDAFMTDQVINAEEVSAREAEDDFEG ALDDEVDYVSDFYPQGTSSEETMSGSMTDPMADMSRSRQLMDSFHSQYNVSTSVTGLD FKDDHFARKSTVGGTAHRWDTAHNTYGLANEVKLHGSPLRVRVRDVHFIWNLFDGYDW QRTRDTISKAVKDVELKAAERRAKVASRLSPEDDEEESVIGDFLFNSIYIGIPANKDP RELARAINHDIDDMASETGSYITSTTITGYTSHQNRPASARGKRLRLSRSKHHKMTFE LKGISADFVVFPPNAGETQSTIDIRVNDLEIFDHIPTSTWKKFATYMNDAGERESGTS MVHLEIINVKPVAELAASEIVLKATVLPLRLHVDQDALDFLSRFFEFKDESAPAPSSP GDTPFLQRVEVNAVRVKLDFKPKRVDYAGLRSGRTTEFMNFFILDEADMVMRHVIIYG VSGFERLGQTLNDIWMPDIKRNQLPGVLAGLAPIRSLVNVGSGVKDLVVIPMREYKKD GRIVRSIQKGAFSFAKTTTNELIKLGAKLAIGTQTVLQSAEDFLNAPNTGAPHTDGDG DSTDEDEKKQISHYADQPVGVVQGLRGAFRSLERDLLMTRDAIVAVPGEVMESSSAAG AAKAVWRRAPTVILRPAIGATRAVGQTLLGAGNSLDPTNRRKIEDKYKRH TSTA_112290 MTRSEEDIRWERSEGIPQVEDAFIQKYLEGREALIEQERKQRHD FAFRGSMSAVAQEACKIVSTIREKELREIWTKEKGSPDDELLYPGMMFTVARERMEQT KLWKILERMPKGALLHAHMDAMFDLDFLIDQAFETPGMHMSAPAPLLTKEELEMAPFT FQYLQRPREVSENKEPLWSNNYKPASFVHISKCAATFPDGGEAGFRKWLKDRMMITAE HSYYHHHGVNAIWSIFERVFPILQSLIFYEPIFRPCFRRMLAELARDGIRYVDFRAAF VFEWRKTGSATTESDFVEFFRVFKDEIEKFKISEEGKEFYGARMIWTTVRAFSNRDIV DSMEQCIIAKHEYPDMICGFDLVGQEDKGRTLADLVPLLFWFRKVCAEEGVDIPFFFH AGECLGDGDETDNNLYDAILLGTRRIGHGYSLYKHPLLINLVKEKKILIECCPISNEI LRLSSTIKHHSLPALLSRGVSVSLNNDDPAVLGHGKNGLTHDFWQTLQGLENLGLSGL ATMAENSIRWSCFEDQTAAEWQADIKQGIAGQGVKGKRLQEWYQDFEKFCQWVVMEFA DEIDD TSTA_112300 MAELREGQRLKYTVTHYRQPHFTHEEFMKWMVKEHLPLATPVFK KHGVISYTLFDTPSSLNEPLKEYLMPDQQAMANMLADPEWHASVKDQEKFVDTSKALL SVGYAIPYLTESGEVLNLPNAAVN TSTA_112310 MPVPKDLPRMQYRFLGRSGLQVSAISLGGWLTYGGHVENENTFS CMKAAYDVGINFFDCAESYSNGESERVMGQAIKKFGWKRNDIVVSTKIYWGEAFGENP VNNRGLSRKHVVEGLNGSLERLGLEYVDIVFAHRPDRDTPIEETVRAFNHVINTGKAF YWGTSEWDADEIATAWRYADKLGLIGPVAEQPQYNMFSRNKVEKDFAHLYEEVGLGLT IWSPLKVGILTGKYNNGIPENSRLANSTDPSVERMRARIGGKEWDTFISVVAKLKPIA DRLEVSQPNLAMAWVLANKNVSSAITGASRPDQIYESVKSLVVIEKLTPEILAEIDGI LGNKPPVVKRRSPMS TSTA_112320 MVSSQMENRMKDSIQHDNYIAPDNNKGNLSKLADEFPSGSDVTI STFEMDVSNVQDWDRIQRDVLALHPGGVDLLMLNAGGSFKPAAGKTNWRDPEYFQKTF AVNTFGYINGIAAFIDSITSNKTDKRVIILTGSKQGITNPPGNPAYNASKSAVKTIAE HLSFDLHVSNPNVSVHFLIPGWTYTGLMTAHFPTKPDGAWAPEEVVEFMSQKMAAGQF YILCPDNDVTEDLDRRRITWGYGDIIYGRPALSRWREDWKSKAADGIDAIKLPPQ TSTA_112330 MGPRDEASAPLSTTQLEADDPHGARKGRESNPKNEDSHLPTSTP TENPSWTKNHSEGIEVVDERHTSRLWLRRIFKHGRYPLYGIVWLLFTGWWIAGLILHR HDLGWLIPFLLYLVITLRIIFLCVPITLVTVSMRWIWGRTAIPIAHSIPQRWKTSVSA LLVAAVILIGAFVSPESADNTHENRAVSLAGLVLLIAILYFTSKDRKRVNWHPVIVGM LVQFIIALFVLRTKAGYDMFNFISSLATGLLGFAKDGVGFLTTSPIPASINSWFLVIA LPAIIFFVSLVQVLFYVGVLQWFLGKFAVFFFWSMRVSGAEAVVAAASPFIGQGESAM LIRPFIQYLTLAELHQVMCSGYATISGSVLIAYVAMGVNPQALVSACVMSIPASLAVS KLRWPEQEETLTSGRVVIPEDDENRPTNALEAFTNGSWLGLKIAGMIGATLLCMISLV GLVNGLLTWWGRYINVDGPDLTLELILGYICYPIAFFLGVSRDNDDILLVARLIGIKL ITNEFVAYNALQTDPAYANLSSRSRLIVTYGLCGFANIGSLGNQIGVFAQLAPCRTGD VSRVAISALITGAFSTFISASIAGMVIIDDSKYAT TSTA_112340 MATFPDDCLPPESIYDSREALFESINTWAAVRGYAFIARRSTKE KNGRSTITFACDRACIPPTPRERQRKTTTRGTSCPFSVIAKESGEGWTLKHRPDQRFS IHNHEPSQCPSAHPIHRQLSGCTSQLVRHSNAGIAPKEIQTLVRQSGSLATRQDIYNQ IAAARRDSCEGQSPIHALVNQLDKEGFWSRIQFTPDGHVTAVLFAHPDSLTYLQAYPE LLLLDCTYKTNKYGMPLLDMIGVDAAQRSFCIAFAFLSGETEEDYTWALERLKSLYEQ CNATLPSVILTDRCLAVINAASALFPSAATLICIWHANKAVLARCQPAFPDAEKWKEF YRFWHSIINSPIEEVYAERLAEFQQKYVPEHLEEVGYIKTTWLIPFREKLVRAWVDQS THFGNTATSRVEGIHALIKSYLKRSTFDLFEAWKAIQLALLNQLSELKSNQAKQQLRV PLELSGALYGIVRGWVSYEALRKVEEQRKLLARRDPPPSSTYALQGGLLLDHFHSHWH LIRKGAPKLLLEPRQRIEPVQPRSLAVPRSSTKREPCQFEIVKAQVARARRGPNKCTN CGATGHIRTSRACPLRRWAEVLIDGIFRRIT TSTA_112350 MPPIRNKNRKNLDEQERQILLAISDLQNGRIQRVAQAARIYEIP RTTLQDRLNGIQQRSLVRANSHKLTQYEEESLVKWVLDLDRRGLPPRHSLVREMANYI LSQHGKPQVGKNWITKLIKRRPEIDSKFARKYNYERAKCEDPKIIQEHFDCVQAAISE YGILPEDIFNFDETGFAMGLCATAKVITGSDRYAQPKLLQPGNREWVTAIEATNSTGW AVPSYVIFKAKKNVREGWFDDLPDDWRINISDNGWTTDQIGLEWLKTHFIPYINGRTV GKYRMLILDGHGSHLTPEFDHIFCMPPHSSHLLQPLDVGCFAVLKRHYGQLVEQRMRL GFNHIDKMDFLTAFPQARTVAYKAQTIRNSFAATGLVPFNPDRVIQQLNIRLKTPTPP PSRSSNTASSCLQTPQNIRQFIRQSTTINKRINERTESNQNQEINQAVVQLSKAYEMI ANDVLLVRKENYDLRAAHEKEKQKRQKSKKQISIEQAVTKEEVQALVQGQVEASHAVT TTPAEPELPASQAVVRRQYRCSGCNVMGHRINQCPSRISS TSTA_112360 MARPQESRLKGTAKEKNESQPAVSQDSCMLLRLPGEIRNLIYSH LFTSTRLTFGERSTSRVSAKRMKPAPNSLAILRTCSLVKQEAGGLWLGQVLFNFENPE DMLDKLSELPSTTLSQIRYIRVGDDDDVYYRLVWVLKLLPDLCLDTLSVLGMSNGDIA YDTLSGLVKYGNGWKELRYITPNSEMLGFKRLDLFMADPYWRQPQPSTWNDILIQRDG LDSRASVTIYRSTQSGTPGAVLKPETRQLFEQKPSTEDLEMFGVEEDKQLLGMNERGK ELLVVVRRGYQADISEQDSPPYLLENDIRQWAHGLTWAEIRRQCIDFLAESSDDDDPD FIEKGEDVEADRYNDVDEYEWNSVI TSTA_112380 MSTVRYFDDVPHIVRFGMKTEEDEFCWILFYRNGNVYGTAFYSA WRPLLAEPKPGDSMADSVAKMLDRHNNLCDLIISHSMTTLQKLAPVGPHWITLRDYLH TPAYSLKLVTEMETTNVHPEVTKGPEDVAAYEFQPLPFTAFINLPKTIPQYPSSELVI LDRELNWRNPPHKVRTTDGLVCYFKACKRSSTWVETGEVQNDALDSIEAHLCLLKYGQ EKHDTYATRKSTVLGIVTDTSFVSDESASAIQVVLDRTGQKYKHDAEMSQTLVAGILL SSTTSSQSLANVIAQAEDTTSDIFAEQVRSWKTQIEEEVVHLHSAGICYGGRDDWFNI NQYTVLIGAESNASLDLDTATFVDDSNIDDTGRKFTSMDNLAVESLFGKWLQAELTKK GG TSTA_112390 MAPSDLTFTTYTATQAQTYAQTRLSYPRKLYDTVINHHTSTGGN LNVLADVGCGPGRATRDLAASFKELAIGLDPGVEMIEAARRLCEESNHRGCRVEFAVC AAEDCARGIRDVLFTSNGVDGGEEGSVDLLTAAMAAHWFSMSEFWAQAARVVKPNGTV ALWTCSSLYCHPSTPNAPAVQKVLFHLERDVLAPYELPSNRISRDMYTDLTLPWQVNK SLAESFPESAFVRLEWDKDGVLSDGNEFFSTTHEGKKGETTLNDLAASLGTASMVTRW RKANPDLVGTERDCVRKMCAEVKRAIGVSADENPTLKVGTSVVLLLFKRK TSTA_112400 MDYAMEDTQNAVPQAHEASKLGASAPRGDTQSVTKRLQSELMQL MLSPSPGISAFPNADGNLLSWTATIDGPTDTPYENLTFKLSFSFPNNYPYAPPTVLFK TPIYHPNVDFSGRICLDILKDEWSAVYNVQNVLLSLQSLLGEPNNSSPLNGQAAQLWD ENPEEFKRHVLARHRDIEDDE TSTA_112410 MQHSQLAPLPTELPFRIVSKTIGQGAYACIKKACPLQENNPVFA VKFIHKEYAARHGKINARQLQMEVTVHKHIGDHRNIISFFHTGEDATWRWIAMELAEG GDLFDKIEADVGIGEDIAHLYFTQLISAVSYMHSKGVGHRDIKPENVLLSGDGNLKIA DFGLATVFEYNGKMKLCTTLCGSPPYIAPEVISCSTRGQTKGTGYRADFADIWSCGVV LFVLLAGNTPWDSPTEESFEFSEYLEKNARTTDELWQRLPTAVLSLLRGMMKVDVASR FTMEDVRRHPWFTRPNKYISSEGKLRDQVNLATSMFESLHIDFSQDPLAPPPSQSQRS RQSGPSSSAMDIDTHDDLQSRLSSTQPEMPMGNVTVDWDTPLVNAFSFTQPTDHAMST TQDYQLAGRLEDEPAMSQFSQNPAVPLIRTQNAQKFDDIIPSRTVNRFYSTWGLKLLV PFLSEALHRLGVPVPSQIAGIDTGGPVVIRVATKDQRLCLLQGNIIVECVSEGLFEVE FTKVKGDPLEWRRFFKKVAVLCKDAIYRPD TSTA_112420 MTGGTNGAIRDAKGVGLLRDWSFAREDRVIAPLDDGTVCVWDMN YSHSSCAKSQKGKITGMSSPGILLTDMSKRNDKTAPLEFLNVGECVTVDSMRRRAYIA VGNILNEVDLETLRVVHQQRYAWSIFALSQETDYSVPLTLATTLSLQIYDARLSSPEE EAEISLRCERMPAQYIPKSNLYSHPDCQVLQLLPNGQLPQRIRSPDPHQKGADYAPLF QPGPLSILHPPTPHVNTILLAGRFPSILCYDRRTFPKIQSAIHSGGRLCGLASVPAPR FPVSSNFDWQVKHTVVACGEYNGRGSLELYDLNFHGSDPQDENSESHMQSARYQNRQS AASSKVLSVASHGARIVFSDSNGDIKWVERDGRTPVRRHWNINISRRQSKIAAPGNNI DESDQVRGLFFTSDTSMRNDVVRKILPTDANLNGDELLLWTEDRIGRLRFSNDPDEDT DDEIHDRMSLDGKLVDAQGRPRNAENKNMPVTYVGHLNDKRMSGYVCAPILPSHADKL LLPQMVINNADPLITAYTISIDSNDPSVTTGISAHDRALTCRQLADPNIKSENFRRPG HILPLRAREGGVRERRGHTEAAIDLCRLAGRSLAGVIGELVEEGDIVEGVAEVKGNNG MMRRDACLKFGKRFGLKVTTIEDMVAYLDKLDPNRRFDFVNGKA TSTA_112430 MSYLQQQINNFNSSVVSSAGRLPQQKRIIGNSQPPTSNPGTPGP ARRHEPANVVYSQPADTGTGKDIMTNVLYAIQKMKEKNAPLTFEDIVGYLSLQDRRHD QGYVHALRSILQVHDKVNYDPTGADGKGTFSFRPPHNIRSEEQLLQKLQSQTTMTGIL VKDLKEGWPGVEQIIDKLERDGKLLVTRNKKDNHPKMVWANDPSLMHKFDDEFRQIWE KTKIPDHQQVIEELEKAGMIPTNKNKVVKPRPKVEQKKTKKPRRTGKTTNTHMMGILR DYSHLKR TSTA_112440 MASATIEIPFLASHYGIAEDTLTTLAQAPTVDLVNQLLQSITVK AREFDDLKSDKLRLEVELENAVRASESKIKVLKSSVEKGLNETSTLRTRLQQSENAKS NLESEIATLKSFSTSNESEVNGLKSRISSLESSNRDTLALLESKTTAYDKLSEELTAT HQKTVELRRQLSTAEQNLQAANSASTSARFREQSLEQELELTKKNNEYFETELKAKSA EYLKFRKEKNARVAELQREIEEASSTIDQLRRSENTLKSRLDDVEHKYEESIAAMQSL REEATSTSESLRIELESANRLAELQGNAAATAKQRVQECQIALEKARDDAAEEISRLR AEIETEHSDKEAAERKVAELEAKLSQVEMQAPFSPGPMNGGPSTPIRASTPVGTFSPR TSRGRGGLTLTQLYTEYDRVRSQLVAEQRNNAELKATLDEMVQDLESSKPEIDELRAD HARLENAVVEMSEVLETSGKERDEATREARKWRGQVEGLSKETEILRQQLRDLSAQVK VLVLEVALSREDQEYDREELVKLARNEIEESMASLNATGRFITQNLTTFKDLSELQEQ NVTLRRMLRELGDRMESQEARERESSYQQDQEELKELRIRVQTYRDEIMNLTAQTKSY VKERDTFRSMLMRRGQAAGDNAIFSQSVPLGSAPPTMPEQAADGTDYADLLRKVQAHF DTFRQETATDHSALKQQVNDLSRKNSELMSEISRLTSQLGAAAQRTELLQNNFDLLKN ENVEIQKRYSSLLENVNRQDIKTQQAAEDLVAAKGMIDSLQRENANLKAEKDLWKSIE KRLIDDNESLRNERGRLESLNTNLQNILNEREHTDAESRRRLQHSVESLETELQSTKR KLNDEVEDAKRAALRREYEHEQSQKRIDDLVTSLSSVREELIGVKTTRDHLQTRVDEL TVELKTAEEKLQVLHSKPSAAPTTTLPTTDTEQAPTSGLTREQELAIEVSELKRDLEL ARTDLEHAKEQVEDYKAISQATEERLQSVTETQEEYRQETEQLLEGKNGRIVELEKRV EEISSELITTNNELSKLRDEQSEISRRVEEQKTIYESEISRLKDEAERQLENARTYEQ SVQAQAEIAQHAQQNYESELVKHAEAAKQLQTVRSEANQLRLEIVDVKTQAENAKKDL VQKEESWSEQKDRFEREISDLQKRREEVLHQNTLLHNQLENITKQITALQRDRANITA EEEEGQGTGSSLESLQEVIKYLRREKEIVDVQYHLSTQESKRLRQQLDYAQSQLDDTR LKLEQQRRATADSDHQSLSHNKLVETLNELNVFRESSVTLRNQARQAEAALAEKSTRV DELVQRIEPLQNKIRELENLVETKDEEMKLIHADRDRWQQRTENILQKYDRVDPTEME NLKEKLSTLEKERDEAIEARDTLQKQVDGFPEQLAKTAEESKAELRSKLTEQFVKRSK ELSGRINAKQSELNAVQQEKEVIQQELTKAREELNQLKSTPVEQQTTAMEVEPASVTP AVPQPAPSTSPGNEEKIKALEEKVARLEAALAEKEAQFDTKLKERSDKMKEAYNTKVA ELRRNHQQELEALKSQQRQAPQEAGQTTEVTIPGTPAKAETGELPELTDAQAKDLVAK NETIKDIIKRNIQQQVNKVKAQLQQEARNQAAAVAPSLEATAELESKLAEERERLKKV SDETIEEKVKSAVELNDKKIAVKISMLESRAKTAMAKLQVVQKAASETPEKPVREVWE VAKDAKAPPAAPTTPAKPSTPAPVAQAQTPVSTPSVVTNKVAPIPAPTPAATAATPAA VPVATPAAAEVLAQPQQQQQAASPFAAIKEGQQGPQTQATQPQNVANPFAVIKQDRQN QATQPNQQASIPPKPPAGGVGNHVGPGPATLRALQSGLPVARGRGGGRGGSQNVGGAQ PTDQSQQGQVQSQRGTGMTRGRGRGRGGQHGQTNAPQNADQSQEISGGNRGGLNAGAR QFIPQGNKRPREDGSETTAGDAGGAGKRIRGGGGHNRGS TSTA_112450 MASTSSSTYLKPPPSASTSTQRQRVSSSSASGPAPPPRAPVSVH STTTVSETTAFHGTYPITIGSGTVIHPRVRIQAFEGPVQIGDGCIIGEKTIIGDIKES TSAPTSTSDGILTRISSSVVIGPQTTIRTGAYIRSAAVVDSLATINRHATIGSHSKIC SQCEISEGTVVEDWMVIWAAGTGSIGQRKRRRFVGPAAEEHGGHRPNAKRIEDARLLV LHKEREGLAKMISLSTSGGSKRSATPNNAHIPYV TSTA_112460 MSATCNRFYSFVSQSKEMEVASETNFAAAVSLARAALDDPEESK SDATLLTVMLLSMFENLSSVKAYRSPLKTHFIGLAQLLQHRGPDLLHSGISLFCLHQF YYRLAAVVLGGDLEAMRELLEISKSIRPPCDMHPTNTLASFTTGLAYLRLRLEAINLC SGFDVQKITLLMSLALQLEGDLSRWVNDVPASCQPRKKVLDIFLYYPDWEDKYPSLAV AQDWNHYRYMRIMTNIVISRCAALLRLYSKVAAADQACEQMVDEVLASVNFYIGPLKK YENDEDEDDDDDYHPHRMSQDRVVDKKYALGALGAMLLLPKLRWILQAEPSLRPKQRA CLESRVKTMLKFYRAHGVENVDMQDQ TSTA_112470 MLRRQKSYHSFPPEEPSNAPSTFNDKLPLPKLIVFDLDYTLWPF WVDTHVSAPVKPKDNNSRCVDKWGESFAFYPAVSSILHSAKSHNIPLGIASRTHAPDL ARDMLKALHVIPTFTDNPAARDTRRRTVRALDYFDYIQIFPSNKTQHFTRLHQSSNIP YDQMLFFDDEARNRNVQTELGVTFCLVRDGMTKEEVDRGVWEWRKAFGIKPGDQPGDL EEREVSRFDE TSTA_112480 MEVSGSRPRVRDASPILGLSDNMSRPASSKSARIRDVEMHREVT TTVEPSPSIGQFSFAPATRTTVVTTTTTTTTNFPPLILNPPRSARELNPKLYPLAAYP TPAALRKFQFELDGQSVIFNEPEDTDAAINELNEQHAALRSSKGVLTSVAGYDGPNQN TSVPYPSNTAVTRRRAVSPFKYPDTSGRRTRSLMVPSDSRGTPSQQRKSRLGGIASAT NSSVAGLATPETETTRFRLDQHVALRKRLHTEKAGQLVTSATSYQGDVDVSQTSSPSQ SFASKPRVKRQNLVEATPALEHQPGSMTLVSSDYHDQDPQSGTEDDGSAETLAAGNEG TQESQPCQQTVPTSLSSHDSVLGQDMCLPSPSLSPVTAMNTHNPDYFLEFPDDQGTDT DSSLDNHIPVLSNSLRQKTMHDASTSQRSMNANAIQSRPTSLMDIPATLEFFEAIPDE MKTYMMYQLLKRCPKPTLQFVADTVNPALKCDFLALLPAELTLNIVKYFDYQTMCRAS QVSKKWRHILNSDERAWKELFERDGFQLPPGELQQAIREGWGWQFPNGSEDWERDLSL SLKNDSDLSQTSHALSSSFMSNALSLDSVSPRRSKRKATNKTPTRKIARRKLLSSRRA ESPVSDSLDWVNEVSVLEGPAAAANAAAIAIPYPDVGLSSLRSLHLYKSLYRRHLAIR QGWMRPDLKPKHLAFRAHDRHVVTCLQFDSEKILTGSDDTKIHVYNTKTGALRSVLEG HEGGVWALEYHENTLVSGSTDRSVRVWDIEKAKCTQVFHGHTSTVRCLQILLPAEVGK NPDGSSIMMPKEPLIITGSRDSNLRVWKLPKPTDPYYLDASSHAEDTDCPYFLRTLSG HQYSVRAIAAHGDTLVSGSYDCTVRVWKISNGECMHRLQGHTLKVYSVVLDVERNRCI SGSMDNMVKVWSLDTGALLYNLEGHTSLVGLLDLKGDRLVSGAADSTLRIWDPENGQC KNNLTAHTGAITCFQHDGEKIISGSDRTLKMWNVRSGECVKDLLRDLSGVWQVRFNDR TCVAAVQRDGMTYIEVLDFGAFRDGLPEYRLGKRILVDRFGFPVDNDDDEDDFDGTE TSTA_112490 MVRLNYTSRKISGVKAGLAVKKDLNKRIPSTPNFRVRDPVLEID ISGKELTDDGFNEFILDLRIALQSASPEYPQGINKLQELHLKGNQLTVNALVELAKII QLSSRDLKELDVSNNDISIITISDAQKWKYFLQSFSQCCVLKKIDFSGNSLGARGIEI LARVYIRSELDFVETVVDSEEDEHLNDLELEETTQRGEHAVVSQATKEVSRRSQTSPS KAHTYTDAEFRRYACTRGLRSVPYLILQDVSMTNGAIIHLAEMIRMHRTPETLLPFLP GGKPLNLPPSEYGAGGIYWLPNDGISELSVRLMKLMEQMQRSIAENESDDELAVDFDE FNFDDLDHEEMERNQEERERRRRHRQALTVNLGRATSQQRIHTLGTEGLRKSVLWHCA LRMLAVSRAILLDFTSRPTPVEEELAASKKSRLEQLRRQDATRSSLPVDKRHASPKLN DQLLTGISSQERPLSSSPRCLSTRTVVDGWSTKFDDIPRGALISSLRFDPKSSTFDHM FPAMHHTPENPLLQVNPPPVAAAMPNYPCIIESEHDIKASGHVLKGGKSDTKKDGGVH PSSSSPTTLSCGGKIATTTGNYRSKYQFGLPLHLWRRIIAEAMGVNGILHPDQQMKIV SYAASWGALEAEMSINGAAEHQQLWKILDSIDCFTYKPLS TSTA_112500 MSNETVVLITGTNRGLGLGLAKLYLQQPNTRVILTARSNTTAVV DALPKSNAGVLHGIYKLDSASNVDAVALRESLTSSETGVSKIDIIIANAGIGEPFDSV LDAPIDALENFYRANTLGPVRLYQQLWKDLLEKSTNPKFILISSALGSVTYVDKSPCG GYGASKAAANYFVRKMHMENEKLTAVVLHPGWVKTDNGQAYADAVNVSEPPLTVEKSV DKIFEIVSAATKEGMSGNFIDIMTGSDILW TSTA_112510 MTDNWDPAKPLVARQPLKFVYILYYILTSAVSVPTWAILYGIPF LRPVSSWSYKTALVNKISYHLLKFLSMIRIQTPQPITPGNETDLFVVLEPAKDLKKTY TGLLDNPQIQPTTVGAFWIPNLKHLASATSSTNSNWIILHFHGGAYVLLTPRDPAVQR GPRQLCEELPADAALCLDYRLSSKPKSSYPAQLQDALTAYNYLIHDQQISPSRILVSG DSAGAHLAITFLRHLTTHPESGLPLPRALLLHSPWLDLTEKKLSVDNIQKGRDYVSDA FVQWGATTFTPAGSPRDAEFVSPFYHPFTSPIPIWVQAGGVETLYATIVEWVNKMRNA GSAIELYTMEDMPHDVFHLSTGMGLETEARKALRAAKAFLIKDSIQVIYFTQLSRL TSTA_112520 MLEVHCSGTPYEIGHRHGSIAKEQVAGSLKFYQAYFLLKSQMDW ATAKAHAAKFLPLLQKDWPHYEEEIRGIADGCGHTFEDILALNVRTEISMGLMADGCT AFYWHQGDVSIAAQNWDWEREQRENLITLYIQQNGRPNISQITEAGIIGKIGLNSTGV SVTLNAIRARGVDYNRLPTHMALRAVLDSSSREEAICILDKSGLAASCHILVSDQTGG TGLECSSVDVKHLELRECKVTHTNHFYVPHEKGVKEAVFLEDSLYRARRINELLESTT EEGKSLTVLAAEHILEDEGNFPGAINRASSDTSGAETLFSIVMDLESKTARVRWGRPT EAIEAFVLRP TSTA_112530 MKVLYFYLHIEIINQNKLTTGYYNEKHQKGPNFKKGEKEKISEV NYKLRLLNTIRVHPIFHILLLELAPQNAKTQEEITIEQETYKVKSILAEKESPDGKLY YLVKWKDYSIKESTWEPIENLVGAEEVLERTGEPCIKLLDGRSKSTGF TSTA_112540 MDELTPRLRGGPRRACDNCRLRKIRCNRDQPCDKCESIPLVCRY NDIVRRKGPKGRTAPVLTALLSTGRPEASSIYNQDPQLVNDMFPDILQSSLAPQGDSP YPADYDASLSLYEEPAANLAPLPRRISSSQLQAYVQAFLQHLYSIMPVVDVNSLLLDC ASPEALHPRRYALLAALSAATYFQLKNHIPQKDANLDTTGLGYRLAKEAEETLHQFDP LEEPHVDTLLTMFFLFAAYGNLHKPDRAWHFLNQSISFAYTLRLNIESTYWVLNQNEA DILRRVYWLLFVTERAYALQTGRPVMLRATIEKPSVFRSESPITMYGFNSLISLFEKI TPDVYEWNIGNTGRPCNLSSLSDIYQSVAFTAPLLGEVSETSRVDIILTQQWLQTRLW RFCMGRQHLHHTHSDTRLPRQTPAMAGKIVMSCLSSVTQKSADAHGIGLEQKLYDIGE CIFHLSQQLSLKTDKSLEVSTVDAKEVLYGILTSLSRVRGSQSYLFPALLQQSQGLLG LEDVPPPLIETSPTPEADEHGLS TSTA_112540 MDELTPRLRGGPRRACDNCRLRKIRCNRDQPCDKWRPEASSIYN QDPQLVNDMFPDILQSSLAPQGDSPYPADYDASLSLYEEPAANLAPLPRRISSSQLQA YVQAFLQHLYSIMPVVDVNSLLLDCASPEALHPRRYALLAALSAATYFQLKNHIPQKD ANLDTTGLGYRLAKEAEETLHQFDPLEEPHVDTLLTMFFLFAAYGNLHKPDRAWHFLN QSISFAYTLRLNIESTYWVLNQNEADILRRVYWLLFVTERAYALQTGRPVMLRATIEK PSVFRSESPITMYGFNSLISLFEKITPDVYEWNIGNTGRPCNLSSLSDIYQSVAFTAP LLGEVSETSRVDIILTQQWLQTRLWRFCMGRQHLHHTHSDTRLPRQTPAMAGKIVMSC LSSVTQKSADAHGIGLEQKLYDIGECIFHLSQQLSLKTDKSLEVSTVDAKEVLYGILT SLSRVRGSQSYLFPALLQQSQGLLGLEDVPPPLIETSPTPEADEHGLS TSTA_112550 MLSFNSKSSSTAQTAQKPARAWWKESTVYQIYPPSFKDSNGDGI GDLQGIISKLDYIQGLGVDIVWLSPIFTSPQVDMGYDISDYYNIHPPYGTVEDVDRLA AALHERGMKLVLDLVANHTSDQHHWFQEAISSPSNPFRDWYIWRKPVFGQDGKPQPPN NWVSYFGGSAWEYHEPSGEYYLHLFAKEQPDLNWENPKVRRAVHDIIRFWLERGADGF RMDVINFISKDQRFPDAPVTSAGPWQKGARHYACGPRLHEYLQEIGQILKEYDAFSVG EMPEVNDCDEIIKAVGHNRGELSMIFHFEIVSLDHGAGGKFTSKTWDMGELKRAVSKW QTFMHNHQGWNALYLENHDQPRTVSRFGSDEPENRAMSAKMLAIFLGFQSGTLFIYQG QELGMPNVPTHWTIEQYRDVETLNHYKEIITSGSPGVSLTEYRSKSRDNARTPMQWDG SSHAGFSTVQPWMSVHDDYRNLNAAMQINDERSVYRFWATILRLRKEFPDTLVYGSFE LISSDHPDVFAYVRASEAGSAVIVTNFRPREVNWVPPDMLSRRVGPGAILLGNYPDRA IRSFSGQGISLRPLEAFIWLGVAEEPHL TSTA_112560 MGSKSTAIHLEGKETLDIDDTLIHDAQLATTKEHRMTLWAGLKL YPKAVGWSLLISSAIIMEGYDVVLMGSFYAFPAFNMRYGHVLSDGSYGLSAPWQAGLS NAMNCGQIIGLFANGIISERFGYRKTMMYSLVATMSFIFILFFAPNVQTLLVGEILMG IPLGVYQTLSVTYASEVCPVALRAYLTTYVNLCWVIGQLIASGVLKGLSGRADQWAYR IPFAVQWVWPIPIFLGVYFAPESPWWLVRKGRRDDATDSLLRLTSQTDTDFNAEETVA MMVHTNEIEKEVNTGTSYLDCFRGTDRRRTEVACLIWAAQNLCGSGLMSYSTVFYERA GLAISQSFNMSLGQYAIGFCGTVFSWFLMTRFGRRTLYVGGLAILTVLLLVVGFISIA PETSSTAWATGSMLLVYTFFYDSSVGPVCYSLVSEMPSTRLRIKTVALARNLYNCFSI LNGVIIPYMLNVDAWNWRGRAGFFWGGLAFLCWVWAFWRLPEPKGRTYAEMDKLFEQK VPARKFATTEVRLFSEEAAESPGQHMERKLSFATLLVKVRIAQPIDWATDVKKVHEAG AK TSTA_112570 MGKGDLQTNSQQLLPSVLKTRAQCNPDGAWAQFPVSDTTYALGL ETATNLQVFNAVNKVAWLLDGKLQPSSSFETIAYIGPFDLRYFIVVLAAIKVGYKTFL PSPRNSKVAQLHLLEKLQCKKLVTTDPQLPAVNTILEGPTSIETTVHIPSLRDLLDSS DGTPEDYPYEKSYEEAKDEPIFILHTSGSTGIPKPLIYTHQFVTRTVNVTALPAPSTG DFISGNDTLRTGHWFTFLAPFHISGIGFGLMVSAFNDCVPVFPLPGQPLTTDQFLDAV RHCDMDWAFVLPFILEDLSKELAALELVSQKLSHLYFAGGSVPRQAGDTVASKMPVYQ TTGSSEVSLLSQIHSPDRDLKRKNWSYIQLHPTLNVEYRHHYGDLYEMVIVRSPSNEE FQPVFLHFPELKEYETRDLLSPHPDMPGLWRYRGRKDDIIVFLNGEKTNPISFEQEVS RHPEIRSVLVAGSQRFEACLLVERHNTEILAGDEHNQFIERIWPLVQMANNQCPAHAR VSRDNILLVNPSKPMARAAKGTVQRAATLAMYEKEINTLYAANASNRLLGSKLASIDP KNPETLLKTLHDLVAEVTQWDSSSFDNESDFFTLAMDSLQALHLSRELNVAPSMIYGS PSVEQLMKSVLSQSDSNSDLATKRIKTITDLLHKYEAEIDKIAAVKSEYSKQEPSAKE DNKLDQRAVVALTGSTGAVGSYILQKLLQNDHIAHVYCFNRAADSETLQKTRNAKRGI QTELPPDRVTFLTTDLSQPNFGLNEELYQQLQSNVTHFIHNAWPVNFNQALQSFQSSL SGVLGIISFTAHAKRQPVSTLFLSSISAVSSYHKIPDAVHNDLVPEEIIINPMCSAPM GYAESKYVAERMLNYAAQKLDHKNIGIARVGQIAGTVTKEQGSPHGWNRHEWLPSLVI SSRYLKAIPETLGSSISSMTEGNEDTAGVLDRIDWVPIDQLASLLVEILFGLSSTETV EGSGARVFHPINPNWVKWQSLLPTLIDILDALPSSADEKDTRIKAVKYDEWLNLLKST TTIGKSTPKELVTADVLWENPAVKLLEFYTSLSGADNNKPPKPLATKQTSESYPSLLG LEAIKSEWLYGWIRDWVLDSSV TSTA_112580 MAGNNNYSMHSIVAAYGVGLAPHGYYFIKMMANAKGQASNILPR ENLTNLKGRIPAHVWDKLARARGAHLNALESLPMFAAAMLAGNLAKLPSSELNTLAVE YIGARLLYTAVYLGVRSEAASYLRTGIWAWSISIPVWGLIKAGRMLNSSSELSGPL TSTA_112590 MAGKSKAESLVVPELTEDAAERKRVLNVLAQRRYRQRRRERLRA LESRVKYDGVVNEDSTINRSKASRTRRESREEEVSDQLEVQTDLGAADGAVRYTPVSS LPFNNEDDDQPAQFDMSFLSPFNSQSLMYPAIDINDTFTLSAFGTSPQMDMSTFESEH QLLDSLLDRSDDTTPSSYETGDISDELQTSESAIFTFPDDRLLEVPPLTLLNAALQVA QRLQIAELIWDLGAISPFYQGQGTSSSSSPSLSPPSLSMVTTDSSSAEVALSATLPTH LRPTSTQLLIPHHPLLDLLPWPSTRDKLIQIFNLPPNLRPKTAQSPMGLIQLVEDMED TGGEGVKVLGSDPFEPCGWEIGQLMFEKWWWAFDTELVTRSNQARKKRGEKGLAMQGS QL TSTA_112600 MGRQSLRSPYPITARTSSVNAETIMYDERNLPRQASQGTTRMAE RNRMASMQQPDPVDSSSFDRELRVRASSPLLGRSYQSSARYSFRPPLEKPQLHNPSSP PGPSRNMNFDKYAFDTQSQRSLAFGVVDDMRGIGFPHLDHNTEAATKKTEQREVAPKK KPSRLDLSLLFPKPKPAAAPLLSPQRYTNSPSPVTSDFSAKSPPAKLKKPRSRGNVRA SVKEPTAPIFDEPPLPRTRVSSRRPAVDWFDVPLEKIIRLGESLEVDVDNDRDEDDTE KSDVVSNNPKPLAAATYSRTPAAAGTKAVSPPRETAKTTAPSSKRSSRTPPSVPYQAP HQNRRTENQYYRQLLPPSNNPRSRTSLQSWQSEGDLRAANQKQKPAGRLSKKKSNSTF QTLDLTKNSVLSLSSSEDEGGDETHSDADEDYGEQLMRKAAPSRGPRDSFATNDYVEP EICHAEAVVATKGYTLTRLGRASSNVSSVSSDSRGTQRRPIPSRPDSLASMGKSSIST KMYGPSGHFDVPLIEEPEEQADRVNSTRRSRLSSQSYSDLHSAKRRSRIIAVTRQEES LLEAMRLRNGRITPSIFQGISASQTEDSESAFATLDSPATQCDTSFLRLSAAMQIPPI TSPNLAESTASENDTYVPYRPASDAEQKIDHHSINNSTASPRLSLAYSETPSSTSTMG HASPVTPTVLPIHRFSQAAPPPSYAPPPVPDDVMARRHSRRRTDSSEAIVLEESDAEK IPNPHLYPLWAVKWTRDPNDVAIAH TSTA_112610 MGAAKQTSSKSRSTHIRNFTLLLLTIQYASTVVLIHYSRVMPTV AGKRYVTSTAVFLTEAIKLAISLTMALYEISKRAPPSMPATSLFSNLSNTIFSGDSWK LALPALLYTVANSLQYVALSNLDPATYQVTYQVKLLFAAVFGLLVLQRYIPARNWGLL LFLAAGVVLLHAPGHRSDQLVARDESVQFPRSLEEWKQKKGYSPMKFVKRSASYEGIE EDMLLEHPPLDGRVGLIATLCACLASSLAAVSFEKVIRDSAAKTSLWVRNVQLAVQSV VPAFFIGVIFLDGEVIAKQGFFAGYSWIVWVIIFIQAIGGIGAGYAIVYADQTAKTTA TGFSLVVGILSSLSVFDLDLSVNFSIGAIVVLIATFLYGSSAPSPSSLARMRPPPIRI ESYDAPENQDGPGASPPNDFSIKLPTTPLLSAGLSTSRPASPSHPRVKSNQNGSGYFL EPDE TSTA_112620 MAFPRNTFLPMEDDGISLDMFDRELFAQLGEQDPQPVVLTRGGL VDRFMEESVSPESPVLGQYSSSPETSFQSSPLLRYFRPSRPRIASTPGLSEYQYAPAS TNERSEDSSPSRKMSSSSPSLPRQISFSHAPPVVQGIRLIETKQLPDRFRALFPYPLL NAVQSKCFPHVYENDYNVVVSAPTGSGKTVIMELAVCRLVNNLKDGLFKLVYQAPTRA LCSERFRDWSKKFASLGLQCAELTGDTDYAQSRLVQTASIIITTPEKWDSMTRRWRDH SKLMQLVKLFLIDEVHVLNETRGAALEAVVSRMKSVGSNVRFIALSATIPNSEDIATW LGKNDMLQHLPAHKEHFGEDFRPTKLQKFVYGYPCTGNDFAFDRLLGSKLPEIISKHS NRKPMMIFCCTRNSAISTAKELAKLWSNTIPQRRLWAGPVRMPAVKNTDLKAFVASGV AFHHAGLDSDDRHAVEKAFLEGKISIICCTSTLAVGVNLPCYLVIIKNTVCWQEGGCK EYTDLEMMQMLGRAGRPQFDDTAVAVILTKKERVSIYEQMISGTMQLESCLHLNLIDH LNAEISLGTVSDIQSAVKWLAGTFLFVRLRRNPTRYKLKENADRRDEDEMLQQICEKN VKLLQDAELVVRGGAFKSTPWGEAMARYYIKFETMKIILGLPPRTKTSEILSAIAQAE EFHELRLKAAERPFYRELNRAHGIRFPIKVDMAQNAHKISLLIQSELGAVDFPAAEQF QRHKLQFQQDKAIVFNHINRLIRCIIDCQIHKEDGVAVRNCLELARSFAGRVWENSPL QMKQIEQIGVVAVRKLAGAGITSIQELEETEAHKIDMILSKNPPFGMKLLARLAEFPK LRVTIKMLGKASKHGRALRINFKAEIGFLNEKTPTTFHKRPVYVCFVAEISDGRLVDF RRLSAQKLQNDHEILLSAEIKSPLQYITCYVMCDEIGGTCQYAELKPDVSDSFFSGDT LDKSSDRFAMNTSRRRNGTGGTPRQTKPARNESFEDDDIPDDAFLAAVDNVDSYQSQQ RSSVNTASRQSRKRERGLNEHHEDIDKVQPIMLENGKYNCNHKCKDKSTCKHVCCREG LDKPPKRTKSNQMNILSSFETVDYLDLTQPSKSEQPTKQITIDRRLVDSKATRMVNLD FASSQATIPKATICKTVGNRKRSNTRRRHSSSEYDNSSVDEYFSPTMPASNSMDNSDS PTVTTALGLDDNFERIMDASNGGLSEDNIQSDVQSPAAKRQRSALPTHESKSVSFDEE KSPTRLSNKKNLKSGPDGVLVKRKIEPLSDATNVGINAPKRPKADTTQPSAESIKNAA SHDDPYSIEELRSQEMDQPESRDENIDEMLLQEFGDIVNFSAM TSTA_112630 MFKPKSPYRLCQALLSQTSLQQQQRCFQTHPTLQIHDQKFLHTS FDDLLLTDLAAYWDTTPPNPVQIQSAERFFAHTRHSPVKLYSAAQFRTIPFDSKEPEV AFLGKTNVGKSSLINALVDDEICRSGDKRGKTTEMNAYGIGGTKGGESKIVLLDMPGY GAGSRPEQGEEIMKYLKNRKQLRRTYILIDLLHGIKPHDQQILTLLRQYAIPHQLIVS KVDRILCDKIKSIKHWHYNRKIKLSKLSKLQQELEKLRAYDRIPSNPEDRNYEFAAAL AATKGPPPLGEIICVSAMTDVAGSMKKSFLGINALRWSIMQATGFDGSVQSVIPPV TSTA_112640 MINAVLVFNNTGQPRLTKFYTQLDTQIQQKLIKQIYDLVSQRPP SACNFLPLPPLLSQGASSKAASGPSDAPTQITYRTYATLSFIMISTSTESPLALIDLI QVFVEALDRLFQNVCELDLIFGYETMHATLSEMIIGGVVVETNTDKIVAGVKAQEGSR GKKIAVDAASSVGQLSIPGLGSWR TSTA_112650 MPPKGKKVAPAPFPQGKAGANKKTKNPLFEARNRNFGIGQDIQP KRNLSRFVKWPEYVRLQRQKKILNLRLKVPPAIAQFSNTLDRNTAAQTFKLLNKYRPE SKAEKKERLHKEATAVAEGKKKEDVSKKPYAVKYGLNHVVGLVENKKASLVLIAHDVD PIELVVFLPALCRKMGVPYAIIKGKARLGTVVHKKTAAVVALTEVRSEDNSEFSKLVS AIKEGYSDKYEENRRHWGGGIMGAKANDRQEKKRKAIESAIKI TSTA_112650 MKFVLCVWTEMFFGLARRFRPPKGKKVAPAPFPQGKAGANKKTK NPLFEARNRNFGIGQDIQPKRNLSRFVKWPEYVRLQRQKKILNLRLKVPPAIAQFSNT LDRNTAAQTFKLLNKYRPESKAEKKERLHKEATAVAEGKKKEDVSKKPYAVKYGLNHV VGLVENKKASLVLIAHDVDPIELVVFLPALCRKMGVPYAIIKGKARLGTVVHKKTAAV VALTEVRSEDNSEFSKLVSAIKEGYSDKYEENRRHWGGGIMGAKANDRQEKKRKAIES AIKI TSTA_112660 MADAEEDYSSLPLPDRFTHKVWKARKGGYEDAAKQFEKTPDESD PVFAPFLQDPSLWKGAVADSNVAAQQEGLAAYCAFLKYGGVQACTRSRGATVSAIAEK ALPSARPAAKANALEALLLLIELDKADPVIEDLLPILSAKQPKSVAAGLNAITAIYHN YGCKIVDPKPVLKILPKVFGHADKNVRAEAQNLTVELYRWLKEAMKPVFWGELKPVQQ QDLDKLFEKAKEEAPPKQERLTRAQQEAMASAPAAGEDDAGGEAGEDYGEDDGEMEPL DLAEPVDVMPKVPKNLHEQLSSTKWKDRKESLDELYNALNVPRIQEAPFDEIVKALAK CMKDANIAVVTVAANCVDLLAKGLRSGFAKYRSIIMSPIMERLKEKKQSVADALGQAL DAVFASTGLSECLEEIFEFLKHKNPQVKQETVKFLVRCLRTTRDVPSKPEVKSIAEAA IKLLTESSEVVRSGAAEILGTLMKIMGERAMNPYLDGLDDIRKAKIKEYFDTAEVKAK DRPKPIIAPPKPAVPAAKKAAPGSKKPALGLKKSAPAAAPPPPVEEPSPPPKPKAVPK SGLARPGGVPKSGLATPGGGLKMQRRIPGVGGAAAGSPRRVSSPPPDEPAPAPPPAQP KFGLGRGLTGRPISKTAAPAEAAPVAAPVMSGLAAVERAELEELRLEKERLLKINEDL KSEKTRLSSQVTELQNQNAQLIEDHTRDVLSIKAKETQLVRARSDAETAEQTVQKQQR EIDRLKRELTRAVRASAVSPPAVLSDGLHAGVSDNGPLSPETGTYSSNGSRAGHYMGS RLDNRPRSYISSPSEDKENNGFGSPTAETHLDSTFGRRKFSPPVGQSLSSRGSPVRAT SRYASASSNHSGEDQPSITSRSAEPAENWKRAAEVTSQLKARIEQMKARQGLTRPPPS AHS TSTA_112670 MSDSSGKLPFLRLNNPFIQNLIVSACLFCNPGLYLALLGAGGGR ASSITMANTSNGVLYGVFVFSALLAGTILNTVGPRLTMMFGITGYPIYIGAMWYFDAF GHLWFPVFAGAYLGLTAGCLWSTAAYTSNAYAEEKDKGVWRAIQWTSNVSGAAVGACV ALGVSWNSNTLGVPHSVYIVFIVIQSISMGLALLLLPAEKLRRPDGTALAAFKHMSPM DSLKITLSLFKDWRILFMIPTFFTPEMFFPFQASMNAYVFNLRTRTLNSLLNNLIQIP VTLFMGFLLDTERLGSRRKRAFMGITFDAVWITGTYTAQTVWLASWKFDRSVEGPAID CTDSAYAGAVVIYMFFAAQYGIFQNVVLYVVGTLTNDPRKLAAMGGFFVAWLSAGTAV SFGVDATAQPYENENAAYFALTTLCWPILYFITWKCTTDTNYMKEDTVIVPIHVRKEI GLDGVEDTVDVGENKGVDDSGEKGIKAM TSTA_112680 MQLRSRALATAIAALIGLSGASNGPKNDSLVAQQIDNQPFPYDF PKLGTNGADLFPMRLCNGFKLEEATIDEIQAELNSGNLTGVQLLKCYYERIYQVQPYL NAILQFNPDALDIAEALDVERQNGTVRGPLHGIPFVVKDNIASKDKMETTAGSWALVG SVVPRDAHVVYQLREAGAVLLGKAALSEWADMRSNDYSEGYSGRGGQCRNPYNFTVNP GGSSSGSGITVTSNQVPFALGTETDGSVINPAERCAIVGIKPTVGLTSRAGVIPESTH QDSVGCFGKTVRDATYVLDAIYGIDQRDNYTLAQKGKTPKGGYTQFLTDKSALKGAVF GLPWLSFWQYNDPAQNAQLMELLDLIRSAGATIINGTELPYYEDIVDPQGWNWDYGTQ RGYPNESEYTYVKVDFYNNIKSYLSELENTNMRSLEDIVQYNIDNAGSEGGIPGVNPA FASGQDGLLASLATKGIMNETYWQALTYCQRTSRVDGIDAALNYNGHNLTALLVPPDF GPTYEIAAQAGYPVVTLPAGINKVSKMPYGLALMGTAFSEATLIKYASAIEDLQLSSK TPWKRSLPTWSGYLERNIPVINA TSTA_112690 MSTVPSNIEAAQAAGRIPAGLSLNYLAESRDRSAKIAILFVGCL TIVVVVARCYARVFLVKSFGLDDALAAFTVVGLLFTPSDNGTGILILLRSQLLYIAIL ALCIILIDLGSGRHIEYIQYILSLPQVNRTEVLDFVMHLLYTTALFVCRLSGLAFYQR LASHHSKISVAIKVATGFLIIAYLAQIFLLIFHCVPVTGLWPYVWQPELNEYTCITWG EVYSVNSALSLTCDLIMLVIPFMLIYLLHVSRKRRFQLSLILFPGVIVLAISSVRIYL VVVGQWATDGSWAYNPMLAIETSEIGGTLIALSIPALKPLFGSWFGHVKSSTSGPTPK SSRPEHSGYSHNISRDLKMGQNGNTGSIELNSYHVNIAAGRKHAASTPTISVKDALEN DGSSDDLLLDGDVPDRAHSAFSSRIHVSQETTVSAAKASDFA TSTA_112700 MQPWEEIASRCQSDLLNSIPQRWRLSTRPSSTDVRDVPRSCGLL TQDQLKITEMTAAELVSQLSSGQLSSFEVTEAFCARAAIAHQCVNCLTAYFYEEALQR AQELDRIFKETGKVVGPLHGLPIAVKDYFHMKDKAGTMGLIAWHDKISDSDASIVQLL KTAGAIPFARTTMPQTGMLLETVSNLWGRTLNPFNTNFSAGGSSGGDGSLVGMHGSPF CPSTDIGGSIRAPATFNGLYGIRPTAERIPKSGMITTAPGQISVKVSCGPVCHSVADI KLVTKILLTHYDYIGYEPTAVPMPWNDNVITAKKLSFGLMRTDGCVTPQPPTARALVE TAEALKAAGHEVVEFDPPFDFWEASQVVWKLYFQTGAKETKSVIASAGEPLMKSYEWY LDTFKVKGLTVAELFQLNTKQTGYKKLFAQAWQNTQHLTSTGRSIDALICPCAPSAGF PHDFPIWWGYFSIWNLLDYPSTILPLKKFKIDTTKDIKDSNYVPKENVFDRMNWEIYD PQLWSNQPVSIQIVRPPFTDEELIEVTSEVDKVCNAS TSTA_112710 MEMYIKRDTLNLIRHLISIFDMSTERKQDPNSTLWDPDNTTFPL RSELPALAGAPKDAAWVWGPNDNLGRLNLVTPSRIVSAATEIKSGESCRVDLPLSIPV KPSFGRETFKHDIKVIEGGVGHDDLYTLNTQSGTQWDGFRHISHAPSKLFYNNTRASD ITGSDANDKCGIHHWAQRGIAGRGVLLDFVSYAEKHDIKYDSASSYAISFEDLVSCGQ FQGLDIRPAAQGGDIQVGDILFIRSGFVRDYFSRTEEENIQIGSRQDHIWAGVKQEQE MIDWLHNCYFAAVAGDAPAFERWPSPESYKLHEYLLALWGVPIGEMLALEGVSVLAKK HQRWTFFFTTAPANCPGGVGSHVNGTAIF TSTA_112720 MAKTGTTMIDPHGLFDSRPTFSHVATSSGATRFVATAGQVGADE NGVVPSDIDEQIQLAFKNLKRCLDATGAKVTDIVKVVYYIVNYDPNNRRHFEPLKAFL NGHRPASTLVPVPKLANPEFVFEIEAYLAIPQEPLKTVDVVVVGAGLSGLKAAYEVQR AGYSCAVVEARDRVGGKTWSVNQDLAGFVDVGAAWINDTNQSEIFALVQALGLKTVIQ NTTGNIVQEDIDGTVSQFPYSTVPKKLSESDGVQSMVGIRDKTEAACQKLDIHDPVRT GAELDKLTFHDWVKSQGGGKTALASATVWTRAMLGLEPTEVSALYFLNYCKSGGGLLQ MRSDQKGGGQYMRLVNGTQSISIGLAGLLKPDSILLNSPVRTVEQTAEGVYVSSARGE FRCKRLVVSVPTPLYKEITFNPPLPKDKLELSQQNNLGFTNKVIVRYATPWWRQYNLC GMLQSWTGPVAVSRDSSVDEAGQYSLTCFCVGDLGRGLSKLPQAERFKTVVDHINRTV GLGVSAPAPIAFYEHEWMHDQWAQGCPCPAAPPGTMSKYEHALRSAHGKVHFVGTETS YEWKGYMDGAIRSGARGAQEVIRALGTPKL TSTA_112730 MQKHRILSRRQQMHSCDNCRRRKIRCDSVQESPCSACTKSEVDC KFTVGWRRRKRPRTPASVSSREDVDSTLQTPDGIAINVSLSDTSLITNVSPQVSLQSN DDEQRNETNSRLPETEIVASLNLAENGLYHFFRDGIPSSSWNVFDPMDKIRVAYVGTH LSNMSHLVSLNRPRPQFLIYPYPQIHPPLSWKPDISHTTNHDIMHDITSFPAKDIRDD FVEAYFEKINPYFPVVNECEFRARYRDVDNQPPLLLLHAVLLAGAHVSGHPKAMQARH VVKAVIFRRAKYVFDMRHENDRMHLVQAALLFTWHLQNGDTASSNSYFWLGVACRIAF GIGMHRNQLNDPPNPGRMPISDRRLWRRVWWTLFQVEIMSALEHGRPSMIRVDDFDQE PLSPEDFVPENGTVDSNIDYDYCARNIALCHIALELIELSAPRVSPASRQSRMASINA QLVSWMLSLPTNNTESFGALNLRLLYNTVVMHFCRMITPECGSPLQQIDGTEKISLGA RNSIITSLETLQGKGMLSQCHFTAVTAITAAAIQVAKDIQQALEGNQAMIAVNDIHLL DTVCSVAEQLSKYWPSAESVMKLFRSLSQQFTTALNGMRDGYRYTRSEDLVDFQLANV NWTDIFGSSWPMDHLYTTEQEWDVTMVQSE TSTA_112740 MSESDYMTQFCTALAEKASRDPGLPVSNPTSAYWQSEPHELANH QSTQLLSTVDIAIIGSGMTGISSAYHLLQTRPDLRVTILEARSLTSGATGRNGGHCKE DPYDDYEDLKELYGKEGAKKVLRFRLAQLDALVELVGKLGSDAEKLSCLRRVDGLDVF YDQEAFDRVKEKVKNYLEDFPEEKDAWLIHEGDDIDETFGTINAVGCITGPAGAMWPY KLVGAVTTHISNQELYPHLSIETHTPVEKIIKTDDDSNHPFIITTPRGNIKATHIIHC TNAYAAHLLPGLQGKLYPIRGQMTRQVAPGGFPRLGDRRSWILHYDFGYDYVTQVPPL SSSSSTNDIYLGGGFLKALVTGQVSVEDADVGSTQDDLQNPAALANLEHTVEKRLLHG KGTRIVDKWTGVMGFTIDNNPIVGKVPYEISHRAPADGPTEEGREWIAAGFCGNGMVN CWLSGKGIAEMVLNGEHSVRGWFPVDEYACSPERLGRMTLVDRFLEFIKDAV TSTA_112750 MGLKDPRKIIKTGPGHGPECDPLPILEKELAQFRSATVPSLKLP PLTGGAIGYVGYDCIRYFEPTTARPLKDVLGVPESFFMLFDTIIAIDHFFQVVKIITY VPIPDNDVDLEVEYRKGQALLQEKIDALIDPYVPVPPQEPIIPNQEYTSNIGQAGYES HVTKLKQHIQVGDIFQAVPSQRLARPTSLHPFNIFRHLRSVNPSPYLFYIDCEDFQLV GASPELLVKEEKGRIITHPIAGTVKRGQTAEEDEALAAELRGSLKDRAEHVMLVDLAR NDVNRVCDPMTTQVDRLMVVEKFSHVQHLVSQVSGVLRPDKTRFDAFRSIFPAGTVSG APKVRAMQLIGELEKEKRGIYAGAVGYFGYDKSSIDGSSTTPGDMDTCIALRTMLVKD GVAYLQAGGGIVFDSDEYDEYVETLNKLGANIACIKGAEEIYMQLEKAVAAARGN TSTA_112760 MTTEISLVRRHRLTPSFTDSAIDVELTEELTQSDDGNNNSVLTT SSENNAALLNQRIVHIAHLAANDDTLRGLSQDECAAVNKYLDNIEKLFDPRQELTRAI ALNRPASPTSSATVTTSPANAALRRKSVSKTISPKTATTSLQQQTSRDLNSVLEQLSI VNEELRQRYLESRHIHDLFIVNCEGLAQRIIELENEVHELNSDILEDTIELEGLRGTV RGLDSWVNRWQRQREFASASPSSKSARRPKSRSYWRRKHKSSHDDEDVDDGNDFDTFL DGVLAWMRGWNDVEEGFLIRARRRKLRRERNGLISEGFHSQLQPLLRGRSQSASLNEQ EGRILLAISDLQNGRIQRVAQAARIYEIPRTTLQDTQCHKLTQYEEESLVKWVLDLDR RGLPPRHSLVREMANYILSQHGKPQVGKNWITKLIKRRPEIDSKFARKYNYERAKCED PKIIQEHFDRVQAAISEYGILPEDIFNFDETGFAMGLCATAKVITGSDRYAQPKLLQP GNREWVTAIEATNSTGWAVPSYVIFKAKKNVREGWFDDLPDDWRINISDNGWTTDQIG LEWLKTHFIPYINGRTVGKYRMLILDGHGSHLTPEFDHICTENNIIPVCMPPHSSHLL QPLDVGCFAVLKRHYGQLVEQRMRLGFNHIDKMDFLTAFPQARTVAYKAQTIRNSFAA TGLVPFNPDRVIQQLNIRLKTPTPPPSRSSNTASSCLQTPQNIRQFIRQSTTINKRIN ERTESNQNQEINQAVVRLSKAYEMIANDVLLVRKENYDLRAAHEKEKQKRQKSKKQIS IEQAVTKEEVQALVQGQVEASHAVTTTPAEPELPASQAVVRRQYRCSGCNVMGHRINQ CPSRISS TSTA_112770 MVPSKQHKRVISLEIDSRKIRDGSVEPTASTTPQRYQLTRDDRL QIQVLRTYAGLTYEQIAQRTGFTIRQVQSACQANHPTPQKRKGRSLFLKSEEIDRLIE YVTRSRYTRRLSYSELALHLNLNCSKLAIKSALNSRGYFRYLACRKPPLSQINKTKRL TWAEEHINWTLNQWKTILWTDESWVLKGRHTRTWVTRKKDEVFEDTCIVERRVRSKGW MDGAPGHGAQATQKRIREHGINMIHWPPFSPDLNPIEMGWNWMKDFIQANFPDNLNAN DLRIALKEAWEALPESFLEELIESMPERCKAIIEAKGGYTRY TSTA_112780 MIEFKEEVTAEQVEDVYNRWSSLKGLCVRSDSQKPYITKQMAGR NISLEKLTGGFTHIFIEEFKNEQDRNYYLKKDPAHRDFGKIVEPLVKSAQSVQNDDDI YFDNKSWYGSRCRTLSEPADADYF TSTA_112790 MDDYCENNTESEAKRRKIRKGTRSCWECKKRKMKCEFEFLHAEE DSSRSERKGRRRVGSQDRVARVETLVEQLIRKVNRDRPSADGGAPATSQIPARNHEIP TPTSIDLDSARFLHLYEPSTNTWPYFTSNIEGLQCMMMESMYQANGGNLRRSWIANRR AMVIAQLMNLLRSDSRAQYKALDPGIRADPRFMWFRIVFHDRHLCLMLGLTQGSLDQS MATGKAYKDDSPIGRLERMHCTIASRILERNASGSSSDDFELTQSLDLELQKAGRSLP SKWWLMPNLAIVADDSLTLFWNMGQLFNQLYHYNLLNQLHLPYMLRSSPERKYEYSKM TCVNASGGILLRFIMFRSYDRADFCCRTVDFFALMAAITLLLAHLDSERLTQTGNLLA HQYLSDRAMIEQVQMNMEQISCVNGDALSAQSADLLQILLVIENETAEMDSSKPPASY DQSNVLINGMLNIESPEVAGSAFDHNHFGKKSFAESMAGASWSSVDSVGGLPAAFTSR YQYVVSDTALRQYEGPGLTAGLDDWAFQGVDMAFFDNLMKGVDEGNCF TSTA_112800 MLQRAWLANPQTLPFASHIKTHALVSLVQKGLQYHEIEQSLDQD GNPIPFTPSKSFFGPTPLDMESLKTRGETLKAQTELGTTTTTSVPSASKPAPEPTVTS NGHLVSETPQPTVTVKKGRKVHRLEPATNGDDSAMDLGTNGVVHERVSVPSSGLKSPS STEIADAEGDIQMVDPAAEEKQEMPTDTLTAGRSVGVQYVPAKAADLGAHTVILDVEV ARDDHVTRSAWRPYDSSILAAAGDSFCTLWKLAPGAAPSTEKIFDSKGDGSWVTALSW EPSGHKFAVATYNEHRSSIQMYDSSGSVVDLLPTASGMINGLHWAPKGTHMVVVSSKD KSSELSLWDDSIKPEEFPSYQTIDGLVHDITWAGDNHIFVSGEGSVWQCEIDPTLQVI NRYQSRESNTEWTYIRGVQTGSGSVAVAAACSAGSLWIPTHEIVVEDAHRADITSIEV RPKHQDQDANTSTSFTFASASVDDTVKIWKVDLESKKMSCLHTLFLSPGLPALALAFS PDGYAVAAASTDRLFIWNPDRGDEPLATWSCPPCSTKEDLERPVNGENGSTVVETYRS LAWDTDGKKLAMGYDKKIAVVNLQGRGVNILE TSTA_112810 MPVRINLPPATRALLISQLALSFLYNVARWRQLDEGPPTRGAQG TPIVPYLTLVPSMFYYYPWTILTATFVEQNIFTVLINGATVFYGGKYLERAWGSKEFG KFILVAALIPNTLMIVIYIFWGTVTGSSVRGLTQICGGVALQASFLVAFKQLVPEHTV TILKGIVKMRVKHFPAIFLLLNSISGIIFGTDTAAILAWLGLLTSWTYLRFFKYQPDL TGTSTNGLGFKGDASETFTFATFFPDAIQPPIAFVTDQIYAFLVAVKVITPFSAEDIA SSTENAVARGQAGLPSLLSNAGGSARGAGKREEAERRRALALRALDQRLQAATASKPP ALSSQPAPAPSSTTAPTVSSGQNMLGETTYTPDHS TSTA_112820 MVNLFKRMRKLNHRLINVRVGTGAATLPSISSPLNNLPAVTRMH LTYGKSVGRGHIGAQKFWRKCLLRLKYYNPAIQMTVKPYDGEERHAALTIFFSGVQPQ KAQAYHDASIKDEFAPSPTESEKAVVLNLKDYNFEEIWQQVKELTGAVEVEPTAEDKE QLEKFRQMDIKSEADRIRVAGIRQAKKDQERMLQEARGEVEKLREL TSTA_112830 MAAAAAEAAALDPSNSSKNTLKIENFDKRNALQAIERKYQQQWR DNGVFEVDAPSLKEIPAGTMSAKELRTKFPKFFGTMAYPYMNGTLHAGHSFTASKVEF MTGVARMQGKRALFPLGFHCTGMPILACADKLKDEIKLFGKNFEGYKPEDVMPEQPPA PTQEVAVDPSKFSGKKSKAAAKTVKAKYQFQIMLSIGIPLEDIHKFADANYWLEYFPP LAIRDLNSLGAKIDWRRQFVTTDANPYYDAFVRWQMNRLHELGKIMYGNRYTIYSPKD GQPCMDHDRTEGEGIGPQEYTALKVKVTEWSLAAAELVKGKIEDDANVYFVPATLRPE TMYGQTAIFLGPKITYGIYKVKEKEYYVVTKRAAWNMAFQGVFFSSENFPKTQDQLPF VAEAPGSTFVGTSVSAPLAVHETIQILPMETVSATKGTGVVTSVPSDSPDDFATIADL AKKADYYGIKKEWAELEIFPIIETPTYGNLTAPTLVKQLKINSPKDANQLAQAKDLAY SEGFYKGTMLVGEFKGEAVQTAKDKVRQSLIKSGLAFPFADPSGRVVSRSGDECVVAY LGQWFLNYGENDAEWQQTTLNHVVNDLNTYAPETRNGFEANLSWLNRWACARTYGLGS KLPWDQQFLVESLSDSTIYMAYYTIAHLLHGDRFGKTTGVLGIKPENMIDEVWDYVFT RRELDDDVISKSGISKDSLQTMRREFEYFYPLDVRVSGKDLIQNHLTFFLYIHIALFP QEYWPRGVRANGHLLLNGEKMSKSTGNFLTLKDAVDKYGADATRIAFADAGDGIEDAN FDETVANSNILRLHALKGFFEEIVKDETLRTGAADSFWDRSFNNAMNVIVDQAKTDYA NTNFKLALKSALYDFVNARDVYREATSSAGVGMHRDVILRYAELQALIIAPIAPHWAE YIWLDVLKKSETIHRQLFPEVPEADVALSAATTYVRDISSNITSAEVNFMKKISKGKS MGFDPRKPKKVIIYAAKKFPSWQEKYIDLVRESFDAMKVTIDDKSLNAKVGKLGEMKK AMPFVQGLKKRLIGNKEAPETVFDRKLPFDEFEVLSEMVKGLKRVTGAKEIEIVAVEE GGKTGTVVGTGEKREGLNAENSVPGQPTFEFVNIAE TSTA_112840 MSRALRSVRNVTKGYSSVQVKVRNATSNDPWGPTGTEMGEIAAL TFNNPSDFYEIVDMLDKRLNDKGKNWRHVLKSLKVLDYCLHEGSELVVTWARKNVYII KTLREFQYIDDEGRDVGQNVRVSAKELTALILDEDRLRSERSDRKLWKSRVSGIDEGM QGYGGSSGGRRPDREKRERRNRDEDDTEYQLAIEASKAEAEEERKRRERAVRDEDDDD LARAIKLSKEEEELRRRELEESNAKSLFDDTPVQPAQAQPTGYNQGYQQQPAVDWFGN PLDAQQPLTTGYLNNQYAQPNGYQATGQMNAFSNGFQVQPTGFDPSQQFGQPQNNFFQ NQSLQPQQTAFNNNNPYGTNPMYGQVQQSPQENYLQPGSHNPWATDKQQGLDALRPMP TGSNNPFASAINRPVSQPSRPGPPSLNTLAEERATTSFNTTPSGPNPIANYKAPNPLQ PSATSTKPTRANDDQYARLNALLASGEGQDTFGNVGELRVPAHHTAPGTFINSAGQGF DRLNVQQTGSNPFFNQQFTGMPQQTGFAQSNNPFGAPGHQQQQQGGSLIDL TSTA_112840 MSRALRSVRNVTKGYSSVQVKVRNATSNDPWGPTGTEMGEIAAL TFNNDFYEIVDMLDKRLNDKGKNWRHVLKSLKVLDYCLHEGSELVVTWARKNVYIIKT LREFQYIDDEGRDVGQNVRVSAKELTALILDEDRLRSERSDRKLWKSRVSGIDEGMQG YGGSSGGRRPDREKRERRNRDEDDTEYQLAIEASKAEAEEERKRRERAVRDEDDDDLA RAIKLSKEEEELRRRELEESNAKSLFDDTPVQPAQAQPTGYNQGYQQQPAVDWFGNPL DAQQPLTTGYLNNQYAQPNGYQATGQMNAFSNGFQVQPTGFDPSQQFGQPQNNFFQNQ SLQPQQTAFNNNNPYGTNPMYGQVQQSPQENYLQPGSHNPWATDKQQGLDALRPMPTG SNNPFASAINRPVSQPSRPGPPSLNTLAEERATTSFNTTPSGPNPIANYKAPNPLQPS ATSTKPTRANDDQYARLNALLASGEGQDTFGNVGELRVPAHHTAPGTFINSAGQGFDR LNVQQTGSNPFFNQQFTGMPQQTGFAQSNNPFGAPGHQQQQQGGSLIDL TSTA_112850 MASHINILLSSFPGLALPPTLSFSLPITSTVTDLTERIEHYLPE SLKRSAANLTLTTIGNKQLLPGSTLRISSLLPTHHHASPETNLLPLRLSVPLQGGKGG FGSQLRAAGGRMSSKRKRNQGENNGSNRNLDGRRLRTVNEAKALAEYLAVKPEMDKKE KEERRRRWEAIVEMAEKRTEEIKNGGGKNRLDGQWMEDREEMSEKAREAVLAAMKDGG WSDNLHDAIMGGSSTSASEGNSSQEENESDSADEDEDEDISRASPPRPSSFKPATMSR KFIGFDEDDEFMSNASTVLPPAPLHQAGMAAEDTPAPLGDKESATPPLPPPTVSLHAD TLPISCPGCGAYAQTIDPTEPGFYSRTRKKSKQLWHKRQQAIAKEQQTITSQKNESAD DSSAPLETTTEDLQDESIFLAATEYIQTTAQHTQICDRCHDLLHHNKGVSIPSPSIDS IAAYLEESPHRVNRVYHVIDAADFPMSLIPNIYEALDLQDQRSRNRRSKTEKYKYGKR MTTISFVITRADLLAATKEQVDSLMKRIREIILKAMDMRREDVRLGNVHMISAHRGWW TTRVKEEIRQDGGGVWIVGKANVGKSSFIESCFPKDSKNLDKIAHLLESRKQEDRIKD SNDAAPFLDPDALLPPPPREELYPTLPIVSSLPGTTVGPIRIPFGRGHGEMIDLPGLD RGTLQDFMLDEHKSDLIMTKRINPAEQLIIHDKQSLLIGGGLIRITPKISEDCTVLAA CFVPLETHVTRTEKAIEIQSGVRKYNTGGGDRGHSVIKSEYLAANSTTIQSAGTFTLS TDVTLSHLPSTIKKKWDDHGIKPNLDALPYKVYATDILIEGCGWIELTAQIRTRHQHD TKEVGDLPKIEVFTPLGKHIGSRPPLETWNFIRDKRKADRRKRFTSGSHKPRRGNISH KKRTLHGAKGA TSTA_112860 MLIPKADRKKIHEYLFREGVLVAKKDFNLPKHGEIDTKNLYVIK ALQSLDSRGYVKTRFSWQYYYYTLTPEGLDYLREWLHVPAEIVPATHIKQQRSHAPPR GMLGGEERERRPGGRGGPRGDRDGGYRRRDEGKEGGAPGEFAPTFRGGFGRGRGAAPP S TSTA_112870 MTSPACIFCKIIKGDIPSFKLFESDKVFAFLDIQPLSRGHALVI PKFHGAKLTDIPDEYLTELLPVAKKIAQATGAEDFNVLQNNGRAAHQLVDHVHFHVIP KPNEKEGLGISWPAQATDMDKLKALLEELKGKI TSTA_112880 MHAVRLCHSAFFSSQPRLVPSPLLQGLKRSASLVSPQITPAPWP SQRLFHHIRSGSAVRFKQPRHASFGWGLAGISCVIGGAAAIQFYYTASEKETSSLQQQ EQMQQSHSNFTGIIDTLEMSSYDIAPGHVGNLTPEQEVKLREMWAVLFRLFNIKIEDG QLEKALSNRPESPDSKKKTRRGFFGFGGGKKEEPATPDVAGLSLSDHDDKYGMNKDFK QAVADLSPEQLRESLWSMLKADHPDALLLRFLRARKWDVNKAVVMLISTIRWRREEMH VDDDVMLGEMKALEQAESSDHETKRLGVDFMAQTRMGKSFIHGVDKQGRPICSIRVKM HKIGVHSEKSTERYTVHMIETARLMLPRPIETAVIMFDMTGFTMANMDYAPLKFIIKC FEANYPESLGAVLIHQAPWIFSGIWKVIKGWLDPVVAAKVHFTNTTEDLEAFIDRSRI LKEHGGDDNAEFEYVEPQPGENDAMKDTAKREEVLGKHREIAQEVQDATKKWIEAANK GDEAGVASWKAKREELAAKYSRHYWETDPYVRARSVYDRNGVILGGGKVKFYPEKGSE EVKDATANGAATVETGEKAVTPEVNGVDASAPAVAVSAN TSTA_112880 MHAVRLCHSAFFSSQPRLVPSPLLQGLKRSASLVSPQITPAPWP SQRLFHHIRSGSAVRFKQPRHASFGWGLAGISCVIGGAAAIQFYYTASEKETSSLQQQ EQMQQSHSNFTGIIDTLEMSSYDIAPGHVGNLTPEQEVKLREMWAVLFRLFNIKIEDG QLEKALSNRPESPDSKKKTRRGFFGFGGGKKEEPATPDVAGLSLSDHDDKYGMNKDFK QAVADLSPEQLRESLWSMLKADHPDALLLRFLRARKWDVNKAVVMLISTIRWRREEMH VDDDVMLGEMKALEQAESSDHETKRLGVDFMAQTRMGKSFIHGVDKQGRPICSIRVKM HKIGVHSEKSTERYTVHMIETARLMLPRPIETAVIMFDMTGFTMANMDYAPLKFIIKC FEANYPESLGAVLIHQAPWIFSGLYLLQWHMQIDI TSTA_112890 MDAAPRSASRPRPTSRPTTPLRPSSRSSIRNFHGYGSSINAAGY EEPAINSLEPQFAELADSMADLEANFMHLQLMHESLSRFSESFASFLYGLNMNAFCVD FPEAPIPESFKRAKEAKANQGKNSHSLRTVAIH TSTA_112900 MSQKTGQDVDATFLTTDTSFIDNPPATVSSKATPKKRIVSSRGR GSSRGTASSRYATRGSGTARSRPSGLPRGRGNR TSTA_112910 MAASVTSLATVVQVNALSEAEYGCEHLSGVLKDDDGRGSSFIIA YMKQAAARMSKNIRSRNGIKSQSLPLKPIYICVDCSEKYTNGDRQVHSDQTGHQFYID SRTGALFCQSCRDFVYDHELEKIRTRAAGLWSRDPLDRDNLDGSRVTTSIESAVLDAY ARAKKANGIALAQDTALSKPSRYILDILSQLSNKSRKRKANEISGDESNGNGNYLALN GITTTEIPEADETYVKTNATKRTCQSEGVRGLFNLGQTCYMNVVLQTLLHEPLLNAFF LGNGHKYYDCQIDGCVLCAMSRAFAEFNGCDKKDGFTAETLLYSTWKNHPPLAGNHQQ DAHEFYQFLAGGLHTASSDENDQLKCNCFFCKALFGKFQSTLTCPICKHTSPTEQPLL ELSLDVQLHSKKRQMNGKLRGSSTPTLAGCLQSWVTPEELIMEGYQCQGCHSYPDKLT KQLRIKQLPAMLCMQMKRFEQTDSETRKMQGKIDFPLEINMQPYTVASPTSKKTTIRS DIYMYDLASAIVHDGAGLNEGHYIAYIRQGDRWCLFNDDKVTLVSEGDVLNADAYLLF YTLRSLSFGK TSTA_112910 MAASVTSLATVVQVNALSEAEYGCEHLSGVLKDDDGRGSSFIIA YMKQAAARMSKNIRSRNGIKSQSLPLKPIYICVDCSEKYTNGDRQVHSDQTGHQFYID SRTGALFCQSCRDFVYDHELEKIRTRAAGLWSRDPLDRDNLDGSRVTTSIESAVLDAY ARAKKANGIALAQDTALSKPSRYILDILSQLSNKSRKRKANEISGDESNGNGNYLALN GITTTEIPEADETYVKTNATKRTCQSEGVRGLFNLGQTCYMNVVLQTLLHEPLLNAFF LGNGHKYYDCQIDGCVLCAMSRAFAEFNGCDKKDGFTAETLLYSTWKNHPPLAGNHQQ DAHEFYQFLAGGLHTASSDENDQLKCNCFFCKALFGKFQSTLTCPICKHTSPTEQPLL ELSLDVQLHSKKRQMNGKLRGSSTPTLAGCLQSWVTPEELIMEGYQCQGCHSYPDKLT KQLRIKQLPAMLCMQMKVPPPQNLHSIQY TSTA_112920 MRQSSMQLLAATCLLIAVATARPDGGHSHEGGMDIGMDMGMDMS QKDSMAKAEDEWDLSYWAYGEHSASITAHIGLEVLAWCFVLPVAVMLSVAHSRFTIPT QFVFLIVNGIALFFGLIYNASTPDLYENNAHHKIGWIASSVVVAQVVIGIVYAYSGRR TKNMAPEYERATFFPVSTQNMMEHQQLYTPVHEYRWSGDSGQGTSCPTSPDEEHHPMT KPEEEEDDIEVPTPLARGWLRSAFLDRFFASRVPGLVSNKVLRALRLVYNIIDRIILP FGFIALATGGVTYGGIFKARSIFSGLAHFIKGGIFFWYGLIVLGRYMGCWANWGWSWN LKPANCRAPTGEFVESTLIFIYGSTNVFLEHLGGWGQAWSPQDLEHVSISVMFFGAGL CGMLLESKRVKKWLKQSILGTSRNQSNEDEEESSSVSFNPMPALIIFLLGMMMGGHQQ KQMVSTMVHKQWGSLLSGAALARGGTYALTYIKRPTSFLPARPPTELIGAFCLISGGL IFMLSASDIMDVMVYYDLDAMFTFNVAIGLSCFIMAWEIVVLAIKAWAVKKETAQSHA RSWSSA TSTA_112920 MRQSSMQLLAATCLLIAVATARPDGGHSHEGGMDIGMDMGMDMS QKDSMAKAEDEWDLSYWAYGEHSASITAHIGLEVLAWCFVLPVAVMLSVAHSRFTIPT QFVFLIVNGIALFFGLIYNASTPDLYENNAHHKIGWIASSVVVAQVVIGIVYAYSGRR TKNMAPEYERATFFPVSTQNMMEHQQLYTPVHEYRWSGDSGQGTSCPTSPDEEHHPMT KPEEEEDDIEVPTPLARGWLRSAFLDRFFASRVPGLVSNKVLRALRLVYNIIDRIILP FGFIALATGGVTYGGIFKARSIFSGLAHFIKGGIFFWYGLIVLGRYMGCWANWGWSWN LKPANCRAPTGEFVESTLIFIYGSTNVFLEHLGGWGQAWSPQDLEHVSISVMFFGAGL CGMLLESKRVKKWLKQSILGTSRNQSNEDEEESSSVSFNPMPALIIFLLGMMMGGHQQ KQMVSTMVHKQWGSLLSGAALARGGTYALTYIKRPTSFLPARPPTELIGAFCLISGGL IFMLSVSLQKK TSTA_112930 MSSSQPSALPAFSLNIAPLRSYLLRLPLFTRAVLLFIVAFWLLE LQSVWDVVTWGAIIPDESNFAALYRLNTYPVIHRGFFHALLNAAALTPLLERFEAEHG TLTALLLFVGPLSTFPGALYIIVEKFILHNNTAVVGASVWVFLLLASESIKTFRTNPY FQVGTLKVPTWTTPLFVSFVVAVLLSNTSFLGHVCGIIFGYLLGLGYLKIFVPPEKIL RFIEGKLNLLGRLPHYVSVDQKTHGRYGVLPTTSSGESGVAMSSYIGSSQRLGP TSTA_112940 MYISRRRVITRPAAQRIFARNLHLRECLLPGQVHTYFAGSEQNI FRGYKKELESVISGSENAGQEEWEIASDMVQGIFRAAGLKVATQSYEYSSAGNVLKGQ NVYSIIHAPRGDATEAIVLVAAWRTIDGELNLNGVTLALNLARYFKRWSLWSKDIIFL ITPDSKAGSQAWVDAYHDMHSASAQPLPLKSGALQGALVIEYPFDHRFESLHIVYDGI NGQLPNLDLINTAVSIAGGQMGIGTSLQEMWNHDDSYEKRLETMLRSMAKQGLGLAAG AHSSFIPYHIDAITLQTKGNGWQDEMALGRTVEGLCRSLNNLLEHLHQSFFFYLLMHT NRFVSIGTYLPSAMLVAANFTIMAVALWLRTGYEDRLVAVGQRQKISSTDEKSATAET GLNGISERKLSVPLTVVAVLHFLGIVPLYILTSVSSKYFVPFTYAILLLTITLPFLIS LALCKLFIPQPQTFYLLKSFSLLLLGLFLSALATLNFSLSFIIGLLCAPLSFVGTPAK ITPKAKKSPKDLVVPSLSLILLNALSPPAVLLAACAYSGVSVQEVLSQAAFGWDVWGL WTPVVVWCVWWPAWMMGAVCVGGSFLV TSTA_112950 MEADKIPDFLADQRDQAPSSAQALFLDFEDYWERKLWHQLTDAL VDFFQTPESAPQRLPIFKSFILSFADRINQLKFVSLGLLASTQCKDDQERLAFLTSLA DRVDKPDSQDAYVYAVADVAGVKLRLQDYEGSRKDLDKSQKILDTFDSVETVVHAAFY KVNADYYHAKQEFASYYKNALLYLACVNLDDLSQEERISRAYDLSVAALVSDSIYNFG ELLLHPILDSLTETPHAWLRDLLFAFNRGDLTAYDVLAGNISKNKLLESHRQFLYIKI SLSALTEAVFRRPPHDRTMTFSTISAETKVQSDEIEHLIMKALSLGLIKGSIDQVAQI ARINWVQPKVLDMKQIEGMRNRLKEWDTGVNQLGHWIEGVGRDVWAA TSTA_112960 MADLTELNRKHFDNIAHNYDTGFEKAISMICEETKSHRLWISSK WTDTAEGKEKEIKLLEYACGPGHISRTLEPFVTKCLGLDVSENMVATYNQRVQEAGIS SEKMSAKVGDLLAETVSEGLQGPKYYDFDIIIIGMALHHFPDPQLAMKRFTDRIQKGG VLWIVEMLEDHGSEQEHKRISPESAQTVHKHGFGIEEIKALFSGAGFADTAVKILDKP FEMTLHGHELSKTIIFARGSKL TSTA_112970 MQKCRAFNGALGRKALVPTPAVQFQVQRRNIQDVHITRTGKPIL KVQGGRSSLGGHTATVFGATGFLGRYIVNRLARAGCTVVVPFREEMTKRHLKVSGDLG RVVFMEYDLRNTQSIEESVRHSDVVFNLVGRQYPTKNFTYEDVHVDGAERIAEAVAKY DVDRFIHVSSYNAAEDSPSEYFRTKGWGERVVREIFPETTIVRPAPMFGFEDNLLHKL AGVTNLFTANHMKEKYWPVHAIDVGTALERMAYDDNTASQTFELYGPKQYSTAEIAEI VDKEIVKHRRHINLPKAILKPAAYYLNKYLWWPTISADEVEREFIDQHIDPTAKTFKD LDIEPAEITSLTYLYLMGYRSSQYYDLPPATERERREEKKYLHVLDDQ TSTA_112980 MTQIEEKGFFGGAITGAVPHGWIDGSTLREVPDHQEMFLSPTTL SNLIFEINEYVPKPSSSGISGVNSGVDVELPTAVNTSTDSDDVAAAMYHILDICDDDD TMEVVREPKRVVLRRLSSAPVYAYAGSVRFTSPRKQRRDSGIQRQNDTVSTGTGDTAA AAASGSALPIPDRSTNSCHFLLVRLPAQRTDLLVWVNVPHDEFLAQGNEGGLASEEKL AEDVIGKFVEVLDVKDWGLLGSG TSTA_112990 MSVRVVARVRPLWKSERELDVIVRPGNVATDALKVKDSQSGKVP VKERDTLIRIPNPKNEGEQYTFQFNAVYGGETTQQELFEAEVAPTVKHLFNGFDVTIF AYGVTGTGKTHTMRGGKSLQDRGVIPRLLSAIYRRSRKTEKDSEGTSKVEVLMSYYEI YNDKVYDLFEPPEKRTLAGLPLRDNHGKTVVVGLTERPCPSLKDFESLYDQANTNRST SATKLNAHSSRSHAILCVKLVITNGEKTRVSIASAIDLAGSEDNRRTENGKERMVESA SINKSLFVLAQCVEAISKKQSRIPYRESKMTRILSLGQNNGLTLMILNLAPIRSYHLD TISSLNFANRTKKIEVREVENEPMFKGPPRSARLASTASRQPLRPLTASINVNIPVND DKKADEKKPTKAFYVYSDKQPVKPHRTSLRRSSPLKQNSPNFKRQSYSKPAKEVDKLS ATSLKGVSADKIEEMVEKKVEQLLAARALDDSNQHQAQLEQMNEQMQRRLEVLERRIE GTEDARAEGLSYLLMAKQHHGRKEFSSALRMYKLALPFFPRNQKLAVKIANLEEKLNS KPKSQPEPTVTLPGRGSMLSISRPAKMVKTNSSRDTSDDEYQESDHDFADEEDHYTNS YTGLKRKRAILETATNSSMFDNSLRGNVDDELLIQSPRTAHLLSIINSRDVGQIKLLK GVGAKKAEAIVNCLCEMDMVNESTAEQAQVRSLAELGKLKGVGLKTVQNMRSGVLG TSTA_113000 MASPQGGYPPQEGYGQAPAQPPVPGPAPSGAAGHRKKRAYAGEA FDLGSGANAALGGQQKAGGDYPAYAAQAAAAGYAQPGYGVDPAAAAAAAAGYAAPQPP AVGGYQPPVAGYPGPATAGVAQITQQFGQMGVADPVQQAVPPQQVPRAAPLNQLYPTD LLTQPFNVAELDYPPPPIILPPNTSIYPSPYANCPPKYVRSTLNAVPTNHSLLKKSKL PFALVIQPYAALHDAEDPIPVIPDQVISRCRRCRSYINPFVSFLDQGHRWRCNMCNLT NDVPQSFDWDTALQKPADRSQRPELNHAVVEFVAPQEYMVRPPQPLVYLFLIDVSYAS VTNGLLATAARCIKESLDRIPNADRRTRLGFLAVDSSLHYFTIPRDGSENSAPKMLVV SDLDEPFLPIPGDLLVTLSECRENIESFLDKLQEMFQNTQNGGCALGSGLRAAYKLIS HVGGKITVLSSSLPNVGHGALTVREDKKVLGTSKESSLLQTGNSFYKSFAVECSKAQV SIDMFLFSSQYQDVASLSNLPRYTGGQTYFYPGWNAARTEDAIKFAREFSDYLSSEIG LEAVLRVRATTGLRMNTFYGNFFNRSSDLCAFPAFPRDQAYVVEVAIDETVTKPVVCL QTAVLHTTCNGERRIRVLTLALPTTQTLADLYASADQQAITTYFSHKAVERVLSNGLE PAREAIQSKMIELLSTYRKELAGGSVGGGGLQFPANMRALPVLFLALIKNLGLRKSAQ IPTDMRSAALCLLSTLPLPLMIQYIYPKMYSLHDMPDDAGLPHEQTGEIVLPPPVNLS SERLVPYGLYLIDDGQTQFLWVGRDTVPQLLLDVFGVADRNQLRVGKQFLPELDNDFN ERVRAVIQKSKDYKAKGAGSIVSPQLYVVKEDGEPGLRLWAQTMLVEDRADQSVSLQQ WMGTLRDKVVQ TSTA_113000 MASPQGGYPPQEGYGQAPAQPPVPGPAPSGAAGHRKKRAYAGEA FDLGSGANAALGGQQKAGGDYPAYAAQAAAAGYAQPGYGVDPAAAAAAAAGYAAPQPP AVGGYQPPVAGYPGPATAGVAQITQQFGQMGVADPVQQAVPPQQVPRAAPLNQLYPTD LLTQPFNVAELDYPPPPIILPPNTSIYPSPYANCPPKYVRSTLNAVPTNHSLLKKSKL PFALVIQPYAALHDAEDPIPVIPDQVISRCRRCRSYINPFVSFLDQGHRWRCNMCNLT NDVPQSFDWDTALQKPADRSQRPELNHAVVEFVAPQEYMVRPPQPLVYLFLIDVSYAS VTNGLLATAARCIKESLDRIPNADRRTRLGFLAVDSSLHYFTIPRDGSENSAPKMLVV SDLDEPFLPIPGDLLVTLSECRENIESFLDKLQEMFQNTQNGGCALGSGLRAAYKLIS HVGGKITVLSSSLPNVGHGALTVREDKKVLGTSKESSLLQTGNSFYKSFAVECSKAQV SIDMFLFSSQYQDVASLSNLPRYTGGQTYFYPGWNAARTEDAIKFAREFSDYLSSEIG LEAVLRVRATTGLRMNTFYGNFFNRSSDLCAFPAFPRDQAYVVEVAIDETVTKPVVCL QTAVLHTTCNGERRIRVLTLALPTTQTLADLYASADQQAITTYFSHKAVERVLSNGLE PAREAIQSKMIELLSTYRKELAGGSVGGGGLQFPANMRALPVLFLALIKNLGLRKSAQ IPTDMRSAALCLLSTLPLPLMIQYIYPKMYSLHDMPDDAGLPHEQTGEIVLPPPVNLS SERLVPYGLYLIDDGQTQFLWVGRDTVPQLLLDVFGVADRNQLRVGKQFLPELDNDFN ERVRAVIQKSKDYKAKGAGSIVSPQLYVVKEDGEPGLRLWAQTMLVEDRADQSVSLQQ WMGTLRDKVCS TSTA_113010 MSSDSEKNDMSNHSTLHHETSRTDALQKIRTVGSVSMDAELFER LYLSPKNHVKGDLRSILGNPTPLGLLGFVMSLTPLSCQLMGWRGSGGGGASIVGVLYC MGGVLMVISGILEFILGNTYPFVVFAGFGGFWLATAVSLTPSANATGAFITGTSTTPS PEFYNSYAFFFLFMALLSLVFLVCGIRTNIMFEVVFLTLVLGFCCLAGSYWQLANGNA HLSHNIQVASGAFLFVCSLSGWYLFFVQMAASVDLPWNLPVGDLSQVVKGKTKRRDEA V TSTA_113010 MSLTPLSCQLMGWRGSGGGGASIVGVLYCMGGVLMVISGILEFI LGNTYPFVVFAGFGGFWLATAVSLTPSANATGAFITGTSTTPSPEFYNSYAFFFLFMA LLSLVFLVCGIRTNIMFEVVFLTLVLGFCCLAGSYWQLANGNAHLSHNIQVASGAFLF VCSLSGWYLFFVQMAASVDLPWNLPVGDLSQVVKGKTKRRDEAV TSTA_113020 MIITTKHIAIAELVVHSPAALVSIFVVLRHGFHRQLGWIYLFVL CGIRIGGAIMEIRSHNDPSNTNEEEWVIILQSVGLSLLLLSSLGLLKRVAGIYSKRAT SNSRRSRAVQILHLPALIALVLSIMGGTDQFSSDVSQHGSGKTKTRVGVILFLAVYIC LFVLCMITISDARLMQRSQKRILICVLTSLPLIAVRLLYSLIGDFSNNPDNQFSIVNG LPVIQLAMVTIEEFLIVLMYAILGVFTPRASSPSFEVPYEPQPQQLLPQVQNYGQEPV NYAKYAAQSAFNGNAYNGRQ TSTA_113030 MGLIRDVLGSAMGADQVKNGFNDRGRHSRTQSGSPQYPPALPPR SSGYDYRDSQRSYQQDDYRYDSDDYDSRQPYMNFVQDRDPRPYSVQQGPQGYNNSYNV QDLGYSRGALDGFRPFALPQITYGDGQPFLRGYSDELQLYNISFNQFMQALDAINVAI IPNPEAQIFQKGANIAGWVPVSSVLLPGAASIGLTVGQIGVGIGTAMGHSSAIAKVMS KANLELFVPNGLEICIGKSKDVDTEVGISSNGGAGLGAQPEDRAAFYGNHLAPLTNVL PPLQNSGGRSNPLAMLSQQFSDKDNDKKIRKTQEKLAKGKADKYNALERSLQCVGHFL KTKI TSTA_113040 MLTTNDLLARNYEASQNHKPLPTFAESRSAGQGPPRIALISCCD PRVVPEEYFGLTPRDAIVFRTVAGHPQGCWKDLVALDTFIFEGFGVNGFEEVIIVHHT DCGSLMFTNDMIHQGIQKRNPESNTENIIGTEFGAVSTSIEQNVRDDLEWLKTAPLVR KELAGSARGFVYNIKTGKLHEV TSTA_113050 MDAPPTSLPTVDITPSALLQSTEDIVNRIQNSTANLVDSASPQQ VNFDNTIRPLAKIDNDVKSSVQYIALFQAVSLSSELRGASSTAVSIIDKAYLAIFQHE GLFGLVDTVYRSPSCLQVDEEDSRLLDRFHRMFVDNGIQLVGSVRERYSWISRCLIDL RIAFMDNLRNDPGCVWKTKYELEGVSLAGLEIGSDSRYRIPLTRPIIGSILGNCRDAN TRAETFLKSQTLYQEKNVPIFREIMILRNEAARLLGFSSFATQKLRSQLVKSPNKVSS LLNEISLALQQLAQQEVRILQQRLDTPLRLSDFDFYHNQMLRKEYYIGQELLAEYFPG EVTVRHMLDIFETLFALAIKELDEIPQNCKWHPDVTVYEAWEANKSVFIGYLDIDIYP RPGKYNHAANFNIYPVQMGSGLLLPQPWYVMFKDLRWPTGPSAASRSDYNIS TSTA_113060 MVARNTRSPRKIKVHNVPWSPNSSGFTEALSQLLEYWCWVPECL RRLGCHYSYLSPENYKHWVTANFRKDTTRPLKEIPDEMVNNLLAAKQLNQGILTSRQV AFSKFDMQIHNPVSHDEIEAINFSELYNSLLQDMTGLQWPNDELKSGSGYATTSHYIW GQEANYYSYLYLQSTRILAADIWFTHFQSNPLSRNAGLRYREMI TSTA_113070 MSSQGSLKGRLAIVTGSGKENGIGFAIATALAEQGADIVLHYNT NKESALKNVKTLESRHVKAIAVHGDASGTTFGKDIISATTAAFPGRKIDIIINNSGLG LFHLDTASIPTEDFDTLFHANTRGPFLLVQAALPHLAAPGGRIVNISSVVARSGSQFA ALYAGTKAALSAMALGWAEELGGKGITVNTILPGPIDTDMAPPEEHPLIQKFRVEQSI KRNGTVKEVADVVAFIASPGSSFLTGQDISVDGGLTYV TSTA_113080 MSKLIVVTGITGVQGGSVARTYLNTPGWKVRGVTRNKSSERAKF WASNGVEMVEANLDDISSLKSAFQGANIIFGVTDFWTIFKDPESMTKKKPSQDITEYC FEVELQQGKNLADSAASIPALERYIFSSMANAIKSSYGKYRQLYHMDSKAFAADYAKS LPGLNGKFSQIQAPIYFNLTLLTDLMKNTDGTYRIKGIGSGDAPIPFGHVAKDFGPCV RAVANAEPGINLFAVGEELSWNRYLDTWCGSQGVLKGGYDEQSLDWWMEKLPGLGREF GENVLFSTEFGYAGNDPSVIRPSQLGVKMTTFREYCAETDFSRIL TSTA_113090 METPIKNLPCATCRRRKVRCSKTQPCTNCARAKIDCHYNEDPTG DTSSVNADLLRRLMNLQDSITKLTKGPRNTDQSLHDSSVSGVRVESIINTLEDSLRRL HRINERENQSSHTGKLCFRDAHTRYIKETFWAGLYDEVESLSFLLDKAAPERRLQDPT SLFIQPQQFLDLGMYITPRKVSDFLINHYLKNVDPFIRLFHKPRFRLDLDQFYRQEES FVSQRGEFETLLSSIYAFSVHSLHEEDVLVYFAESKEIVINRYITTTRRGLERISILS THSLTALTTFALYITLLSEIDISGLEWTSLSGLSLNIATRLGIHKDGEAFGLSPYAVE IRRRLWHYLCMINVRALQVHGIEPFPVSAFEVNATKLPQNSPDIAWDACEFSRKLPVA ISGWTEMVPVLVSYKLSALERTMLETNIPEHGSEEAYLAKCDQVLQDAKSEILVYYSQ NLLDKPIHRITKDLMDLTFQYLWFIARRSLLKHRIWATCELRRELFRKALHISEMARS LQGVYSAHYWDWVFHSFYETTKWHLASTILIYLSQHTEQEDLEVQRSWTQINLIFGQR RDDQSVLWKPLLALKREAEIRRDEALGVDNGNALTNNNDDMWQAQSDAAMHGLDPIFG QRVDPEFPLNPDPGILDDFYDQTIDNQAW TSTA_113100 MEQSTSDESSRSQLPPVFLLKTPSTPRDLYEEYFRNNTFAIRQQ QQTESSVDDDIADDDLEKVKYDPIFVPVLSHRFHPENSEIVKSYFMPTTAVNKGDGKP LNNAFLGQGKKYGGIIFTSQRAVEAMGHILEHEGIPTKITTSTSKDLILYTVGPATTR TLTPIRDKYLPFATIYGDEAGNGEDLAHLILEHYNSCYPSTAETHSQKPGLLFLVGEQ RRDIIPKTLMSEDLDESQQINVDELVVYETTQMEGFETTLQDVVRKGEELVKDVRIPL WTVIFSPTGCNAVLRTLNILDENNNTINDSRRNCRIITIGPTTRDHLITKYSFEPDVV AQKPTPEGIGEGIREYLLAMKL TSTA_113110 MQSSDGPSAWDFTAVIDLVYTPTRPQTNPTRGSPDPSLSDNEAD VLRQNPRDHGLGDFTALWDFLHPPAVNGKNAPITPKRQSLDKTYPSPSKPISILKRPS KAITQDSSTASGALSDSTTESDGDASVFDSTVSSKSALSLIPSQVARTAKSQPLLTPP SSCEEDSTLSAYTPKAKKLPTSRYKSAVERKAELISKLSKQFPDFTISPPSIFNAPKQ SPAVHIFVDASNISIGLHDSYKIQHNIPVTTHIKRLPLSFHNFSLILERGRPVAKKVL AGSDRFPAINEAEKLGYEVNILSRVHKAKEYTPRQLKFRNALDPGTSPEMGPSPSERW VEQCVDEILHLKMLESLIDSDEPATIVLATGDAAEAEYSGGFLRMVERALQKGWSVEL VSFAMNTSFAYKRKEFRAKWGKRFRMINLEDYVEEMLDMTVTDVFGRREKMKEFEI TSTA_113120 MGVRWVLSPELDVGREPRYGRVGEMYGEDQYLNGVFGTSYVKTM EEKDEKGYMKVATTIKHFVYGVPTGGINTASQSGGLNHLFNDLVAPFATVIKEAQPAS VMISYASIDCEPMSVNKFMMQTVLRQKLDFDGVFMSDALAILHLHTQSKVAKSLEDAA LRALKAGLQLELSPAQPAAFPTLVSSTNIAWVRERIDDAVLRILKIKFQTGLFDEELP QIADAQATLRLEAHLAINRNMSRESIVLLQNDGILPLTKNKNGTWPKTAIIGPFANII NPGSYAPNNSTDRSFGKSLYQSMVSAFSFDRVSFTQGADIIGNDTSGIKAAVQAAKEA GLAVLMLGSLSVITADPLFNERRDGEFFTHADLGFPGRQQDLLDAILATGVPTVVILS GGQAFVLNDHTLQSNAILHSFLGGEYTTDALVEILTGEVNPSGKLTISMPQSSSTIPV YYNYLPSDNQGGVAGIAPGNFTTAWQFPSLPTPPTMAFGYGLSYTTFKYSNAQISSGE SINLTVDITNTGNMTGKEVVQLYFRPEFSVIETPVKKLIRFEKIELAPGETVTVDFTV PTWDLGYYRHMAWEVETGAYSFWVGSSSRDHDLIYLNATVV TSTA_113130 MVSMANQHPPTMEATSSSIQPNIIFIMADDHASKAISCYGAGIN RTPNIDRLATEGMKFNHCYVTNSICTPSRASILTGTYNHVNGVMTLDNHINKHIPNVA KHLRTGGYQTAMVGKWHLGEGKPHEPTGFDYWSILPGQGEYWDPEFVEPTGTKVETGY VTNIITDKSLDWIKSRDTTRPFFLMCHHKAPHRSWECDEKHKHLYKSPIKLPDTFTDE YKNRAKAAKVVKFRVVEDLTYQDLGLVQPEGGNWVGERVVQEKGASERKIPMPSSDEV VKSLRLIDKDTGEVFTFSSLTELAEFKYQRYMQRYLQTIQSIDDSVGRLLKYLDGEEP SLRENTIIIYTSDQGFFLGEHGWFDKRFMYEESFQMPFLIRYPTEIQPGSICNDIISN VDFATTWLDFAGLTIPSYMQGVSFRPLLQGNTPKDWQQVAYHRYWMHNDIIHQAYAHY GIRDHRYKLIYWYNEDLDVEGARPGAADEKEWELFDCYKDPLELFNVYDDPEYADVVE RMAGLLEKKMAEIGDEPVHERIPALLVNLAGIIPHAPSGTMWPLSTLESTALLSIFST IPIVYGLDELICKNSSYSASERATDLLQRMTWEEKIGQMGGVRTLLGANLTFNETTYK SVHELQNGILGFGYMLNHALDVLPIANKVREQEIEYSRLGIPYITVTDSVNSIYLPGG TFYPATLSMSAS TSTA_113140 MKTVCVQCRIRKVRCNGEQPTCNSCSRLNYTCSLGQSQRSLEIP RKRRGARACMICRAQKVRCMGEMPACTNCRQKNRQCTYPPRREKGGPATDSIVTDLND APVSLDELGPQPDTSLTINNPSSNEQQSPSEETVAVLVTDYFERLFHLPSYEFLHRAT VIKRCQEKSLDESLKLSLCAITALYTFGTSLSSDAWADSAQQIVWQNLSRPSVFHLQS LLLIVRYRAGAGDFSSAFLLAGLAARLAMGLRLNYERSDLSPIAQEVRRRTFWSLYLL NDIFSVGIKDFELCQPEVIHLKLPCEDQDFDIGKAVTGGGLQPDENLEIGSVSIRGLY LRVTFIRREIMKLNRKLYLGEFAPPDLMRLIKTFEYQLEIVQVQFRSLASFQPQGVEN HLMRSGPIMLQMSIHQCYCDLYRNFLTGYSEAVPTSATEGIDLSRVAQMRKGCLDHAQ NIIQLLIGCGNTPACDPPRLLDFDAAVCLYHSLRLQLFAACATGTGSTPRVEMNLAVS NTKDCLEIMTRLFGFLKSVTPMLKDLERLLRRYTTEGSSAAAEREEPSHSNHVARDAS IRQRFSIHSLLLQADFVDDSSKASGSSTEPCPVKHSFQRNETEQQVDSLGQYASFPDV NDTQVLQPFHNDPEVIRENHSFIFNASCAGFFGGDELGAYLGYQPDDFSIQELDIW TSTA_113150 MTNMIMASNKLGLFRAVYLVTLSCIGSFLFAYDTGIVGGILTLK SFQKDMKYSAADKAKVSSLSASLLQAGAFFSCFFIWPFTARYGRRLSIALASLIFCIG CVLQVIKVNGLGAFYAGRVISGLGVGMATVIIPMYSAEMAPKEIRGQLGSMFQLFFTL GVTTSYWMDYGVSKNIAPSSKQWQIPVGFQLVPGGLLGLGMLLTKESTRWLAKTSRRE EALKSLIWVRGTDSVEVQEEFAEILASIELEERVTEGLTWKEFLLPANRYRLFVAITM QIGVQLTGNTSLAYFSPQVFTAVGAGQQALLISGFFGVVKVASCLFFLLFLVERIGRR GSLIGGAFLMGSYMLIIAVLTAVYPPKTSAGLTSPAIASLTMIYLEAMTYNISWGPVP WLYMSEIFPNRLREGSIAIGTATQWLFNFVFSQITPHAVNNLGWRTFLMFCIFNWSLV VYAWFFIKETKGKSLEEMEEVFDSKKTAIDFEKVHHDIHVMFIPNEKETVKTCGMI TSTA_113160 MSDAGRKDFSTKAKEEITPDSSKSTQQKVKETVTDTTDRLARGA QPDDNKSTTQEAFDKTQRSHDNTAHGGASNSIGDKIKDTLGMNK TSTA_113170 MESLISPTKARQAAIQAKDWAYVNSWLNRKYAPNQVPPFERNED TLRTLLAIAAANDAADEEASLLHRARKDVLRALKQRETAEDPRKRDILDDIEARLDSQ GEESLNDLAETVVLLGDSSTDVTELAHAFIELTRDEFELANQVQHVEALQKYLHKEMA LVQEEIETLKTHSAYKTPSDLTSQTVEWARSAKLLNAKISEYRERISSLQRSLRVDGP TIETVEQEEENVLRLRETVKQLESRIKSYRDLPPDLDDARNEYRRLEKELGRLTRERD NLFERAVRR TSTA_113180 MTSYFTAPDISGEASNAISPPGGANVAPRSTALSNRLASVLSAS YADSDIRDALETLDKRGVKNTAEKRRQLRLDIQKEVLDCNGEIVADFGKVAEQLNRIG TVISNLQQTCAEMRKHITLAKQETAPVLDEASSLLDQKEDAETKQHLLDAFTTHFIVP EEDINALTLSEAPVNDNFFAVLSRVKRIHNDCQVLLGGENQRLGLEIMEQSSKQLNAA YQKLYKWIQNEFRSLDLEDPRISGSIRQALRVLAERPSLFHSCLDFFAEAREYILSDA FHYALTDAASGGAVGERNVKPIEFSAHDPLRYVGDMLAWVHSAAVSEKEALEALFVYE GEELARGFQAGISSEPWSRVDEGEVAVFDGQKALNDLVNRDLNGVSRSLRQRVELVIQ GHDDAVTTYRVVNLLSFYRLTFSKLVGAESHLVEVIAGLVEFTFKHFEGLMRDQLHMH SADSHSSSPPDDFSAPQFLTDQLEVLTSLMKEYNSSVGAASDIEDSEENGFTPVLRVA LDPFLDIAKTSAQEVDDHKTSTIYKTNILLAVRGTISPYKFAAATHLAPISTALSHLR IELLDIQRRYLLETSGLQQLLTALESSSPSTSSHKEAESSTTQLNQNRNLAEIAGLPA FQPATLSAISQQLDDFLPSALMDATDNLKLIRSSTLVKSVTEEAVEEFCRDFEFVEGM IIGADEARGTKWDMSRIVGEGESDIVSVSVRNQPMRDETEGEEKYSLRALFPRTVGEI RVLLS TSTA_113190 MKNSIRSILSNSVRSRWSSTMAQAPAISPFSQAVVNTMRKLYPE SLADKTFDNTGLLLESPYTPNHNLKNTVLLTTDLTKAVADEAIARRDSIIITYHPIIF RGLKSLTLQDTQQTSLLRLAQEGISVYSPHTAVDAVPGGMADWLCDIVSGKFANTAPT AIERTGGGSYSAVIYPQPTPNQQTSTSVDAHTRVPIRPSPEPVPTGFEGAGAGRLLTF STPQPLSTLLDRIGAATGCPGSISLAIPQGRNVSSIQIRTVGVCPGSGSSILMNPPSG VLPDLLFTGELSHHEALAAVERGSAVVTVFHSNSERGYLWDVMRRKLEDGIVTQLGIQ EQGEVVAVSKVDRDPFGVVVRK TSTA_113200 MFVRTTVSRGYRSLTRSTSRPFFNPVQTTTPFSRLPSRSFAVAM ADSASTSGVTADGLKEKLSAQLGAQFVEIEDMSGGCGQAFQAIIVSPQFDKKNMLARH RLVNSVLKDEIAAIHAWTPKCYTPEQWQKLQEERS TSTA_113210 MFARRFTATVPRTVGSQSALFHATRPAFVKVGDAVPNVDLVEDS PGNKVNLSKELTGKGVIVGVPAAFSPACSSTHVPGYINHPALRNAGKVFVVSVNDPFV MKAWRASLDPTGKSGVRFLADPAGTFTDALELGFDSATIFGNQRSKRYALVVEDGKVK EAHVEPDNTGVNVSAAEKVLA TSTA_113220 MQPRREYHIVVLGAGGVGKSCLTAQFVQNVWIESYDPTIEDSYR KQIEVDGRQCILEILDTAGTEQFTAMRELYMKQGQGFLLVFSITSMSSLHELSEIREQ IIRIKDDTKVPIVIVGNKSDLEEDRAVSRARAFALSQSWGNAPYYETSARRRANVNEV FIDLCRQIIRKDLQASQLRSLEMQARKKEMAASGNAKEARRRSRRRGQCVII TSTA_113230 MLSSRPVLRQIAEKEFIPRLRCLSTIAGQRRLTPSRKHVSNRAT AVRNSSRSISNYTFPHHANAISVLPTAVDTESADFKENAAQMNELIEQMTQLHDKIAQ GGSQKAREKHIARGKMLPRDRVTSLIDPGSSFLELSPLAGHEVYPGEDVPGGGIITGI GTVEGVTCMIVANDSTVKGGTYYPITVKKHLRAQAIAQENKLPCIYLVDSGGANLPHQ ADVFPDRDHFGRIFFNQARMSSLGIPQISVVMGPCTAGGAYVPAMSDETIIVENQGTI FLAGPPLVKAATGEVVSAEDLGGGNLHSTISGVTDYLAVDDAHALVLARRSISNLNYP KSSSPLTGLSEGTDIKEPLYNPEDLNGIVGTNLRRQIPVHEVIARIVDGSEFAEFKRD YGSTLVTGFARIYGTQVGIVANNGILFSESSLKGAHFIELCAQRKIPLVFLQNISGFM VGADAEKGGIAKNGAKLVTAVACADVPKFTVVFGSSAGAGNYGMCGRAYSPRLMFMWP NSKIGVMGSEQLSAVMEAVGRTADPELKSRIDRESTAIFSSARLWDDGVIPPAQTRRY LGMGLMAAIGGKNDVGKTDTRFGVFRM TSTA_113240 MSSKHLSRLLLRPTRPVVACGAGAGPLRSPAWSRHHSTKHPKGF VPPTDEDLLQLRESVQEFTRREIPEEVAARTDQQNDFPAEMWKKLGEAGFLGVTADEE YGGLGMGYQAHCTVLEEISRASGSIGLSYAAHSQLCVNQLSLNANAEQKAKYLPGLIS GDKIGALAMSEHSAGSDVVSMKTTAKAVDGGWLLNGTKMWITNGPDADYIVVYAKTEP EKASKGISAFIVEKTPKGFSCARKLDKLGMRGSNTGELVFEDVFIPKENLLGELNRGV KVLMEGLDLERLVLSAGPLGIMQAALDLVLPYTHIRKQFNTPIAHNQLIQGKLADMYT KLAASRAYTYATAKSIDESSAAPSGTLIRTQDCAGAILYAAERATECALDAIQLMGGN GYINEIAAGRLLRDAKLYEIGAGTSEIRRMVIGRAFNKEYA TSTA_113250 MNTNALLLDVFHQRWLNLILDLVVTAIAVTIVALASQIHGLSSD GALGVALSNVVSFSRILMYLIQAWTQMETSMVIVPNGDWSTQGAIRFKNLTSGYGQEA DIKSETKVTDFDSSAQSSPILKDITLNIEPDSKVGDHSNEEILSALAEGRIFGLIESR GGLSPTLNESSLLSGQQQLICPARALINRSRTLILGEATSNIDKETETKMMKADSRPI SRMHYPCGGTSTTENSRFRFCISVG TSTA_113260 MAFNSILIVVGLLGGIIVAQDASDGVVFKPSRKDSTLDAFGNSS LSFSRLFSAQDYLGGRLFARDQTCEYPVPCEGNEWCCPAGSNCVSANNDTHQDVAYSS TCSARLSSTAAPTVLNAEKTTSAVLTRPRHAEVRFALKLALCVAVIMFVPGAQPVMSG EGKIVARSLTQVARMLMDYIDYDDIYTDIYNIYDFCFHVDCYTGVYKHQDFYFDIDVY TDIYKDPAFYYHFGGY TSTA_113270 MLKVIKAVFNPLGGAQTRVCIPSSQNSRLQGPMLSRLLSNCGIT NQGQKMMVRIVGGCSQYAKRSGDPATLLSARDTTIQLSNNNSTLMDPYGDGSLTYVGL ALGERAAGHYDLKVNFSGTIDNLTILNAFGEEYARNDTPNGQVTLLFDVTDDSYLPLA LIAWTENEVNVSFTGTGDLTSSPSSSSSTPTPTSAAANQSGRVFIHLVVICMGSLLML VI TSTA_113280 MSDDEFYYDDDDDWYWYEEDNMGLGDDLAENAIHSPIMVEDPSL ETVDTYSDWEYYSDDYYDDDPTITTTHNTNGEPRRKRQKLSSIGNIPALELGSSIVDS SSQMADSFKGVLWRVPVKEDEKMELYEPGKEEKVALLSDWRELFNAPVYQKDWFANGS KTTEINGEDRAYSTINDQATEPENAVDTKYGRYSPPPLAIENLDKTISRQREKLSQPE KQNVSEQVPAERMIVADSEEEEELDETIDPMAVEGDAADDDKENNVSPENEDESPQTM SVRVEIPTLAAALKEDSLNQSAPPPKKGRTPKNSLAATETNGNSTTKKTATRQTPTKR KRADNEEEQGHKRRSKRVASSPNMKARNDKPDKKRTRAGSMASPREERGKRKKV TSTA_113290 MTLSSLLRTGSRFSQIAVLRSRTSSRRASTVATTENSAILSSIL IANRGEIALRVGRTATQHGIKVTTLYTNPDSKAQHALSSPYAFNLGETAAYLDGDRII EIAKREGCQGIHPGYGFLSENSEFAQKCANAGLVFIGPPWKAIEDMGDKSRSKKIMTA AGVPCVPGYHGTNQDPLFLESEADKMQYPVLIKAVKGGGGKGMRIVRSKTEFQDQLRS AKMEALNSFGNDHMLVEKYITTPRHIEVQVFADKHGNCVALGERDCSIQRRHQKILEE SPAPHLPDSVRKDLWEKARAAALAVGYEGAGTVEFIFDNDTGNFYFMEMNTRLQVEHP VTEMVTGQDLVHWQILVAEGAPLPLTQDEIEANIAVRGHAIEARIYAENPDQGFIPDS GRLLHVRLPTETEDVRIDAGFVAGDEVSAHYDPMISKLIVRGANRAEALRTLSKALEE YEIAGPITNIEFLKAVCKSADFVSGAVETGYIEKHREELFIKESASDEVLAQVALAVF MTSSGLRGSQLGFSPGFQQRQFSFTEATGTAPAHEVQVRQLSGDSFEVTVNGRTFSNV TSHVKSPEITSFFPHTRLDTRVIQDEDTIIAFQRGQQYRFFASRGKWMEKALGIKDVA NSVLAPMPCKILSVEVSEGQAVEKDQPLVVIESMKMETVIRSPHNGTIARIVHRRGDQ CKSGTPLVEFVGDD TSTA_113300 MATIFKPAARQAVRAVARSSIRPSLTINTNIRSLSTTPARFQDD EKKGAAAPPGAFARTDDSLVIEHPADEEMPRSPVVQGRGGMHFKRTLASFSLENRVSV VTGGARGLGLVMGQALVASGSDLAIVDLNKDEAQEQAEKLVAQFSKENPGLEELPKVT AHYADVSDPDSVNAVLANIIGQHGKIDNLVTSAGFTENFDAISYPYDRMKKLWGVNVD GTYLFATGVAKHLMERKAPGSIVMIGSMSGAIVNVPQPQAPYNAAKAAVRHLAASLAV EWAGANIRVNCISPGYMLTALTKKILDENPELNRKWTSLIPQGKMGTPEDLMGAVTFL LSDASRYVTGADLRVDGGYTVT TSTA_113310 MQTQGRCLRQQSGPFPQSPLDHLASNIPTPQRRKPLNTFEHDSL ELQSFKQKVDRNLFSYNPDDSWIWEIVSAALSVTGFALLGGFLLRIQHSRYPSWNQAI SPNTVLPIIITVTGGVMLVPVSSCLSQLKWNQYHKPTPLYNMQAIDQASREPWGSFQL LWRSSKNPKIESLDHGISTCYRPVYTANIGFSCAYLSSYQWIRRFKPCTVMFPRKNVT TLMLKLSLTECTVYYRKKQYSSSSLFQGKQANYSVNISNTQPLVPFNSWSSSDTVGSS VVIRFGPPNGKATLSENVSPTPFEEKNTGLTRLFYTNGTNSSLESLPNSLTDAMRENS QAFAIPREAFIDVRSVISLLALQLETLPEYDIGTSQNVDEMHQYPKEVDVRIDRHKGH LSFTEKADNKLADLILESLNDPEVMSFSRRGIANIVTLLCTSC TSTA_113320 MAREFPADVVKTTDKFSAELRQSDCINVDDIARLWKAYATQSAV VRDVVVSRLENLFWRIWGNVDVQQNLSGGVLATLFMTIHEDSSLRITDYPPPLKASTI TSSNGITGSQQGRNDSPPKNESKTPLPPILKKSTEGTKSVPPSEPSSHKTTRILIPEK PSEKPTQKATKGAETGKGNKSTAASSTSAAQKPIRKRPTFVANRAASSRKRGVLIRRK SSQTSPVTSPTVEETEDILQEQIQAQEEEKAEEQAKEQSKEASAPSSPAKETEISWRS MPYLKKFDEDSRNAPTEREPGTASTSPPPTSFSVSSVHHHRSPEEHFRAANQEKAQNS VVSDKSLVEQDFRTNFVQRQRQGSAFTTKNNSAASSLTTRLSSTNFREMLNAAQAAAA LQKSDSRGATRSLSPGPQYVLLPKHDGPISSSTMMTSPTRKSDVRDRSRRPIIINTSS SKAQEQRSDDKEPVSNYPSAVAVSESVISTSQQTTTGVFSVPKEAQELLGEQLTNLIK GKGGKRW TSTA_113330 MSLLIALTAGKEATSAGVKALKDGRIKDIALEQGLQSSNSNYNA MRDPNGRPTEPSTPRSITASITNAFLRFLQFIFALTVAGLYGKDLQHACDKDVYLDAK WVYAEVVAALAAFTAAMDLMTWCFVPRVVRRAVDPYQSLHLPFLLWEVFICLIWLVLF GVFAKMYLSEDPEGDGAIERMRHATWIDLVNLLLWVVTMVWSGMRLGKDRFKCGGGKK AKKEKDILKKEQQREGNEFVLAGNCPGVGTAASRNGGGGVGTTAPGGDENCWDSPVSS VAHDAGDRLGHNGEMQWWTLTSMAPLQQRLGLIINHVLDTLHTYMLEVCSIMLSIASM LLNLLGL TSTA_113340 MEQTALVKHEGGLLIRSISLGGTYSATPDTPSPIYPDRLIRPLP KRPLRSRLSPEAAESILFPPAPPVSQLFYGSYSEHHERQDSKYLMRQHSVDPYNHDHS PDRDHRHPYENGVSEVESGDEGGSVVVRRSGGFRGSSLPPSTPYSNEQAQDSSQTKSS PVGLDGYDAFENTNNKKKRKIPTSGTLNNHHSSLSTDLANMGLSGSTPGSPGGLGDSG TGTYYGSGSPAASNAGSGISGPGRGRYARHAPRVSSGRNSLPMHPQGAWFGGRPGGSR RDSTPSSHIGSGETGTKPDQGIISTAIANAAALSPSPRGPNNVSLLDQQANRQSPTKT QFTFTCESDSSKSIAMQSTNPYPMSHPRLPNSSLHGHAPNSRDFATQGTQTSPNMGTM GGNQQLPPPAAGAPAANPGQRPKRSRDSIYAMAARRRKIQQHYANIHNPPSPEEFWMC EFCEYEAIFGEPPRALIRQYEIKDRKERRRLAEKRRLLEKAKMKGRKGKKATKNAAKQ AGAQQPAHHNHAPEQAPMDPPHPDDYLGEYEDDAPPMPTSAPPVPQSTANRGPVGIHN AGHSPAEGPTQTKSRIGDGGMNRPA TSTA_113350 MSPRRSSRARTTQPSPALHHTNSSSSGNSHNRGERNTRSNNKHA SPQRSSTHRSQSLDDSDGKPDLPQTRQRHRAQDDDNDDLSQANDDEGDEEENDDEEIT RCLCGQQEYPGLPPSRRSATGRHRQQAGGKDNTLAKDEADPLSDDIGSMFIQCDSCKV WQHGGCVGIMDEAMSPDEYFCEECRKDLHKITAESNGQHSSQYLPVAPVFSPDSSSRE SSSDIARRSRDSRSRQAENPKRRSTMNSRDAAYDEEELLRRAIEESKEDSRMSNDETS SRRTKRSRSDDEVNKQAVKRPRTGSPSPPAVSKQSIPPSQPVSEDEAKPKTNGVNRAK RGASGRGQKEKEVKEPEEQQEEEETEQPGPIPRRKSRSEKKREEESDHEAEASPSKPS ALAPTPSEPEPLEPTPETPVLAAEPAPPPPPPRQSTRKSGRPPARRGGRLGRNQYTRD RDLNGDAVNSPNSPHGSHFRDNGRDSPSVGGPNGLANGADGKGGKGRHLNPHRTSMNE MKRRVAAILDFISRMQVEMAASGETVTPPDNNTTNSNRTPLVKGVQDQLSSLLTSTNS EGVSVASSAGSAADDTSKEKEKDFKDLTSIEMMDVLTRHLLKWQQEYGKYGEK TSTA_113360 MPTTDIHVPIHAFFTHSNHQDVFALAPLALSFLTLTTSVIMAPV ASEDTLKRSTVDLVDKRAYYYYSGPEEPAENDKHAYYYYSSPEEPAKKERHAYYYYYY YYYYYLGPEQHSEEE TSTA_113370 MFDIVRVRTLWLAHYTAIAAIFTGSTGLKLIWFYLESKTKKAHF LNQTIQYRTGEIRGLYSRSFFWWINQLFVFGFKRDISVENLPHLDRALSSDAVHISDP NKNDGYGLIGAFALVFTLKAVLNGIQNSHETWASPTELAIAVYLLEKKVLWAAAIPAA ISLSFFTSNFLDTAKSLKMLGMSEQASRIMQLLRVRELNLQKKFRHSMVKMNLLAGIP SNLSPVITLSVYTGIAFWTGREPLTEAQTFMTLSVILLSSTPLSNPVYSAPRLAGVVD CFKRIQEYLLESPRRDYRRWEKSFETFEAEIIPDRKSCDSPKMPTYPTPDVVIVHQAD LSWSSTHPILSNISVKLPHGSLTMVLGPVGSGKSMLLKAVLGELHCTKGYVQIQSSLK VGFCDASPWICHSTILETICGELGYDEKWYQTVIRACALEEDINNFTDGYSTARTQLA LFDDVLSALDTRTREHLCEHVFGSRGLLRQHNVTVVLVTHAHQPLAFADQVLLMEDGR ISDYGNPQDIGNRNEPLVIEDIPSNVNTVSGSTLDDLQRMKPFPSPKVEDIDPDVHLL NVGQLGLWVQWWAEVNARSPYSQLEPYISVYAALAISASALWASCMRLVFCRIVPKSS TQFHEYLVTKIRDAPLSFLTSTDNGTILNRFSQDMTLVDRSLPADFLKTTNNFAQCLM TAVFFQLVVYLIQKFYLRTSHQLRQLDLEYKSPLYTQFTETVSGLITIPRGVTTTRWL ILVLDLFVAGVAIALSLLAVFVPNIGPIGVSLISLITFSQQLTELVNFWTSMETSIGA ITRIKSFQKAVPSENLPLENQIPARTWPSEGRLVFHNVSAGYLMTVRPVIRDISLTVD PGTKLGVCGRTGSGKSSLILTILRMIEIHNGDITIDDIIRNRVIVIPQEPVLLSSRSV RDNLTGLAAVADTTALVDDSMILEALEKVQLREYIDCCASGLDTKMESVTLSAGQKQL LCLARAIIMKRKILLLDEATSNVDDKTNELMQKIIRTEFQGCTIIAVAHRVHTLADFD MVAVMDEGTIVEYDSPAKLSSRPQSLFRQLCEKQSTPFTKPT TSTA_113380 MSIDKIHFERHSRKDAQRTVNLDFEARVPIPFSVFPSSYRSDAV SETTQTRVEGEVEINRTSRVGREDTAGAPLPDPRVYGKEEVDVRIRTDDRRPRTRFEE TRVYEERDRFYDKQSTYPQAATYPQTTYDQANYPQVELSRERFREPIGRYEEYPTSNN TTTVQERAFETQLDVTEREIRRRIQPTYEVDVTYDRRYQPDTAAYRADAYDVTPESRS NPYNRSAQVSVTKETIRQESPKSKMGYYDDEGHYHSFRRGVERAADRLLHPFHHEREE VIVEGAPRAPREEVRIIEPRGGVSSKDSVPIPVHFIRVGDLLILQGRPCQVIRVSVSP QTGQHRYLGVDLFTRQLHEESSFISHPSPSVVVQTMLGPVYKTYRVLDVHDDGSITAM TETGDVKQSLPVVSQGGLLQRIRQAYSDGRGSVRALVINDGGHELVVDYKVIHGSRL TSTA_113390 MSTKEIVLITGGNRGIGYGVARKLSREYSNFHVIIGSRDANQGR EAVSSLLAEEGLSGSSISSVELDVTSDESISAAKKTIEEQHGRLDVLINNAGIALDVK EKGKLPLRTIMQRTYDVNVIGAALVTEIFVPLLEKSANPRIVFVSSEIGSLTSAADPS TPWFKDPFLAYKSSKSSLNMVMLWYNALLAEKGFKVNAACPGYVATNLNSFHGTGTVE DGAVNIVRLAVLGKDGETGTFSAKEAVSRRLIDISNLAVPFNSSKSLCRCRPMTGMPH FTIHSILIAFVDIILSEGS TSTA_113400 MESSTDSNRKPNEFVVEEPIMKEDDMSIEKKDDYPSSIQLVVIT FAVNLAMFLVGLDNTIISIAIPKITDHFRALDDVGWYASADLLTTCALQLMWGKLYTF NSIKWTYMTALFLFELGFLICAVASSSTTLIVGCAIAGVGPLVGGAFTDNPKLTWRWC FYINLPLGGCVVAVIFFFLTSPTTSNGSKIAFKEQLRQIDLPGTIMILFGVICLLLAL QWGGTSYAWKNGRIIALLILAGILLVSFVIVQICSGERATVPTRIPMWFQAIKGVTAI KSGVMSLPMVLSFVIFSFLGGILTTKTGYYTQFFYLSVILMSVGTGLLSRLKFDPGYA EWIGYQVIFGTGCGLGLQAAFTAAQTALSLADVPIGTAIGCTTTEQLYQKLEEPQKLT CGVTGWCPSRSKCAEILRSTYDLRAKRAYRGCKDAKNVICDFGEAKELHTPILLVPPE TFFGEPIDMPVNIWTVASRIYEILSERPFFEGPMPGQDHVIAEMISTLGPLPSRWWEN GP TSTA_113410 MFRRRSSSRHQPLNTTPSESAQTAASRAYIANRDANLSSAAAAA ALRSHTTPPTSPGNVQTKRMLQRQLSNSSRGSAAGLRHGSQPTLRRTPSSGSMTSRTF RDPSPGRSSTSGAASHHPPVPPIPQSLPSHSMPSQRSASVQPTRGTASPLRRLVTGRG ASLDRGPSSMTASPTTPRNVSQGSSLELDRPGSRTSINFSYPMNARANSPPQSPVECE FPRNSGEKSPSPPSISPVEAAGIQQSINNTANRKVKLKPRTAAPGSKERSHFAHKTMG GRPTGTAIQDEVENDGQESHESASPQSSGVSTADFAPGHSVPVPEDRTSLASEKGDIA HIARPQPKKRPSMVMEDHEGEELAEAAHAAQEAVPEPPAVSKPQPQTESTTIQKPMRE ALVIYPPNAIQTNVSPHTRPSPSTSSTPSSPSDRLLVDNTVCILPQRQSSTSPNRSAR FSTQLTVGSESPLHEPPPRSTSPAKPALKNSSSPDRRVHLGQTPSEFSDATSVASDDG SRAGSKRRVAKVSFDDEAEVVGVAASPPTSPEPMNRAQSPVEKVKPRKWFGIGKKKTT SKDVAEDDDFESVLRPRPALPSFGSIRGMRESEEAAALVMDEIDSDSSSDGDFDARNS GVSSDHAIGAILRNAQERTNQTQQHPTDETSVPDLGSKEHPSEVLDEVEPVKGTGGVH HLPTVQEEQSSTTPSEAGGPLSAQNTEVVPKEDNIIEQQVDELKETVPSIAIQPATPG EEPRNSIDLRNMPGGFPTYISERVAASEGSQQTPVDPKTANPPAEEADSDGESGESIY SDAAEDPLEFQGDVFGSINAIVDSPLPPQAQLPKEAPESPTRTLMHPDPLANISEPIP SPSLEAINPRMPATPSGPTQVPNPASPLETVEEHQKQRSDPAESSWPLKDDATSPAAG VQQKPKTQSLDPHHGSHLRKSLGISDGQHEPRQSLRSTSGPASSAATKPRRTQTSSAT QITDNSPKKRAGKALPHSMTFPASATKVTADDSDSDSSFKRTRRSSRVGPSGQYTMKR TMRAGRPMSMADSDTLVERTTSPPRASSMRTTLRGPASERSSGFSSLRDKPGRPRPRG SVLSSSTRSRIGDSDDEGRGARRLFQSRFADSSDEDEPTSSNLTPVRGIPRRKGGYDG DSTDLDDSSDEETKTDVRNGTNKLVAQMTPEEVEAILSQPKKKGGLFSRLRSPTRGSG KDGKVRKSLIESPARRDTPLERSRHELARMREETASPKLQKRHRAASAFAETWPLNAE QASTLAGSAAHSTQNRPSTSDGIPNDKNNNRPVFSRHGTSDSVDSRVTSAASEVVIGR SGKKKRFPLLRRAFGLRD TSTA_113420 MAHFITAPDPAPLPLPPGIISRQIDLSAYGHLSYHILEAGAPTD PLIILLHGFPELAFSWRKVILPLANAASAPSENRRRRGYHVIAPDQRGFGRTTGWDSR HYEQVDLHNFTTTMYVRDTIALVNALGYSKVECIVGHDAGAVTAAACATIRPDVFKSV VLLTHPFSGMPRLPFNTVDIQGSSSFPPASAGIAAPSINDKLRVYNRKHYKWYYSTHE ANADMAGPTTAGGLREFLRGYFHVKSASSKGNNPHPLINKAESSRQLEWPMDEVVKMP YYYIMPLEATMPQAIERLMTDEPHDASKDWLPDEDLEVYVNEYARTTFQGGLNWYRAQ TADGDKKPELRHDLDIFSGKRITIPCAFIGGEKDWGTYQQPGAIEKMTGEEKEVCDDF RMFRMVEGAGHWIPQEKPDEVVQAILELEMKQRKYQVNE TSTA_113430 MSHASRYRSSSPGGRRLVDPLRASTGTVAEDLYTSPTSYHNYDG YLSPKVAERRGGFFSVDSGERLEAHPISTTTYRDGNHSTKLKTSYAIRPRSQTTDSSR RPLSLSIPSTTSSTRKGPIITSGYQRSTSPLPPRTSHARDEPERYILPASSGRSHRRI YSSDYTSDSGYGKSVVKHRSHEPRYHIYRPAGVSRYHAYGDPRQWDDSKYYDAYSYTN ARETFEKESAARSSQRTHHRVGRPTSMVATGSALIRPVHHKESKRLSSSHRGSNRHHE DDRLRITNGRDFTDSETHRDHHRYPHQQRLVVHQDREDGYQSFTDDHKHSSRHRHSSR ARESRKKRAEDYLAPVLGGLATLGLASGYSDDGRDTDRSGRSIRHRSRDPGHDDHDRD YYQDRERGKEGVSGDEHARRRHRRHRDRSKRPSSSSDSDDSDSEAYSRRRRREKSSSR KKHDSSSSEGGRDRRKEESGKSLPVRKGDGESVERPRKPVAVEPPATKEPEAPPKSIL KPPREKFPEDETHMREGVAPLKDAQKNGIPPGARWTKIDRRLVNPAALEMGHERFEER PEYVIVLRVLTKEEIQAYAVKTQEIRDARQKATQEERRRLREERRRNGQVDTSSSDDE DDDEDESAPLAIEPAPMKDDFPVPRGRDRVANEVPVEVKK TSTA_113440 MTAVVQPDLGPPPAHKYKDDPSDDSLRHLGSNHSHQHPQNLQQH SRPGGLLHQHNQRPDSPHQHTGGSLPTNPYSAPSGLNGLSLQHPPPPQYPPAAQEPGY YTSHAHPYPATTTPAQYPSSGPPDLMAATAQMQRPYPPIYQTAPQSNSPVSVTSPPTH DQHGRSLYAQSPQIASQMYSYGQPYSPMNPVHPAYNPQPPAPPQHPLTTQPLMMPPQT APIQHTIPSTHQPAMSSSTMGNNSPRLKVDPIPQQHTPGQNQRPSISASTLTSPNPSV PPLSANNVHVSHPTGTNPTNAAPGPIPATTPQLVRQDSNGVQWIAFEYSRDRVKMEYT IRCDVESVNVEALSADFKTENCVYPRACCSKEQYRGNRLVYETECNAVGWALAELNPP LRGKRGLIQRAVDSWRNSNQDPRLRSRRVRRMAKMNRRQANQQPNHQLGGNAAPVPHL LTHSPVGLAPTARPPTAPLTLAAPPLQHHTHHADGQAGNEEVSGSTDLSNGAQRPPYV PTSAKPPISDAHESTPGLRPGQVYHGMLSYPATAHTPSGLGAPSIAPPLQGGGFDIIG RQSVATASSQRHQADDSYETEGGTGKPGDKVLFGNLPVGKRRKFILVEDHQRGGRARV KVTLDRVNINEIPDSYRLTNAVYPRAYYPVQMKGSPGHTVPDSRYLEDNDADDNNDDD DDYELENGNDPVTVGRTIVTVPSIEGLSMPVPQLTRSRHRKDKIINDFGYRMSWSQSR VFATRHLFLQRSLDAYRNKMRGSMLTIGQEPESIASHFETRIGRRKFNTRRQRKNEGS SISASRREAEEVEA TSTA_113450 MSRQPGTSFSRGEVSPITSDIQSTSSQISPVSDLGAPRGFRNHP STRQNMAYNRAQQPSNIDTDIPPEPPQHRSIGSPSRDMADNRPPIDRSTSLRTNVTAT PGADNLSAAAVGGGISGIAYDVASRNQRESGLEAVRSIGQPGNGYYEGPSDGHYTDGP YGVTNNPNRHSQVDPSGTQFAANMPLGGSALAASTPPQQRTPSLSPSNPSQISVGELY PRYPSGGLYDGPYHSFNSHDPTAINPNDIADDGDDDLMNTPPQQQRRSWFGKKSTPAL AAGAAGGAAAGGVLGAVNSLRGGPTTYESVPTGAGGAGQSPYEKDAFLTRQADIAAAK KRKRWLLTLLVGFAIVAVIVGAIVGGILGSQAHSNSSNDSGKSSSGGGGSGSSSDPGT NINTAAGDLATNGDLDKNSPEIVKLMNNPDLHRVFHGMDYTSWGVQYPLCLKYPPSPN NVTRDMAILSQLTNTVRVYGTDCNQTQMVLHSINQLGLTDMKLWLGVWVNSNETTTNR QIETLYDVIDNMNDTKIIEGVIVGNEVLFSGGFSDQSTAQKTLISYIENVRSNLTAKG LKVPVATSDLGDNWTQNLVDASDLVMANVHPFFGGVQVDKAAAWTVDFFNNHDVALTT GTSKEAYISEVGWPSAGGNDCGSNKCTDSTSGSVAGIDEMNQFLSDWVCPALNNGTKY FWFEAFDEPWKVIYDTPGQEWEDKWGLMDSARNLKPGLKIPDCGGKTV TSTA_113460 MPHTSSKHRKKFTHPKRIEITDEDGWTHVSNTHSVPSPSSGRKK MIMTDGAVDDDDHPAQTILNDDTGSRGGEATAYKLSPSEPPPRLTLPELRKQFTAHQG TWESSQTWQQLKHSLSKDILDQTNTQLRINNIVCIGLGSPSGFVQGGWVDRRSVALYQ LASLVSIATSLKQHQKNHKTPKNEEQEEDDDEKEIEIIAQDPVFNTLDVELLSSLGIE VVNTPEGFNAVNERTFLFAPGAERRHLRLMLPSNPAMVFGGPLEEGPSLTSQRFDEDD NSTIENDDLADYVARTRSVKLQEFEARPETFWRMRVYWLYDE TSTA_113470 MHSQQSISQWTALAVMILACVNWVNAHTIIVYPGYRGNNLHTNG SVEEAGGLGSAYVNDTTIYPYGMQWMYPCGGMPTSTNRTKWPIQGGAISIQPGWFQGH ATAFFYFNLGLGAIPPNMSNPMLPPFQIIGPSANPYPGTFCLPQVPLPAGLTVNVGDL ATIQVIETAKHGAALYNCVDIEFALPEDCVKVTQDNCFNSSDIAFADIYEVNVTTSAA PPSLMAPGFTPISFVTLITMLFAGLLI TSTA_113480 MPARNSQSAPVLCDERDANRYVSRVIPPVIFGIFAYASYVVTKP LCVDYLINPRPHHYDRDPRVGTGIAIIVVFYVLLFPTITTYLRLVLVVAFNPDYLPRG ADWAPTEPEHESWLSKRRRRADHGNEITTKNNEKRSAAESADIARQTGGVAYPLDENG QEKFWMKDIYVCQDDGRPAYCSKCCQFKTDRAHHNRDADRCVRKLDHFCPWVGGVVSE SSFKFFLQFVIYTAFFTCFCLIVLAIFVAEHRSDTGRVNAQWVVALGLAALFFLFSGG MSGTSLQLASLNTTTIENLSRRSKVWMLAIYISPQQYQRMTNRTSGPWALTFPTVTFP TQPPPVPSTTPGAPSSEAEPQSNGVASTAPNNTNNANNTNQPTDMRMFAILRTQPGEN PFDLGDPIKNLQQVMGNTLWDWLLPLRIAPCVDHSSIVSAYPMGSVVDRLKREAGILF EDDEGEGGNVHTHQQAAIKHQKREHGHETQC TSTA_113490 MDQSVQRLLNDKLYDKRKQGALELEKIVRDATIKGEHDKIREIV DQLCHDYAYAVHQPHARNGGLIGLAAASIALGSEGVAPYLQEIVPPVLACFSDQDARV RYYACESMYNIAKVAKGEILPFFNDIFDALCKLASDSELSVKNGAELLDRLVKDIVAE SAASYVSVLQLGEKPLQELDQGRDPDETSVELPTAFSLPMFIPLLQERIHVLNPFTRT FLVSWLTLLDTIPDLELVCYLPAFLGGLIKFLGDPNKDVNVATQGLLERFLSEIKRIA RIKKGIAESKKSKEEIAAAAATSENTSVVTGNSDEVGGSDDVVAESVSADGNDDEQSI IVDGDWVPGQDAYIDYPKILEILVGFVDTTYDEEMQLTALRWIDTFFEISPEDILQFV PALLIQVLPAISSGSDQVRQAATRVNTSLMEYIVSLSDETMESYTSKGKEGEERRASV QSGKPSLDNQSNDSPSSSPPSDLDYAAAVNSLTLQFLNENEGTRVAALSWLIMLHRKA PRKVLAFNDGTFPALLKTLSDPAEAVVTKDLQLLSQISRNSEDGYFTSFMINLLQLFS TDRKLLEVRGNLIIRQLCMNLSPERIYRTLADCLEKEDDIEFASIMVQNLNNNLITAP ELSDLRKRLRSLDSREGQTLFVALFRSWCHNAVSTFSLCLLAQAYEQAYNLLQIFAEL EMTVNMLIQIDKLVQLLESPVFTYLRLQLLEPDKYPHLYKCLYGVLMLLPQSSAFAAL KNRLNSVSNIGLLQGPRPPVTAGGGYERPSGSRLKSREDSSVRWVELLDKFKNVQEKA KRHQRASQRQFEADSTGTACKQPSLVGALSVAAATDHQGSRDKVLPDVPRSGFGNAGL GISGRTSDGTARDGRSTPTSTNKSKSSLSHLGRLGIGGRKKH TSTA_113500 MYRPRPVATISKQTPQKALRLHGPSEQSAERLSKPFKCPGQART TITSDRPSRKRRKVDYTGADGTADDDYNRPWTTEERLALANRDINKFGVFKVKDKELT IKQRFSVPLINKTSGGYDPSRPAPTLGMRRGATFVVKPLHDPSGEFAIVLYDPTVDDK PAMKTIEAESTAEEPPKLDEPLVHKSLADILGLRKQVEDRPKVPVVIDPRLAKILRPH QIEGVKFLYRCTTGMIDENANGCIMADEMGLGKTLQCISLMWTLLKQSPEAGKTTVQK CVIACPSTLVRNWANELVKWLGPDAVIPFVIDGKATKAELSSQLKQWAIASGRAIVRP VLIVSYETLRLNIEDLRDTPIGLLLCDEGHRLKNKESLTWKELNSLNVSRRVILSGTP IQNDLSEYFALVHFANPNLLGSQNEFRKRFEIPILRGRDAAASDEDRKKGDERLAELS TIVNKFIIRRTNDILSKYLPIKYEHVVFCNLSEFQLNLYNYFLQSPEIRSLLRGKGSQ PLKAIGLLKKLCNHPDLLDLSRDLPGCEQYFPDDYVPPDGRGRDRDIKSWYSGKMMVL DRMLARIRQDTNDKIVLISNYTQTLDLFEKLCRARAYGCLRLDGTMNVNKRQKLVDKF NDPNGEEFVFLLSSKAGGCGINLIGANRLVLFDPDWNPAADQQALARVWRDGQKKDCF VYRFIATGSIEEKIFQRQSHKQSLSSCVVDSAEDVERHFSLDSLRELFQFKPDTRSDT HDTFKCKRCRPDGIQHIKAPAILYGDTSTWNHFVNDGEKGPLGKIQDLLLRQETAEKD VSAVFQFISS TSTA_113510 MSSDFVAGYVSGAVGILIGNPLDLVKVRLQARRGDESLRASSQG HGYFETKSSLIKGAAAPILGYGALNALLFVAYNRSLKFLDPSVINPTNLDANVSLMNI WLAGAAGGMASWVISSPTELVKCRTQLSRNQNISSWTVFRDILRVQGVRGLYFGGLIT SIRDSVGYGFYFWSYELCKRSLSSLDDSSQQEALKVLLCGGVAGIITWASVFPLDVVK TRLQAQPFIAHTVSEVPDFQRRLLPPTSSSRPRILNSLEIARDAYRSEGFSVFFRGLG ICSVRAFIVNAAQWAVYEWLMKEFNRASTAPHVSM TSTA_113520 MAAAIVGCSRLTFDSQYISEVDMTAAKHIIRLQVYLAWVDAWVS SSEQRDAIDAIEIDEYGAEESGFDRMDIPSMSSNEMADLFQDEYLPYLLKPDILAEVV HAFRQHLVHRRIKVGGSLAPSSPETNVFSERYDPRVECNCAGDTRDDKTTLTDLSLWQ DSECQSIRRTVAAAQRVINNQHDWKQEIFTTQGLTDAIIELFLSNSDPQPIPDTCHGS GLTNPIPEIRAPDRRPNPECDSDPNVHDSLFPTTEKIKLCADAKYFFAMACGGSLCDE GLLRAIADAGNDVLIGDYGDAMDEKTLTTLRRDGAAAVAFLKLCKLADVVTAIQFDNL VASLIQFRVLGYYRDHGRASLAGGLYGSHTTGLVVHRYIDSAIWVGVMSASLATGEEI TETKYFRLVTVCSLFNDLVDLRGDAMRKQRENPILRGVRGRLCGYLDSCISQCLTLAC ETLGSDRLSGMVVLGFCNWVVMASHHKVYELVNGVREVDHYSTCKYDSTEDETKYNNL LRVLEHYGTLGKDGPHVLMGRATMDKLYSINRDKSETHLAWMADITRSLLKPEILRKI VDVVHFEWKGDIGDGEYCP TSTA_113520 MAAAIVGCSRLTFDSQYISEVDMTAAKHIIRLQVYLAWVDAWVS SSEQRDAIDAIEIDEYGAEESGFDRMDIPSMSSNEMADLFQDEYLPYLLKPDILAEVV HAFRQHLVHRRIKVGGSLAPSSPETNVFSERYDPRVECNCAGDTRDDKTTLTDLSLWQ DSECQSIRRTVAAAQRVINNQHDWKQEIFTTQGLTDAIIELFLSNSDPQPIPDTCHGS GLTNPIPEIRAPDRRPNPECDSDPNVHDSLFPTTEKIKLCADAKYFFAMACGGSLCDE GLLRAIADAGNDVLIGDYGDAMDEKTLTTLRRDGAAAVAFLKLCKLADVVTAIQFDNL VASLIQFRVLGYYRDHGRASLAGGLYGSHTTGLVVHRYIDSAIWVGVMSASLATGEEI TETKYFRLVTVCSLFNDLVDLRGDAMRKQRENPILRGVRGRLCGYLDSCISQCLTLAC ETLGSDRLSGMVVLGFCNWVVMASHHKVYELVNGVREVDHYSTCKYDSTEDETKYNNL LRVLEHYGTLGKDGPHVLMGRATMDKLYSINRDKSETHLAWMADITRSLLKPEILRKI VDVVHFEWKGDIGDGEYCP TSTA_113520 MAAAIVGCSRLTFDSQYISEVDMTAAKHIIRLQVYLAWVDAWVS SSEQRDAIDAIEIDEYGAEESGFDRMDIPSMSSNEMADLFQDEYLPYLLKPDILAEVV HAFRQHLVHRRIKVGGSLAPSSPETNVFSERYDPRVECNCAGDTRDDKTTLTDLSLWQ DSECQSIRRTVAAAQRVINNQHDWKQEIFTTQGLTDAIIELFLSNSDPQPIPDTCHGS GLTNPIPEIRAPDRRPNPECDSDPNVHDSLFPTTEKIKLCADAKYFFAMACGGSLCDE GLLRAIADAGNDVLIGDYGDAMDEKTLTTLRRDGAAAVAFLKLCKLADVVTAIQFDNL VASLIQFRVLGYYRDHGRASLAGGLYGSHTTGLVVHRYIDSAIWVGVMSASLATGEEI TETKYFRLVTVCSLFNDLVDLRGDAMRKQRENPILRGVRGRLCGYLDSCISQCLTLAC ETLGSDRLSGMVVLGFCNWVVMASHHKVYELVNGVREVDHYSTCKYDSTEDETKYNNL LRVLEHYGTLGKDGPHVLMGRATMDKLYSINRDKSETHLAWMADITRSLLKPEILRKI VDVVHFEWKGDIGDGEYCP TSTA_113530 MGATRRIKTKRRTRDYDQIRQDLASKKHLQDFKATKDAEDLPGL GQHYCVECAKWFETEHNLVAHRKGKNHKRRLRILKEDVHTHKTAEQAVGLVVDNGKRE HTLMDTDEPET TSTA_113540 MISTRLTRLGAVLLGSRGLATVTDSPLDKKVEMTNWEKGNYINY KKFSENLSIVRSRLNRPLTFAEKIIYSHLDDPHGQEIERGKSYLKLRPDRVACQDATA QMAILQFMSAGMPSVQTPATVHCDHLIEAQLGGDKDLARANEINKEVYDFLSTACAKY NIGFWRPGSGIIHQIILENYAFPGGLMIGTDSHTPNAGGLGIAAIGVGGADAVDVMAG LPWELKAPKVIGVKLTGQMSGWTAPKDVILKVAGLLTVKGGTGAIIEYHGPGTESLSC TGMGTICNMGAEIGATTSVFPFNDRMYDYLKATKRQQIGDFAREYAKELREDEGAEYD QLIEINLSELEPHINGPFTPDLATPISKLKEAVEANGWPEEVKVGLIGSCTNSSYEDM SRAASIARDALNHGIKSKSLFTVTPGSEQIRATIARDGQLQTLEEFGGVVLANACGPC IGQWDRKDVKKGEPNTIVSSYNRNFTGRNDANPATHAFVTSPDLVVALSIAGTLKFNP LTDKLKDKDGNEFLLSPPTGEGLPARGYDPGRDTYQAPPENRESINVAVSPSSDRLQV LTRFKPWDGKDAEGIPILIKTQGKTTTDHISMAGPWLKYRGHLDNISNNMLIGAINAE NGEANKVKNAITGEYDAVPATARDYKARGIKWVVIGDWNYGEGSSREHAALEPRHLGG LAIITRSFARIHETNLKKQGMLPLTFSDPADYDRIPPDAKVDLLCTELAVGKPITLRV HPKDGKSFDVKLSHTFNESQIEWFKDGSALNTMARKSGAA TSTA_113550 MATQLVPLPEVERLSTTVIRILAGNPGKFTLQVGLGPRRILIDT GEGLPRWATLLQSVLKEENAVVHEALLTHWHHDHVNGVPDLLKICPQATVYKHQPTEG QTDIQDGQVFKVDGATLKAFHTPGHTEDHMSFIFEEEGAIFTGDNVLGHGTAVFEDLS TYLSSLKKMKDSVSGRAYPGHGAIINDASTKITDYINHRQQRENEVLRVLKFGSVDAK AADTTTPSPERLSRWTPMQLVKVIYKDVPESLHLPASHGVIQVLDKLEAEGKVEHDRV SGGWQLIAQRPTL TSTA_113560 MPSAENQSEESRLLLVSNRLPITIKRSDDGKYDFSMSSGGLVSG LSGLTKSTTFRWYGWPGLEVPEEEIPVVQKRLKDEYGAIPVMIDDELADRHYNGFSNS ILWPLFHYHPGEITFDEYAWDAYKKANRLFAQAVAKDIQDGDLVWVHDYHLMLLPEML REEIGESKKNVKIGFFLHTPFPSSEIYRILPVRNELLLGVLHCDLIGFHTYDYTRHFL SSCSRLLNLQTTPNGIEFQGKIVATGAFPIGIDPDKFKDGLQKEKVQKRIEMLEQKFQ GVKLMVGVDRLDYIKGVPQKLHALEVFLSDHPEWVGKVVLVQVAVPSRQDVEEYQNLR AVVNELVGRINGKFGTVEFMPIHFLHKSVNFDELIALYAVSDACIVSSTRDGMNLVAY EYIATQHKRHGVLVLSEFAGAAQSLNGSIIVNPWNTEELAGAYQEAVTMSEEQKALNF SKLEKYVSKYTSAFWGQSFVSELKRISAQSKQKVSVRKASILVPGVSTPAVNGDEQTA PDEVVVVSSEEEEVGEAKS TSTA_113580 MAPSNLLRSTAKSAGRITPTFPVQTRYATAINSRRSIWDFLGGN KPQDQTPKEEKQVEADQEQQKSSTETQRLDTETSTTTTTTTQSSPNIIEQVQEVEEEI LSTPSPNKTAEEQAFWPADLPLPSLRQYPYTLNRGTVTSVGLMDKTVRVSYQTREWDR KVRKYYPKTVTYLVHDPRNSLRQGDVIEFSSGAPRAPRVRHVVERIISPFGVAVEDRP AVLTREEREAEMVNKRMEKLVRKAGKVAAEEGVDVEKATRRVVESKEHIGRIRALVIE RAAAEAQTNA TSTA_113570 MMRSKPSSSMQKTYDECYLMCSTAVYFEGQNNEAEALRSWRSAL ETIYYHNAYRVPPNYVPKTETEKALQESLRQLELQCKERVDLLEALQESRKEAAANND ESTDEKDSSVRPMGPRPPKLEKGKKPSTNTGNAARSSPQGWIGNGTIPAVDYNDLSKP PPLPTRPSASAAAVSLTPAASLELKETAPSSSSPHKLSPSPAPAAASSPGTPLTKESS RGSSPERRKIMLTTLRQKKDPKKSKNRNSSSSRSGTRPAASKAAGLAWGTIKKHHPVP QASESSDSDLRKDTDSKQRLSGEDVSRKVGQPKPATSPFSSDYIPSSSWREPTYPDQT PSSFASPYIDSAAAAATKSLTNDASSKPPPTAPKPPIKSLPPPKYPVYRKEYPSHPVP ASSERNVRTPPLNRSASATHTPTVSSSTGETADQPIRRTLPKSQSTPRVFVGENRASV SSVSGDEPARPSPRRVDASFDGAAAASAATRKPKPPAPRRKPRITPPSSDQDSAEDDS SSERGDDDPKLRNIMKKLPKGIDINTARQILNDIVVRGDEVHWDDVAGLETAKKALKE AVVYPFLRPDLFMGLREPARGMLLFGPPGTGKTMLARAVATESKSTFFSVSASTLTSK WHGESEKLVRALFGLAKALAPSIIFVDEIDSLLSTRSSGSEHEASRRSKTEFLIQWSD LQRAAAGRNQSLDKNHDGSGDASRVLVLAATNLPWDIDEAARRRFVRRQYIPLPEDHV REQQIRRLLSHQTHEMSDEDIQVLVKVTEGFSGSDITALAKDAAMGPLRNLGEALLHT PMDQIRPIKFEDFEASLYTIRPSVGKEGLKRYEDWAREYGERGG TSTA_113590 MAEHIPGQEYEYEALPSNYGLGHNMLAGAFAGIAEHSVMYPVDL LKTRMQVLHPSSGGLYTGITNAVSTIYRIEGWRTLWKGVSSVIVGAGPAHAVYFGTYE AVKEFAGGNADDGHHPFAAALSGACATIASDALMNPFDVIKQRMQVHGSVHKSIAQCA KAVYRAEGLQAFYVSYPTTLSMTVPFTATQFVAYESISKIMNPSKEYDPFTHCIAGGL AGAVAAAITTPLDVIKTLLQTRGLATDHEIRTARGLFNAAAIIKRQFGWAGFLRGLRP RIISTMPSTAICWTSYEMAKAYFKNQMADK TSTA_113600 MDSDDEFMTDVSSREEDFLDTQDSEDESLGEDFGDDFDAGFSYD KDLVQKTKKPYEVDFKVLSPGDIDREQNSQIAEVSSILGLPPESAGILLRFARWNREK LIESYMDRSEEILEEAGLGHSFEANPRTEVVPGFMCSICCEDGDDLETYAMRCGHRFC VDCFRHYLSQKIKEEGEAARIQCPQDNCHRIVDSKSLNLLVTDELKDRYKTLLTRTYV DDKDNLKWCPAPNCEFAVECGVKARDLNKIVPTVHCACKHSFCFGCGLNDHQPPPCSL VKMWLKKCEDDSETANWISANTKECPKCLSTIEKNGGCNHMTCRKCKHEFCWMCMGLW SEHGTSWYNCNRYTEKSGSDARDAQARSRASLERYLHYYNRYANHEQSAKLDKDLYLK TEKKMTSLQSQSGMSWIEVQFLDTASQALQQCRQTLKWTYAFAYYLARNNLTEIFEDN QKDLEMAVESLSEMFEKPIAELAELKVDILDKTAYCNKRRVILLSDTAENLKQNEWKF NVEL TSTA_113610 MGPKQKGGGGGGNKQKGNATEEVEETLQAVVFADTFETRFEPFT LEKPRCLLPLANTPLIEYTLEFLANAGVQDVFLYGGAHSNLLEKHISTSRWKAPSSPF KKLTFLKSTSTSVGDVMRDLDGKHLITGDFIAVSGDVISNFPIDEVLSKHRARRQADR NAIMTMVLREAGAQHRTKSSSVSPVFVVDPTKDRCLHYEEIDHSDKSSSSGPARLTID TEILTSHAEIDIRRDLIDCNIDICTPDVLSLWSDSFDYQSPRKHFLYGVLKDYELNGK TIHTHIIQEHYAARVRNLKAYDAITKDIISRWTYPLCPDTNLLPGHTYELRRGSIYQE QGVILARSCIVGRRTVIGQGTSIGDKTTVTNSVLGRNCRIGKNVVLDGAYIWDGVVIG DNTEIRQAILAGDVVVGDNCKVEPDVLLSYGVKISNGVTVAQGTRISAAPREDGSVPA NEENIVGSEGRGYEYVGEEDEEDEDNRSESSGLIYNMANLSLSTDSISTLSSEISADD EYFHGQRSDSFGTSVSEDSDRDHFQYDASNNLYESMRDGVSADVVALELVSLRMSANA SDHQVRHAVASSFMKRISQLISESGKGAGEAVHDVFTRYKDVVDRCLFDKNKEAKTDQ TDLLLQIQQDLAHRAKGDTILLFTAKELYDLDIIEEEAFEAWWADERSIATEELKKVR AQTQQFVDWLANASEEDDDDESEDDSEDDEEEDSDE TSTA_113620 MDGQTTGTSSAGNSSDFVRKLYKMLEDPSYSDIVRWGDENDSFV VLECEKFTKTILPKHFKHSNFASFVRQLNKYDFHKVRQNNEETGQSPYGPNAWEFKHP EFKANNKDSLDNIRRKAPAPRKPAQMNEDSVPTQQIDLMNSQIMAQQQQIQSLTDRNA QLSVDSQVIMQEVMRLQKTILQHENVIHNVMNYLFTVDARHRRDSRASGAFAGQDGSA ADDLPASPLQQASKILSDLSSSQLPPNLVNFDSLNDPTKAQMVSTPPLGAGARNGIAR GPNSAGSNPSIGFVKMNGELETAVYPVGATNGIDPMYSEHVNNIPYSIQPKDMAAPEA VAPQQQQIQRPFNETRKKNTFVNPGWIKQPNILLVEDDDTCRQIGGKFLGSFQCQIDN AYDGLQAVTKVQSGKRYDLILMDIIMPNLDGVSACHLIRQFDRTPIIAMTSNIRKDDI ELYFQHGMDDVLPKPFTRKSLLDMLEKHLMHLKTVPTTTMDPASVTPMTTHTSAAQSI KEDSSPGQSPAASMNNWQSPGQLQGMSPVHLNVQQVPQYMQPMTPAGPFAIDQNGVQY ATPSALSQPPLRPQHRRQVSDMSSTPDAAGYAKRQRMYSNPQMMPQMAGQQNG TSTA_113630 MTDKHQPTITIFRGFSCTANYAWSPFVTKLEARLRFAGVYYNLE QGSIIKAPRGKIPYISITSKHNNEPEFLSDSQLISGQLTENGILPDLNEELSPTEETM DQALRALLEDKLYFYNTNERWNENYYTMRDGVMASIPYPIRVIVGYIAWRKTNTTLHG QGTGRFSLEEIHSFRSKIWHDIDNLLAESRHKTSAAAAGRNVFWALGRKGPTEVDTSL FGFIIGGLVCDAGPESRKLIRSLPNVIEYARRIHEEYFPEENQQSNLQSSNPFTKQGS TALRKGKMLFVQTSPDTKIHLRLVKESSISSSSPLIVFLHYWGGSSSTWYKITSPDSP FSLSSRYPSVSMDHRGWGESTGPTAQNGGTAKDYSVTPLASDLVSVLQTLRTNEATAS VVKNNGIVFVGHSMGAKVILAALGSASDDILALVKGLVLVTPAPPTPLILPPDMSEQQ EHAYDSKESVQFVLSNILSSPELLTDEDMAMVVRDSLVGNTLANEGWIRYGMKEDLIP VLDELASRPHIMNVKVSVLAGEFDVVEQKDRVQSEVVEMLAARGFNVGFSVVKGAKHL IPLEYPEAVSRAIDEVLN TSTA_113640 MHGLEAPEDGCLYEMLEAHLSPQYVAKPRSQLVNQECNDGTSLQ NLWVLSPSVHKAFRQGHLYVRLVSRTRDSPEFTMRELDEDKLDAMLRRSFSLGFIDAC QCIHLFYVEDKASRGWPRSIRDQINFDIPILRKALPSVMALYTVSVGRWLYGPSTTPY VQRLPFGLHLVWSMLENMPARYIYHLRGQMIHEVMHLMSYEERDRLADELGSYAEQLR KISNPSPFLFCNTLGAKIVDHRLPDRSGGPFHLRV TSTA_113640 MHGLEAPEDGCLYEMLEAHLSPQYVAKPRSQLVNQECNDGTSLQ NLWVLSPSVHKAFRQGHLYVRLVSRTRDSPEFTMRELDEDKLDAMLRRSFSLGFIDAC QCIHLFYVEDKASRGWPRSIRDQINFDIPILRKALPSVMALYTVSVGRWLYGPSTTPY VQRLPFGLHLVWSMLENMPARYIYHLRGQMIHEVMHLMSYEERDRLADELGSYAEQLR KISNPSPFLFCNTLGAKIVDHRLPDRSGGPFHLRV TSTA_113650 MTLQSMVHLGVVALLLGSSSVTALTIEYCSNANTASDSTQNTNI YQSNGACNSLCTSDYAFAVLQGQNCWCSNYIPASTVNVTECNSGCPGYPDDLCGNPAK GLFGYIAMSNHKPSGTATGRIDTTSTGTASSSSSTTSSGTSTSSETSTTIAPTISIQT IAGQPVTITVSNSPSATSSSASSDHKSSSLSGGAIAGIVIGSLVGVGALAAFILWFFF FGRRGNSDISEKPGSPNGGNDGSIAGGTIDTRRQSRGSQMSFMRNFMGPAGPADHEVS PTSPNDYLNPNQAFIDNRMKKDAVLYPNGDRLSAVSLRDDEDYSRPVLRLTNPD TSTA_113650 MTLQSMVHLGVVALLLGSSSVTALTIEYCSNANTASDSTQNTNI YQSNGACNSLCTSDYAFAVLQGQNCWCSNYIPASTVNVTECNSGCPGYPDDLCGNPAK GLFGYIAMSNHKPSGTATGRIDTTSTGTASSSSSTTSSESSATETSTSGPKTVTVTES ASTGTTLVTSVSSGTSTSSETSTTIAPTISIQTIAGQPVTITVSNSPSATSSSASSDH KSSSLSGGAIAGIVIGSLVGVGALAAFILWFFFFGRRGNSDISEKPGSPNGGNDGSIA GGTIDTRRQSRGSQMSFMRNFMGPAGPADHEVSPTSPNDYLNPNQAFIDNRMKKDAVL YPNGDRLSAVSLRDDEDYSRPVLRLTNPD TSTA_113660 MLNEEERKELYPLGEFRHSYPRLIRRLAEAMTAMMADRDYTDLT LECDGEKFPVHKLVLCTQSEVLKAASEERWKEGKEGVIHIEGFDSLTVRRMVEFLYTG DYGQGKPDYVHVDPHNREMYDWDEPFSEEEENYSGDSEDVDTLHSKYPRTLTESEEMK HDFINAVEPHICVHAIADYYMIDDLKDLAKNKAKVTLEKQGWSSRGFLEVANYAFETT MPPKTPEEERQYYGKEILRDVIVRVALEHLNDITLLEDFDKWELHPQFAVILLRNQAA KYKSDREEWAQEKKDREKEGWNQINSWCKLMNDLDIERRRNSRMKRCIDAIRELKTCR NCNCTFNATVHSPRHETVTLDGEEHHIEKYVVRCKECLNTKHPRRNRGWNTASGWGEQ ATRG TSTA_113670 MSRPAPPDDVYEKDNYYEEIDRRRRNRDDRYFDPEFGHRRRRLT RSPPAVREVEERVRVRRDSSAPDVPPDRYLPPRDEDVIPPRYRDRVRPDDLRLQREMD EGYIRRRTPPLSRHHPSRGSRDEVTLDEGDEIDDYRKRSREKEKIIFEERRLHRPREE DERDDVIIRRRDRSLPPRRVREDEEDIIIGKDKRRIDPREELDDERIIFRHRDRPSRR EDDSERERISRHRRSMPVLDDYRAEEDEVVIRHNHDSPGPRRRRRHRDERYILDEDGR EEVIFRERDRQKQEEIEIRREERTPPHRRRDDDGEIDDIRIRRRGRSIPPPREEDRDE IVIKHRSRSSPRREDDREEIVIRERDRSTPRRGEDDRGQIRIRHFHGSSRARDDDLDD VVLRRRSVHSLDDDDDDDREEVIIRKKHGRRKSVPRGLEKDEVVIRDDDRGKDRIEFR HVHSPPRSPRRSVSRPKGGDKEEIIIKDTDRSGRRGKNEASREVIIRKEESSSSESSR EPSVVRKPPILQEVITHHRHIDSYEVVPPVRRDSPEESLADDVDVIDIKRRGDKRGRA YEEEIIIDKEKERSISPRQRHQLDEDEDKLVITRTKSGDHGVEKEVEIRQRERDRDLP GFERELVIRERDRREEIEAFPEPREEEVHAHRRYTDNTRKKRNKLWTEISKDLVIREA LKRAGYEFDETDDFYYVFEYLRYDDVERLVDLSEDIRQFRRERIRGIRRERERLPPLP VIPGPPPIAPRPPLMLERPPVREPYPWEERERYRERDIVIEEDRRPRRYREI TSTA_113680 MSRSPSPAAFVQSSPSTSIKSSRYTFRHLHLLRQNSPSSPLRVI AHIDLDAFYAQCEMVRLGTPRDTPLAVQQWESLIAINYAARPFGITRMLSATEAKKRC PELVLQHVATFREGEGGTWAYRDDASKRIKTDKVSLDPYRAQSRKILSTIKEEVSRQR DQILNSKDVVPLEFQGAKVEKASIDEVFIDLSSLVYAILYQRYPELRKPHSTSDKTTR LPSPPTTALEWSSEDGLVDLDEQETEEDDPDWDDVAMLIGAEIIRSIRSAIWDKLSYT CSAGIARNKMMSKLGSAQNKPNKQTIIRNRAIQNFLGGFQFTRIRMLGGKLGEQIRAE FGTEQVKELLNISVEQFKAKLDDDTAVWLYNIIRGIDDSEVNTRTQIKSMLSAKSFRP SINSADQARKWLRIFAADLYNSLHHRIGSQVHSKTSPIRGGKMITEDILYDLSNNLLN QVVAEARAWPCANLSVNVTGFEEGPVNNRAINSFFSPGQSNPFTESSLTKMVSDSLED RDRPAKVRKVAAKGIGEFFKRDSTSLVSSDGNNQSPSAFPAHNMPITNSQYSHHTPNV SETQGEFPGQLYSLFTCSRCNEEMSEDQREEHDDWHFAKDLEAQELRADQTSRNIAEQ SSRRRMLDRKKTGPGRPRVFASGPEKGQKRLFFG TSTA_113690 MTRCVKIVANTTSLSLASTLRQYSFPAFQSRWRRGVRSGSCAPL DREPSHRVQLNQRQTWIWGYSTIGVADSLPRETKILKALSSPLPSSPPMHPECRLVPV LLDEFKLQGPNGIHTCYTRDLLSRLFSLDIARAISYRLTQAVAYTHSRGYVHGGKLIR NIAGLDMQLRNVLVKLPSSFDDFSINQLYENNGEPETVPVKRCDGEPLFLGKYAEKFS LADAYPLLSDFGEAFSPAPEVRLGQDCHTSPSFQAPEAKFESQNPLTYPSDIWGLATA IWELVGMMAIFSTDFVHEDEIIAQYVHQRWEGRPRFLRRMGPQQRPTVEIDGLRSRSH LSLVCGNGDRKCAGEIEEEEEEEEEEEEEEKAAFLDLIIRMLSFRPEEHPSAEEVLKS EWMIKWVLPDYMRKQKSILVARVPLESRASVVISKDEQKNEHQSCLETSS TSTA_113700 MTGRGFERPERHNEYFIPGDGISREVIQADICRYLGNDALVKPG THQGRSGYLIRAYRNLTSEMIADLKADSARWEQEVERRANMGYSRGTYVQDVRRSRSP NELSGSYVSPTHELRSQGGPSPPSYAAAPAPYVDPYAQQSQYGAPQGQPYATQSYSTS QSPGYNQNPYTSPPQQNPYSGPGQGQPPISASDVHQPAYTYSSTPSYGYERGNAAPRY PGAGYDNDPEYSPVTTGMGYPAATAPADARLGMDPRYTPEAAYDHRTGASRPQQNRDP HRRAR TSTA_113700 MTGRGFERPERHNEYFIPGDGISREVIQADICRYLGNDALVKPG THQGRSGYLIRAYRNLTSEMIADLKADSARWEQEVERRANMGYSRGTYVQDGGPSPPS YAAAPAPYVDPYAQQSQYGAPQGQPYATQSYSTSQSPGYNQNPYTSPPQQNPYSGPGQ GQPPISASDVHQPAYTYSSTPSYGYERGNAAPRYPGAGYDNDPEYSPVTTGMGYPAAT APADARLGMDPRYTPEAAYDHRTGASRPQQNRDPHRRAR TSTA_113710 MAGAAGQENDEAPSELPSFHNGDVVIVIHADEVYQLHSDVLRRC SPNNLRQLVAPEHVAQLVKAAVNGGCFTRYRLVLESSEKHPNGEIDKYGRIVADRNQS MLANAYSTESPAYVFESWRNLFCTFDTLDPDISGSSLQTVLEKVSRLMDTADSVGAAA TVCAYINNSPMRQDQLLYGALLPRPIPWGNQTIRTESQSILKDAVIHVVGR TSTA_113720 MSAPHFWSTPLRYLRWASHEKPAIFYSIVLGSFGPVSLAVVPPI RRYFGDVDPETIPLSYPIPKGPRVIPQGFDDE TSTA_113720 MSAPHFWSTPLRYLRWASHEKPAIFYSIVLGSFGPVSLAVVPPI RRYFGDVDPETIPLSYPSFS TSTA_113730 MRSFLSFLLLGFWGACVHALSSSGSRLLVVLEEDKNLYSNLWAD LEDRGYDITFESPKSDKLSLFAHGEKAYDHLILLPSKSKGLGPALTPKLLLDFVNNEG NVLLALSGKSTTPSSINSLLLELDLHISPDRSSIVIDHFNYDTISASEKHDVLLLPLP DPVRSDVKSFFSGEGVLAFPRPVGQSLGNASPLLTPIVRAPETAYSYNTKEDSLSVED SFATGSQLALVSALQARNSARFTVLGSAEALEDKWFSASVKAPKSKSAVTTANRQFAK QLTAWTFQELGVLKVGKIEHYLSDEFGNIEGAVNPKIYRIKNDVTFDIEVSEYEFDKW IPYKVPANDELQLEFTMLSPWHRLKLLPKSSTLNSTVFGVTFTTPDQHGIFSFRVNYN RPFLTNIEEKHEVTVRHFAHDEYPRSWQITGGWVWIAGLWSVIGGFIAFVLVWLYSAP VLSAEAKVQKIK TSTA_113740 MVRSVLVPLALFVLSNLSLPFFTLAAPSTSYATVEGFDSVPSGW EELSPASPTQVLNLRLSLVPANRDNWLNTVLGLSTPGHATYGKFMSAEQLKELIAPSS AASDSVTSWLTGQKLHTNAIQDNGNWLALQMTVEHAENLLQTKFYDYKSTTTGEIRTL TLQYSIPKPLAGFIETIQPTTDFTEVQGPQIQAYGPDLSNLSSLSNESTPSPCNTQIT PACLQSLYGLPTASSSSPGAGIVVPGFLNEYDLGLFLKTFRPDLNPPPSFTVESVDRG LNDQSKPGVEANLDIQYTVGLANEIQTTFISSGLSTVTGFLDVISYIVNQAKPPSVLS LSYGFNENALSSSAANSMCNAFAQLGARGISVIVASGDGGVAGIRPSSSCNAFIPTFP ASCPYVTTVGATAGVPEIGAALSAGGFSNIFTRPFYQELAVDGYLAGIQSQYNSRFNA SGRGYPDVAAQGERIVIEFQGSPVLVDGTSPSAPIFASTIALLNSQRLVPLGFLDPWL YLESGVLSDITSGSNPGCNTNGFPAAHGWDHVTGLGTPNFANMQLLMSFFKSHLRRSG VLHDQLMKNYDDKSALVLLDYIPTEQDAEFLQRYPSSAGAMSPRIRLYNGTTEFTK TSTA_113740 MVRSVLVPLALFVLSNLSLPFFTLAAPSTSYATVEGFDSVPSGW EELSPASPTQVLNLRLSLVPANRDNWLNTVLGLSTPGHATYGKFMSAEQLKELIAPSS AASDSVTSWLTGQKLHTNAIQDNGNWLALQMTVEHAENLLQTKFYDYKSTTTGEIRTL TLQYSIPKPLAGFIETIQPTTDFTEVQGPQIQAYGPDLSNLSSLSNESTPSPCNTQIT PACLQSLYGLPTASSSSPGAGIVVPGFLNEYDLGLFLKTFRPDLNPPPSFTVESVDRG LNDQSKPGVEANLDIQYTVGLANEIQTTFISSGLSTVTGFLDVISYIVNQAKPPSVLS LSYGFNENALSSSAANSMCNAFAQLGARGISVIVASGDGGVAGIRPSSSCNAFIPTFP ASCPYVTTVGATAGVPEIGAALSAGGFSNIFTRPFYQELAVDGYLAGIQSQYNSRFNA SGRGYPDVAAQGERIVIEFQGSPVLVDGTSPSAPIFASTIALLNSQRLVPLGFLDPWL YLESGVLSDITSGSNPGCNTNGFPAAHGWDHVTGLGTPNFANMQLLMSFFKSHLRRSG VLHDQLMKNYDDKSALVLLDYIPTEQDAEFLQRYP TSTA_113750 MPRVPFLGRLAWAEYLALFASLSLVFVEGFLRLITLCLPDPVIR FCYEQSKALFNALTSTQTRASRAKTRSLAESIAQAVDFADLCSIYGYEAEEHIVQTRD GYLLGLHRLPYRRGEEADYVNAGEAGVRKKVVYLHHGLLMNSEVWVCLTDEQRCLPFQ LVERGYDVWLGNNRGNKYSKKSTRNSPATTDFWDFSIDEFAFHDIPDSIEYILEVTKQ PSLSYIGFSQGTAQAFAALSIHPTLNQKLDVFVALAPAMSPAGLYNGIVDALMKASPQ VVFLAFGRRSILSSTAMWQAILYPPIFVRIIDISLRTLFNWTGENISQHQKLAAYPHL YSFTSTKSVVHWFQIIRNKAFQMYDDEVGGPLAVGNNSRYYKPVRYPTRNIRSPIVLI YGGSDSLVDIGVMLRELPRRTTVREIPKYEHLDFLWASDVNELVFNHVYDALERYAHG QSTVEIPLQITGPETDSNGDDQFSLRPVKEDITLRESPRRHLRTDSMNSNKGRFSPNT LTYGDEDWRIDPSKAPEDVDLDTLT TSTA_113750 MNSEVWVCLTDEQRCLPFQLVERGYDVWLGNNRGNKYSKKSTRN SPATTDFWDFSIDEFAFHDIPDSIEYILEVTKQPSLSYIGFSQGTAQAFAALSIHPTL NQKLDVFVALAPAMSPAGLYNGIVDALMKASPQVVFLAFGRRSILSSTAMWQAILYPP IFVRIIDISLRTLFNWTGENISQHQKLAAYPHLYSFTSTKSVVHWFQIIRNKAFQMYD DEVGGPLAVGNNSRYYKPVRYPTRNIRSPIVLIYGGSDSLVDIGVMLRELPRRTTVRE IPKYEHLDFLWASDVNELVFNHVYDALERYAHGQSTVEIPLQITGPETDSNGDDQFSL RPVKEDITLRESPRRHLRTDSMNSNKGRFSPNTLTYGDEDWRIDPSKAPEDVDLDTLT TSTA_113760 MPKDSHVLPSKPIESHPVPKKAFGRVLDDDRPIDDFENTVRREE AQKLAEERGPSPPNRAAARHPPDHPHAPHQPNGREGTTSSKYRSEAATIEHFILYE TSTA_113770 MKFAKELNDELVPEWRAKYLNYKASLQPCALGKKKIKAIQRALQ KANRTPIRRHDTFAGTPSQRLPPNASTSVAQFPLDYNGSPSRRDIPEQRPLKTPGSRF SNVVGSYGSIVSEPEQYDSKHLPNLELPSPAIGLDRTAKKNKALQLGPPAYESTSPQI QRTPGRPQRLASSPAIIRNGTPPSGRVYGGGSDGYSKTANFLRRVFTNSDMDSPGKSY QTNISSEVTKKEDDFFDFLDSELDKIETFYRQKEVEATERLQALRRQLHIMRDQRTTE MLDAQRPKSTQEGGNQNGNYLSVFPKTKWTQAIAGKHYFGKNSRALADMQTPKTPGPM GQDLSGDWRDFVRRPEAANVPYRTAKRKLKLAMQEYYRGLELLKAYAYLNRKAFRKIN KKFDKTVDMRPTLRYMSEKVNRAYFVQSEIVEGHMVVVEDLYARYFEKGNRKIAVTKL RGKRRSDDHSPSTFRVGLFLAAGLVSCIQGLILAIGLLNGTDSTVRVQTSYLLQIYGG YFLVVFHCILFCLDCMIWVRAKINYGFVFEYDSRHTLDWRQLAEIPSVFFLLLGLFMW VNFSWVDTMFLYYPVILIFITVVMLFLPLKIFYHHSRVWWAVSNWRLLLAGLYPVEFR DFFLGDMYCSQTYAMGNIELFFCLYANYWNNPPTCNSSHSRLLGFLTTLPSIWRGLQC LRRYRDTKNVFPHLVNFGKYTCGILYYMTLSLFRIDRDIRYQILFIVFAFINAVYCSI WDVAMDWSLANFYAPHKMLREVLAFRKAWFYYAAIVVDVVVRFNWIFYAIFTHDIQHS AFLSFAVSLSEVFRRGVWSIFRVENEHCTNVNLFRALRDIPLPYQVEENTVAEDVAGH PSPVQTRDSEMEREEEEVRVGEHPTDPTPHTPAFVANDVDLESASIAGKSPLTLRARR PTIAHAMSRFGNMVATAHTHDFQRRRRVDPLSGETANAGLQYMDDNSTDEDDDEDDDD DGRDAESNTNGAAAYSDVDLPSQRTNHSRSG TSTA_113780 MAANTRYQPAPQRDSFEEAQYAQPPPSYDATAETPRSEDDNVPD DFKFGGTVAEGTLPIRMQFIRKVYSILTVQILLTTVLSSVSFFSDSYRQWIQSHFWLM IVSLVGAIAFMLLTYWKRKSYPTNLLFLSGFTALEAYSISVVTSFYDARIVVQALVIT LGIFVALTIFACQTKYDFTHWMPYLFGGLWLLIIFGFMAAFFPYNSGVELVYGGVAAL IFSGYVLVDTQLIMRHYHVEEEIAASISLYLDIINLFLSILRILNSQNNN TSTA_113780 MQFIRKVYSILTVQILLTTVLSSVSFFSDSYRQWIQSHFWLMIV SLVGAIAFMLLTYWKRKSYPTNLLFLSGFTALEAYSISVVTSFYDARIVVQALVITLG IFVALTIFACQTKYDFTHWMPYLFGGLWLLIIFGFMAAFFPYNSGVELVYGGVAALIF SGYVLVDTQLIMRHYHVEEEIAASISLYLDIINLFLSILRILNSQNNN TSTA_113790 MMTEGEVVMPPSTGAAAHRGRRKRGKRDVFQGTASWMSSVINLV NTIVGAGVLAMPLAMAHMGITLGVIVVIWSGVAAGFGLYLQARCAQYLDRGSASFFAL SQLTYPNASVIFDAAIAIKCFGVGVSYLIIIGDLMPGVVQGFIGNTATSDFLLDRHFW ITAFMLIVIPLSFLRRLDSLKYTSIVALISIGYLVILVVYHFTKGDTMADRGPIRIIH WAGIAPALSSFPVIVFAYTCHQNMFSILNEIRDNGHFQTTSVVFASIGGAASIYILVA ITGYLSFGNNIGGNIVGMYPASVSATIGRAAIVVLVMFSYPLQVHPCRASVDAVLKWR PARVSNGHDVSPHRFPLLPRANRGPEPMSDLRFASITSAIIVLSFITAMTVTSLEAVL AYVGSTGSTSISFILPGLFYYKISSPDSTAHQQLMKEDDEANDDVVSDGEVSGDEQQQ NSLLLSGSGILSSSGILPGSRLKKTLLRRLSLALSVYGIVVMVVCLSMNIFFHASK TSTA_113800 MSVTHPVKGKTAIITGAGSGINLAFATLLLENDCNVVIADLGLR PEAQAVVNKFNGHSGSARAIFQKTDVTDWTQLEELFAVTEREFTEIDIVCPGAGVYEE HWSNFWRPPGSPESRDDPRGGRYRLVDINLTHPIRVTQLAISHFLQHRKSNSSRKHIV HISSIAGQSPSTAAPIYVATKHAISGLVRSLAKLDEKFGIRVTAVAPGLIKTPLWMEH PEKLKMFKEGQDVWVTAEEVGEVMLALVQQEEVSEIIGDKGRQGTLFPVKGGTVLEVS KTVREVHPFNDPGPSNRAGNTIANVEAVENEIYDLLSTDGWGKSRL TSTA_113810 MMWFRTAIVLLASCALPSSAVFVDEIDHLDFHHALLGTPSPQST FFHQPSSSSNASLLYTLSERSIIGAVNPRDGAIVWRQDLTQLGSTQGVEGFLRASDGE ATVVSAIGDKVTAWGAPDGKLHWENRLLGGVVKDLELLELEDGRTNNHGRDVLVLSEG KGGLVQRLDATTGTAKWEFKDESTDVPFQVSSSATEVFYISLQSALLKGYKIRVTSLD SQTGKQKGHHTLSSENDVSGPESVLLVGSNTASPFIAWADKSSKTLKVNIIGSKNVVN FDIENTSNEEIRDIKIYGPTGLNCLPHFLVSYDTDSSSWAEVYHIDLQTSSISQAYRL PRTSGKSVFAVSHRAANVFFTRITDTEVSVFSSASHGILGRWPFTKSGHGSSSSAVAE VISKGDSAAVRFAYVLDSGDWQLNRNGGHEWTRHESLSGAVAAAWAEPNVSEDLVHEL ELEGHEDVLSAYIHRVKRHARDLEHLPTWLQELPQRIFSSFLSAEVTNLDSFGVKKFA IVATEKGRVIAVDTGNHGSVSWNVKVVDTADWKVKAIKTDLGAVTIYAEDGSTVSLNV TSGSIISQKASGAHIRSVALVPTEKGVNTVAVAVAEDGTPLEPLQEAGYLVTLSEDYR VLGWDLSNNKVPVWQFLPPAGERIISATSRPAHDPVASIGKVLGDRSVLYKYLNPNLA LITAIGPNTATFYLLDSVTGKVLHSSTHKGVDNTQPITSIISENWFAYSLWADLTDES ESKGYQLIVSELYESALPNDRGSLGTAGNYSSLGGTNTYPKPHVVSQAFIIPEPVSHL AVTQTRQGITIRQLVCTLPASDAIVGIPRHVLDPRRPIGRDATPAEIEEGLFRYTPNL EFDGRWYLTHSRDVAGIRAVIVSPTLLESTGLVFAFGGDVFGTRVAPSQAFDILGRSF AKLQLLGTVVALAVGVWFLAPMARRKQINLIWKR TSTA_113820 MKGILGLSLLPLLTVASPVMPSTIHNDAAPILSSSNAVEVPDSY IIVFKNHVDPASAAAHHSWVQDIHNQHTELRKRSQFPFAGDVFAGLKHTFDIAGSFLG YSGHFDENVIEAIRRHPDVDYIEKDSIVHTMEDPTTEKNAPWGLARISHRESLSFGSF NKYLYAADGGEGVDVYVIDTGTNIDHVDFEGRASWGKTIPTDDEDVDGNGHGTHCSGT IAGKKYGVAKKANVYAVKVLKSNGSGTMSDVVQGVEWAATQHIKKVKDAKAGKAKGFK GSAANMSLGGGKSVTLDRAVNAAVDAGIHFAVAAGNDNADSCNYSPAAAEKAVTVGAS TLADERAYFSNYGKCNDIFAPGLNILSTWIGSKYAVNTISGTSMASPHIAGLLAYFLS LQPASDSAFAVAEITPKKLKENLITIGTQGVLTDVPSDTTNILAWNGGGSSNYSDIIA KGGYKTKSVSDQVDDLIIKAQEVVNEELGAIYSHIKDAVVA TSTA_113830 MDGSPNPAEQRSSSSSLSASLEQVVADLTPILRSIQEIIDCRPF NIESIAKSTESTLTLRHSLIDTAKPRELKDAFRNLGGFQTLLSLVRQLAELYNPKELS SEDRKALLTLLTDVLVVLSECLHDHTGNRRYYAKKIVCDTAWSVDESLKLLVGDADGE QLPDIDVDQLYGGLLAAALCQETAATIFATLRTQYKSELTPTASSVLLDLEKLLVSTE TVELHEFIGPILRTWLLQTSHSTQYPVQRLAIPACLCKLASKSKRNVVAIHSTGILSS IILSIFDDRLSDDEKELYKELGHILCTEGIPNLDDVIYLYRQACGSLEASSFLLRAMR SSKGPPCIQFDMTLHGYSSIELSTLGRPFPPVSSFGYTLSIWARFDHFDPQSHTTIFG AFDSRQSCFVLAYLEKDTHHFILQTSINGPRPSVRFKSMVFKQGQWYHICLIHRRARP TAPSRASLFVDGEFVEQLKIDYPSVPVSRPTTKYPRVQAFLGTPQDLAVKIGKGVCTT KWSVANATLSEETFSDDLVSVFYHLGPRYHGNYQDCLGSFQTYKASAALNLRNESLHP GKEENSDIVTAIRQKASTLVHESSFLLNISPTTVLDDDDSNNVNESQLVKSLSRQAAK NLYQAINTGGNAIAINGAIPAINDALTNPSGMAILAGDPVVSVPQSLDDASWRIGGCA IVNLNMLHAATCPESTILAVEILFEAIQGNWRNSEAMERDSGYGILALLLREKLGFPQ TAQLGGSKTSTVCSDNRERSSLAIELLRHILSFVGYNFEEPGKSIITNPLAYRVLLVD LDIWRYGEPSLLQMYYSQFSTFATESQHRHFNAKRLSRMRVNKRFLDALKGEDFTEET LSLFIPAFSSLFESCVSAELLRSLSLYITFALHEEKVPSLHKKKSMRFNSRARQLSSA SNSSPKKAMLKKEIGLELLRMYTDYLCTPGDFINIRRFARAVTNKWLLYLMCEDTSEA VTMATKILARLIVVHGGTYSKKLADKTGGYIIMRNRLKRWWNIFSLWPICFSILFGLD VAEVDIDAMTDLSSLLDVLVYKKRLDIAFPEVLPVITEMLRNGLEDSMFDNAPAKDRA VVSNVITFLSNMHAKSPAFKEFAATSIYTQKLLFVLFPMTGSRIVNAEVELHYREAVP TTERNAAKMHRTVTPLPVLRTARVAPSDSTSDARGALRRSSSFVLISSDKPQNTASSA HLNRAPITNIDKGISHEDDELVLRTRSIVLKIFASQVTDRKDFAGLGLFLKTPPSNLE HQAYLLSWLLRGTIEELQSVFSANEKLLQEPRVLTNFSRFLTHVGESLFEGWFLDGSH QTLDLAGFVLEYLSRPEIASLKIIRLCNQAITIIRSVLFRVILFELSEQDDNDSLTFL NRLGYWQTIILAAGESEVEYLKLFFYQLYIKSISEKREVRLAAATLWRIILVQKPSEM TSILSHAPLSLQRRLTGGFEELAGMDDAAFLNWIDDQREDLDSFFFGSLCKFWDTFVQ EENSKTQESSRSRLNKRKDKLKQWVQEENFAEDITRKHNTTFDHWTSNIFASEFLKHQ RLLQDQHDNYTFMWSLFSRLSEDLYRFGGVLEKDSEKRWRLDQTEGRSRMRLRVIPDD SDERQNYQPKRKASEPPVMKINTQLQPTTISEPLGNTPTTSVFVEGPGETEGEGEGEG DVEDRSALEESFEMVDDPRVDIDEYEDKNRKVLRSLQRGDQVQSVCNMSCIIGLEACE GLLIIGKQAIYILDNYFQRADGEVVNVWQAPLEERDPFVRMISGRESNERKSREHEVR SWKWQDLISVSKRRFLFRDVALEMFFTDGRSYLLTLISSRARDELYNQLCAHAPQFGG NMNVTRPEDIWRFEMLRVQDDAPQSLGSKFASVFGQSSTNPATRKWLKGEMSNFHYLM LINTLAGRTFNDLTQYPVFPWVIADYTSDELDLDNIKSFRDLSKPMGCQTPTREVEFR ERYKSFAEMGDENAPAFHYGTHYSSAMIVSSYLIRLQPFVKSYLLLQGGTFDHADRLF YSIGKAWESASRGNLSDVRELTPEFFYLPEFLVNSNKYDFGLRQNVTKAIDSVELPPW AKGDPKIFIAKHREALESPYVTEHLHEWIDLVFGFKQKGEAAVEAANVFHHLSYQGAK DLDNIEDPVERLATIGIIHNFGQTPHQVFQRPHPRRDDVSRREGRLDTLAESLTRVPL SLLDIHERVSSLSMKSERLLCSPAFRLNVPPNFDKYLEWGFSDGSVRFYAADSKKILG HFEHLHVGQLSCVLFADSQTLITAGCDCTVSIWLFSTSSKAMELQPLACLYGHRTSVS VLATSRSFSTLLSASSDGQIMLWDLNRRRFVRELPAEGPVECARINDRTGTIMVCRGG RITLYSLNGALLVDQVICEAEDEYIISCAFYEGESNEWLERELLFTGHKRGVVNVWSK VIRNGRFDLELIRQLHHVDQHKDTGVNVSAGISCILPLPHSVYTGDEAGRVVSTLLEL NSSVTDL TSTA_113840 MSSSLDQLKATGTVVVCDSGDFATIGKYKPQDATTNPSLILAAS KKPEYAKLIDTAVEYGKQHGKTVDEKVDATLDRLLVEFGKEILAIIPGKVSTEVDARY SFDTKASVDKALHIIELYKSIGISKDRVLIKIASTWEGIQAAHILQSQHGINCNLTLM FSLVQAIAAAEAGAFLISPFVGRILDWYKNAHKRDFTAQEDPGVKSVQSIYNYYKKHG YNTIVMGASFRNIGEITELAGCDYLTISPNLLEELLNSTAPVPKKLDPSGAASLDIPK REYLNNEALFRFDFNEEAMAVEKLREGISKFAADAVTLKDLLKAKIQA TSTA_113840 MSSSLDQLKATGTVVVCDSGDFATIGKYKPQDATTNPSLILAAS KKPEYAKLIDTAVEYGKQHGKTVDEKVDATLDRLLVEFGKEILAIIPGKVSTEVDARY SFDTKASVDKALHIIELYKSIGISKDRVLIKIASTWEGIQAAHILQSQHGINCNLTLM FSLVQAIAAAEAGAFLISPFVGRILDWYKNAHKRDFTAQEDPGVKSVQSIYNYYKKHG YNTIVMGASFRNIGEITELAGCDYLTISELLNSTAPVPKKLDPSGAASLDIPKREYLN NEALFRFDFNEEAMAVEKLREGISKFAADAVTLKDLLKAKIQA TSTA_113850 MVKAVAVLRGDSNIKGTVTFEQADENSPTTISWNITGHDANAER GMHVHQFGDNTNGCTSAGPHFNPFGKTHGAPSDEERHVGDLGNFKTDAQGNAVGSVQD KLVKLIGAESVLGRTIVVHAGTDDLGRGGNEESKKTGNAGPRPACGVIGISA TSTA_113860 MPFEARVKQVLSGDTIVLGHVTNKGQERTLSLAYVSAPRLRREG DEPFAFLSREFLRELLVGKVVQFQVLYAVPTGREYGTVKLPGTEASLPELAVQEGWAR VREEAGKRGDESEDSLTLLSHLRALEGQARDHNKGVWGNDPRGSLDTEYVIEDVKSLV EQYKGRQLDAVVERVLNGDRLLMRLFLEPTRHLHTIIAVAGIRAPSAPRTAADGTQQQ GEPLGSEAQQFVEARLLQRKVKSQLLGATPQGQLIGTVLHPNGNIAKYLLEAGLARCF DHHSTLLGAEMATFRQAEKTAKDKKLGLFTGHVAAKGPAGAADRDYIVGRVLNADTIF LRNKAGAEKKISLSSIRQPKPSDPAQAPYAAEAKEYLRKKVIGKHVKVTIDGKKPATE GYEEREVATVVQGNTNLALYLVEAGYASVIRHRHDDEDRSSQYDALLAAEEAAKSEQK GMWSNKPPKTKQYQDYSENVQKAKMEVSILQRQKRVPAIVDFVKSASRFTVLVPRENA KLTFVLSGIRAPKSARGPDDTAEPFGNEAHEFANKRVLQRDVEIDVENIDKVGGFIGV LYVNRENFAKLLVEEGLATVHAYSAEQSGHGPELFAAEKKAKEARKGIWHDWDPSKDV EEEEELAGNGAAEDNGETVTERRKDYRDVMVTHIDPTTAKLKVQQIGSGTSALTELMN SFRSFHLSKANETPLPGPPKAGDFVAAQFSEDNEWYRAKIRRNDREKKTAEVLYVDYG NSEVIPWTRLRPLTQQFSVQKLKPQAADATLSLLQFPVSPEYLADAVQFIGEQTFDRE LVANVDYVSPEGTLFVTLLDPRSSENLEQSINAEILREGLAMVPRKLKAWERAAADTL AHYKKVEEEAKQNRRGMWEYGDLTED TSTA_113870 MRFFSRKQQQPEPDNNNDEQPPTEGSETKGDLSKIAAENDLVAS ANQASGTAWLAGHLNHLTPEQEEKLVEFKALVEEKGYYKPRKDETGVPSHSDATLLRF LRARKFDVQGAYKQFSETEDWRKENKIDDLYENIRLESYERTRQMYPQWTGRRDRRGI PVYLFEVKHLTNKNVSQFSQEVSEQGASETHKDSTIPARLLCLFSLYENLLQFVHPLC SALARPNPETPIVSSNNIVDISGVSLMQFWNLRSHMQDASVLSTAHYPETLDRIFIIG APSFFPTVWNWIKRWFDPVTVSKIFILSSSEVKSTLETFMEPSSIPSQYGGTLDFKWG DLPNMDDAARALAGGLESPPTTPGGKPAFLKGPVRFFDDHIQVLGTDQGKPRRAIIPV PPVKTTEEPVAARTEAPTTAEAQIQDEKVDQLESTPAPVETASPAA TSTA_113880 MSRPLNLQFRHSAITRHLACCHLQHHTPLHFCVASDIMAERILM NEFKALSKEKWVNIELKSEDIFCWKVALIVLNPDSLYYGGYFKAVMEFPKNYPYSPPK FRFHRPIWHPNIYTDGKLCISILHTPGEDEQSGELAAERWSPAQRVESVLISILSLLD DAECSSPANVDAGVQLRKDPKGYREHVRQDVESSKQDIPDGFVMPTFESTLSKPTEKD DADKEDLDFWADSDVDDDPFGGSDSSDVDMDEDDDDEMGSLDEDDDEEDNETDGDTS TSTA_113890 MGLECHGPIWVIDDLTHCFQRKSLANSNANPDSADEDYHEDVDD LILHKSASRISHGDFELSRPRGQLLLVALELVALLAEIAVCITVLVTHSWGHKGTIAA IAKLASWSYIFVLAAVRFILSTLDKQINPRLWNHTASLYGIQWLLIVFVFRSEIIHPH SRRALILSSIEFAVCTLLVILATTTRRGNKSVLLQREDGLEPPHQPYASLLSLVSFAW VDPLIWKGYRQTLELDDVWNLTVSRHAGTILNDFRHQYRSYKLIWRLLRYFKRDLIIQ AAWTVFSNLFTFIPTLLLKAILEHVEDPRSSPPNAAWLFAILLFVSGAIQGSADGQAL WIGRNNVGLKLRAIIIGEIYSKALRRKAGASTETDKEKDDSKHKKKSDDKKEDGSAPM TRIGTIINLMAIDSFKVSEVCAYLHFLWASVPVQVIIAVVLLYRVLGFSSFAGIVLMV LILPVNVYIARSFRRIQNEILAKTDARIHTTNEVLQNIRIIKYFAWERRFNDIVNEKR SAELRSLRYRYIIWSCAATVWYGTPILITLTSFLLYTVIEKKQLTPSIAFPALSMFSL LRVPLDQLADMIAHVQEAKVSIDRVESFLNEEETDKYNQVLRDTDESGESKIALEKAT LTWGGNSGTQDLTDAFRLIDVDVSFKLGRLNVIAGPTGSGKTSLLMALLGEMKLLEGR VYLPGVTANRAELPVDPETLLTDSVAYCAQEAWLVNATIKENILFASPFDKQRYDAVI KACALERDLQILEAGDQTLVGEKGISLSGGQKQRISLARAVYSRARHLLLDDCLSAVD SHTAKHIFREAFTGLLMAHRTCILVTHNVALVAPEAHYIVVMDNGKISSQGSPDEVAA SGALGEDLMKSRPASRPGSKGPSRTASDLEEQIELANGESNGNANGTNGVSKPVPDGK IPQGESSASKLTESKATGSVKWSTIQMYLASMGPWYFWIAGGGVFVAQQIGNVATNVW IRQWANAYNAKQVGATDMSGYAMMSNLKLPSFVTGSVSHSNTWNGVLASSVRGDTADN EVNVAYYLGVYSLLGLVYVLISMFRELVLFWGSLHASWQLHEKLLKAVLHAKFKFFDS TPLGQLMNRFSKDVEAIDQDVAPIAIGMLHSLASVVMIVILISVITPGFLFTAIIITI LFSAVGAIYLNASRDLKRLESVQRSPLYQQFGETLTGIVSIRAYGDAQRFMIDNHRHI DSYNRPHIYLWATNRWLAYRVDMIGALVSFLSAAFVLMNIGRIDAGAAGLSMTYAVTF TENVLWLVRLYAESQQSMNSVERIREYLEVEQEADQIIEERRPPSGWPTKGAVDFIDY STRYRPDLDCVLKEVSFSVSAGEKVGIVGRTGAGKSSLALALFRGLEAVNGKIVIDGI DISTIGLQDLRENITIVPQDPTLFTGTIRTNLDPFGLFSDEQIFVALRRVHLITDTVS GTATPGSPASADQSADDSAAPENKNVFRNLESPVAESGSNLSQGQRQLLCLARALLKS PRVLMMDEATASIDYATDAKIQETLRELKESTIITIAHRLQTIIDYDKVLVLDHGRVV EYDHPWTLINKENGSFRGMCENSGNMDVLLEGAKSAWESKTLC TSTA_113900 MPSKQKGLKNTSKGPKGHPQEGSSLNLLRVAANKANPDIRKYVL KTLKVDNSESWLSHPEIPTAQEIMGQTGAIDLDDGTIDLPTNQIDGPWESPKAYLNAH YELLREDTVASLRDAVALVRDEPKMTDTNEVCIYEKVYITGITLSTKGVATKISFSTS RAGKNIVWEYTSRLITGSMVALSPADDCFQTQCLVAVVAARPLEQLNNRPRQIDIFFA ESNNAPFDPQQEWIMVQARSGYLESSRHTMKTLQVMSKESFPFSEHLCDLKTDIGHPT YIGENPQMKLFDNKYADILSDWPSEPQNNLDTSQWEALHQILTKRLAIIQGPPGTGKT HVSVSALRLYLSNWKEGDPPIVIAAHTNHALDQLLNHISAFVPDYIRLGGRSLDENVK KRTLFEVKELLSPFSAEELCDPLPGTFFLKHGAITNGQYRLLCEGAGGWEGSCTEPMS IWLGDSFGKHRVTYNDESFEQEDDEADMEYEQLKELEQERDYLDDELEALNGYFLPLH EPFIGLEDSNEPEETEADMGDLWQIPPSRRGHIYNKLRQRAKNNLLLSFRVNVQQHNA VATERQIGKWEYDYVVLKDAKVIGMTTTGLSKYRALVSALKPKIIMIEEAAETIEAPI TAACVESLEHLILVGDHKQLQGSCSVRELESPPYNLNVSMFERLVRNGVDFRTMTLQR RMVPEIRRLLTPIYDNIEDHETVNKLAKVPAMGEVRAFFFSHHWRENSDSLFSKYNHN EALMVATFFLYLVLAGLDSAHITVLTFYNGQRKKILSLLKSNKHLQGRHLKVYTVDSY QGEENEVVLLSLVRSNDQNGIGFLKIENRVCVALSRARRGFYMFGNAEHLASESPLWM KVISVIGSGNATTTCIARYIQLTCEKHGNKEFVEMPQDLVELTGGCSVPCTQTLDCGH TCALRCHNFDHSQIECQATCERTLSCGHTCGMTCSAETCVCSVCGHWAMNPKFPGQKT VLSQTNASQQAKPITQPSVAPSTTYAEKAKAHVTAYQQFANGGAKEHDRQIAETMQAQ RAQEILARLDAEAEASLFADDELDESEAAVSTEESAGGILSDAEKESSSTSNSNNSDT PTRRRFVETFDPSKGLKELTISSNEMTLIDLCD TSTA_113910 MASQLKNVIVVGGSYVGRATAQELARIIPTTHRVLLIEPHSHFH HLFAFPRYSVVPGHEHKAFIPYTGVFNSLPNSSSHAVVQARVLSVQPQYVKLDREWQG SNQIPFEYLTIATGTTLAEPGTIKHDDKVSSVQYLQRHQEQVKKANSILIVGGGALGV QVATDLREYYLEKEVTLVHSRAQVMPAFHPKIHDIVQKRFDELGVKLITGARVVVPSE RFSTDGSQYEVHLTNGTRLTADFVILATGQKPNNDLLRDLIPSNGESLANPKNGFIRV RPTLQFLDSKYPNLFAVGDIADTGLHKAAKPGAAQAAVAARNIQAMIEGKEPREHFSW SPAAIHLTLGLKRNILFRNPSGEQTEPTVKSSDNGQEDLGVEAVWARMNVPVDSPSRY HL TSTA_113910 MASQLKNVIVVGGSYVGRATAQELARIIPTTHRVLLIEPHSHFH HLFAFPRYSVVPGHEHKAFIPYTGVFNSLPNSSSHAVVQARVLSVQPQYVKLDREWQG SNQIPFEYLTIATGTTLAEPGTIKHDDKVSSVQYLQRHQEQVKKANSILIVGGGALGV QVATDLREYYLEKEVTLVHSRAQVMPAFHPKIHDIVQKRFDELGVKLITGARVVVPSE RFSTDGSQYEVHLTNGTRLTADFVILATGQKPNNDLLRDLIPSNGESLANPKNGFIRV RPTLQFLDSKYPNLFAVGDIADTGLHKAAKPGAAQAAVAARNIQAMIEGKEPREHFSW SPAAIHLTLGLVKTEYSFPQSLWRAD TSTA_113920 MPSLADDDDDRDLIGSQDGSSDNEDEQMRDADDGDVENDNDPDQ ELDQEQEQDAESPSNNSQTSDGPGNDAQQDTDPNILVDSLDAYRPTVRPECLTATTYD IVPTIAAPQSTSINAVTSTGDMRWVFSGGSDGYIRKYNWVESVNGKLMLTVAQRHPFV DSVVKAGVLMNYWENMDGNVLSPVYSLAAQNEGLWLLSGLESGNIRLQTVRHEEGREI ALLKSHTSAVSVLNLSPDERSLLSGSWDKRVLDWDLNIGQVRTAFGISSGQISAIEVR PDSALPIPQDTMEPQYEKSFSSNNHLPSSTLVNGAAGRGGAGQDDDAPPASPADSLFG GADSLFGDGDNHMADDGEGSGDAANVGENALFGGSLDMDIRPSEHEVKLESENVHQPN GTDDWPSLNAESSTVQNATSPKGGDGTKNNGQIEQKSDSTVNGLPYAEALESINVEDS ESSPRITSDTTFLASSIDGPIRIWDRRQPDPVARITPRNVPPWCMNACWSPDGNYIYA GRRNGTVEEYSLHKGLRDPERVFKLPQVGGAVTALKAMPNGRHLLCASHDILRLYDLK GQPHKHSPVPFLVIPGHRTGVISQLYIDSACRFLISASGNRGWEGNTTEVLLGYEINV TSTA_113930 MVLLTSSTVATFLIPVITCQHGVRNIERDFRSLDSMQADYEHMT YDTNKEQSILDPETGELSTLNQGNFAYLQLLSEPNPASICSTIAIYKKMFEGGSTIQD RLFMYPQEWDLITPTDPVVSQALSSLREASVQYKFWLLPIDMHLVIQQGYSLTDSKLL RLGEIQFMAYDSVLYLRTPGLLLDAQELDKMILTRPLPMKYEPTRQESYRNAAWIGMP LRAHNEAKIPPVYLISVNSMRNRVEARTHVPNVALRGFGDLAAGPNFVKVTGKDPAYV FFDWDEDGRIQQENNTYYDRWRQESANVCGGLDLSLY TSTA_113940 MSSHRADASTFLDNRGYTGPLVRGVNPVTLFEKAVRDRITDSYY WKEQCFGLNAATLCDRAIELTSIGGTIVLEYLNFTDPGSGDEENPEDTEINGEVVKGR GDFKYLRSLAAFYIRLTFEAAEIYKYLEPLLLDYRKLKRRMRENYVLTTMDQFIDDLL TKDRVCATSLWKLPSRQMLEDLDLLEERISPLADELEELDRESVASYHSRQDDDSDRG SDTMRQEE TSTA_113950 MTFHIWSLQWLVGLALLGTTVIYVAFTRVRGRSILSRARKTSTS KTPPRSLSPEKKPSASSGAPDTCYSDALPPPRREAMLNMKSKIPKTASKHISEEHILK NILPMTQNYETASDGLYTSMGFSVKEIKEMGDFLDYSALSGVPLPNAHQEFKIEKALP RPYRPFRWNYHQTMSLKKLETDWWVELENTYKERIAQRKALYEKHGKDVLDWMPGSEL ACKELMEMVLQFICARYPQYFSIRDDRILVNKILETEQDIRSKQPLEILMDNVPEDFA ITLRDDKTGYYVFRAGVICSSLGWNVGTKIGLQLHEIHAPVPDYKEKMRFSMDRFFTK MPADKPIQRGSWGLEIDKPLYMPAGHPHEQHRLSQKPDLALKDCFLRVDWQTLRRLPI SGGIVFNFKAIFTPVQEFRDEPKIPALVAKILRNGKENLMKYKSTWHVEHVVLPALDK WNKEQEDSGAIERNWEVTTLDEDPWYKGWEDKWHRQQGF TSTA_113960 MPGYAHEHTPTLRAHSQLARLPVELILKIGSLLQDSPADLCHFA ALTSKNWSILREECHRIAVKLAEPTEEEFKKYLTETGDVDRLILWDQVQESDIHTRSK FTDAVSNGKLNVVDSFLQHGLSPNTCSIFGNRPLNLAANCPRPVEMSKLLLKHGADPN LTDILTDIFSPLYTAASLGHEDLVNVLLDCGADIKVKSAIQAICHICTEATIVRAFEM GADIHSIDEGGNNILHHGGRNESPAVLPILFKLGCPLEAVNKQNLQGKTPLMKAVKGG HVNNVTTLLAYNADPNTPLANGATALHVACNWDHVEIARLLIDAGAKVEVVNGDGWRP IHFAAYNYSTKMVELLVQHGADVTATDQQQNTALHLLLAEVTSNASKKFVKGILRKVK LLISANADPTAKNDSGDTPLSLAKNTGHYEIYHLLSTRDTHALDGKPPTGRDWKDYLR EHVYVTEAA TSTA_113970 MGRVARRAIPNCTFPLPAILLRKIANVPQRYWDFAATESFDQQR LRRIRQFIDLDEIPREWDPVNYGAPPPCMPTAEEIDTVLRPWRSDELRQKAWEILESG NVAPIFLRTHYDPDDEKMEEWQRVYDITPEVAGPVSGAGYKRYPSPEIVETSRTQFKT SLSKSKQEPDRWREDPHRFIELEAADLLRTVAAAYILVADQEAFETGGQLRLTRVEAD AQTITDVIMDWDQLNLPPDLWEEGTIGDRYHVTGDLGRELYQLSEADMADP TSTA_113980 MASTLPRTPSGKRVEVLEREIENLNYEFALGIPNPKWQSPSSRP VTERSKEEQCRVWIKELFWKNTEAFERALNGFREQVRSEIRQGKQWVHKPNQESGTVP SREDFLKTSNTHRYQSSGEERLKRVDTLWGFLHSEMWIIRGGESPLEGSQSSPRPRNL ANGPPRTSSNCNYQEPQVARTPQAKRKASNDQEVFYTAPSSPTLPAEGQYPSLGNLDE YDDPKCNEFNLNTDPSDRADKLNVEAQRFRSTTKRQSKITDHMFIAKSSRGNPPPASK AAQSSPLNDTSSFGMAGPSTSPEVSFATTTADPVFDRPEHSRHFETSFDTVITEPSDE TATQPDSVDGIMMSEEFSMMLKSLEGDVPTSRETEQQQPSVEQELVAELIRNGPFSKD QKCFSAKVPLRARYELERVKKYWDMNPKDILVGDKPYEKYSDFWQWLSDLGQRNNKTL PEKPSVKAWNAACGRFEGDRLSEAVILSGTLDWCTKDEPGIFKFRLNPLKIDRTCRFY RRFGSDRFLTITMPYPSRPPGHLRIKDRNSLREALADWLVQNNHYLLKRTWKAFYIEE FKSKKKSKPGDPRVRVDFFAVDGSDFTTRLSPPTLSPVNEAAESHTRMTVDQLIQWHT PLNENRSQTDCKLFSRLSLALSKTWATVVVRPHQFLKLPDAPDKPVMNDGCALMSRSL ARDICTKLGIDGVTPSAFQGRIAGAKGLWMVDRDRGEDERFWIQVSDSQLKVKPHPRD FFGHVDKEKITFEVVGWSKPLRSSEINTQLLEVLNNRGQVRNRVADIARDAIRSIYSE FEIVMEKNSIPLARALIQKIRPNTEDGHSRTNIRRIDQWLSNNIESIIRFLEAGFSPR DFHPLRERLRICLRDTLDRYVTQLHIPIPLSTYAYCIADPYGVLEENEVHFAFSGQWR DCAYFDDAMVDGVDVLVGRTPAHCPWDIQRRRAVWKSELRHFKDVIVFSTKGTTPLAS LLSGGDYDGDRTWVCWDSGIVESFTNTTPPAIEPGPEHFGLVKHARPMETVSSVEDML QNTFKFNLATTNLGQCTVEHNKLAYDEKEGISSPLSLELATLLSHLVDSTKSGLQLTE EQWARYRKSISPRERQLPAYKDLGAKKGKPENINDFLRFDIAFQEREEALKKFNQKFS GVEDKRDESLLRPWQNAFARAEREKQEDTGTSGNIGLAKGPALYGVLKTLEAEIDQAY SKWQHGCHSLETPEKTTAFKIQLAAETLGQIQMPSICHPLVHTWQNSEYEWRILLASA SYYFRWRSAFPLYAAGEALCWIKIGNEPARLIRDQVYVSMKVNSSAARRLAGAEAEQL ATEDLEGYEESDDDDGSEEFDRESAIDALNEIDRLYQSQH TSTA_113990 MNSPNTIMDVDSNSVDDRARRATSVLSMDDIEAAQALEGLRSDF AYTPPSHPPALSQSHPQQITSPASQSDQSQAEPLISLLTSTHPLISSAINSSMSAYTS SKSYSSRFKYGAEFLERNIGSPVANTVGTVSRKTGVEGGLRWALQRRDTNESTDRNKR RKTNPTSGEVDENTDLEKALPPMSEMNRNRSISETSYSETLPPYDDQKSPNYEEIQQH DQPSNNNAEQNKTWQSRLMISTSGLGVAMSEESLRRLTYCLKWLKWANGRLNSAVLAL KSMLEKWEEEKQKNLTDENSSQNRALLLQHMQAVKEDVLRTLKQVVNIVSKYTGGALP ENAGTLVRSHLISLPQRFKIASTSTTPSDSTTSSSEEASSAHRVLVLAQEGLEMMSQV HRVVDETLVSAQTWCERLGRKLPDLKNDESHGNPPETAPKSSPQYTQHGQTAVFSDVK QPIVDTSNVDVEMTGTDAV TSTA_114000 MVQETTARKRSSGSSSRRKRPLRLKINPPKPPRLILKPPKPPQQ QATRLKMVVRSPESSSPKVDPRPRGWQDTPLLGNGEDASYDYSDICKVQAIMKVFNGI SSATRKVEVAEQKLNSNERLPSDVTIAQVGALNDIARAELEMNDILQEALNCNHCEKT MRLKPESMESDIRHGIYRCTEDPPFLINPPYEDDVAPDEFPEEVNDPGYLHLATEAQQ EQYLELVEHFIARNREKKVFWGMEEVDQGYESKFAFYCVDYHIHHHFVHRRSILNYCR TNEEMNDIRDWKYRLAVWTAQNNIQFASQSKETRDESEFTVTTTSSMTAPIQVSRTAK PGAFDTSPTSTNFSGESTAPSSRESSVFSSSIAPSSPSTTSSSPPKPLSFPNPSPPTT LPWPKQQAKFSNQISLHRHLSNPHERAARARSVRERWEEVMKKRAEQRRDGLIIANYE AAFTLQKWQRHRGGPLFMARDGSIGELLKRYNR TSTA_114010 MAGSPLDQNAVFVHDNSVPPVHTSLFALFSLKGKTAIVTGGGAG IGLAVAQGLAEAGADIALFYNTNEKAPERAAEIEKKYGVKAKAYQADLRDQKKAEATI NEAVKDFGRLDVFIANAGIPWTQGATVDGPIQHYLDVVQTDLDATWYCARAAAAHWRR QKEEGTDVNGNKLENFTYGSFVATASMSGHIVNIPQLQAPYNAAKAGVRHLVKSLAVE WPKFARANTISPGYIATEISNFIPKETHDLWKSKIPMGREGMPEELKGAFLYLASDAS SYTTGTDIIVDGGYCAP TSTA_114010 MAGSPLDQNAVFVHDNSVPPVHTSLFALFSLKGKTAIVTGGGAG IGLAVAQGLAEAGADIALFYNTNEKAPERAAEIEKKYGVKAKAYQADLRDQKKAEATI NEAVKDFGRLDVFIANAGIPWTQGATVDGPIQHYLDVVQTDLDATWYCARAAAAHWRR QKEEGTDVNGNKLENFTYGSFVATASMSGHIVNIPQLQAPYNAAKAGVRHLGKHICSV FCSTRLSFSADSQHSQVPCR TSTA_114020 MPSLPVMIAVAVGCSVLLIVSVILGVAVWWRKRQDRLSLAVAQV EHCASHQGQACSSFVTDSPLTSPLPTYPYGTRQEWAPLGSQEKFQRPPSQPKTSPPPP LKKEKSKSIRRSISKSLSKSLSIGRSNQKSIHMESLPNLQPGPQKIVCPVYPDAKEKE PKSAIAGFSELPTEITPRNTPERERDDILQLEMYNSQPGSTAWPLLHSEQRLSSVAGG QPTYFDQNHTRLRNGSITAQTAGIAPDMPMPPTPLNISQSYQFPREDSLMGMSSLSVE TANSSILDGNLRRSMSVDHDFNYSPSLPPCPTFTPFSPYDIVIGGSVAQDCASHRRTQ SQRLSSSTAHLTSVSMESGRGDPSPRRSLTTREVSPQPPDWASRLPRRGETVLSSASS AGHTSSRPVSSISGTSPNAFFPAGQGLYSRQEFGPQSHRQERYSMYERSKPREEPNMI FSQSLGDRPKSTQAPGPRGSRDSFKKAPLPSAMKSAQSVKKGHRRQNCVRISIHPPIT FGGPAFSPMLEEPEEVDASPDTNSRIPRPQSSRKRARSRADSVDDVFTSENRIVIPNN IFARPPDENQLLTTPSPERHKPLWSLPRSNEFSGSSPKFPVNASTGSPRRPAPKGPRN QPDRQQKKTEPPSSLQIGTSENGSPDRESPTKRGVNRSHTLTASPTKDVRKSITLLRR MNSEACDEDSRSYRRMGRNASITRHSDRNIRKPPSNRNSVLSNDSLIIWEDASEDGSV TSPIFRQKNPPPFKLLERIDSENTVEENMNVIIENQKLSTIRQVPPSSSIMAFEDRDQ DNNSDSSDEVARKRRINITAMQTPSRKVQGYASAAATPGSLYDRDGFLKE TSTA_114030 MEVLQNSPSASSFTPLAEHQSRTPQSFYGGPPVLHYHSSRCKVV ILESDISASPALNTLRGGAPVANGSSEVAPEPQEGKEIVIDGVDVFVTSDKFLLYRTE ANSGIALPYPLISLHAIQRLRLPDTPENEQEGQGLYMQIAKPTPADGTGAEEDEEEDS ITLTIVPPSVTEAASSALGSYSAGTEMTGLVAEEMAAEQGNAEAKPEETATQALYAAV SACSNLHPDPVAPGEEGDDDYYGNYEDSTEQPGVGGILQAAGLIVPGASDGGLPPPVD GSSGWITAENMHEYFDEEGNWIADGEPPASMTLPGLGPGAGNVHGRNDGDQVENGDGA GEKNGDGETKWRRTD TSTA_114040 MSEYKLSASLEGHSDDVRAVAFPNSKLVVTASRDATVRIWKQVS SPPPTYDYTISSHGSSFVNTVAYYPPAGEYLEGLIFSGGQDTIIEARQPSKASGDNAD AMLLGHSHNVCSLDVCPEGGWVISGSWDSSARLWRIGNWETDVVFDGHHGSVWAVLAY DRNTVITGCADRMIRIFNTSGKLLKTIKDSRDVVRALCKLPAGHPSGAQFASAGNDGI ARLFTLNGDLVGELHGHESFIYSIAVTPSGELVTSGEDRTVRIWRGNQCVQTITHPAI SVWGVAVCQETGDIVTGASDRVARIFTKDPSRQADPAVIQQFEDAVKESAIPQQQVGN INKEKLPGPEFLKQKSGTKDGQVQMIREDNGSVTAHTWSSATQEWIAVGTVVDSAGSS GRKTEYLGQDYDYVFDVDIEDGKPPLKLPYNLSQNPYEAATKFIHDNELPIGYLDQVA NFITQNTQGATIGQTTDTQQAGPGSDPWGQERRYRPGDAAAAAPQSPSIPETRQNVLP QKSYLSIKSANIKLIVKKLTELNEQLVSSGNKDYSYNPPELNTVVAFCNHLENTASFP KDPAAVEDSIQLVLKAALKWPPANRLPGLDLLRLLAAATPVTAQIRFEGQDIIDTVQQ SGVFDSPISVNNAMLTIRFFANLFDTPLGGDLAATKFDDILRMVSSATTAAGAPSNRN VTIAATTLYINYAVYFTNPGRVQSAESAEHALQLLDELSKIFADEKDSEAVYRGLVAL GTLVKASGEEVKSAAKNIYDVEKILSKVSSSSAGREPRVKGVIGEIRDVMR TSTA_114050 MFRTTTFLKHTTHHLFKVKPYRFAKTASMSLKAISSKDAAALDK DLMDVGGFSLDQLMELAGLSVSQAVYRFHPISKGKNVLVVCGPGNNGGDGLVAARHLV HYGYKPSVYYPKQGKNELYERLKIQLRNLDVPFVPDFTDALKSADLVVDAIFGFSFQK PLREPFPSIISQMEETKVPVLSVDAPSSWDIQEGPPKEGPGAKFMPGALISLTAPKPC VKWYKGRHFVGGRFLTKSIADKYDLDIPDYPGIDQIMEVGVNADEKL TSTA_114060 MVVKIRFARFGPRHSPFYNIVVAQARSARDSKPLEVVGTYNPLP QKPTNLSEEEARVAKPFKEISIDRSRVKYWLGVGAQPTDSVWRLLSMVGLLEPKPNRF APQKN TSTA_114070 MASMGTPKPFHTNVDLRYTALLLSDIQNEILARFPTERRERYIQ EIMSLINLFREDIHKRRTAPAESTEQAAGAYVGIPLIIHHVLPYGYNNNAFISPYNKL SSWVKSLEEKGLFTVAEKAKNPETPAYSIPEALYPQGGSFGGSNIDEILLPKFQTSSF GSSDLLGYLRARGIRHVVLCGLTTVGAILGSARHGADLDYHVIIPRSGVMDDEDDVND FILQRVLPKFVDIVDMKDVKKKCLIILNFSSLEVVNAH TSTA_114080 MSTDVYNSAVSQPPMSLSSSFSYPFSPIGTSSSFDSLGTNGMRL TESDLSPPMNGFHRMGSGGAAILMRRLPQNTSREALRSMFLFAKDFVDADFVPSELPE DGKFLSAIARFNTVAAAEEARAMLDGKPNTSNDANMIVEMFPGPLPGRRNTIDHTASR GLPGPTARQSSRFNGTFQTMANGASQQPSVNEALPAPDTNSRLHSLFSPRSPIGSGLG ELPRVSGKSVIDQDLDEETGELLKDPVGYAQNGHGNMPLTRRSTNPSIPTSRFANLSL STNVTSPPIQNYSNGTAGRMGLPTPASAMPPNMNGNSPFHYPNQHNPRHSLPAANPND LNPPCNTLYVGNLPADTQEEELKALFSKQRGYKRLCFRNKQNGPMCFVEFDEVAMASK ALNELYGYKLSNSVKTGIRLSFSKNPLGVRSGQPGSSVNPPTPLSPQTPIHGGAGIGP LPNAMFSTASGPPPGLSAPPGLALPVGARNGPIPPPNSHAPMANGNSFGPNNGLGIRA NGLSSLNPVSFGNGIPGNAQGPGMGGFSSVYPDYMMGR TSTA_114090 MPPRIKTNRILSSSILPSSSSTLITSHNTSQIQCSARRHLSSSR VAQTRLREQMFDWLNGPGASLKHHIPGSTNYVTALRNRRGGDSEASSTRDNADSEDGY QSKQPFPLNPLFVSEPILSDELSNEIYKRVVVQGKSVRSVSVELRVDMRRVGAVVRLK ELEKRMKNEGKSMAVPYARAVHEMVPTTPLAEQGESQPTHESINDLPVHRLTAPQIFY PVSESRQFTRVDAGRVFSAAPALEQGEEATVNVESLIVPQPRRYEKVGKGDEEQQVLL PADARIPHPQLIALERDKISHSMERREYLERYQERLKQSDELEKERKRIAKEKAEKQL TRLQPDNSRFEFRFKDVVVSKETTGPDGRGHVAPGRRYGVPTYDRKKGQVKIPQKVEV TSTA_114100 MATFQPTFESSLDFTKPMEFPADMSDHVDPSNLMSSYRPSDAEW LTKDPFEILPWDSFGVDQTFNPFTEDAGLVELEPRSYATSNHGSPAGYGGYTIKTEDA LSDASPLSDPESEMWSPSHSNDNKSGSVSPVETIGVTNKPTTNTIIPLRKDSNASTSS TSTCSPSSPLASPKSSSSSKSSNNKSSRSVLSHDNDAAAVMKRKKAAHNAIEKRYRTN MNAKFLALGNAIPRSGVFTGTQLASNKGPRKHSLCQPTRDGRRHQEQQQQQQQQQQNK SEILTNALSYIHELQDENSRLKSELLVLKENLLPRGNMMWRR TSTA_114110 MVHRRIWVKRPDASATLVSMPEDSVVDELRDHVLRKYSNSLGRT FDSPDLMVRILPRATANRAAAPDRFLSPEESLFALIDQYYPGGQTVEEALVIEAPTRR TPIKPSPRQSFYYHAEPGEHSEYFPLMPVPINVGTPPGHPASSSNSSAGAAHQTPAMS VLTTGKVPPLPSPGSRPNRHSRRPPFARHTTASPTALVSKDTNLAVNGQAASSQPSLP TPPAPAVPSDSPTHPALNTVTRTASPRPARRAKKLSSPNPGAFGGLIEGTVPPINVLI VEDNVINQKLLEAFMKRLSVRWQCAPNGEVAVKKWRQGGFHLVLMDIQLPVMNGLDAT KEIRRLERLNGIGVFSKTASGRSSATPSSSIPADCPLKEEDTLQDLSLFKSPVIIVAL TASSLQSDRHEALAAGCNDFLTKPIGFPWLEQKVTEWGCMQALIDFEGWRKWRGYEDS PRGIGATSEKPASTNSPSRLRNNAAIHAVKVSASDSTKKESAKVSVIEPDASGSSSSK DEDIEVPMPQGLAQELKAAEPSKSSTNGV TSTA_114120 MSGQDIPVAANVLGTIGTVFWCIQLVPQIWHNWRYKKTDGLPAS MMLLWALCSVPFGVYMIVQNVNIPLQIQPQIFGFFGFVSWGQILHYHDGYSQLKVSLL VIGSCILAGGIEALLILTLRIPYRKGITWPDLIFGVIAAIMLASGFVPLYSEVWKRRG RVVGVNWVFLSIDSLGALFSLFALAAQGTFDILGGILYIVVFLAEVFIFLSHVIWRFR HRKLLREAKESGKTVDDLLAEKDGDPVDDNEKSNSSVTQTPVDQGVVAGEQTEAGVSA STEDKDLERGQG TSTA_114130 MPRFDPSNILGDPFALSTISISIIAWIISFIGSIIADVQTDFPN YAWWAVAYMICVIAGIIVVVGSDTTLIYSNAVVGYLSAGLAFTTLAVNSLVYQPQSSK QAAAAGFILLSMINIIWIFYFGSTPESSHRQAIDSLALNKVGNAYHNSRPASNAFGAR PATTVSQPPQMYTSAQLNGFETSSPMSGYPGGPPGSDKRNTTATNFPPANVEPSNEVS QPTEYPYKAKAIYSYDANPDDANEISFTKGEELEVSDVSGRWWQARRANGETGIAPSN YLILL TSTA_114140 MSLETEAVASATIELLEGRLRRLEYLLTGDTQWTGKSSTAPKPD TLEDTVARRLTSLERTLNSLSKSNPAVRDVLQLYSRFPDLFPGASTHEDTIPADLSTQ NLASIVLSYATAFPETASRLSSLKDLPIPDAQASTALIELQPRLQKLLSIQEQQAIEI SELRKRSARLLQRWYELGVLRNSECWAEWESRLEDIEREVKRREVIHDRREREI TSTA_114150 MAAEPSEDDIGNFISFTSLSREKAILFLKANNLNLEKAINAYFE NPDGVPSEPQDDWTSFNNSPYSQDTQSVPAFHIENSDSNPGHGYSVAPSRPPSRMNVS ETLSDRKYEGSGHDSTGADMKLTDAQMEERALQQAVAMSLGQEYGKQESGVIANSQDT RFGPATQDFYDETSWGMTVVNSGAREEIISPDPEDRLRKDDEPAFLRPSQEALYIGGF LTILHSIPLAREALLLREKTVPDYGYDSQWWNGQSIKIPRIVSLDDPYVDDQDWDDII YETQRLMAFLDGTRRAFGSTDALASLRSLYGYNGDGGVERFLEAWQEASVRATPANQL SMVFSSCAMKQEMTEMDTPNQKEFFVFNTYVESGPSQTLYDVLDDAIWPDIPGEELDD VWLDHVGEILTIKLENYGSEAMNVKIPATFYPDRYMEARRDTVRELRMERLNVSQKIN DIENIAARYRRTESVSRAGMSNQELLEKAAQAVTNALPKDPADGTSEYDDAKAQHLTE QLRGVAGLIKDKLSELEAEKQAARDTLRNHSKILTESAVSSDDPPHYKYTLRGLCTAP HITYILRKDSPPRADEDLIEMEDKKPEEWHWWRISFSTDDAKTQQAAKPENKRIMNSK TNNADVIGYTAVKVREIEVLRAARESRSVLLVYANNNAVEFPYEPPPPALQEFVKTDN ITFQAELDAATNIYNGEEGPGESADAVMEDWPKIDAEDETTPAATENASKVNVFDYEV DAFDEDTSRQGQEMQEMQERRGKSLLH TSTA_114160 MGRFTYDELDRHSNALARGLQSLSVRKGDRVAVMLGNSLEYASL TYALFKLGAILVPLNPSFNANQVVSALSHLGASHLIISAEANLPRRQPRDNTPLIKHL VPDLHAESHVQSELIPSLKQVVVVDNSAGQFDGSAYKALTPFSSIVSDLPADREALPD QGLSPHDVVNIQFTSGTTSMPKAACLTHRSILNNGTQIGDRMLLTENDIVCCPPPLFH CFGCILGYMATATHGSAIVFPSESFNGLASLQAVREERCTALYGVPTMFIEELGLLEK GEVPHDGFQYLRTGIAAGSSIPAELMRKLHKTLNLTELTICYGMTETSPVSAMTTTDD PIDKRITTVGRLMPHVEAKVVDPADRSKTLPIETKGELAVSGYLLMKEYWDAPDQTAE VMLADENGKIWMHTGDEASMSADGYITITGRIKDLIIRGGENIHPLEIENCLLAHPAV KEVSVVGVPDEKYGEVVGAFVVIHSASNSRDQEQRHLLKEELRLWVREKLSSHLVPKF VFFLPSSDAFPKTASGKIQKFRLKELAIQLLKEE TSTA_114170 MFNSPVVYFSEHRPSTADQGPSNKRRRLMPATDEHNSVLNCGCT AFPSSWISLGAIQSAQMLAAPSFPILTPEITSKGARLRFGKTPRLKAGSTGTSSPLPS RPSTKSGQNRTKTRHTAASAPSTSSSTSHTSASTSIKSLFRRAGLTHHTAKGLIVPDV HHETARDTASRHGYAQELEYISTRDILDAPPSPTGDSHSAVHHDNDISLTIRRPSRLR KVTSRLSLRERITFTGFTHPKPSRKKLFRSPSMGHSLRQRDDPDIAIPAMFGAGLKSR RLGTTLPANFNVDSCELSDEFCNRRIMFGKSGKQIGRGATAIVRTMYRKGGSKNDIYA VKEFRKCGRNEDKVEYENMVKSEFSIAKSLHHPNIVETVRLCTHSGRWNHVMEFCEYG ELFLLIKQGYLRDIDNLCFFKQLLRGVAYLHQNGIAHRDIKPENLLVTSDGQLKITDF GVSEVFLGIHPGLRTVNQTENDPGQTKEVRKCPPGICGSIPYSSPEVLAESDYDPRAL DVWSCAIVCFTLFVRGSPWKAAKPEDPHYRKFLAGWHKFLLRNPNGVITETESPTCGR IFTTFPKKGLNQLVLKMLHPDPETRISIEEALNDKCIQTIDCCSPDIEHETTQQINQG TRMDTVFDVINKDSLRMARKKAHRHLPPEKKRS TSTA_114170 MFNSPVVYFSEHRPSTADQGPSNKRRRLMPATDEHNSVLNCGCT AFPSSWISLGAIQSAQMLAAPSFPILTPEITSKGARLRFGKTPRLKAGSTGTSSPLPS RPSTKSGQNRTKTRHTAASAPSTSSSTSHTSASTSIKSLFRRAGLTHHTAKGLIVPDV HHETARDTASRHGYAQELEYISTRDILDAPPSPTGDSHSAVHHDNDISLTIRRPSRLR KVTSRLSLRERITFTGFTHPKPSRKKLFRSPSMGHSLRQRDDPDIAIPAMFGAGLKSR RLGTTLPANFNVDSCELSDEFCNRRIMFGKSGKQIGRGATAIVRTMYRKGGSKNDIYA VKEFRKCGRNEDKVEYENMVKSEFSIAKSLHHPNIVETVRLCTHSGRWNHVMEFCEYG ELFLLIKQGYLRDIDNLCFFKQLLRGVAYLHQNGIAHRDIKPENLLVTSDGQLKITDF GVSEVFLGIHPGLRTVNQTENDPGQTKEVRKCPPGICGSIPYSSPEVLAESGDYDPRA LDVWSCAIVCFTLFVRGSPWKAAKPEDPHYRKFLAGWHKFLLRNPNGVITETESPTCG RIFTTFPKKGLNQLVLKMLHPDPETRISIEEALNDKCIQTIDCCSPDIEHETTQQINQ GTRMDTVFDVINKDSLRMARKKAHRHLPPEKKRS TSTA_114180 MLFSKNRNHSTDGEEPTTPHKQERPLSCMIFFFASQMLSNLEAR SASSESTAEAGVLPKKAHRLDVLLAEVGEHANRSPYVTRFEPIPVEMSPPGRPKGTSR DEMHDVLLLASFKNKSAWQKWIETPEWQRFMQRTENEGVFRRIPHVRFGDIQFFTGSH GMAVGVLRSTFPEASNLQSR TSTA_114190 MSTGLSQQDANDGASLASHQLKPPASVQVKNRRKRYLDQHPEYF SADLEMADPLLYDRLIRRFQTPAEREAEGRTKGFSGILQADIQRSEAKLDALAHPNPN AMLSYRRGSNGEIVAEDKDDIPANKEEGMQRWKWEMEMRFLKGADYDFDYKTVDENDE YDDWNEEQERYFDEEEPEWIFDKGQEGDVQKDKLIGETGIQDF TSTA_114200 MAKQRKAKFQATPDPRPNPVTERSLIPVTVSEEPAAIRTRHDWK DSTRALMIPGLAAVYSRVSLLALAPVYGSTPAHMYHETLCNTAILAGSFLYTRIPNAL RKAENILPALAISIPIFQSFLFQQSSRFGNPAGPVLTELITICPLLLLSMSSYSRIIS NTSNLRRANRLQLLSRFIPLLFGVVLFRLADSASQTLLPSYIGSNVFMTTFDLQVIIA CIYSFILPSYLSWVLFMLPGVYLYVTSDMDASFVLTPARVNSTLHEVGYSLVDRQESL TGYISVLDNVELGFRAMRCDHSLLGGQWTSRPSDYNPRVSDPIYAVFTMLEAVRLVEP DSSLPEKEDKDSNALVIGLGIGTTPAALIQHGIDTTIVEIDPVVYNFALQHFGFPQNH TAVIDDAVSFVETARYERMKYDYIIHDVFTGGVEPVELFTLEFMQGLDALLEDDGVIA INYAGDVSLPGAGVVIRTIKTVFPECRIFRENDGSETPAEESLEFINMVVFCKKTRTP PLTFRQPNEGDYLGSQSRKSYMVPRREIDHKIFGIGDDSTDQRLLEVGKTDVLESYHT QNAIGHWNIMRKVLPAKVWENY TSTA_114210 MACVDLLTNHGQMVPEDPTSKMSVAAQVTAAAAPSTPSPPFIHV DGVPNFRDIGGYPITSSTSIRRNFIYRSALPTRITPTGLQKLTQDLRITTVYDLRSNA ELRKDPITSSPLDNHEAVKVLHAPVFPERDSSPEQLAKRFANYMSVNGSEGFVAAYAE ILRDGVNAYRAIFEHVRDRPRDAFLVHCTGGKDRTGVLVALLLLVAGVQDRDMIAHEY SLTEKGFDASVKAHLTDKIIKDMGMDPENRAGIERLLSARKENMSATIEYIERQFGGA EGYLKGELGFGDDDVERIRKSLVVEDKGLF TSTA_114220 MVGIGSRMSTGTQNSGGPGSRPSSKDGNKKNIWSSMLDSVASGK RLPEKNMIVLGGTPESQREFLDTFSSDPSDPSLPNERRKGKVAPLANEFALGYTYQDV LDADHEDILARVSIYLLSDPSPSFAPLLRPLLTPQSVPETLIVILLDWSSPWTWVRQL REWIRLLRSVMISLEDSTKIVMEEVMMEWKDKKRGLDPNSATSVTNSGGPVTIPLGPG EWDEGLGVPLCVVCQGANKIEQLEKENGWREEEFDFILQFMRTILLKHGASLIYTTPF LANSLQSLIHSTLGIHSLLRRQSLKHNVIDRDKVLVPANWDSWGKIRIIREGFDIEGV GDAWSIEIQESSRHLLNGARQDEDVETTGVPTSPIGEDGSSAVAIYEQTIKDPKQDSA APGSGVNANRNKIEIETEDMQKFLNQQLTKLDQLKAEDEKDREAKKVPKALEMSPHFD ESGHVNEHIGPVQFNMGGIQVDADDMLRKLKEREANRTPKREAIPSTGPTDEKAQNQA LASFFAGLVKKPGGSPRSSPAPNSNQ TSTA_114230 MNSIRQIQALNKRELENAVPPEASWHADYRDTAYIYIGGLPFDL SEGDIVTIFSQYGEPVHVNLVRDKDTGKSKGFAFLKYEDQRSTDLAVDNLGGATVMGR VLRVDHTRYKRKDGEEEEDNVATIMGSTTGHRDEDTDTERRDRKSWRKGGDTDENDVE EPSRPMLKEEIELVELMRDHDDEDPMKEYLIQEKKEEVARALENLKSREKKSSSRRKD SRERSHKHRHHHHHHHHRRRRSDERSRERSHRELDDGSRRERHRRERSESPHRRQERS RERSRRERD TSTA_114240 MNTRQVIDDSAGPVSLSAAFNSDSSCFSVGLDTGFCVFNSDPCE LKVSRDFNAGIGVAEMLGQSNYLAIVGGGKQPKLPQNKMVIWDDVKQKSVITLEFRTS VLRVRLSKSRIVVALLNSVHVFAFSTPPEKLSIFETTDNPLGLICLGKKLLAFPGRSP GQVQLVELETGNVSIIPAHSTSLRAIVLSPDGEVLATASEAGTLIRVFSTRNCAKIAE LRRGVDHAMIFSLAVSPSNTYLAVTSDKSTLHIFDLPHPRTLSRRSQSPSSYSEDGVN QKWGILGKIPLLPRVFSDIYSFASAHFEIGDDFPGSTPYIPPLGTSVGRPSKGVLGWT DDQTILIIGAGREGRWEKFVIREGEDGKRFCVREGWKRYLGGG TSTA_114250 MMSSPLNAIRRKKNVKKGIQFCLMVCGASGTGRTTFVNTLCGKK VLEHKDADDAANAHIEEGVRIKPVTVELELDEEGTRISLTIVDTPGFGDQIDNEASFS EIVGYLERQYDDILAMESRIKRNPRFRDNRVHVLLYFITPTGHGLRELDIELMKRLSP RVNVIPVIGKADSLTPAELAESKKLVMEDIEHYRIPVYNFPYDIEEDDEDTVEENAEL RGLMPFAIVGSEEVLEIGGKKVRARQYPWGIVEVENPRHSDFLAIRSALLHSHLADLK EITHDFLYENYRTEKLSKSVDGGAGSNEDSSMNPEDLVSQSVRLKEEQLRREEEKLRE IELRVQREINEKRQELLARESQLREIEARMQREASAHLAATEETNGEAGEA TSTA_114260 MADLGHDRNYRPNTYGQAPNPTRDAAFSDIFGSPAHAAGRSQTM TSQTAPFPNERSRTMTSHIPPPSMHMQQRGPPHPGAGMRHLSNGYGSQQIPNGNVAQQ PHQNYPRPFPRGSPYPGPVRVGSGPNPHYPNPNFPIPQGRPGFSPALNSYPDRTRSMA NLPMRPPPQQTYSQPPVTRDNHYNSIARTPQGRPVPEKHEDPRTMSLSSYSSSRDHAQ TAYGRPVPTRPSRPPSGPDHIPSQLLPAGPQVQSQTQTQAQGQAFPEQQGQSDGNYHH LRRPSDASMTVRTMSMASTLISDRTMSLQSQPMQKQTTQSTNTTAVAPPRKLKPLVYP ALLSRVADVFRERMPLGEYQKNGLSYQNAFSGSKAVDLIAHIIRTPDRNLALLLGRAL DAQKFFHDVTYDHRLRDSAVEIYQFKETVTEDSPTSDVNGVFTLLTECYSPTCTRDNL CYSIACPRRLEQQARLNLKPQPGLRHSSSKSSLHADDDNDNQKLWINMVPKEVSDTLE DREKKRQEIIFEIMYTERDFVKDLEYLRDFWMRPLRSAGNTSLSPIPEHRREKFIRTV FGNCLEVLKVNSALCEALNARQKENHVVHTVGDIFLQHVPRFDPFIKYGANQLYGKYE FEKEKASNPAFARFVEETERLKESRKLELNGYLTKPTTRLARYPLLLEQVAKNTADDN PDKQDIPKAIGLIKDFLSRVNTESGKAENHFNLVQLNAALKFNPGDYVDLKLTEENRQ MLTKMGFKKGPTDSSEVTAYLFDHAVLLVRIKLINKREEYRVYKKPIPLELLVIAQMD EVIPRLGLAKRSSSSLIPGKVIPNNAPIPKEGLPITFRHLGKGGYDLTLYATSPTQRK KFIEMVEEQQRKLRERNSNFYSKTILCENFFTTANRVNCLVPIDGGRKLVIGTDDGIY LAERWPKDKNAKPRRILDATAVTQIDTLEEYQLVLVLANKTLSSYPMEALDVNEGQNP LARRPKKIQGHANFFKVGIGLGRHLVCSVKTSALSTTIKVFEPMENLARGGRKPALGK MFRGGQEALKPFKEYYIPAESSSVHFLRKTLCVGCARGFEVVSLETTESQSLLDQADT SLDFVARKENVKPIHVERMNGEFLLNYSDFSFFVNRNGWRARPDWLISWEGTPNAFAL SYPYILAFEPNFIEIRHIETSELIHIMTGKNIRMLHSSTREILYAYEDEEGEDVVASL DFWSKATVK TSTA_114270 MTDSEYSPKFAPFFSFAGVAAAMIFGSIGAAYGTAKSGIGIAGV GQYRPDLIMRSLIPVVMSGIIAVYGLVVAVLIANAMNPPPGQNTSLYTSFMHLASGLS VGLAGIAAGYTIGVVGDAGVRSYMQQSRVYVGMILILIFGEVLGLYGLIVGLILNSRS GG TSTA_114280 MATETVEPTIHEHEPARNPIVVPKVHPAAKSEDFTTSSSAPSTE STTRHNISSEEDFSASDLGQPSRNFQVDDFELIKTLGTGTFARVWLTRVKNDPRKDNV YALKVLRKADVIKLKQVEHVRNERRVLGAVAGYPFITTLITTFSDDQSLYMLLDYCPG GEIFTYLRRARTFSDATAKFYAAEITLTIEYLHDVQGVAYRDLKPENILIDTDGHIKL VDFGFAKQVGNRETYTLCGTPDYLAPEVIHNSGHGLAVDWWALGILIYEFLVGQPPFW DQNVMRLYEQIVEGRLRFPHNMNLAARDIVSQLCKTDVTQRLGYIQGGSKRVKAHPWF DNINWDDLYNRRVKGPIIPRLEHAADATNFEEYPPPPDSDQLEPYTTDMREKYEPLFN DF TSTA_114290 MKEIESRQYPAKNPTMAPIRRAIIAFALLVGIIFLFRPFSTSDA AYRDGFSQGQKPLRIPPQARGRAGTGQSQLPVGETAYPTLLEKLRYQYPYDLDSKFPA YIWQTWKDSVNSNWFPDHLRPLEASWTERNPEFVHEVISDEAADHLVQYLYGSVPEVV EAYNSLPAPVLKADLFRYLILLARGGIYSDIDTEALKPAIDWLPDDMDPSSVGLVIGI EADPDRPDWHEWYSRRIQFCQWTIQAKPGHPVLRDVVATIVEDTLRMKRKGVLTKSKM DKSIVEFTGPAVWTDAVFRYFNNPDYFLSEGTRNVTYEDFTGLTRQKKVGDVAVLPIT SFSPGVGQMGAQGPEDPLAFVSHLFEGSWKPESERIS TSTA_114300 MTSLRGATSPSLTPWPTADYRSRRWTQSVAVREQQFDSSNPAMS FPCLDAQEAKSASLSTRSMSSGPEPSYTTGQHENFHCKTPLLLDWGGVLPEFDVAYET WGTLNADKSNAILLHTGLSASSHAHSTPTNPKNGWWEKFIGPGAPLDTNKYYIICTNV IGGCYGSTGPSSIDPSDSKRYATRFPILTIEDMVRAQFRLLDSLGIQKLHASVGSSMG GMLSLASGVLFPERMGRLVSISGCARSHPYSIAMRHTQRQVLMMDPNWQRGFYYDSIP PHHGMKLAREIATVTYRSGPEWENRFGRKRADPSKQPALCPDFLIETYLDHAGEKFCL EYDANSLLYVSKAMDLFDLGYAHQVATRARRVQNQKRMVLGSMERNGASCSLALPDKP YEEQPATLSSLTPMNEPATDDSADGPPQDLIRGMSPLKDHPVLVMGVASDILFPAWQQ REIAETLRAAGNQNVEHVELGEDISLFGHDTFLLDLENIGGRVGKFLG TSTA_114310 MLAELDQNRAGVPTRSRSYYDKDDYPDSFDDRELQGYQSLRDRR DRGNNKESMSSWLQRQAGSHSVQLAAAAVVSGAAVAAGIFGYQALRRHEAVESLKASI PQIDDKHHAESLNEFGTAGPLPVLSKEDERSAALARRAQQGDYDEELILEQLARNRVF LTDEGLQKLRSSFIIVVGCGGVGSHATAALARSGVAKIRLIDFDQVTLSSLNRHALAT LADVGTPKVHCIRRRLEQIVPWVHFDCRNELFGANVADTLLAPWTGRGSNGQKPDYVI DCIDNIESKVELLHYCHKNSIKVISSMGAGCKSDPTRIAVADISVSMEDPLSRSTRRR LRVLGVTSGIPVVFSVEKPGPGKASLLPLPEEEFAKGKVGELGVLPDFRVRILPVLGT MPAVFGYTIANHVICAVSGYPIDYSLAGKKREKLSDTMYSLLQGTIERLVRAEVKDNE LVGLRMPLSKEEIGYIVDEIWRGRSVISGISNRLVLVPWKRPAEGFRVNPQWEKDGQK LVPINWRNLVCMTKEEAVQHEREVLLGGKNVEEIYDDKVLQLIDARLKEAEIYERYR TSTA_114320 MARKERTQSVSFLSKPTGFEMDMDNLSVSSSSDDDNDETVHSQS GFFKSFPQGGAQRPLINFVKNKWETRASRPRSTSTSSAASLDWYRVPGLGFIASIVMA PKFRRYMVVYAILLMFAFTGWETVLQPMMKEHEDILASLNVDTLEKVGGWFGTNVRPE FVDVLPMRTLNPALLPSFEEKKGRSSRRLVIVGDVHGCQDELEKLLEKVSFDPDAGDH LILTGDMINKGPKSGGVVDLARGLGASCVRGNHEDRILLERSDMKVHHSESSNQEHTV VADTAERRVAKSLTDEQVAYLQDCPVILKVGQIKDMGEVAVVHGGLVPGVPLERQELS SVMSMRTIDLDTHVPSASKDGVPWFKLYNQYHKLLVAGQGQAGTVSPQTMTVIYGHDA AKSLNIRQYTKGLDSGCVYGRKLSAFVIEDGGKNEIVQVKCNEYVKS TSTA_114330 MVSGHGDLGHSFSDNDIPDNKESVPLNDLESGRTGVDVSSHKDP QDSGRSDDSLDNPEDEVGQGLLGGRSYKHQQGQNSSAQSGWFSSFLRGPNPPRRHRIR PFFESFQTAPIRIIKRLFPTLRSQAIALIAFHALWATIFLTILNWSVLGPEIPGYGMP NRLSCGARLWHNGTLCGIDGNACRPFDEQKFAFRCPAGCHDTILLEPYAVGDQELNYR SLVVGGAADAENTIGSYRGDSFVCAAAIHAGVINNAAGGCGILRRTGEQTSFIGVEKN SITSVSFNSYFPLSFDFEKETIAICRDPRWLLFTVSLIFTSILSLCTTSPVVFYVSVW FISWFQVALASDPPFASDYLEVVSIGMGRLLPASFVGWAIYYFCVRETLSGLTAQWEK TILWLGPFWVGALNTDTFDRIPISRLTPHDIQQQPGAIPALIIIVTILVITIVTQAWA IWSAGKLPRYLVIYGIMACTLIVMIILPQLNLRIHHYILSLLLLPGTALKTRPSLVYQ GLLMGLFINGIARWGFDSILQTPNALLGDAQLGSVLPNITAPIIGAGGNNLTFWFSAL PSDVSGIAVMINDVLRANLYRAVSRAEGTGTGVKSSPELSFEWTRLHQNHSEYFRFAY MKNSALGGLWYEDFTKAGTWRDNGDWIQ TSTA_114340 MSSLQPLSSLTISSFLPPLPLLGLITSTNALVYAYSEHIFLNPL SDPNVVPAATIRHVWHKCFPSGFALVASSRIGSILSGVAGYRASETGSTAETLYAAAI AFTVVHFVFLPWTRVHLMPILDKNPTKESDEKIHERNKNFVQLDAIRTFASEIPAFLC FLGATLSYLRL TSTA_114350 MPVISRLFSVLLRLAELACAAVVAGIVGYYLHRWSDDHLSAWAQ GRWIYTEVIAGLSLLLGLLWLIPTAHTFFIWPIDIIISLCWFAAFGLLVDALDGVDCG TAFSWGYIGHNDWCGRWKAAEAFSFLSAIIWLVSGILGIYFVWRVDRRRNYVYGRYSV TSTA_114360 MSSKLFPGDPNKVMVIRQVTKDITTLSVPFSRFGLIKFGGRATL VKLATGNMLVVSPVALTSEVQQTIASQGGRIKYIAAPDLEHHIYLTAWKKAFPDAEII APEGLYEKRQSKPDQKDTQFSHILTKANKRDIHISEEFDREIDIEYVDGHGNKEIVFL HKPSRTMIQADLIFNLPAKEQYSRTNESPTSGIFTKLFTPLISANPPPATWHKRFVWY ITANDRKSVGDSVVRINTWDFDRIIPCHGDIIETGGKAVFQNVFEWFLEGKKKKPQRQ EQ TSTA_114370 MSNDWSPSPTFSIPTERLHISYFQADNSEHTEFLVRLWNTEDFI KSCGKTGLDTPEKSNVFIRNRVLQDYKRNKHGQFLVHLAESRLIGVTSLMKGEPPNAY SAPDIGYTILPEESGKGYATEASIGLLEYARRELGINDAFGFCGQDDARCRRVLEKIG LQFRGNRALKVFGGAQSAVYALPEMSQDLTVYGIDD TSTA_114380 MPLTSKFPSPSAFERLPFELNATVLSYLSNKDIKNLRLTSKAVK AKEFLRLKRSRNQITEIVWDDVRLSYGQRIDDKYLSEDEDSPPPPERGVPYWYVVACQ ESKGTLIRTKEERKVYYQQLLPQQDEMLVFGADIEAYRSGLEQFPHLKRITLTAATCG VLDHPMYATPMIRDFPPGFTYPADRATWPVPGESLQPYECSIIPIGKWKTLRPLGLSG VIVDIDELISMLAAQPATLRSVELSYLFFRTEGEFGYYHLLDAMCGKVGWKGQRKATH AWPFIMGDRQLPGI TSTA_114390 MAPSRFSTSKDQDDQLSSPPSSPALSVDKENHYRQRPQGGKRKS DITMAHDNSVSSSGSKRRRLAELQGNMEYTQTRASQRAVTDYYDPDQDVSERREIRKG LRDLQRDLNDCRSEYLQSGNKGILNTIQKANDLFTRVKQTSDATVDSQLLVNAADLSY KKSARLALDGAAAGIDVDEFVSKCLSFMRQTPSNGASNPLSSTHRRRANGDDSDDEGV DDTLNWDRLGRAACFPYNARPSLSGFLLGPLSVQKRTRQVTQRRVANQIDRSQVVRPQ DLEEQDLDRQESSNLTAMCTKISKLLQDVINSRQAAVNAEMYSLGREPTMDELYAAMD KHQIAENGGILLYPFAINPKSFGQTIENLFYISFLIRDGNVGISQDSHDFVTLHSSTP YPPLEAQEKGIQKHQLILNLNFEIWHDLIESFDIKESIIPHRNDEEFLQQNQHGWYN TSTA_114400 MEAFTFATSTQVDFPVQVKIGALEGKQKLIPYSTLLKNPELRHL GSNQSPASDLFVTVQLWSDSKPLGVPMQTSYKSFKTSRIWNEWLQMPLFIKDAPLGSQ LAITIWDLSPLGNEASNNHGVPFGGTTISLFDADGKLRMGRQKCKIYRHKAADGFSTS ATPAIPPAHRRKTNGVLSLTQSPEEAELERIEGLIKKHEMGEITRIDWLDQLVFRQLE KIKINAEEAARKRAIIVQAAKKAQQKENGANEKDSDPEGLDEENFLLYIDFPRFDHPI VWADYEYPPPPVSTHPQHTHNNPPATLKPPPEVRLGPGIEGHDDAGTYRVIRIYDPEV GQTGNPCEDKHRRLVRSHRTGIMDRDLKPNPKIRDELNFIMSYGPTHELTAEEKDLIW RFRYYLTRDKKALTKFVKSVNWRDLGEARQAMDILPKWTEIDVDDALELLGPTFDNAT VRAYAVERLRKAGDDELLLYLLQLVQALKFEKTPEEAPEEVAHDSSLANFLIARAANN FVLGNYLHWYLMVECDDTGIANLASHRRLFARVEYYFMTELEKVHPEHRKTLLRQGEL ITVLTRIAKEIRFSRENRVVKIEKLKKYLKDPKNEIITIDPPLPLPLDPNVTITGCFP EDSNVFKSSLSPLLINFKTSDGRKYPVHFKVGDDLRQDQLVIQIITLMDQLLQKENLD LKLTPYRILATGATAGAAQFIPSTSLSAASAKYKGSILAYLRANNPDDSEPLGVRKET MDIYIKSCAGYCVVTYLLGVGDRHLENLLLAPDGHFFHADFGFILGRDPKPFAPMMKL CKEMVEGMGGANSQHYLQFKQHCFTAYTTLRKSANLILNLFSLMVDANIPDIRVEPDK AVLKVKERFHLELTEEEAMRHFEQLISDSVNAIFGVVIDRLHDFVQGWRT TSTA_114410 MSLITAFRPRSTRTSSSLRHRSERAHRRHQGRSSNDQNGITEEN GEEEGPDAHSIITPSKHTPKPWRALKGSVAKGGPLRPFRLLKQDIVNIRRRYLSDWTV FNQQIFASAVYVFFTNLLPGITFASDLYVLTGANWGTIEVVFSTGLCGIIFALASIQP LTILGVTGPFSVLAENIYALCHDSFKIPFLPFIAWSLVHAAWMHYVLAIVNAHDWTMR YVTTFSTEIFSLLNSVIYFHKAIQELQRAHNNLSFAAFLYAIIGAVGTMLLAIFLSTA ESWRPLFHRYIRLGLTEYAAAISIIIFIAMPHVGELAHLDKMTLNVSHSFRPTSPERD TFFVKFWTLPLGWILAAIVPGLIITVLFFFDHEVSSIICTIDRYGTRKPGGFAWDIVL LGTTTAICGILGIPPANGLLPQAPLHSESLMHSEHERHTVVVDGEEKVESREVRRVYE QRWSAFLHAGGIMLFVSPPFMTVLGLTPTSVLAGLFLFMGEQSLSVNPILYRFFYILT PPSELPQLPASLHNEDNPSERPSYLPIHYYTVLQIILTAVVFALTLTKAAPAFPVLII ALVPFRLLFMKRWWNREVLRFVDAWACREGTPEDDEDQQAQNKMSDSADDAVFTADAY PLDNGRNASGELYPTSRVQADVGDSPERDNAQDWIELDLREPRASEDEEIEVGTQSPK GIRSFDAYYAGKL TSTA_114420 MTTKFIPRAVFPHYDSIPRSYFLGHHKAGLEKMKSMISRIDYII ECRDFRVPITSINPMFEEALGDKQRLIVYTKRDLGGEADAMARRNKELMRKLDPNTTV FFTTSSSPDSVSSILRHLKNDKSTAHRIAACRAMVVGMPNIGKSSLINTLRNQGVHKA KALKTGEHPGVTRKIGTPVKVVERQDGSSVYVLDTPGVFVPYMPDAERMLKLALCGCV KDTIIPPVTLADYLLYHINLINPEIYGRWSGPTNEIMPLLTRFSLQVGLLSKGAVPNI EQAAINFVQKWRSGDLGKFVLDDIRAELRARKEGNIETIGPSRTQQLKAITRARINRK KEQRLQAPKSTAETQVISSSERR TSTA_114430 MARNSEKAQSMLFRFRAAQAADLGILDIARTRRPKAITQIDSIP TAEKWRGQVLKEISRKVSRIQDPSLSDYQIRDLNDEINKLMREKHMWESQIRNLGGPN YMRGGGRVYDDEGREIPGGGKGYRYFGRARELPGVKEMFEAAARRNRPEEDETESGKK AADMLRKHVDAAYFGYGRDEEEAALVAYEQKREKEAIENMIKNGEDDADDGWEPLPGD AGDGVEWRLPTLQEVQEELVDRRRRRLLDKIS TSTA_114440 MEQPFNLEQFYQDVLKVNGIDRSFGVPDSTLSPFLSYLAAAKCT RKHITVASEGAAVALAAGYYLSTSNLALVYMQNSGYSNALNPLQSLVAKEVFGIPMLL MIGWRGRPGEKDEPQHNLIGPGIVRNLEANDIPYEVIPKGLAQARIAVARLFARAKKD NTPVALIVPANYFAAYEDPLWVSWTTAPPNESKTYQWLSSTKRPALSRESTIESVLGA LKPSDVSVSSLGGNSRELWMLRKNKGQSIARNFFGIGAHGVSNGCRKNRVICIDGDGS FMMHLGNNAILAPLPDQRVIHVVIYNGKHSSTGNQPLMIGRGDFLALVEGLPYERKFI VDTTEGVTRALGSVDRSALIVVTVNGDERKSLPRPTETPTEQKEAFMKSFGTGSKLLH MDTQQRRGAVCMK TSTA_114450 MHITSNVRNLEKFLKAFPWAGSEQLTEPELAEHFAGKQDARAHH YNLVVAKVVSIGATVTEDREGHERQLVLNEMLIAPLVGRESSLGHSSALPTEGIAVGS TIDYVSTGGGLGVSPDNPPGKGDPSKVKVLSILRYSCGKVAKAQDFAKDLPSVDKLKP VTIVVGSKSDAGKTTVCIDILKSLASAGRRVGIAKTSGTAQRMEIEELAVHANQGLDT ADAGMPTTYAPSQEPDERAKFTHQQSLLAAESNLRALSVANEIILVEFGGDLLSASVP EILADPARLNIVAVIMVAESATAAIGIETKLLRISESYGSIPYYIRETQCAGCFDLWN KNNSLASQSQRDASAASSQELMRKLLEHCSPDSV TSTA_114460 MSQSIKSVLKKNQDRVRLLEAHDHATKEAVRLTFSDNGESFDGI WLSGLTQTTYLGIPDTELISPLQRATYMSSSNNNIEQHGSRPLCAAFDADSGGDVNDI PQLVALLLSLGVSMVIIEDKSVTAPGKEVNSLAGASHSQGQADMYEFAKVLRAFRAAA GDSEIMITARIESFTTRMVGKNEAKELASVRLAVDNALARAEVYKKGGADAIMIRSKE KDPSEVLSFLAQFRSQDVKTPLVVVPTTYGSITDEELHQAGANVIIYANHLMRAKITA GDAISSFFLATRKSSFSFGDKTLDFTLQCRNFGYLLQKLEKAENLSESAQEYRALATR YVLMNVKKVTKALLEAIKSPL TSTA_114470 MANISEAESGLASVDDGSPSSRDATEEEIASMRHVVDNIPQKVW VSLLNAPGDSAVPGALGLGQSRATIVFNAFYLFSYFVPVPFAIASDTWLGRYTVLCIS LSLYFCGTLVQFITSLPSLFSQESGMVGFAIMMVLIGLGVGRTKAAITPFIGDQYPVK LPQIKTLPTGERVIIDRTLTLQYVYNVYYWITNIAALSILASTYLEKERERFPAQGGV LLKAGKVLGYAIQDRFKIDAARPRWQYEKHNRVVPWTDRFVTEIKSGLCACQVMLWFV LFHLGINQMTNNLVSQAGEMELTGFPNDGIQILNPIACVILGPIIQKVLYPTLARYHI PFGPLMRMAMASFTMAATFAYAAGVKKMIYNSGPCYDAPLACPAAERAGQTHLPNTIK VWVQTPVYVILAVSEIFGFVTLSEYSYSKAPKDMRTVVQSMRQLSAGVGSAIGIALGS VSQDPKVLWMYVGLAVSLGLAGVVFWVLMGHLEKDNEDVNTMFLNEDESARGQTEDRI VAAVRSYDKPIHALVTVNNAGAIGTARACQILGFRSAPPKSYIIAGDKFKTREMETDS GGAFKVFNLDELHARLLSKTHPPIEYPVIVKPCMGWGSECVLKVQTEGELIQAYIEGP EVDANFILIDGDVTFFEVADDFPKTGDNAENPLDASFVETSMVLPTGLSPKEIQVTKD SLHQALLRQGFHTGVFHCEGRIRYASKEYDTRDGLVDLYPGRQLAGNKEPSFYLHEIN ARPGGYFVSSATLLTYGVDYYANHILAAFGDLDRCRALSVPFSHGPQWWLQVIIIQED KIGVMKTPDAGKETLERHADLHQAVVDYRTAKKKGDKLLGPRANIFSYLAYFSVMSRK SREDCLRLGEKVRRSFTYEIE TSTA_114480 MSSIRKIPILDLKLADDPAKRGLLLDQLRDALFNVGFLYICNHG VPQDIIDALSSKLPTLFHLPQQRKRELSKTKSPHFLGFSDYAQETTQGKLDLREQYDI ATELPEVYQKDATPNERGRDFSKLFVHLVEEAFSIPIGTFDSFFNKNSDSAVSDSTTA SDFLSPQHRLRFNFYPAMPPEQEGQGVGPHKDMAGWLTFLHQVGTERALDVQDRDGSW IPVDPIPGTLVVNLGYAFEAATEGAARATVHRVRARSQKDRYSIPFFMGLPLDLKLSE VQNVIPESVRAMRRKELENGEWAIDKKIETFLDPRWDSIGESVLRRFIRGYKKTALKY YGQEVY TSTA_114490 MQPSSVNNSPRRANPFSRHSPSPSPSPQVSTSRPKSAVLPTTFV EPEKKSHTRNSSLSHFSAVTLGSENRPRSSSLRQSVHASGTFAPQFIKAEELQRGANS IHNLEGDNDFSGKRYVWLKDPEKAFIRGEVLEERQDGNLFVQCEDGSQREVSIENADK VNPAKFDKADDMAELTHLNEASVVHNLHLRYQADLIYTYSGLFLVTVNPYCPLPIYTN EYVRMYKGQSREDTRPHIFAMADLAFRNLVEEGENQSILVTGESGAGKTENTKKVIQY LAAVATPESSGTRSGKAFSNLSQQILRANPILEAFGNAQTVRNNNSSRFGKFIRIEFT RSGQISGAYIDWYLLEKSRVVKPGSRERNYHVFYQLLQGSDKNLRSKLLLADMQIEDF AYTRDGNDSIAGVSDVEEWKALMEAFQIMNFSENDRMCILRTVAAVLYLGNITVTKER VRGDQAALTPEAYESVHKACQLLGVDSDSFVKGLLHPRVKAGREWVEKVQTPEQVRLA LDALAKGIYERGFGDLVNRINNQLDMSSSASDDTYFIGVLDIAGFEIFESNSFEQLCI NYTNEKLQQFFNHHMFVLEQEEYAREQIEWQFIDFGKDLQPTIDLIELTNPIGIFSCL DEDCVMPKATDKTFTEKLHSLWDRNSPKYRASRLSQGFVLTHYAAEVEYTTEGWLEKN KDPLNDNITRLLSGSTDKHICNLFSDYVDTDDEQGFSRSRVKKGLFRTVAQRHKDQLS SLMNQLHSTHPHFVRCILPNHKKKPKQFNGPLVLDQLRCNGVLEGIRIARTGFPNRLS FNEFRQRYEVLCRDMPKGYLEGQSAAHIMLNKLGLDKSWYRVGLTKVFFRAGVLAELE EKRDALIREIMTLFQSAARGFVQRRIANKRLYRAEATRIIQKNFHVYLALQRNPWWRL FTGMKPLLGATRTAAEVKKRDEKISQLEAKVQQDLQDRHKLEEERRRAELEISRVKKT LESERALALDKEEIFKRLQIREVELSEKLAEAIADQENLEGQLDELIEAKRKAEEQLE ARKVQLEQAGQIIVKLEADKKQLQERLTAMDSELKEMENTHSQRDVQVQDLTQEKKML QSQLSLKERKLQDLETKLLKSDQNLDVKLAKTSKELEASRIQVKNLFDENKAIRQEIA ELSKTSTGYEEMIRRKEGELVVLRNDAKRYEEAKKSLEVERQSLGERHDNMQKRLRDL QAEMDATKSEKLTLEREAADVKRMLEAKMTEDAEAGHSRRLLEQQVQDLKAQLFQLQA DLSRERQSRDDVQMLGEHKLAELKGKYESLNEAKITIEKEMYIQQDTLRRATEARTAA EQARKDLQAELIRMRERFANAEKARLDAESHVERNLIKQANEKQAALREELDIASSHL QEVEGEKSRLSIQVQELTQMLADSENFRERHDQQKERLERELVTIKGRLAASENDNRA LLNKIQQKNLEISRSNSKASDNQRSRIVQLQNEKAKLEEEQKKVQRQLGDAQVTITSL EKQKEKLALTVEDLNHEVAREHKASRKAESASSTMTLQLAEANRNLETERQMRNQAQA NTRQLQTALDQAHKEIEDCHQQLMLLHKVFDPEVDEASKSWEAIQPDLSKKVDLAQLL ELAHRDLRVSEERYSRAESQLVEMRQRHEGEIKEMDARYSSSKRALLEEIDNNQVSTS RSPTHIRKNSENAKRFSNPSTPNRRFNLNEVNQDSGRSDRTVDTTTYQKRMDMATELE ELQNKLQMTEMQNRHLQNQLELATPSRDMWQEDSPSIRRVQLLERENGRLHEKLDDSA KKVSALERMMQTGELSLRDVQAKSHEELFDLLNSQEQSRKSLLNVNKNAIAELTEAKS QFEKLKRSKTALEVELRDARSDLQDAEFTREQEAASRNQLLQEFSDLQIRLDAEESKV IDLTSSLSLYKSRADEYFSKLEQAEIAVLKAARAEQFAKSQAKEAEETCAMIMAERQR MDSLVEDLQRQVQSYEEKVEDLSADLEGALQGKRRLQNELEDYRNHRASEIEDKEASL EQTRKKYQREFATIANELEIERENLLHVRDENSRLREELEDLRSKWDNEVLNSSTWAK EKSRLEMTLQDVSSSRDEAIKAHNDAQSKVVSLLSQVRNLRTSVDDITSERDLLAKDK KVLESRLKEATDRLEDLANGDSPSMRDAAGMDREILELKSKLAQHEDVSAAAVGKMRR AEALSVEMQKELVAEREANATLFKEKASLEKQLKEVQLRCVDLETKGFSSASQDIKFL HKRVKELETQLEEQESKYSAEQRSTRNVDRTVKDLQSQIERRDKINSQLTDDINKSRD KIERLLQTIDELQSSDSQSQLQAKRAERELREEREKALRLERELEGWKQLRVERGSIV GRANGLSDVASRRGSGVYIANGTVEVPQRKFSNTKGFI TSTA_114500 MSQYPVPPSYDGQHNYAPTWPMQLPYGSSDPKQSGTNFFAMNNA PTGIANFAGLPFGSNDPIQLPGLGMPSNATPLPYLPQQFANRQMPLPLYSAANFPFST PADSLHPNQQSAVTQPSENLDSLAIQRQERSKRPSPLLTNDTEREEGEVSDGSSYGLS RQHHQPGLQSGTFTQTSREAPIPRSETALDTDASFSHLQSPKFPIGKNGARDEDPENS APGNNSPPYNPPVTIGINQLSPAKVQRADASRPRKSEVNNQSSYSQFNGKTPAQIREM ALGALLSLVPHNIRYGELVKEDIDPTVLKQLYDDIGIKITPAGEKYPGSTIPRPKVSE IKVTKPAIDRKDSSSEPREKLPASPSPAVSNNELKATSIPTSQKPLERKDVIARMLAE KAKKKATNIATESSSSSETLHNGSLAKPSVEKDAQEPKAQLPVQSKEKNKALSELARQ RMEQLKKMGLKRQKSHSEDTNVSPAPVTSISNTPNMKPETTAPLHHPLPERPAITPSP SKSSTPQIPGLSFSSEPRSSPSIVENRSIGASTPFKNSLGKRPRASDFDEPIPETKKH SMTDRLVIDISEDESMDEEEDIGMPETSDPAINVPPPKASSSLPRATISRNASSSSVT SQSRTNELESLRQKNLEIQAMRRRIAEWEEKNAKKSKTTLPLVTMTGNGSPSLVATNI GADEDKSRYVIDKTQDTATPSDKSNSQRLQRSPSVQSLASMDNSELDQIRQKLLRKRV IESGLPTLDAELMKFEAKLAEYKREEQKLLNEIARSRQERKQLGEELESLGMETEGLT LEELRAVKEDIEQGTYTGAVLEPLDAVSSDSQPPTATLATATAADETTEFPQIPNTPL PEPGPPERREASDAADIEDPSMESSGSSMDESTSSSASSSVGQVSELESEQQAVEVPL DTSDSSVAQEDPAHDILPVETPPTATLSANLASAQKHTVDTASTVDGHNTSRESSVLT DNYEPPEPEDLDEVYSPKLSPKEGEEPEKETVPEIVTQNDADMTLTRKPQDFVVIASK GDALDNTAHSGETVSKFSPYQSPLKFFRAYRYHPNFVEEVKDSYRSLTYSHSINPLQQ FCPYEAAGGVCNDATCDYQHWRDIVMPDDKILVDMGNQREGKTAEERENYIEGLKDLI NNMRREQVNDFFTVAGEIAAYRRRFLSDPSRILPL TSTA_114500 MSQYPVPPSYDGQHNYAPTWPMQLPYGSSDPKQSGTNFFAMNNA PTGIANFAGLPFGSNDPIQLPGLGMPSNATPLPYLPQQFANRQMPLPLYSAANFPFST PADSLHPNQQSAVTQPSENLDSLAIQRQERSKRPSPLLTNDTEREEGEVSDGSSYGLS RQHHQPGLQSGTFTQTSREAPIPRSETALDTDASFSHLQSPKFPIGKNGARDEDPENS APGNNSPPYNPPVTIGINQLSPAKVQRADASRPRKSEVNNQSSYSQFNGKTPAQIREM ALGALLSLVPHNIRYGELVKEDIDPTVLKQLYDDIGIKITPAGEKYPGSTIPRPKVSE IKVTKPAIDRKDSSSEPREKLPASPSPAVSNNELKATSIPTSQKPLERKDVIARMLAE KAKKKATNIATESSSSSETLHNGSLAKPSVEKDAQEPKAQLPVQSKEKNKALSELARQ RMEQLKKMGLKRQKSHSEDTNVSPAPVTSISNTPNMKPETTAPLHHPLPERPAITPSP SKSSTPQIPGLSFSSEPRSSPSIVENRSIGASTPFKNSLGKRPRASDFDEPIPETKKH SMTDRLVIDISEDESMDEEEDIGMPETSDPAINVPPPKASSSLPRATISRNASSSSVT SQSRTNELESLRQKNLEIQAMRRRIAEWEEKNAKKSKTTLPLVTMTGNGSPSLVATNI GADEDKSRYVIDKTQDTATPSDKSNSQRLQRSPSVQSLASMDNSELDQIRQKLLRKRV IESGLPTLDAELMKFEAKLAEYKREEQKLLNEIARSRQERKQLGEELESLGMETEGLT LEELRAVKEDIEQGTYTGAVLEPLDAVSSDSQPPTATLATATAADETTEFPQIPNTPL PEPGPPERREASDAADIEDPSMESSGSSMDESTSSSASSSVGQVSELESEQQAVEVPL DTSDSSVAQEDPAHDILPVETPPTATLSANLASAQKHTVDTASTVDGHNTSRESSVLT DNYEPPEPEDLDEVYSPKLSPKEGEEPEKETVPEIVTQNDADMTLTRKPQDFVVIASK GDALDNTAHSGETVSKFSPYQSPLKFFRAYRYHPNFVEEVKDSYRSLTYSHSINPLQQ FCPYEAAGGVCNDATCDYQHWRDIVMPGALTMTRYSSTWEIKEKGRQPKNEKTILKG TSTA_114500 MSQYPVPPSYDGQHNYAPTWPMQLPYGSSDPKQSGTNFFAMNNA PTGIANFAGLPFGSNDPIQLPGLGMPSNATPLPYLPQQFANRQMPLPLYSAANFPFST PADSLHPNQQSAVTQPSENLDSLAIQRQERSKRPSPLLTNDTEREEGEVSDGSSYGLS RQHHQPGLQSGTFTQTSREAPIPRSETALDTDASFSHLQSPKFPIGKNGARDEDPENS APGNNSPPYNPPVTIGINQLSPAKVQRADASRPRKSEVNNQSSYSQFNGKTPAQIREM ALGALLSLVPHNIRYGELVKEDIDPTVLKQLYDDIGIKITPAGEKYPGSTIPRPKVSE IKVTKPAIDRKDSSSEPREKLPASPSPAVSNNELKATSIPTSQKPLERKDVIARMLAE KAKKKATNIATESSSSSETLHNGSLAKPSVEKDAQEPKAQLPVQSKEKNKALSELARQ RMEQLKKMGLKRQKSHSEDTNVSPAPVTSISNTPNMKPETTAPLHHPLPERPAITPSP SKSSTPQIPGLSFSSEPRSSPSIVENRSIGASTPFKNSLGKRPRASDFDEPIPETKKH SMTDRLVIDISEDESMDEEEDIGMPETSDPAINVPPPKASSSLPRATISRNASSSSVT SQSRTNELESLRQKNLEIQAMRRRIAEWEEKNAKKSKTTLPLVTMTGNGSPSLVATNI GADEDKSRYVIDKTQDTATPSDKSNSQRLQRSPSVQSLASMDNSELDQIRQKLLRKRV IESGLPTLDAELMKFEAKLAEYKREEQKLLNEIARSRQERKQLGEELESLGMETEGLT LEELRAVKEDIEQGTYTGAVLEPLDAVSSDSQPPTATLATATAADETTEFPQIPNTPL PEPGPPERREASDAADIEDPSMESSGSSMDESTSSSASSSVGQVSELESEQQAVEVPL DTSDSSVAQEDPAHDILPVETPPTATLSANLASAQKHTVDTASTVDGHNTSRESSVLT DNYEPPEPEDLDEVYSPKLSPKEGEEPEKETVPEIVTQNDADMTLTRKPQDFVVIASK GDALDNTAHSGETVSKFSPYQSPLKFFRAYRYHPNFVEEVKDSYRSLTYSHSINPLQQ FCPYEAAGGVCNDATCDYQHWRDIVMPGALTVREFPLTLQIPSYLPQHHSSFESSCDF HPWLDTNSW TSTA_114510 MANQTRSEVLLVGCGGVGALCAYNLEVGTQANVTAVLRSNYDAV DKNGFSISSIEHGEVTGWRPSKITRTISGNGKPFDFIVVTTKNIPDQPPAIAEVISPA VTHGHTIIVLLQNGINIERPLFKAFPDNIVLSGVSMISATETEPGKVRQDDPDILIIS PFHNPRISMERESAAAQSFVDLYSASGKASCKLEPDVGTIRWRKLIYNACYNSICAIL DMDTTTIRYAKHPVNDLVRPAMWEIWHIAKATGHPLPADIVEEMVDIDTWSFFKPSMA QDTSKGNFTEYENIVGEPLREAQRVNVPAPTLTVIYGILKALQWKVRAQNGLIKVPLE RPQSLSLLEDS TSTA_114520 MGFIEKLQSKLELYRLEQRYTRRKNRSTFYGDAQYVDGEYVYTN GLTSPAVSKNSTGGHWRPTWGRSTSSDSR TSTA_114520 MGFIEKLQSKLELYRLEQRYTRRKNRSTFYGDAQYVDGEYVYTN GLTSPAVSKNSTGGHWRPTWGRSTSSDSR TSTA_114530 MAYKAQAVRNSFTATGLVPFNPDRVYQQLTVRLKTPTPPPSRSS DTQSSCLQTPQNPRQFKRQMTTMKKRISWHTRSSSEAIGEVFTRASKAYEKELHDLRA AHEKEKQKRQKSKKQISHDHGITREEAQALVQGQIEASQAVTTAPAEPELPVSHPPVR RQFRCSGCGIEGHKITGCPNRTRS TSTA_114540 MFARAVRSSCARLPQRSQGLYGVARVNSQNQLRILTTSRSLRDN QKTTASSSLQSKTTEIAPQITKKDDAPGVQKLLAETKSTPKKKSEDILNTSAATNKEQ RKADWAIMKDLAKYLWPKGDWGTKLRVGTALTLLIGAKVLNVEVPFYFKNIVDSMNID FAAVGGTAWTVAGSMIIAYGVTRIGATVFQELRNAVFASVAQKAIRKVAGNVFDHLLR LDLNFHLSRQTGGLTRAIDRGTKGISFLLTSMVFHVFPTALEISMVCGILTYQYGFRF AAITAATMVAYTAFTITTTAWRTKFRRQANAADNKGATVAVDSLINYEAVKYFNNEAY EVSRYDKALKAYEDASIKVTTSLAFLNSGQNMIFSSALAAMMYLAADGVATGQLTVGD LVMVNQLVFQLSVPLNFLGSVYRELRQSLLDMETLFNLQKVNVTVKERPDAKVLDLSR GGEIRFENVTFGYHPDRPILKNATFTIPAGAKFAVVGPSGCGKSTILRLLFRFYDVQE GRILIDGQDVRDVTLESLRKSIGVVPQDMPLFNDTIEHNIRYGRIDATSEEVQKAAQR AHVHELIQRLPDGYKTAVGERGMMISGGEKQRLAVSRLILKDPPLLFFDEATSALDTY TEQALLQNINSIIKEKARTSVFVAHRLRTIYDSDQILVLKDGRVAESGTHAELLELKG VYAELWHAQELSLAQDADLEKNLEIDPEFDLAENVTKTDQPQSKK TSTA_114540 MFARAVRSSCARLPQRSQGLYGVARVNSQNQLRILTTSRSLRDN QKTTASSSLQSKTTEIAPQITKKDDAPGVQKLLAETKSTPKKKSEDILNTSAATNKEQ RKADWAIMKDLAKYLWPKGDWGTKLRVGTALTLLIGAKVLNVEVPFYFKNIVDSMNID FAAVGGTAWTVAGSMIIAYGVTRIGATVFQELRNAVFASVAQKAIRKVAGNVFDHLLR LDLNFHLSRQTGGLTRAIDRGTKGISFLLTSMVFHVFPTALEISMVCGILTYQYGFRF AAITAATMVAYTAFTITTTAWRTKFRRQANAADNKGATVAVDSLINYEAVKYFNNEAY EVSRYDKALKAYEDASIKVTTSLAFLNSGQNMIFSSALAAMMYLAADGVATGQLTVGD LVMVNQLVFQLSVPLNFLGSVYRELRQSLLDMETLFNLQKVNVTVKERPDAKVLDLSR GGEIRFENVTFGYHPDRPILKNATFTIPAGAKFAVVGPSGCGKSTILRLLFRFYDVQE GRILIDGQDVRDVTLESLRKSIGVVPQDMPLFNDTIEHNIRYGRIDATSEEVQKAAQR AHVHELIQRLPDGYKTAVGERGMMISGGEKQRLAVSRLILKDPPLLFFDEAVSTA TSTA_114550 MRLTLGKGATAVYRARAGVSVSSPLYRRHGVRNVQIKAAPLGEP TIVNGVNLPISSTPSSASSADAKFHVVGTPYSMLSVSLSASQNLYTQRGTLVGLSGKA DNVISTLSVLEPSRRAIVGIPFLYQKISSPSPIKALVSVRSPVTSFAVVHLDGTVDWM VAQRRALLAWTGHSLKIKPRINTNLSVTNWGSSEVTGRGLLALVGRGQVYSVELKAGE QYIAHPSNIVAYTLSSTRPQPYRFKSTTLRFQIPGLQIPELLLKSRYVRDLTASDTWK ASMKLIHNFRTWARRTIWGDRLFLQFNGPATLLIQSRGARVRDIMSDKEVNEIADTPT GATLDAINKLEARTSPSTNENDKIDYQRAAEEAVSQAPGPSRTVEGLTQEIKGVSQTI ATIRDGKVEFEKLKQQNAEIARK TSTA_114560 MARLNTSNPTVVRHGRPSPSPAKPASPSSSFTRTTTWSPLKPRS KQQTKKNNGVSEFKIFEDEEQSDNDEERSADPFIEPQHANKKKPMAPLKLTHTNSITS GLSKRASQDSSGSSSRRSRFGSDSEEEESSDKENLFFDNEAEEDPDDSEEEGDDEEED GGEDTGLHEDANSGAGNGVGSGRRFDSEPPEASFMQYRETRRKEESDESEVEVSDDSD GYNSLDDFIVSDNEDLSYFDDGLEEDEDEEVKPPTPKPSRRRLIRGRKPRTSSISSQT QRLHISNEIHSPASTATPPQKSLHNEQISLHFSSPFTHLLPNEGLDTESEPTKYLKPK RSPLRESINSISSSPGSTRKQQVNKMETPPCSPSKDTRLSPSKMTPRIPPSPHRQSSD AFWSQELTNAWNDQWSPPKPKTKSKALERVLALNDKGSFSTDADSVTMGKASSVDTPA KSLSKTALKKAELAEKKTAAARKKEFNDKKTSLAMNFLLELDMATTGGRVNELAQPTG GIKIEWKKTLRRTAGRATWKVVTIRKPDGRFTFVHHALIELAEHIIDDEFRLLNTMAH EYCHLANNVISGVRHQPHGPSFKEWGRKCVEAMKQHPVYKRYPIEVTTHHTYEIDYKY RWICTDCGYQYGRHSKSIDPSRVRCGHCKGPLLQTQPKPRNASAKKNTPVSNTEINQN GVLGEENFDNMLESLGSAHLG TSTA_114570 MSGHYDDGYGHQGHGGDAYYHDDQHGYYDQNEYSNYGDGYYDQS GYYGEHNGEGHGEHNAEGHSEHNAGGGGYYEGGQDNYYNDQYYDQGHGGHPDYAQNGR GRRRGDSEEDSESFSDFTMRSETARAADMDYYGRGDERYNSYNESQMGGQGYGNYRPP SSQISYGGNRSSGASTPVYGMDYTNALPAGQRSREPYPAWTSDAQIPLSKEEIEDIFI DLVNKFGFQRDSMRNMYDHFMTLLDSRASRMTPNQALLSLHADYIGGDNANYRRWYFA AHLDLDDAVGFANMQLGKANRRTRKARKAAKKAAEQNPENEQATLDALEGDNSLEAAE FRWKTRMNRMSQHERCRQIALYLLCWGEANQVRFTPECLCFIFKCADDYLNSPACQNR VEPVEEGTYLNNAITPLYSYLRDQGYEIYDGKYVRRERDHSQIIGYDDVNQLFWYPEG IERIILEDKTRLVDIPPAERWEKLKDVNWKKVFFKTYRETRSWFHMITNFNRIWVIHL GAFWFFTAFNAKSLYTKNYQQQLNNQPPGSYSWSAVALGGTLSSLINIVATICEWAYV PRKWAGAQHLTKRLMFLLAVFAVNIGPAIYVFGVSKDGTDTIALALGIVQFFIALATF FFFAVMPLGGLFGSYMKNNTRQYVASQTFTASFPQLSGNGMWMSYGMWVCVFAAKLVE SYFFLTLSFKDPIRILRPMKIEHCLGDKIIKEYLCHAQPQILLGLMFFTDLTLFFLDS YLWYIILNTIFSVARSFHLGVSIWSPWRNIFSRLPKRIYSKVLATTDMEIKYKPKVLI SQVWNAIVISMYREHLLAIDHVQKLLYHQVPSEQEGKRTLRAPTFFVSQEDHSFKTEF FPSQSEAERRISFFAQSLSTPIPEPLPVDNMPTFTVLIPHYSEKILLSLREIIREDEP YSRVTLLEYLKQLHPHEWDCFVKDTKILADETSQFNGEYEKSEKDAARNKIDDLPFYC IGFKSAAPEYTLRTRIWASLRTQTLYRTISGFMNYSRAIKLLYRVENPEVVQMFGGNS DKLERELERMARRKFKICVSMQRYAKFNKEERENTEFLLRAYPDLQIAYLDEEPPANE GEEPRLYSALIDGHSEILENGLRKPKFRIQLSGNPILGDGKSDNQNHSIIFYRGEYIQ LIDANQDNYLEECLKIRSVLAEFEELTTDNVSPYTPGVPTPKTDPVAILGAREYIFSE NIGILGDVAAGKEQTFGTLFARTLAEIGGKLHYGHPDFLNGIFMTTRGGVSKAQKGLH LNEDIYAGMNAVLRGGRIKHCEYFQCGKGRDQGFGSILNFTTKIGTGMGEQMLSREYY YLGTQLPLDRFLSFYYAHPGFHVNNMFIMLSVNMFMITMVNLGALRHETILCRFNSNL PITDPLMPTGCANLVPITNWVNRCIVSIFIVFFISFVPLVVQELTERGVWRAATRLAK QFGSLSFMFEVFVCQIYANAIQQDLSYGGARYIGTGRGFATARIPFGVLYSRFAGPSI YLGARCLLMLLFATTTMWTAALIWFWVSLTALCISPFLFNPHQFSWNDFFIDYRDYLR WLSRGNSRSHNSSWIAFCRLSRTRITGYKRKVLGVPSEKLSGDVPRARITNIFFSEIL GPLVLVAVTIIPYLYINARTGVTDSGATPTNALIRIAIVAFAPIGVNAGVAGAMFGMA CCMGPVFSMCCKKFGSVLAAIAHGVAVIMLLAIFEVMFFLEGWSFPRCVLGMISMAAI QRFVYKLIIALTLTREFKHDQSNIAWWTGKWYNMGWHSLSQPGREFLCKITELGYFAG DFVLGHLLLFAMLPALCVPYIDKFHSVILFWLRPSRQIRPPIYSLKQSKLRRRRVIRY AILYFTMLILFIVLVVAPLVVRRMNIKLPSIPQDLMQPLDAGDAHNDTHTYYTGSGLP AGFIAHSGTDSGSKSTSTAGAKMMFMF TSTA_114580 MDIQEIQRVLTDFLQELSTLFHRVPGSAIFLRYVKSSYQNDPIR SAVELFLFLFAVRYLLAPKYSTKPGIVKLSEEEIDDLVDEWTPEPLVSSPTALEELEV EKRAIIVGPTGPKVKLSNGRTVVNLASYNFYNFTSNETLKEKAVQTLRTYGVGPCGPP GFYGTQDVHIKTEADVAAFLGTAACIIYAQAFSTISSVIPAFSKRGDIIVADKGVSYA IRKGIQISRSTVRWYEHNDMEDLERVLEKVTREQARKPLTRRFIITEGLFESIGDMVD LPKVVELKFKYKFRLILDETWSFGVLGRNGRGITEYQNVDATEIDMIVGSLAGPVIAG GGFCAGSEEIVHHQRISASAYTFSAALPALLSTTASETINLMQTSPDLFVQLRSNIKT MWSQLDPRSDWMYCTSAPENPIMIMAIKPEIVSSRKLSFEDQQFLLQDIVDEALANGV LITRLKTLPDSVTPSQQVLPSLKICLTTGLTKREVEKAGTIIRHAITKVLGKKR TSTA_114590 MKYCILGIAALALLHSTDGHVHKEALKRRQDHHQLSKRVLWQGR AAQPCPKETLTNTVVVQLDQAGHTVDVQVHSARPSSTVTVSDHHAPPSISSTTAIVPS SASRTILQSNRHILPRPSALSHLPDLLDSNFILDAPNAFLGRSNYGISYSPYKADGTC KDQAEVSNDIRQLRQFAYVRFYGIDCNQALTVTNAARENDMMVFAGLYDIDDIETDLQ KIIDAAGGDWSTFHTVSIGNELVNRGSKSPVEVVGTVNSARTILRRAGYQGPVVTVDT FTQMIAHPELCDESDYCAANCHAFFDSAQTPENAGPYVLEQARHVVEATKGGNKKVII TETGWPSAGEPNGKAVPSRHNQMIAFQSLRHSFPDGGIVFFSAFDDKWKEDNAWTFGT ETHWGLYGLAKTAGGFPSLIGPLEDEV TSTA_114600 MELEDVSYSLENEQQFWVELEKILSEKCDSHARIDNALRAYLGF SAQFKDEYLVNEYDISRCSYKLFSSSLFAQHADYIRRQIIYSLLEEDEPDILQFIVSF LLFDGRQNDSTFLLMNEVGTFPRLLKLVHSIKLQDGDGADLHRMLMDLLYEMSRIQRI KIEDLVLVEDSFIRYLFDLIEGLSDDAHDPYHYPVIRVLLVLNEQFMVLAHDPASGTP SNPLTNKVMKILSVDGNNFKTFGENIILLLNREGETSLQLLTLKLLYLIFTTPSTYEY FYTNDLRVLVDILIRNLLDLPAEAVALRHTYLRVLYPLLAHTQLKDPPHYKRDEIRKT LSILVHSRIEGNEHDYEKIMHFGEADDTTKRLVSRCSQVEWLHDPEPELCDTPDIPEE DGQAEVTGVPIPDVSHEKGADLAESPVSQSSDSTTSPTELAPPSPNTSFSVIAPDITT KTGSDAKVKSKPPPPKSRRSGHHVTEEHDDNRGRSAVLREHHAPTLTVSHESPSGTLP LPKPPSTSRSTSRPAPAVPPPRRSTHHASPNATTSDHHTYHHIHQNTYPPLPIKSNHK PPPPKTRRWQHGAKLSHELSGADDERSASPASVGSFAPPTDSDDSHPVHDSSVAIEQT MEKTSLEA TSTA_114610 MLRSRRYRTFVIFSVIFGLAFLHFIRSREWSNEWREPAANTKVA PAPVAHDLASPHDHDAATEIKFDSKHRIDVPTAPKEKPLDTKKPSNQDPAKQKELLDS QKSKPETIQKPPTRPGTDDSKSIDTPSVKEPTFKSSGDKSYEGTPKKPDYHLNDQLSD IIEPIPTKHWKKLPEHFPIREADLITLPTGQPKKLPTLQVATKDETSAQTILRKQRLA AIKEEFEHAWSGYSEYAMGHDEVRPVSGSFRDPFAGWGATLVDALDTLWIMEMKEEFA DAVEQVKKIDFTTSAIRSDIPLFETVIRYLGGLIGAYDISGQKYSVLLDKAVELADIL MGAFDTPNRMPVTYYKWAPEYVEQPHRASTRVVLAELGSLSVEFTRLAQLTQEAKYYD AVARITNELEVMQNNTRLPGMWPLAIDASGCKMRTPQLPTLSTLGQNSDLKVNEDRGL GTPTPTPTPKPLQRDFVIPKDLEKREIGLEIDAEPADYEPETKATSTVQLTSFPNKDC EKQGLASPHGARSDRFGLGGQSDSTYEYLPKEYMLLGGLSDQYRSMYEVSMEPVREKL LFRPMLPNQEDIRLVATVQVSDPRHEDEEELNIRYTYEGTHLTCFAGGMFAVGAKLFG IDGDMDIAAKLTEGCVWAYGSTNTGIMPEGFELLACDDPFACTWNETRYLDVMDPNEK SRIQQAEAWYERELQNAKQAQEVSLEKKPNIVAPVRISESEKSDTTGEKSYNGIAKRE ANNSGVDDYDEHAHLSATKTTVESQENAKSRLDTKVQNNGVPAKPTVLSHKEYVANKL EIDHIPLGYTKIQSRKYILRPEAIESVFIMYRLTGDEGWREKGWKMFQSIARYCRTEL ADSAISDVTSTAPELLDEMESFWLAETLKYFYLLFSDPSVVSLDEYVLNTEAHPFKRP VS TSTA_114620 MMNSNILSRVLPPTGLPSIYETIRQDDEASGNSDIEERAAMALD EENLQESLHHYDPDDAGNSQITTQSTAFLGQGRRPRKLSDTHSPKAGGNGTRSGRPRW LQHLSPRVAEADEGDDDDVPASLLIEGHDLEDMPQPPAPPSHGLDYRDDVSPGVGPSS TRSRKRWESPRNRRKGHQFLPTPIVQQTKRPGGLSGLASASPKDKAMWRWANVENLDN FLKDVYIYYLGNGIWSILMSRALNLLTLGFVVGFTTFLSSCVNYSLIRGSTSLHNVLV PKCMTKISTSTTLLLWLFTFFWIGKLAQYILDIRRLRHMHDFYHYLLDISDPEIQTIS WQQVVSRLMTLRDSNPATAAAISARHRRFMGSQSKQRMDAHDIANRLMRKENYLIALF NKDILDLTLPVPFLRNRQLFSRTLEWNLHLCILDYVFNEQGQVRPLFLRDTHRRALSE GLRRRFIFAGVMNIFVAPFIVVYFMMHYFFRYFNEYQKNPSQIGSRQYTPLAEWKFRE FNELWHLFQRRINMSYPFASRYIDQFPKDKTVQISRFVAFIAGALASVLALASLLDPE LFLGFEVTQDRTVLFYLGLFGSVWAIARGLVPEETLVFDPEYALLEVTNFTHYRPNHW AGRLHSDEVRQEFAMLYQMKIVIFLEEILSMIFTPFVLWFSLPKCSDRLIDFFREFTV HVDGLGYVCSFAVFDFKKDAQTNPQGRAPHHTGPPGDLRDDYFSTKDGKMLASYYGFL DSYGTNPKAAPPPGTRRRFHPPPSFPALGSAHPVVDATPRADRWDQMAPRHSPTVGPQ GYHSTLRGSRIGGAAGYNSPFHSMLLDPHHQPSASALRSAPRVGAQPRFRPSRAAPSA VDGMDDVKELSDIEASTKNAVGQQSGRVGDDSTGAVATSDNNLEGSWRMNLAGDADDS DSVAEGDEVEAIVNGPGGVLGLIQQFQKANNEGRAGGAAVNI TSTA_114630 MEQERSNGYRRNSLQHGSIHRRPVERRASKKSSSKDRHGIVYPE SFQQGTIRTVTPDSISDVGNQSSLSESDHLSTNPAVSPRSTARSKNTEWERRREFSPY HSAADDDDLSSESRSQRARSRTTTLEDQRSEISPNFLSRARNRLGSINTAVPSSDTKE SEESVSSIGYPSVVQSPTAGVPNSQQRRPKAPSAGPALITGVSRNPAAFVSPLSNADS AKILQLMKTTCGRMHGILSFRTATNTQWTSGYCAINVATGSLIYQAKGEVAMAKTLIP DLRGCHVRTMFDTELQSTYLSVSTFSSGLGIQLKPHVNETFDSWLAALLCWQPIRPKG VQNKRTKPQSVAIVDRRIADRRRNSESTVQKEAAIIKVGKMLLWEKPSPSGARPESGW RSSTQHRNQRTHSSAWQRVSCTLQENGYFKLFTEADVSLLAVIHLSQLSRCAIQQLEP SVLGDDYCIAIYPQYAALSVSETASRPVFLSLESRVLFEVWFVLLRAFTIPELYGPEA AAGEDSSRSQTLINPSAASTTDMFRIERMLSLRITEAKLSGNRNRKEESPKSKKPFDP TEKSFVSDYYAEVLLDGEIRAKTAVKQRTSNPFWREEFSFPDLPPILSNASILLKTLN PAQKDWTLIAHGPYSLDSQANPLSVLDDLELSSHDATSGRVDLRLDELEAGVDHEKWW PIIDDRDQVMGEMYMKVRLEEMIVLMSHEYELMSELLHTFPNNLTINMIQLVPTELTR MSEILLNIFQVSGQAAEWISALVEDEIDGFDRDTTSTAHNRFRYTTRIHSNDSKESGQ DREVIVRDLGRSATVEANLLFRGNSLLTKALDMHMRRLGKDYLEETIGERIRDLDESD PDCEVDPLKLRYNRPEELDRNWRNLIGLTSLFWKSISASASRCPAELRRIFRHVRACA EDRYGDFLRSVTYSSVSGFLFLRFFCPAILNPKLFGLMKDHPRPRAQRTLTLIAKALQ GLANMTTFGSKEPWMEPMNKFLLSNRSAFKEFVDSICAIPAERPAAIVTPQYATPIQI LGRLPPTSREGFPSLPFLIDHARSFAALTKLWLAKAPDKLNELEEIDPSVAKFHSLAL EIQRRTKECFSKAESAERPSGNLEMKWEELVESMDRSITFFDEASKPVTPAAETPLTA PAVVSSHRNSIGYFAPKPSLPRRSTDTTADGDEDTPPSSSSATWEQYRLPFAVGRSSD HRESVTSSRNSSQYSLENPESSKSRQSTIGKEASSKLRLFDFVPGGTSRRKNKDSSNN STL TSTA_114640 MPRPQVEELSEFEKQRLANIAERDALLKKLTLEAQSSGLFAKAS LKPSGKDTTSRSKKKPAPRVKKEDEAPIVRRMSSRLRGIQADSEVAKRKADEAFEQAQ KAEREKRLRKSDFFTLDNMLVSGQKLAGDALIGIDVVTKGVAKPYERTFADEDVKNTK DEDLKALREEMSSLQLWDQWTPGRIKITPERIYTMAFHPSEAKPLIFVGDKMGHLGVF DASQKRPKIEDDEEDDDPDPAITTLKLHTRTISSMVIQPQKLTRLYTASYDSSIRELD LEKMTSEEKWAPASRSTDEPVSSIDMALDDPNCLYWTTLSGLFSRYDLRSPNKPSSVR EWQLSEKKIGGFSLLPSHPHFFATASLDRTMRLWDIRKLSHSNPEPIGEHESRLSVSH AAFNSVGQIATSSYDDSIKLYDFGKKGIASWEPGHKLDEKGMKPDTVVRHNCQTGRWV TILRPHWQQNPQSAIQRFCIANMNRFVDIYTSNGDQLAQLGGEDITAVPAVAVFHRSK NWVAGGTASGKICLWM TSTA_114650 MATICGCRPGAKMIIATPNSWMSMATRSSSRFMGTRQYQTTRQS GCYRFSTRPSVSLPSSARSYHSRFHAPLPTDEYTNSQCTILSAALEHIPEHGFTKEAL IKGARDMGFLDVSIQLFTRQEMDLVLYWLASRRGLLRAKVQNGLFDGKQMSIDEKIKA LVIERLRMNSPIVHRWQDALALMSFPTNIPLSLSELHSLSSDILYLADDISVDSSWYS KRLALSAVYASAEVVMTRDSSHGFISTEKFVERRFQDSQAIGQKVSDVKSYMGFMAGT AVSLGRSWGLKI TSTA_114660 MIYAMRDRSRSPRGDRGRRSRSPRRSRRSYSRSRSLSREDYHRS ERRDRSPMSGVGGASGGHSGSAYGGRGGPPSGRSFEDRSAAREHMMQSVRDSSQQDRR VYVGNLSYDVKWHHLKDFMRQAGEVLFADVLLLPNGMSKVGANAIVEYATREQAQHAV NTLSNQNLMGRLVYVREDREAEPRFAGQQRDSGPSRGGPGGGGGAAGRQIYISNLPFN VGWQDLKDLFRQAAQQGAVIRADVLSDPSGRPKGSGIVVFESPEDARVAIQQFNGYDW QGRILEVREDRYAGGGGGFGGGFGGRGGFGGGFGGRGGFGGRGGFGGRGGFGGPGGYG RGGFSGGGGGNYGGGFDSTPAPPPGPPNPFTDYATSGGDKSAVIYVRNLPWSTCNEDL VDLFTTIGKVERAEIQYEGNGRSRGTGVVEFDSPETAETAISKFTGYQYGGRPLGITF VRYVHPPGAGDAGDAGDESAGITQDQIM TSTA_114670 MYINIWALGPRTEQFTYFAPGTQSATWDISKNGTYIRGQKPAST GEIVNLRNCTVKFEAMIDYGGTGWRMGTEINATQATGPIYQTHYWRGYSTALLTEYAL GVRPVTVGYREFLFAPLPGFKVERVQGRVPTPHDLIYANWGYGSNSKIIMDIDIPKGT KATVVPPFSGPWTRQG TSTA_114680 MAMPSQSPLHEGYSNIPFPHDASQLSRGYGMSAESRPTSYIANS IVPSDFAVAQETHPTQSAYPSRFNEEIDMSQRGSPIMMDGAGGVNNLQRSESQMSHNS IAPSRSGTLKKKASLSRKGSLKRSSSRRSLRAGSVRSLNLGEREKYGSDDPNSAFYVP IPTTGSPTDELANRFQAWRKVLKDLIVFFKDIQKSYETRAKLLLSAGNIMNNTSLPPT FLNSGGLGDATEILRDYHKQGLIEANKAKEVESEVILQLTGLRSDLQQKTKEIKSLSA DFKNSVDKEVENTRKAVRNLQESLGLVDVDPSATSGRHDPFILRLQVDRQVDKQIEEE NYLHRAYLNLENSGRELEAIVVGEIQKAYNAYASILKREADVALDAVEKLRAGPISMP KDHEWNNFIAESEHLVDPRIPIRSVENISYPGKDHPAAAEIRSGMLERKSKYLKSYTP GWYVLSPTHLHEFKSADRVAFQTPVMSLYLPEQKLGSHSQPDSSSHKFMLKGRQTGAM HRGHAWVFRAESHETMMAWFEDIANLSEKTGEARNAFVRNHVRSLSGNSIRPSSISSD GVMDEDEADQTPYSSQSAVLRRASSVKKESPAQRPQPGGRFPSDVQIDRHLQATMSLS SGESSGVREAIADAGALPGSGVPFSTSQQQTEELNHSALPSHGQLVYRPADGANREVY GTWTGTTADHYPEHVQGRNLDQEQVYHQQPVTSPSQAPIVVASEMITDRNEEVPNANA AEAPANGTLYAAGNADVPSLNRQESQKSRQTHSTYSLMASTPTSEATSPLSDNANNVA SKRPDPQSKESSSTLDVLKMPGRYPRQTTN TSTA_114680 MAMPSQSPLHEGYSNIPFPHDASQLSRGYGMSAESRPTSYIANS IVPSDFAVAQETHPTQSAYPSRFNEEIDMSQRGSPIMMDGAGGVNNLQRSESQMSHNS IAPSRSGTLKKKASLSRKGSLKRSSSRRSLRAGSVRSLNLGEREKYGSDDPNSAFYVP IPTTGSPTDELANRFQAWRKVLKDLIVFFKDIQKSYETRAKLLLSAGNIMNNTSLPPT FLNSGGLGDATEILRDYHKQGLIEANKAKEVESEVILQLTGLRSDLQQKTKEIKSLSA DFKNSVDKEVENTRKAVRNLQESLGLVDVDPSATSGRHDPFILRLQVDRQVDKQIEEE NYLHRAYLNLENSGRELEAIVVGEIQKAYNAYASILKREADVALDAVEKLRAGPISMP KDHEWNNFIAESEHLVDPRIPIRSVENISYPGKDHPAAAEIRSGMLERKSKYLKSYTP GWYVLSPTHLHEFKSADRVAFQTPVMSLYLPEQKLGSHSQPDSSSHKFMLKGRQTGAM HRGHAWVFRAESHETMMAWFEDIANLSEKTGEARNAFVRNHVRSLSGNSIRPSSISSD GVMDEDEADQTPYSSQSAVLRRASSVKKESPAQRPQPVERALVSEKL TSTA_114690 MASQHTENNTYHPRDAIDVALKSTALTGGFGLVASAVQNTLAKQ NVGSLGIFLRSGSTIAIFAAMGGTYSFVRTASANLREKDDTWNTALGGFFAGSILGLR VRSFPSVFGYGTGLAATLATFEYTGGSLFGKPKDHNLDKYEELERLRKNYRTPAEQTF TELGEGRGIYAPGYAERRRERIKEAYGIDVPVTPPPAS TSTA_114700 MMSSHRRAGKTAPRFLQDIELYDPVHPPASGRNLLVDIPPVFPD GYDGPPEWLSPSACKHVYVTKPNQTIVVTPDQRQGRGAYSKVCAVCSKCRLHAQVVVN YTANVAPTSGHLHHLVCQSGSHANGSTTSRGQSAETFYYKCSYLTCGITVSVQITSPV LNHDFLQLLTDVELVNKRADEAIAAQPERLEGIARPQPITILDNLRTYLRNSLQDPQR SKPISAINKRFVVCFGVDGKHCKDLLEFLGFSFRDEGFWDPPDPKASEDPPFQNELNI FLDNVIHELSILIEQRPVSEKKTPYALNPSLRASNDFLNALEAANYAKSTRLIEFEMP GQPCYEDLGTVEDMASDLIIEAYHRQVAVDPSRGPHYLQCLSSIAQWRGGPDRDTINE AVTIAYSRGAYTTSDIFKAYEYFDLNPNDTTLTDDVIIGRFHAYLSSTTQETEARQQL WRIGDVRESERIKSAAEDRVSNAEQALVYLGVEENTPDDFVITMFTTKVNDSPSSREI SEKALSLIAEKRNSETLKHFLKTGETGAGEMDVGDAYRLLQIPDRTVGDDAILAAYTI CVDEAPTQVETYTRALSIIAKEKDSPMLSSFVSGSTTHSDRVLSEWPVGLQNIGNTCY LNSLLQFYFTVRPYREMVLDFENNKTDLDEESLRQKKVGSRKVSRAEVERSQKFLREL RGLFHNMITTSKAFVRPEQELARLTLISSTNEAEIRRRSTISGIRPFGLGEINGAPVA GPLGPPESITSPGHENVDQNTIPIQGTPAHDRQVNDIDSETTLVSDPETEKDAPLPAS AFAVSGDTEDITQTISQPQTLEKVDPPSRPPPEPPRPAETDRKRQLIEEVELGAQQDV TEVINNVLFQSECAIRPRGIAPDGEQLDQIKDLFYGKTKTYITAQNGTRSKEELWSDI KVDVATGSRDIYSAIDGAFDMQEVFVDDAVVEQFGAISKLPPVLQIQVQRVQFDPIKK TSFKSTHHLDLKETIYLDRYMDTQKEDIVKRRRDCWAWKRKLIALQSRKAELLRSSDN DGQNMPSLFKNASSLLTELSAINSTDDRPSDSLDINAELAVEMQKLSQITQAELAHIE QETKDIEAMISSSFNNYTKLAYRLYAVFMHQGSVEFGHYYIYIFDFKKQVWRKYNDND ITEVKDTTEIFGTPNQANPPTPYFLVYVNAAMKDRLVEPVRREIVSETNTTENSDVNA VAKFDGPMDIDVATDMKPPSYFDVKTKDEPQVSSVESPPSPVSPTAIPLKRKGSEDQM SITVSSHVDVS TSTA_114710 MSDLQGRKVFKVFNQDFVVDERYNVTKELGQGAYGIVCAAVNTQ TNEGVAIKKVTNVFSKKILAKRALREIKLLQHFRGHRNIACLYDMDIPRPDNFNETYL YEELMECDLAAIIRSGQPLTDAHFQSFIYQILCGLKYIHSANVLHRDLKPGNLLVNAD CELKICDFGLARGFSIDPEENAGYMTEYVATRWYRAPEIMLSFQSYTKAIDVWSVGCI LAELLGGRPFFKGRDYVDQLNQILHYLGTPAEETLSRIGSPRAQEYVRNLPFMPKIPF QRLFPQANPDALDLLDRMLAFDPSKRISVEEALEHRYLHIWHDVSDEPSCPTTFDFHF EVVDDVGEMRKMILDEVINFRAHVRQQQQAAYQNQQSQQVPQNVPIPEHNQGPWKQEE PRPQEAPLPGSVQNDLEASLQRGSDFLH TSTA_114710 MSDLQGRKVFKVFNQDFVVDERYNVTKELGQGAYGIVCAAVNTQ TNEGVAIKKVTNVFSKKILAKRALREIKLLQHFRGHRNIACLYDMDIPRPDNFNETYL YEELMECDLAAIIRSGQPLTDAHFQSFIYQILCGLKYIHSANVLHRDLKPGNLLVNAD CELKICDFGLARGFSIDPEENAGYMTEYVATRWYRAPEIMLSFQSYTKAIDVWSVGCI LAELLGGRPFFKGRDYVDQLNQILHYLGTPAEETLSRIGSPRAQEYVRNLPFMPKIPF QRLFPQANPDALDLLDRMLAFDPSKRISVEEALEHRYLHIWHDVSDEPSCPTTFDFHF EVVDDVGEMRKMILDEVINFRAHVRQQQQAAYQNQQSQQVPQNVPIPEHNQGPWKQEE PRPQEAPLPGSVQNDLEASLQRGSDFLH TSTA_114720 MADIASLSSSPVEARSLSSITSIAANPPAYPRNPTQAKFDRLEL YIVKVPGSKDVVLTPLKPPTKSNISIEAINSSLYYLHVATEEDGDILRSIESEQREHP PDAPASRLPNETISRLNDFHRKPVGGQNASIAQCPPPPPPHLEGISGLGNEQLSHLQP TNQGRYQAQSINDENTPSNIRRKPTVSGSPITRKKANSVSGNFAIPRRPVSASGNPTP SVFSSTSTEQTTSHVEQRRSYDGPRPIPSNPSSPFRITLIRRDAASGNQWNVGTISSS RADKGVIHVEISNPGYGKFMNNIRFSTNTTAHDLTGAATEGQNAADVLKKMAQNMTHD DGDTGRRVFYRDIVPIKHDYHGHSLSEVLFNRHSGSTETPKITQYVSSKVNRGYYSFQ SPWDGTCSFVASVNGGSLKCKHTIPGPVMSSEAGVSGSGQNPEVTVSELRYNIPFALE QSFRPPSILNANGNSKSPSERGKGKRAALSQLITTNIQKVQQHARSRSQSGVFTPILG STRSSGDEQSPASVAPADDEDRLDFSLARELGGGGMSGKSAKLGKLIIEDEGIKMIDL VVAASMGVWWRSTYQPS TSTA_114730 MSPVLLEDPQAKTAPAVETKPQYSLDTVPNMLSLRGKTIAITGG ARGLGMAVAYAVVESGGHVACLDLLPAPSESEWAGLQKLCKPRNLTATYAKCDVTSEA EMEEVINAIEQNGIENGAPFYDMVACAGIQQKVPALDYNSQDFERILRVNVTGAFITA KWTARKLVEKRRPGSIVLIASMSGNIANRGLTCSAYNSSKSAVQQMCRSLAQEWGQYG IRINTLSPGYIRTDMTNQLLAAEPEVEKIWMAGALLGRLATPDEFKAPAIFLLSEGSS FMTGADLRVDGGHCASA TSTA_114740 MAAVITNGAATNSIAPFHSSPVQDQKKIDQALRTFRCLIADLCE QFNGGHPGGAMGMAAIGIALWKYTMKYSPTNPNYFNRDRFVLSNGHTCLFQYTFLHLV GYPAMAMEQLKSYHSACTNSICPGHPEIEHDGIEVTTGPLGQGIANAVGLAMATKHLG ARYNRPGFEIVNNMTWCMIGDACLQEGVALEAIQLAGHWKLNNLVVIYDNNQITCDGS VDLCNTEDINAKMRACGWDVIEVDDGCYDVPALVAALAQARSNNIKPTFINVHTLIGI GSKVAGDAKAHGVAFGAEDVASIKTRFGMDPEQHFIVQDEVYDFFRDAVPRGETFEND WKQLLEQYAKEYPEMHQEFSLRVQGKMTDDWTKILPSKEEFPTIPTASRKSAGLCCNP LASKLHNMMVGTADLTPSVNMAWKGKVDFQNPDLKTTCGINGDYSGRYIHWGIREHAM AAISNGLAAFNKGTILPVTSTFFMFYIYAAPGVRMGALQGLQQIHIATHDSIGTGEDG PTHQPIALAVLYRAMPDILYIRPCDSEETAGAFISALKATSSPTIISLSRQNLEQYPH YSCREKAQKGAYVFVEEEDADVTLLGVGAEMVFAMRTRHLLQEKFQIKARVVSFPCQK LFESQSIEYKRSVLKYQSIPRVVIEAYAVNGWERYADAGFSMSSFGHSLPGAAAYKYF GFDEQIIAPEVAKLVGDVKLNGLDSLRGEFRDLNPIHH TSTA_114750 MAASSYPLQDVHGEEDPSSIGQEHQEGRRSGKKLWKRTRSGCLN CRRKRRKCDEKKPTCHHCHRSRENCRWGMRISFRSENSQTVQMPVIQTRTPRRFRILD ITSEVIRDYHQTVPEEEGSLIHNDFSCSPGNELPDYGGEREERRRVSQTGREEDRNKR GIAAEKQYLWKDSGQTKDFSTGRAESSVEADGGISSRYNTDHLSRSTIACTKGQVACE SPEQIRGVDGTTDSFATNSVWLRQVLQRDQLSMDDESEYSAVYSPLSQTVQSGYHDPP MSLTDFHVHDGIFVPGSEYLELHSTLRNHLILEAKSSAPTRHCTPVQGEELHIPVNHA SARIKIPEDEEFILWKNWLEELAPWLDKFDNQCHFQNTLLDMARSHDHLSYAILALSA RQLERKGATTHKERSLELYHHAIHILLPLLPTRSTAVIASCVVLCVLEMLSCAPKAWQ RHLDGCAGLMEAVGMNGFSGGPEQALFWCFARMDVCGGFISCTKTLIPVDHWATGDFD ADVRLFQSVPTFDMFANYMVYLCAQVLDILAPCHYKFGRIGGPRHNNLTDREFTERWS RLWFYVQDWYLKRPEEMKAVMEYSSTSSAFPKILFANPAAVSGNQLYHTASLLMLQAR PPGLRLEPKPRSPLWHARLACGISITNHHHGAWTNAIQPIWIAGQWMSHPREHQEILE LLERIEKESGWPTQWRADGLKEFWGII TSTA_114760 MTRLVGRPLNWAITATAGSGFLLFGYDQGVMSGLLTGDAFTRNF PTIDITRGGSSTIQGTVVAIYEIGCFFGALFCMVFGEHLGRRKCIALGCIVLSIGAAL QASSYSLAQLIVGRVVAGLGNGMNTSTIPVWHSELMSAHNRGKGLCIELAINIFGVAL SYWVDYGMSFVKNESQFRFPLAFQIFFALLTLGGIVMHIIWAVHSGAKLLDIDSPKVS HDLHEIQRAIIEEREAASAGTYRALLTNGQQRFFYRTMLGIGGQFMQQLSGINLITYY APVIFQTSVGMSHNTSLLLAGFNGIAYWISSLIPIWIIDRLGRRKLMLFAAAGQCACM AILAGTVHDGGKAAGIVAIIMLFLFNFFFAVGLLAIPWLLPAEYAPLAIRTRAASLAT ASNWIFTFLVVEITPVSINSIGYKTYVYFCIFNFCFLPLIYFFYPETRNLSLEDIDTL FTGENIIFHAKPGVGMGNAPEKSTGEAVFDKDKNVVQLEKV TSTA_114770 MTADLIDDLNFATTCQVAFAGILRIGEFTYKREDLDTFYSVGSI VAAWCTFGTFRIDGTASWRIPSALQESPRWLISKGRNEEVLAVLAKYHGEGDEDDTAM QFGYVEIQSALGAEHSAAEFTISSFLRELVTGSGNHRRLFIMVWVAISSQMFGNAFVP YYLSPILNLVGLTSDLQQALINATSQMLSWANAVYFATLPAKLGRRTLFLRSLCAMWV IDICIMAGSAIFAKDHTNKASLPTLSLSSSICSRRHILPFHLRTKVLSFFYFVQFYFM ILSTFAVPIGLENLEWLFYIIFVVWVVVEFIGVFDVS TSTA_114780 MSSIASSDGTINFALYRYTPSITAASIFAAVFLLITIFHCIRLW RCRAYSFIPFIIGLLCENSLPPSSWKEFKFTRMINKAIFNVTVECAGYIARIFSHFNT TALGPYIVQTMLILVAPPLFAASIYMTLGRVIGTLNSQQLSIVPVRFLTRIFVVGDVI SFLLQCGGGGYMAAGTVSAMNTGANIVIGGLAIQLLFFGFFVVVSAVFHWRVKRRKSR NISPLSGRSKNWESVMWALYAACSLILVRSIFRVVEFVEGNDGFIMKREYLLYIFDAC LMSLTGAVLAVVYPGSYLGRDDGKRESALRLMSTDEESSGQQRLKSSGA TSTA_114790 MPLHTMPGQEGSRNAAKSHFRPKQRISHTKSRNGCYTCKLRRVK CDEVRPVCGACLIRGDDCVYPSPGDTRGQRRRQRPGSRERPSSESIHFQARNDTQEVH FCPLNFNLTAPEDPQNVSIQRESSLNMSDLNLLQHFILHTSKKMTLHKIKMVAWERGI LDLAAANDFLMHLVLALAGIDILTTRDGHDGNIQTFSDPSISWSPRLHSIMEHHQLGL AGLQEALCAPAEPNAEALLAGSMLVVGFAFASLGIKDPNPSMGTFQNSSHGSPSAFPS QAPLQIQWLHLVRGVTCILSQFWSTLRRCRLRSLLFFNNANDDWKLCETELRSGVALN QNIQSKRLRKFATGANRALTDLRDICVDLRHSRNFEESSDGLLLNATPRSEQSGYAGG RISLSACEQVIGVVEDLYMRILYVLQMKPLNSQSPSDLEIQIDLEDAAISAWPHRLPE EFIATLDSHGNVDTLFGVSLTILAHLYSTIAIFEDIWYLGKTCDAEIYKINTLIHGLG DEELIRLMEWPVDIIE TSTA_114800 MRARVIPKKNQNYFCKHRILRSRIYELLEPAGKVLPMLLDPRIG SFNLLLFFGVATGASSIALIGVKSLTTVIGVSIIYAFLCDPGLIGARIATSPIAGVLQ DSRRPKVGMPGFSTYIGNASMYEVHKFMVLDPYQIGYFITQVGLSAASFGVANFDINV VAMALEGLFDYRCAPKKTVIEAQGPQYQSICTDESCPLALDSICADQPNISQPVVANS TLAMGEERTASSSSISSAIAPLSTSRPSGSSTGSGSASASGSSSGTTPMQAKSAGSQL NPRIGTLFVLFMIIAICF TSTA_114810 MTSQSYQRVNTRDDDDDGRLEAFPNLTAGIPASPPPPFHSRSSS PSSRRLLHEDPMRDGPDNALEDAFDDGNDSDDADEADDRQRLMRGDPTITATTTNNTS AESNAAAAQPSSTQRRATMLPTFTSNSRVIGTGSSNDGVFANLNAKPERAEKQEEDLP PSYEQAAADATPPYWETTIMAPGMSSDEVYVDGLPVGSVFSFAWNGMISMSFQLVGFL LTYLLHTTHAAKNGSKAGLGLTLVQYGFYMKGSGVSRPDNDADPYTSPPDPNSHNFDP NSIGDGSGAGGQGGGSGGNGISSITTSEWISYILMVVGWFILIRSVSDFLRARKHEQL ILQSPERGLSVPVIAEGESAERVV TSTA_114810 MTSQSYQRVNTRDDDDDGRLEAFPNLTAGIPASPPPPFHSRSSS PSSRRLLHEDPMRDGPDNALEDAFDDGNDSDDADEADDRQRLMRGDPTITATTTNNTS AESNAAAAQPSSTQRRATMLPTFTSNSRVIGTGSSNDGVFANLNAKPERAEKQEEDLP PSYEQAAADATPPYWETTIMAPGMSSDEVYVDGLPVGSVFSFAWNGMISMSFQLVGFL LTYLLHTTHAAKNGSKAGLGLTLVQYGFYMKGSGVSRPDNDADPYTSPPDPNSHNFDP NSIGDGSGAGGQGGGSGGNGISSITTSEWISYILMVVGWFILIRSVSDFLRARKHEQL ILQSPERGLSVPVIAEGESAERVV TSTA_114810 MRDGPDNALEDAFDDGNDSDDADEADDRQRLMRGDPTITATTTN NTSAESNAAAAQPSSTQRRATMLPTFTSNSRVIGTGSSNDGVFANLNAKPERAEKQEE DLPPSYEQAAADATPPYWETTIMAPGMSSDEVYVDGLPVGSVFSFAWNGMISMSFQLV GFLLTYLLHTTHAAKNGSKAGLGLTLVQYGFYMKGSGVSRPDNDADPYTSPPDPNSHN FDPNSIGDGSGAGGQGGGSGGNGISSITTSEWISYILMVVGWFILIRSVSDFLRARKH EQLILQSPERGLSVPVIAEGESAERVV TSTA_114820 MSRSPQTISTRLAHLVKSWPTDTVRPASVSVQTYLQSRMPKSES ETPQISQSSINALTSLLNNQYSKQYPLPQILRRPASNPSHYDDVVREFEEAPNRNWLG RIQKRLGGMLRFK TSTA_114830 MPSQIRYCLRVARQVTARQNKHQQFLVRAFSSSVRRCEINKILP SAEEAIKDLKSNSTLLAGGFGLCGVPDTLINAVRANPQITGLTAVSNNAGVDGSGLGL LLASKQIKKMIASYVGENKTFERMYLSGEIELELTPQGTLAERCRAGGAGIPAFYTPA AFGTVVQTGELPLKHNSDGTVALYGAPRDVKVFDGKSYVMEEAIKGDYAFVKAWKADK LGNCQFRYAAANFNGAMGRNAKMTIVEAEHIVEVGEIEPAAVHLPGIYVKRVIQSTEE KQIEKRTYAKEEGDDMSALGKGDTANKRERIVRRAAKEFKNGMYANLGIGMPMLAPNF VDPSVEVMLQSENGILGLGPYPKQGQEDPDLINAGKETVTLLPGAAVFGSDESFGMIR SGRIDLTILGAMQVSARGDLANWMLPGKIKGFGGAMDLVSNPSATKVVVTMEHTDKKG NPKILKQCEFPLTGKACVSRIITELAVFDVDFTDGLTLIEIADGVTVDEVKAKTGAPF KVTDDLKPML TSTA_114830 MPSQIRYCLRVARQVTARQNKHQQFLVRAFSSSVRRCEINKILP SAEEAIKDLKSNSTLLAGGFGLCGVPDTLINAVRANPQITGLTAVSNNAGVDGSGLGL LLASKQIKKMIASYVGENKTFERMYLSGEIELELTPQGTLAERCRAGGAGIPAFYTPA AFGTVVQTGELPLKHNSDGTVALYGAPRDVKVFDGKSYVMEEAIKGDYAFVKAWKADK LGNCQFRYAAANFNGAMGRNAKMTIVEAEHIVEVGEIEPAAVHLPGIYVKRVIQSTEE KQIEKRTYAKEEGDDMSALGKGDTANKRERIVRRAAKEFKNGMYANLGIGMPMLAPNF VDPSVEVMLQSENGILGLGPYPKQGQEDPDLINAGKETVTLLPGAAVFGSDESFGMIR SGRIDLTILGAMQVSARGDLANCKFIFFD TSTA_114840 MTASLDGRKGNSNGSHSLPRSASKSRGTAGIVQMPHRSIGNRTE QSQQHAESEHWFIEANQHVTSQLDGLGDDAPFFLDAVEPNYSGGRLSSPDPIHDPVLS YMRGNNNERENEELRGIIDDLTLDIKRLKRKLRRYKERDPPRLQADKLFDVRTYGLPR RRKRQLERILQRFASDISASHRFPRDRSTATKSKHMSDNTPVSGDDALKAIVTMLFKQ RLGVATKTNHHHFENTSQPPDAWFDVNDTLNSIKHVISNIDPDFAREALKEHGTPLEL SSDGCSVRWTASSRRGLAWSNLMYDHVRSHPKAQDFRSKSIHPETVLGLAGSNTTSLH RPTFSDYIPFISRTRASEADDYYDDDGLSMISSGSEISFRASVSGENSSIRLRSQAEF QDRSTYYKNSTFYIDYSGDNLDVKDSQPQEFAGTEDVAGKRKRQSSPDRSRCQQRPHT TNIAANRFHSYPSEKDPALNLSQYNSPALSLAEEPLSSAVNGYMTTSMELPASGIGDV VPDDNFAVHVAMKYVPVKPNEETGGCIDRTSRCEEVPCRKNEASLVSHHTLKDTNTSS SSNGRIHKKGKKLFQTHVVTATHEELAPSSLPPAICGFFSSASSDDDGDREGEDGDDE SDAGFGKRGEVMHHDIPPQVQANVRVTEGEPLASVHSIERWNAHVVASLGNRESSL TSTA_114850 MVFTASSVVLAASSLLLWAPSPVAADIAYNTTTYVSCYSSIPND FINNGSWIYQSKGYCQHQCVPLGYPVMAMTNGNECWCGHELPANSTQSQGCDKTCVGY SDDGCGGKSAFDVYLTGFPMDDSSSDTSSSTTSSATTSTTAGVTVVTQAGQTIFITAS SEPSASSKSSGTNTAGIAAGVVVGVVVLSAIIGAAVFFLRRRQKQRVEEEYRRNAEIN AFAQKPSSMSSDARWDSDFMAQRRQSNGSIADSQDFSRRILQVTNPDR TSTA_114860 MSRRTPVHSAISEPNTGNGVGGDVSQEKQKKLLQAETGHFSMIR TLHLADLITELNGFCGFMSVLSSMRYLTEPESIGNVWLALAFMPFGLFFDFMDGRVAR WRKKSSLMGQELDSLADLISFGVAPAVAAFAIGIRTFADHLFLSFFVLCGLTRLARFN VTAAVLPKDKTGKSKYFEGTPIPTSLSIASLMAYWVSQGWIHENLPWGTILKGTVLEF HPVVLLFALWGCLMVSKTLRIPKP TSTA_114870 MSFRGGGRGRGGFASGANRGGRGGFQQSFGPPAQVLEMGTFMHA CEGEMVCESINPKIPYFNAPIYLENKTPVGKVDEVLGPINQVYFTIKPQEGIVATSFK SGDKFYIGGDKLLPLEKFLPKPKAPPGSKVKKPAGARGGARGGRGGRGAPRGGARGGR GGPGGFRGRGGAGAPRGRGGFSRGGSGGFGARGSSRGGFRGRG TSTA_114880 MASEGQKDLPVRPASETKMEQKSSSLPDPFIERNKLFEELWQQH LKELAEKPRSDITITLDIGDGNPSTVIGKSWESTPGSFLKDVPKEISANIVIAKIDDK ELWDLNRPLERDCKLSYLPFDHPEGRDVFWHSSAHCLGEACELEFGCLLSHGPPTPQG FFYDMAMPNGRAVLPSDWAPLDKKANQIFKERQSFDRLEVSKENLKKMFAYSKYKLHY INNLVEGEKSTVYRCGTLVDLCRGPHIQNTNKIKAFKIMQNSSAYFLGDQSNDSLQRI RGVAFPDKNGMKEHLKFLEEAEKRNHQKIGKEQELFFFDDVSPGCPFLLPHGTKIFNA LQKLLRAEYRKRGYQEVQTPNMYDVGIWKTSGHWAHYSDDMFKLDVEKREWALKPMNC PGHFMLFGHRERSYRELPLRIADFGVLHRNEASGALHGLTRVRKFQQDDSHIFCTSEQ ITSEIEGIFDFLKSVYGLFGFTFKLKLSTRPEKYMGELEAWNYAEEQLRQALNNFKGD DWEINEGDGAFYGPKIDITISDALKRDFQCATIQLDYQAPINFKLEYITNEKQAGSDA KANKDDTEKAKESNANRARPVVIHRAIIGSFERFLGILIEHFAGKWPFWLSPRQILIV PVMPALNDYAKELQENLLADKFNVDVDVSGNTLQKKIRTGQLAQYNFIFVVGAQEKES RSVNIRNRDDPSSHAKGELISLEEARVKLKALRKERRLVNAL TSTA_114890 METLPDLSTKARYPKATSSTIIQPLQSLASSTSTTQIIQPFAGY SATTTLHPIAAISTSLPSTVSGTMRRSNNVAEIPLPITYTPTTHRISKAKKGKRVHAC EFPGCNKVFTRAEHRRRHELNHNPEASFPCTYEGCRKAFHRSDLLQRHMERHELEGQS DSGMSQQWRHQSKSASITSAPTTMITSVPLDVTSASYPTSQVSTPLSSASPVIGSAIH PDLAGDYSLPWNGMEIPLQPRPAVFGSHIRDSPDDLPFYSSPDTCGSPASDAAGYGLP PHPSSAPTSVMEPFAYSDLTASPLQLPAATREWDGLDIVSSAPNMMPLALDGNQMIHP VESSVPIPLSHLDGDEWYSLRRELTSAPGVLSGDDGMEIIDTVKWQDCFECYWEHFHP LFPIVHRPTFFTTKPSPLLSGAMVAIGSQFDTRPNAKEYSLALLEACNKLLAKRPAIT SRSRVNDIQAVFLLEHLSKFRSRKADVQISHRFRSLYGSFMQDRHWVHVNPLAVLNTL PPDSSHDALNRAQKFWVEHETRRRVLQAAFILDVLQSKLFGQPSVSVQPSSNPFNRQP QISQATKIPFPCCAELWESKDLSQWVSLARSYVSLSLPSAAASIHRLSGLRPEGLDYF QAFLILTYSFSSYVDTEDEGLPNNPLNALITFFQGQPGSHHSQILFSYHTLMAVRYTP LHTLLTVSGESWLFNQKIAQEGEFWSAKTKLRQWVSDTDDVKKAIWHAVRALHYAIHS PEWQSSTATPITVNTAWTRNVPVIKSAAAGPSTMLQATWSVYTCVLICWAYGFDYNNL ARSETENPPDHQADEARTYIHNMISLAPTWRQISQTNIPAAVRCNMYPLLQYIRMTQL HEGRTGGLLHEANRVLARLSEPRGARGEIRGMWSF TSTA_114900 MADLLLSQNGNKHVSERWVYRFVDRHPEVKLRFSRRYNYERAKC EDIKIIREHFNRVQEVIQEYGILSEDIYNFDETGFAMGLCASAKVITGSDRYGTPYLL QPGNREWVTAIEAVNSTGWALPSYVIFKATTYYQQGWFETLPQDWRLDISKNGWTTDE IGIRWLQKHFIPHTTSRTKGRYRMLILDGHGSHLTPQFDQICTENNIIPVCMPPHSSH LLQPLDVSCFAVLKRQYGRLVEQRVRLGFNHIDKYDFLTAFPEARAMAYKAENVQNGF KATGLVPYNPDHVYEKLTVQLRTPTPPPSRSSNSQSSCQQTPQNPRQFNRQTATIKKR INDRTIGPFEVVDQAINRLSKAYEMSRNELLIIQKEVHDLRAANEKEKKKRKRSRAQI SHEGSLTAQEAQELIGSRNEASQPIPTAPVESEPQTSQPRLRAPPKCSGARFPEKVIG WVS TSTA_114910 MNMASSFTVNCLTPSEVVQTVTLAGTIKGNMRLDKVFLSGVSAG CLLAFACATALSTNTAPWWQENAPGLIRTIAALVFPYGLCMIILTGADLCTGSFMFTT LAALQRRLPWYKMLIHWFVTFFGNLCGSLFMVTIIIGYGEVFSADPYKSQVITFATKK QVTPEWQTVFLRGIGCNWLVCLACFFGMQGRDLASKIIGIWWPVFAFVSLGFDHVVAN MTFIPMAIWLDAPGISVGLYIWKGIIPAFIGNVLGGGLFCGMYYWYMYALEDDFSLAM KTITGRKRDINSDIEANAAAAAGAGIKEPPHSD TSTA_114910 MNMASSFTVNCLTPSEVVQTVTLAGTIKGNMRLDKVFLSGVSAG CLLAFACATALSTNTAPWWQENAPGLIRTIAALVFPYGLCMIILTGADLCTGSFMFTT LAALQRRLPWYKMLIHWFVTFFGNLCGSLFMVTIIIGYGEVFSADPYKSQVITFATKK QVTPEWQTVFLRGIGCNWLVCLACFFGMQGRDLASKIIGIWWPVFAFVSLGFDHVVAN MTFIPMAIWLDAPGISVGLYIWKGIIPAFIGNVLGGGLFCGQVCTTGICML TSTA_114920 MDGRTQMRGDFDAVRNLYRHPDRQGTPGREFACKHDIYALKVVF LPAQGAQRTGKLPKAKEVYEALLSLAQLYPPNEIDTNYSTAVIDCLKGDFAQSSEAGF FLDFREKVIDRMSAGLKL TSTA_114930 MVVFVDYERDSYDDVHLQPYTDKGSLSKETHNEGLLKNNHFVLN EVEDQQSSSSRNDSNINAFSRCLACYPVVRELARYLDLNTLDALSRMCRQVRANLIPY SRQLVKRTLRCETQYSTILNEILSEGVPLSEAIQLTNRSITDDNLKIGRLRWGISGLC ARDMVAECQRCSKVVCRNCTVKPPRATMARHRLRRLCKTCRTAPIVYHLFPGNKMLYT DLTTLHQSMHSFTFPAFARTPCRCEDTLWLCETCGYTLGKNDSTYRQVWTWRTRYGAY LGTGLGTGIGEGCQGVKCGKGENCLAAQAIELEVDCEADESAIAIPHHHNHESNGRIS ENTGSPPGIDALSLRERRDSHQHDDGPGYLRQEIVGIGGVVKGKVKKRVVVGACVEEY DDERETGRYLQRELDGQERSWCGWCWRVVPSRKDWEQGVIPV TSTA_114940 MSSDAPCLPARPQRVLACVLCQQRKVKCDRKFPCANCVKSQAQC VPATLAPRRRRRRFPDRELLDRLRSYEELLRQNNISFDSVHSETITEKDHVGAEGEHE LETQERGTSRADSVPSTTLESERSHGTKSFWHTMSQGFRDPGNDSDSSNDFVRETEVK RAWDETIQKDDNLLFGSRDSTVDLSIIHPDTIQIFRLWQIYLDNVNPLLKVTHTPTLQ GRIIEAASNLKNVHPTLEALMFGIYSIAVTSLTADECQAIISSSKEDLLTRFHFGCQQ ALLGCGFLRTGDRDCLTALYLYLISLSFGAVPQSISSMLGIAIRIARRMGIHTESTLA KCSILEAEMRRRLWWSLVVFDHRINEVSSSLYSILDPTWDCKVPLNVNDSDLRPEMKE PPVVQGTSTEALFAIVRSELWDRMRHTAFHLDFTNPSLKPLAKHPSNESASELTEMAR LERFIENRYLKFCDQDNPIHFMTLWSTRAQIAKLRLLEHLSSHFDSTRTDAQHEAATS HALTLIECDTKVMTSSLTNPFHWFNQFYFPFPGYIQIAQDIRSRPYAKQTQRAWKSLS DNHEAWFKTQRKEHKPIHHIFAKVVLQAWEAYEAAIQQPDKIPTPKIVLSVRAALAEN SRQARKGDVESLDTNLSTGLEGFAIPTPMPVGYDNRCVSLSTGLQADLSTMRSEMVYD VEGQAPIFDMSQLDWTAFGGGPNWPGF TSTA_114950 MLSAKTVYPASTPRYSDFASRRSVVHSTNGIVACTQPLAAAAGQ RILREGGNAADAAVAVAAALNVTEPTSTGIGGDMFCLFYNATTKKIHALNGSGRYAAN ASLDAIRKDLGLSPGEGGTIPLHSALAATTPGAAAGWIDTVEKFGSGKLSMEQILTPA IELAEKGFPVSEFASQFWHSGEKLLRNASPNFKEMLKHDSSAPDGVRAPLPGEILKNP SLARTFRVLAAEGKKGFYEGRIAEETVKVLKGLGGYLTLDDLKNHAESGSQEVDAISL IFRGQGVGKQGASSDDSEGGVEVWEHPPNGQGIVALMALGILEELERAGKIPRFSPGQ HNSAEYLHAVIESLRIAFADASWWVADPDVEKVPTQQLISSPYLAERAKFFDANKASD ILDHGSPAHNHCDTVYFAVTDKDGNGISFINSNYAGFGTGIIPAGCGFTLQNRGSNFS LQAGHPNVLAPNKRPYHTIIPAMITNLDGSLHSVYGVMGGFMQPQGHVQVLLNMLAFG YNPQAALDSPRICIGAGTPEEGKVLDRTVYVEEGISDETVKGLRKLGHQIEVLTGHQR GMFGRGQLIRVHYDDGQLVYSAGSDPRGDGMAIPL TSTA_114960 MGKKKNKKKTPFTPFPVEPQASADANNEGDAEQTTEENQSKPSE ETPAPANDNPPSEAKDEEPATQTEEKAEGEESGGDVTANGDSTEVTDAPAESVEKEGG ENDTPTDAPAEETASTEAVTTEDVAPAENTPRTEDTPAVEDTPSSEDGSPEENYPSTD DAPQVEDATPIEENPANGTSEESSPTPEEPSEEKQKAEAAPTDEKSAEEQPPEDQPKE ENQPDEVVVEEPAPTDTAAENTAADGASETPSAEAADSTNPEATESEPANEEQESPEP PKNESTGGNPKKNKKKDKKNKKKNAPSEPEPEAPAIPEGPPAEEATKVDLPVEEKPVE ESSNPTEAWEGFGGGKKSKKKNGKKGKKKSQAQDTPAEEAPQEVVSTEDSAAVDPPTA DTTEQASTEDTPTPAEPVAEQPATEEPTGGEAVAEAPVVEEPVVENLPAEEEKGDGPE QEAPPVDETSKELHEAAPAEATQTTEEGEEPSSEEAVPEASQEEPAAEDSTPETSAET PAETVKDAKEDASTEEPSSANVSTDDTTVTPAEEILEEKDHADDLLDSWDDELDALEG ADEAHDGKGNENDDDHFSEIDFSAEQDSIQAEKEAEAKQAEDDAEEEASAAAEPVWGE GDSAQIDAHDDEETKAAEEAVVPEAESEAREAAAEVEEKTESTEEESPATEEPQPDPL EETSTDAPETNEESVTPKEAEAVEAAADDQTIEEPAKEEAQPVEEETPTAEDEMVSEE PEASSAEVDTADEELKAKIPEETATEDATPEEVPAEDTPQEESVIDEATPEPAPEETI VADDPKDELAEEPAPEEEVAAKETGEEPVEKPATEPASEELPADDKPEETSQAEEPAV EEASAPEPVAEEPAAEEVAAAEPVEESPPAAEAAKDEPAEEVVAEVAADETLAAEAPA EEAPAEEAPAPVEVTVPEEAAEQVAEGEPVEAPAAEGATAETPSEPVNEEPLAKEAPV EEPAPEATEPTIEADIIDRVPQEVLETNDPGESAVVDDDQPIVEREPSSRRRRRHTSG WTRDRPSRTSMENSRGQSERSRETGRGQLERPKGDGGLLKNRWAMALEEARRQQEAKQ RKEAKLKLERAQEKQRQEEAARRAKREAERESLRESERRKSKEIRSSGRTRHHSSDHS SSRSRHKRGEASPKPESDPLARKVIESLTTGESDTNGPLLVINAAKAVAAVSAVPESR LARRSSTHHSGHSRRSHEERASGGSRDETRRERPHRSERRREEPTEERRRHHENRRRD ERTAEHAHSSRRQTQEQNSKSGSGSAPGSSRLGGLFKSIRVF TSTA_114960 MGKKKNKKKTPFTPFPVEPQASADANNEGDAEQTTEENQSKPSE ETPAPANDNPPSEAKDEEPATQTEEKAEGEESGGDVTANGDSTEVTDAPAESVEKEGG ENDTPTDAPAEETASTEAVTTEDVAPAENTPRTEDTPAVEDTPSSEDGSPEENYPSTD DAPQVEDATPIEENPANGTSEESSPTPEEPSEEKQKAEAAPTDEKSAEEQPPEDQPKE ENQPDEVVVEEPAPTDTAAENTAADGASETPSAEAADSTNPEESEPANEEQESPEPPK NESTGGNPKKNKKKDKKNKKKNAPSEPEPEAPAIPEGPPAEEATKVDLPVEEKPVEES SNPTEAWEGFGGGKKSKKKNGKKGKKKSQAQDTPAEEAPQEVVSTEDSAAVDPPTADT TEQASTEDTPTPAEPVAEQPATEEPTGGEAVAEAPVVEEPVVENLPAEEEKGDGPEQE APPVDETSKELHEAAPAEATQTTEEGEEPSSEEAVPEASQEEPAAEDSTPETSAETPA ETVKDAKEDASTEEPSSANVSTDDTTVTPAEEILEEKDHADDLLDSWDDELDALEGAD EAHDGKGNENDDDHFSEIDFSAEQDSIQAEKEAEAKQAEDDAEEEASAAAEPVWGEGD SAQIDAHDDEETKAAEEAVVPEAESEAREAAAEVEEKTESTEEESPATEEPQPDPLEE TSTDAPETNEESVTPKEAEAVEAAADDQTIEEPAKEEAQPVEEETPTAEDEMVSEEPE ASSAEVDTADEELKAKIPEETATEDATPEEVPAEDTPQEESVIDEATPEPAPEETIVA DDPKDELAEEPAPEEEVAAKETGEEPVEKPATEPASEELPADDKPEETSQAEEPAVEE ASAPEPVAEEPAAEEVAAAEPVEESPPAAEAAKDEPAEEVVAEVAADETLAAEAPAEE APAEEAPAPVEVTVPEEAAEQVAEGEPVEAPAAEGATAETPSEPVNEEPLAKEAPVEE PAPEATEPTIEADIIDRVPQEVLETNDPGESAVVDDDQPIVEREPSSRRRRRHTSGWT RDRPSRTSMENSRGQSERSRETGRGQLERPKGDGGLLKNRWAMALEEARRQQEAKQRK EAKLKLERAQEKQRQEEAARRAKREAERESLRESERRKSKEIRSSGRTRHHSSDHSSS RSRHKRGEASPKPESDPLARKVIESLTTGESDTNGPLLVINAAKAVAAVSAVPESRLA RRSSTHHSGHSRRSHEERASGGSRDETRRERPHRSERRREEPTEERRRHHENRRRDER TAEHAHSSRRQTQEQNSKSGSGSAPGSSRLGGLFKSIRVF TSTA_114970 MTSPNEAQNSGDKNVVCSESPDNTQISSEKEVHEIDQEAIVDGD DRSDTSTVSEATNNDTSHNDIDNKDLEESSEVIRRITTELGPPVIVPRLKRRGLFAQL ALVPEIENGKTYQRRIKWYITAIAAVGGVAAPLGSAIFLPSLHQVADDLNSTPTIVNL SIALYMLAMSIFPLWWSSFSEAFGRRSIYIVSFALFVVFNVLSAISHNIAMLIVMRLL AGGASASVQAVGAGTIADIWEVKERGQAMGYFYIGPLCGPLFAPIIGGALAQRWGWRS TMWFMVIFGGVVWILILLGLPETLAVRKSPNLQSNTPTDASLERSTSRVSSKVAQNSA KVLKTLKMTLVDPLSIILYLRFFPIALTIYYAAITFGSLYVLNISIQETFGAAPYNFS TVILGLLYIPNSLGYLFASLFGGRWMDKIMHREAVKAGRFDEKGRPIYQPEDRMKENA WLGACLYPAGLLVYGWTAEYHVHWIVPMIANFCFGVGSMIIFGLVTTMLTEFLSRKSS AGVALNNFVRNIFSCVGTVVASPIIDGIGNGWLFTILSLVSFSSGVAVLLLMKRYGPR WRERGIDH TSTA_114980 MADNPPPQPSGLQNHMAKFSGDNYVQGWADLWNNKQENEKLPWD RGCHSIALEDLLTKLKESGEGVFANHRRRRALVPGCGTGYDVLLLSSFGFDTYGLDYS HAAVEYAKGYAATNTEKYPIRDAEIGRGKVVYVEGDYFKDDWLEKIGLSDNSFDLIYD YTFFCALQPWLRPRWAMRHRQLLAPAPIGSLICLEWPRLKDPKTHGPPFAAPSSAYFA HLSRPGEEIAYDSDGHVVADPSQKPSPVGLVRAMYYQPERTYPVGKDEKTGEVIDRVS VWRRQDASGV TSTA_114990 MEELQAKHRKEQRDLQARITQKKKNATKKTRKAVNDECDRLQQD LKAQQELEIAQLNGDAVATTTEDLDNLALGNDQEAAPANTVAKVVDVIAKPTPTPVPT QENNENTRSKKPNRQKARLARREAERAAQAEIAAEEAAKQTDHRGNEKETMEAAFKRL GLHEIEINPDGHCLYSAIACQLDSLGLGLRPDPARIVLQPSTLSRVDTVTSPKHDGYR AVRAVTADYITEHQDDFVPFMEDPVDEYVKKIRLTAEWGGQLELQAIARAYGVEINVL QGDGRVEKIEPGHTYGLGEHYNALAQNTVAA TSTA_115000 MDIQNLFDVKDKIVLVTGGAKGIGRMISEGYVTNGATVYISSRD AKACENAVSELNALGKGKAHAIPADFYKEEDIKKLVEEFGKRENKLNVLVNNSGSTWG APYDEYPSAAWTRVLTLNLHRVFDLTKLLTPFLEKGASEGDPARIINIGSVDGLRVPP METFAYSASKAGLHHLSRTLASHLGKRNITSNTLACGPFRSKMMAATLREMEDALVEG IPLSRIGKPEDVAGACIFLSSQAGSYVNGATIAVDGGMVVNPRL TSTA_115010 MNTQERLQRAISIASDPFSEPEVYYGGPDGHHDGADGHHHRRTF SADLRSVNRDDIKEFLGKLPARRGSHDETTSAPRKFLIDVDKTIATLLEREDTDQNME ITIEDVGPKVLPVGTAASHGYNKFDVRGTYMLSNLLQELTIAREYGRKRIVLDEQRLS ENPVSRLSRFIKKTFWNALTRRIDGSNIAVAGLDPKDWTGDPRPRIYVPPGAPEQLEY YTRIAEENPAMGLDVQRLDGPITPEYVKSLNPKPGLLAVEMEKYIDPVTGKQDLRGVP FVVPGGRFNELYGWDSYMESLGLLVSDRVDLAKAMVINFCFCIKHYGKILNANRSYYL TRSQPPFLTDMALRVYDRIKTEPDALEFLRMATLAAIKEYYSVWTAEPRYDPATGLSR YRPEGLGVPPETEASHFLHLLTPYAEKHGMSFNDFVAAYNDGVIKEPELDEYFLHDRA VRESGHDTSYRLERVCANLATVDLNSLLYKYEVDISRVIRTYFKDKLAIPPEFRTAQT ANVEFETSSVWDRRARKRKITMDKLMWNDEQGMFFDYDTVKKQCISYESATTFWTMWA GLATPEQASKLVRQALPRLEEAGGLASGTEKSRGEISLTRPNRQWDYPFGWAPQQMLA WTGLLRYGYQDDAERLAYKWLYMITKAFVDFNGIVVEKYDVTRPIDPHRVEAEYGNQG SSKTGFGWVNASYVYGLEILNAHQRRALGAVTPYETFSKAVDQQFPY TSTA_115020 MGVTDFELEDQKYLEEVNAVKQWWTDSRWRYTNRPFTAEQIVAK RGTLKIEYPSNAQAKKLWKILEERFSNKTASYTYGCLEPTMLTQMIKYLDTIYVSGWQ SSSTASSTDEPSPDLADYPMNTVPNKVNQLFMAQLFHDRKQREERITTPREKRSQVQD YDYLRPIIADADTGHGGLTAVMKLTKLFVERGAAGIHIEDQAPGTKKCGHMAGKVLVP ISEHINRLVAIRAQADIMGTDLLAVARTDSEAATLITSTIDYRDHAYLIGSTNANIQP LNDLMVAAEQSGKTGDQLQAIEDQWVAQAGLKRFDDAVIDTINKSASGNKKELIDKYL ETAKGKSNTEARAIAKSITGVDIYWNWDAPRTREGYYRYQGGTQCAINRAVAYAPFAD LIWMESKLPDYQQAKEFAEGVHAVWPEQKLAYNLSPSFNWKAAMSQDEQLTYIDRLGK LGYCWQFITLAGLHSTGLISDQFASAYAKQGMRAYGELIQEPEMERKVDIVTHQKWSG ANLIDHSLAMVTGGISSTAAMGKGVTEEQFKS TSTA_115020 MGVTDFELEDQKYLEEVNAVKQWWTDSRWRYTNRPFTAEQIVAK RGTLKIEYPSNAQAKKLWKILEERFSNKTASYTYGCLEPTMLTQMIKYLDTIYVSGWQ SSSTASSTDEPSPDLADYPMNTVPNKVNQLFMAQLFHDRKQREERITTPREKRSQVQD YDYLRPIIADADTGHGGLTAVMKLTKLFVERGAAGIHIEDQAPGTKKCGHMAGKVLVP ISEHINRLVAIRAQADIMGTDLLAVARTDSEAATLITSTIDYRDHAYLIGSTNANIQP LNDLMVAAEQSGKTGDQLQAIEDQWVAQAGLKRFDDAVIDTINKSASGNKKELIDKYL ETAKGKSNTEARAIAKSITGVDIYWNWDAPRTREGYYRYQGGTQCAINRAVAYAPFAD LIWMESKLPDYQQAKEFAEGVHAVWPEQK TSTA_115030 MVVTNESIKIHPLEYTPVETIQKKIAVLKDSFYDSKTRPVEFRK LQLRKLYWAIRDNQDRIVEALARDMGRPPYEAQVAEIMWLLGEILFVLKNLDKWLKDE PAPDIPWLYKPLAPKIRKDPMGTVLVIGSCNYPFQLTFSPMIGAIAAGNTVVLKPSEQ PTNSAQVAQEIVETALDPSCYTVVQGSITEVQALLAEKWDKILFTGSQTVGRIIAKAA APHMTPVVLELGGLNPAIVTRNADLRIVARRLLWAKTLNGGQTCTAQNYILVEKSVLP ALIEQLKKAYKEFYPSGSSKGPDFTRIINDKAFQRLKSMLDNSKGRIIMGGTVDEKER YIEQTFIEVDSIEDSMVINETFGPICAVLPTNNVDEAISLARKVQDTTLSVSVFGNSA DHQKVMAGLRSGSVSLNDAQPHVNTLPFGGVGESGTGAYRGRSSIDVWTHARPYTNTP RWMEYLLDARYPPYTASKLRMIKLSMDGSPDFDREGRQSRFTWLKYILGGTPSRVAAV AIIVYLIREYLKRNSKL TSTA_115030 MVVTNESIKIHPLEYTPVETIQKKIAVLKDSFYDSKTRPVEFRK LQLRKLYWAIRDNQDRIVEALARDMGRPPYEAQVAEIMWLLGEILFVLKNLDKWLKDE PAPDIPWLYKPLAPKIRKDPMGTVLVIGSCNYPFQLTFSPMIGAIAAGNTVVLKPSEQ PTNSAQVAQEIVETALDPSCYTVVQGSITEVQALLAEKWDKILFTGSQTVGRIIAKAA APHMTPVVLELGGLNPAIVTRNADLRIVARRLLWAKTLNGGQTCTAQNYILVEKSVLP ALIEQLKKAYKEFYPSGSSKGPDFTRIINDKAFQRLKSMLDNSKGRIIMGGTVDEKER YIEQTFIEVDSIEDSMVINETFGPICAVLPTNNVDEAISLARKVQDTTLSVSVFGNSA DHQKVMAGLRSGSVSLNDAQPHVNTLPFGGVGESGTGAYRGRSSIDVWTHARPYTNTP RWMEYLLDARYPPYTASKLRMIKLSMDGSPDFDREGRQSRFTWLKYILGGTPSRVAAV AINEQFTTVNVGSQAK TSTA_115040 MNGAIRALYIYDEHNVPILEHVYRSRPPSASSLIWHFLAHPAPR PSLLYLTETSPAVTVFSISHSNLLFLSPSDVDTEPLLVLEFLHRVVDVLEEFVGAPLL STKLQSNYDVVAQLLTEMCDAGLVSNTEINALQENVETAGWMNKFLGGVGLPRAASPS TASVNPLKPQLPNSRAASALGPAIPWRRPGVRHTSNEIYVDIIESLSVTIAPSGRLLS ALASGTIAFTAKVSGVPDLLLSLTTPGGQRAIDQKMRLPVFHPCVRLARWRERPGELS FVPPDGKFILAGYEADLLPIDPSIDEPPRHMEKLFLPAFVAVEKSLGPSGKDFEVRLT LNTSFPGVRQANTGRTGSGSSTPSFLGGGSNSGTPSLPVLDEVIVHVPIPQAVRNITD MRPSRGEADYSPGDDYLEWRIYTKDSGSVSGTATLRCTVVGYHDDLDETEAEIAAGQE LLQGYYDADSYQQDPTTYTSESYPTGKSSKPRKPKSTKMKKKKPKSKKTSAANAAVEG QSTLTPDKPNSSQTSTPPPSTTAHSTNNPPFKESASRKEQIHSALMPKSATVSFSVKG WLPSGIRVDSINIDPRKSRGLGEGVRPYKGVKYLCVSRRGIESRF TSTA_115050 MTNSKAPWELKAEEVEKQVAGQDQYEDCLACRITGSAAFIGLGV YSYYTGMQNLRKQETAIMRSASKYKMGSRQLGIASLSASLIGLGLYRAFN TSTA_115060 MDSMRSLNTSLPSSTSHAQPPEQLLQAFKAAALSVTNLYKSAVT DQAQAKQLDRKHLGLGDGEGWQVRQWATERLDGVPSNSESEDDDKPARSSSPVVYRKE HHDNDQLRHNPEPTQPRTEDATTDEQRPQQTEPVQPTDSADTTFTKPPVFTFSAGPTF PVFSQDVDMQSSDTSSMTSTSQDEPTGVGISMLPRNTRTAGRLNVSARRSPTHGTSVG NKRKLQFPDFFDLSGLNGREFFGGGKRGRFT TSTA_115070 MAPSRNLPDSDTSSQVDTPMTDGNESVARIPVDEPDYPMADSDT NPNTTASSIAGDLTHTDGRKRRSEAFQLRKSVLGKQHGRLDESREDDSIRRFRYLLGL TDLFRHFIETNPNPRIKEILAEIDRQNADETSKAKGSSRKGGASGDRRRRTEKEEDAE LLKDEKSGGETATIFRESPAFINGEMRDYQIAGLNWLVSLHENGISGILADEMGLGKT LQTISFLGYLRHICGINGPHLVVVPKSTLHNWKMEFAKWTPEVNVMVLQGTKEERHEL ITERLEKEDFDVCITSYEMILKEKSHFKKLAWEYIIIDEAHRIKNEESSLSQIIRVFH SRNRLLITGTPLQNNLHELWALLNFLLPDVFGDSEAFDQWFSNQDSDQDTVVQQLHRV LRPFLLRRVKSDVEKSLLPKKEVNLFVGMSDMQVKWYQKILEKDIDAVNGAAGKRESK TRLLNIVMQLRKCCNHPYLFEGAEPGPPYTTDEHLIDNSGKMVVLDKILNRMKKQGSR VLIFSQMSRVLDILEDYCVFREHKYCRIDGSTAHEDRIAAIDEYNREGSDKFIFLLTT RAGGLGINLTTADIVVLFDSDWNPQADLQAMDRAHRIGQKKQVVVFRFVTEHAIEEKV LERAAQKLRLDQLVIQQGRTQQQTKNAASKDELLGMIQHGAADVFKKQGGTLTGGAEM TDDDIDAILRKGEERTAELNKKYEKLGIDDLQKFTSDNAYEWNGEDFTNRKKDIGISW INPAKRERKEQFYSIDKYYRQALATGGRTADPKPKVPRAPKQVSIHDWQFFPPRLHEL QEKETAYFHKEIGYKAVLADGTDEDLSEREANRDLEQQEIDNAVPLTEEEQAEKARLS EMGFLHWNRRDFQQFVNGSAKFGRTDYEGIATEVDSKTPQEVKEYAKVFWDRYDEIND YPKYIRVIEQGEEKLRKNTHQRKMLRKKLDMYRVPLQQLKINYTVSTTNKKVYSEEED RFLLVMLDKLGKEDDTEGIQLFERMREEIRESPLFRFDWFFLSRTPVELSRRCTTLLN TIAREFEPEPKANGESGKARGRDRDRVEEDGDEDEDEAPAKKKSKNGAAVNKKLKGVK AGSKGSSASTSRAASVSSNVQSSKSKSKKK TSTA_115070 MAPSRNLPDSDTSSQVDTPMTDGNESVARIPVDEPDYPMADSDT NPNTTASSIAGDLTHTDGRKRRSEAFQLRKSVLGKQHGRLDESREDDSIRRFRYLLGL TDLFRHFIETNPNPRIKEILAEIDRQNADETSKAKGSSRKGGASGDRRRRTEKEEDAE LLKDEKSGGETATIFRESPAFINGEMRDYQIAGLNWLVSLHENGISGILADEMGLGKT LQTISFLGYLRHICGINGPHLVVVPKSTLHNWKMEFAKWTPEVNVMVLQGTKEERHEL ITERLEKEDFDVCITSYEMILKEKSHFKKLAWEYIIIDEAHRIKNEESSLSQIIRVFH SRNRLLITGTPLQNNLHELWALLNFLLPDVFGDSEAFDQWFSNQDSDQDTVVQQLHRV LRPFLLRRVKSDVEKSLLPKKEVNLFVGMSDMQVKWYQKILEKDIDAVNGAAGKRESK TRLLNIVMQLRKCCNHPYLFEGAEPGPPYTTDEHLIDNSGKMVVLDKILNRMKKQGSR VLIFSQMSRVLDILEDYCVFREHKYCRIDGSTAHEDRIAAIDEYNREGSDKFIFLLTT RAGGLGINLTTADIVVLFDSDWNPQADLQAMDRAHRIGQKKQVVVFRFVTEHAIEEKV LERAAQKLRLDQLVIQQGRTQQQTKNAASKDELLGMIQHGAADVFKKQGGTLTGGAEM TDDDIDAILRKGEERTAELNKKYEKLGIDDLQKFTSDNAYEWNGEDFTNRKKDIGISW INPAKRERKEQFYSIDKYYRQALATGGRTADPKPKVPRAPKQVSIHDWQFFPPRLHEL QEKETAYFHKEIGYKAVLADGTDEDLSEREANRDLEQQEIDNAVPLTEEEQAEKARLS EMGFLHWNRRDFQQFVNGSAKFGRTDYEGIATEVDSKTPQEVKEYAKVFWDRYDEIND YPKYIRVIEQGEEKLRKNTHQRKMLRKKLDMYRVPLQQLKINYTVSTTNKKVYSEEED RFLLVMLDKLGKEDDTEGIQLFERMREEIRESPLFRFDWFFLSRTPVELSRRCTTLLN TIAREFEPEPKANGESGKARGRDRDRVEEDGDEDEDEAPAKKKSKNGAAVNKKLKGVK AGSKGSSASTSRAASVSSNVQSSKSKSKKK TSTA_115080 MASKVRVLLNPKYKRNGTKSYVHLLRKYGFDTTLDGPYFHATHI QQQGKFTAAGHAPVGGRARVHRALQKKVDGQTGDVPADDVQNDTMYLAEVGIGTPAQT LKLDFDSGSADLWVWSTELPSSIQSSGSNHTIFDPSKSSSWKVTSGQIWQISYGDGSS ASGDVGTDVLNLGGIEIKDQAIELAKDLSAQFQQSEGDGLLGLAFGTINTVKPQAVQT PMQELISQNLIPSDARLFTAHLGEAAVKSDNSFYTFGYIDQDTVKATGNQIVYAKVDN SQGFWTFDSASATVNGKTISRSGNTAIADTGTTLALVDDETVKAIYDAIPGSKYDSSQ QGYTFPSNTSPDKLPTVTFGVGDTQVAVHKSALAFADAGNGYVYGGIQSRGSNPFDIL GDTWLKGIYAIFDVGNTRFGAVARPDPDASSSS TSTA_115090 MLINAGSRNAYRKIVDPRGTMITTLTHRSLRLCHRVNFASEVIL RNNCLPGTRNASLTSNIARAARKSAAIDASRQKYDRSLKDDRRSTASSFREDPRNNRP SYDRDTPAKRRSNKDEKRDYTLNWLNRGRVSKSSGEGYVNSHKKLGKGSGNIKRRRGK DHEPTDAVPERIKTNVPVPATIPYTTPASEFIYGLSAVDAAIRCTRRKLYMLYIYQAV GEELSPEKIALRKLALARGIQVKMAFAGWDKLLDKMSAGRPHNGVILEASPMPQIPVT SLRPVPSPIDDFFEVGLDKQSVEEAEVNGSSNRIARVERFEEVEKSRFPLVILLDGIL DPGNMGAVIRSAYYLGVDALVLTGRNSAPLTPVTIKASAGAAENMTIFKAKSEIDFIR RSRENGWRFYAADSPQNVSDLAATHGTLADYTATVAANGSSPSASLLTSAPTVLMLGN EGTGLLPRIKAQADGYVSIPGARIRPDLGINDAARVDSLNVSVAAALLMEMFMRVPLR VASAVPVSWTGSEKATEDTTPPKSFDFD TSTA_115100 MPSSDKDFSSGSEDADELVVDLLQQFSSVEHATIGSVIRNAQSP PRKSVTRPVTSTSLSPSISAVPAIPVVQSTSTPKKFQTITVEVPPLPSNAGDYKFLPG HSTAWRVWGQKRAGGNLLYEVELASSEKEWLNETFVGTLENGSEAIANFKQNGPPKVR RARSSITNDYGSGSYKGSGSEVSRSFEEEDMEEDDEIVLVSSAERRCSRLPGSKRREA RGKYYDTDDEDSDSRPVRRSRRASKHRVTRSALYSNDEKSSDDVLSTSTTVLLKRRRS DRQATRTIRRIQEHHYDDSNSNSRSRGVRSSARTGHSHRNSLRERLEDDIPEVEASQT EKRFSGAKEKFYRLSNDDPFRQRHRQVCDSCDIQGDDREKGPLVFCQGCTSAYHKSCL GPRSQREHLVTKVGWDHFVLQCRRCLGTARKKDTNAPHLGHCTACNEENAASRPFRQR LNSKQEQQQREKNSGEDPITPVNDDLINNVDNVMFRCVNCQRAFHLKELPPICGPNLE DTDTSESDADLATVRLTEYSRRWQCHTCISAPGEIEALVGWRPVDADAYIPGYTANDM NEVEKEYLVKWKKKSYYRTTWMEGSWIWGAGSHLMRKVFFRSPKGLKPQMTAEDAIPE DYLRVDLVFDVKYTNTVSAHSKEIDIARVKDVKEAYVKYKGLLYEDAVWETVPSPTET ERWNDFKAAYEDWVLKDYVKVPKASSLKQHLETVREQDFRTLVKKAQPACLTGGKLMD YQLDGLNWLYYKWYQKQNAILADEMGLGKTIQIISFLSTLIEYHRCWPFLIVVPNSTC PNWRREVKRWAPSLCVVTYYGSVVARKLAHDLEMFPKNARDLRAHILVTSYETMVDDK ARRVLASIPWAGLVVDEGQRLKNDKNLLYSSLSSINFPFKVLLTGTPLQNNIRELFNL IQFCDPTQNAEDLEAEYETLTKENVPELHAIIRPFFLRRTKAQVLTFLPPMAQIIIPV SMSVVQKKLYKSILAKNSKLIKSIFQNNQDAQTKQNERHSLSNILMQLRKCLCHPFVY SRQIEERTSNPTLSHRNLVEASGKLRLLELLLPRLKERGHRVLLFSQFLDNLDIVEDF LDGLGLFYCRLDGSMGAHEKQKKIDAFNAPDSTYFAFLLSTRSGGVGINLATADTVII MDPDFNPHQDIQALSRAHRIGQQKKVLVFQLMTRESAEEKIMQIGKKKMALDHVLIEK MDAAEDEGLDLESILRHGAEALFEDDTSGDVHYDAESVEKLLDRSQAENTQVGNDASA ESQFSFARVWANDNAALEDRLGDSDRATPVNNTLWEKILAERQKAADEEALANAQALG RGKRKRTVVNYSAKEKQDEQLSPGGTKRAMVQAESDDEFRAKEASSESDDEGALLEFE QVKKAKARPFKRVDPNKPTPDPTNGNAGQPNRPVDRLLPCLACNQIHPVGYCPLKLAG VEHCALCGIAHLGYQRVCPHLNSEEQILSMLQSLRQSTEPKWLVDSARHYLCTVKREK AKADRNRFTSGDFSMPPQPNTSHSIAPDGRVFGLFPPVYGQLPYPAYPPPSASNPYSS PYPSVAPTPSSSFNIPPNAPYPPAPPPQSLPPSNGFKMPRPLPPP TSTA_115110 MSEIRPQFFLVRENDTIVPLIALDELPVEITINGIPRQLGMADT GGMTSVGKVPSRGVVYVVTGVSKDSGSPEGKNDRFGKGRGRYGDKKHGGQTSQGQKEY CSYWIRHGECMFQQQGCIYKHVMPMDPETMKKVGLNDIPPWYQKKFGVPSLRSSGVNQ NNWRKPRGTQKAIEPVDQTARSNGNVTTPDGKKSDINVAAITPESSNDEETVTAARVA DASHEIADLTDKMKNTVITAKNGANPVTPPNANHYIDFERVGTASFGYKYDASPQTPT ERLPMRSRRLFESKGPNNDYSTSQESTPSMTLTENSFYSFSSLGGTPRSTSEQTVKMV SQDNKFPVTYVGDFNAADDTWNSFNDELDKIDANEPGYRSISELLPTSFPEDRYGNLI LI TSTA_115120 MSGTAKALSRAALMLSQRQYVSIPQVFHTRTTPIRLQQTRRFSS SPFTYVPKDADEPRDIDLKDIGDLPEYSVENFTDAEKSMYELMSSEERAVFDAENKRF VEVWNDPAVRQEQIDLVEQSAALIDRESRMRFEDVRERNRGFWAEEEEDEFSNAEDGD DTYNDDEITSMAHAELELHREIREYARIAAWDMPLLSNLTKPFELPKENQILRFRYTS YMGEQHPAEHKVVVELSSKDLVPKHLTEAQRQTFLKLVGPRYNPDTDIVRMSCEKFSA RAQNKRYLGDTIKNLIKEAKEGDSFADVPLDLRHHKPKVRYTFPESWKMTDNRKHQLL TDRELRLQSEQERNTVVDGKESVLHAIRTLPALSQHPQLRAADEKGAVKETVARGKVG ARPRR TSTA_115130 MTHPSRKVCFVTIGATAPFDTLLSNVLAQPFLEALKKHGYTALL IQYGKEGQEIFDTFIKNNPPGSPGRYDLDIQGFGFKKDGLVQEMRSTKANESQHIAEG IILSHAGSGSIMEALRIGVPLVVVPNPALQDNHQEDLTRQIAKNGWAVAGNLNRLAES VPKAEKLRSTLRSWPSKDRGELKDSRGLAGVVEEEMGFLD TSTA_115140 MTDASLHNVPIVIDNGSGTIRAGFAGEEIPSCYFPSFVGRPKHP RVMAGGLEGDVFIGQKAQDLRGLLKIRYPLEHGIVTDWDDMEKIWHHVYESELKTLPE EHPVLLTEPPLNPRKNRDIAAQIMFETFNIPALYTSIQAVLSLYASGRTTGVVLDSGD GVSHAVPVYEGFAVPNSIRRIDVAGRDVTEQMQLLLRKNGYVLHTSAEKEVVRMIKEK VCYVSLDPKKEEKEWINSYHKSESKTVEYVLPDGHKMKIGQERYRAPEILFDPEIIGM EYPGVHQIVQDAITRTDLDLRKALYLNIVLSGGTTLCKNFPDRLMREIKRLAVEDMKI RISAPAERKYTTWIGGGILAGLSTFRKMWVSADEWHEDPEIIHRKFA TSTA_115150 MVTAKSNLQFRTATPEDAPAIEQLVQSAFRAADIRQDWTADMEL NIRFRIEVDEVMPRITNPDSAIVMAFARGDGGKELLVASLEVTKRSDELARLSMLAVD QHHQRGGIGREILSYAEAYCQKTWGVTKFGLDALSTREALIAWYIRRGYQKTGDTAPF PVDRFSDLALPADLCFVQLEKDLGEASVEPGYNEPEAFQLNALQFHIKVIQADISGNT EHAFCRYSQVLL TSTA_115160 MTSFDLRIAIVGSGTIGLSFAALHLSHPTKRIQVVIHDPRPDIE DYVKTTLPEYVGADVSLPQLLESKQLIISSTLSTALESVDIVQEQGPENVSFKQKLWP QIEKAAPSTALFWSSTSGIPSSVQGVNMQEPARLLVVHPFNPPHIMPVLEIVPPRNIS TDKDASKDYIDKTIGYWKTLNRSPVVLHEEVTGFVANRLSFALFREAIHLVNSGVVTA AEVDRIVEESMGPRWAVRGPFWSYHAGGGKEQGIRGLLKKVGGTVQACWDDAGTPSLR PEGEGSVAAWEDALCQQVEQSYGVLDDEDLRDRDEKTRKVLAITRA TSTA_115170 MGDWDTEANGGANDDNNWDAPGPSKEFAPVKAQYDSAGPPRNDT CRNCGQSGHFVRDCPEPRQGGGGGCFNCGEEGHNKADCPHPRVFKGTCRICNEEGHPA MECPQKPAEVCKNCRKEGHKIAECKENRQFDLNCVADETPEQAWAMIKKADAERDLDD FREALKVYMKADPTKTFVDIEKQLRAEGAKIYLIALEKQKEGSEAYTLIDLQGKLDCT YVVKLFFSPDPRRGTLKERWPTTPEENLERLADAGFEYDRMIPKCSNCGEMGHISRAC KQERVEFERVEIKCVNCSEVGHRARDCTQPRKSKFGCRNCGASDHKAAECTEPPNMDN VECRRCNDTGHFAKDCPSASKVAKACRKCGAEDHLSRDCDQPQNMDLITCNNCDETGH YGRDCPKPRDWSRVKCTNCGEMGHTHRRCSKPAAEDGGDGGWNAGGVSAAEFTW TSTA_115180 MATVGFLDAILTVLEKGILIQFGRKFTNVIEDSPTDGVEFGFAD GSTESASILVGADGIHSTVREYLYPDLQTIFLGMAGITAAVSRAQLKLPEDYHIPVTI MSPQGAFVIAPQQADGSEVLIGKQQRVSAGKPGWDREFVADKQGAVEFLQTGNAHFPE FVRNAVSQIDPVKVNKWPFFVVPKLDKWASETRRVLIVGDAAHAIPPSAGQGINQAFE DVYVLALLLSKADKIENFQDALSF TSTA_115190 MLSPNALKILDHLGVYASIKGKSYNFDTLHYRDLSGKLLGTQEF GGEGKYRYRGIRIYRYVLIDRLLAACKQNLHSCQPRSSESYFDPPWIFNPINQILENK STLSHAELDLHANLANENNQISMPNGVRVNIHRLDYFQLPQRDQNNIDLAYITPGFYA KFLAVNEPLKKLSLPSSVNSTYICRAAKMGEHQHTIIPKMVIT TSTA_115200 MGHGGRSYLGLRGTKLNIAVGVIAGLDFLGVMGGLLTLDSFISQ FPDLDTSSDAYRAMSSSQQMHRSNIQGAAVSAYNVGCFVGSILCIFIGDRLGRRKTIF LGSSIMVIGATLQCTAFSLAHFIVGRIITGIGNGLNTSTVPTWQSECSKAHRRGQLVM VEGSLITGGICLSYWLDFGFSFLDPNSVSWRFPIAFQVVIALIILAFVMLLPESPRWL IFKGREDEATEVLSALLDTNREDTIVENEFAAIKDTVLEAQNTSWRDLFTMDENRHFH RVVLAYVNQMFQQISGINLITYYAATIYQNSIGLSGFLSRILAACNGTEYFIASWLPI FIIEKAGRRPLMLIGAAGMSLSMVVLAISTSFEGQTKPGIVAAVFLFVFNTFFAWGWL GMTWLYPAEIVPLRIRAPANALSTSANWAFNFMVVMITPVAFQTIKYQTYIIFAVINA FIFPVVYFFYPETAYRSLEEMDAIFHKTKSIWSVVRIAREEPRRYGKNGELLINYTQT DEHFHHVVVGGDADGEKRTVSNKDHANPQYHIENGDAVRISESS TSTA_115210 MATSTIVSNTENVRFSPPALDPADKTRSRDNLTTHSNTLQLLKY LALDQKGKVMAEYVWIDAEGGVRSKTKTLSKAVTSADELPEWNFDGSSTGQAPGDNSD VYIRPVAIFPDPFRKGDNILVLCETWDSDGTPNKFNHRHEAAKLMETHADQHFWFGLE QEYTLLGSDGWPYGWPKGGFPGPQGPYYCGVGTGKVYCRDIVEAHYKACLYAGINISG INAEVMPAQWEYQVGPCEGIQMGDHLWMSRFLLHRVAEEFGAQISFHPKPIPGDWNGA GLHTNVSNDATRAEGGIKAIEAAMKKLEARHVEHIAVYGEGNEARLTGRHETGSIDKF SYGVADRGGSIRIPRQCAKDGKGYFEDRRPASNADPYQVTGIIVETLCGGV TSTA_115220 MATPSSRPNPGATPTHLTSSPHPSAVPMGRGLSHKSPSMKTPSA SGTAHGHHLSTSSHQGATPLTAIAGLDDPVSLSSPSALLALGGFTGMSPALNVQDGLV GPGMHDSDIRALTLGLGGARNHEEERRKRIEEVVQLLRGRVAGRGVSREAVERLGRLE GFESIWQEDSLSIAGNSVDLEIEFYPGEDNVKDVSLSYASLEGESESERREEATIVLK GDLIQTAEERENRAWKSLKGFQSNLERLAKLDHLSQEINCFEAVESLFESLQKVWDAE SRRGSYHSVYEHLCRGSIGRPSLHRRGRVGMGLEYWVEGHRILHAKQTKKSADPMAID TEEDDDGYLQDQLRSVTIECEEGFPSLRISKEWVGAEILSTVETNDASTAVNWMDPPP TLQSSVSELDTDMVGSGTANRRFVAKLEPHIHVPLLIANDIYRHLGLTIPHDYRTTTY DGLLVPGWTSSGMNSNDSMASESLEMPEKRRMKAVLSFDEKDEPVQKNHSYSFQAFEA APGMTIREFPFSHPRQLADIFPIFRQYSLLATLMQKVFPRKEIVAGSVHESKGSSKTS ETLSMSGNSTSTTEQISILSNGDPNEDFLNNLLAESTISLHTESQAGDDLSDVRVDIT LRTQIGQAPLIMLSITVPETKQKASVSFEIRLNGRVNIAQVSGLGGSKDEQSMAKIHR KLNRVLEVSEDLSVLVEYVVSQLRGMS TSTA_115230 MATLFTRSSRPVIHNLRQLPQLRLVSTLEGHPHIYTFPDKSRPG NHILSLLSSEPPNTDLAIGTTSKLPPTPDSFEQNSKFLKILDEVVSEHAVEDPEVQSQ AQVMVSTAGANLGSGGFFFAPQHNQKRRPGYGQGISTGGDSAGGASGQGGVGSGGRGG WIHVSDSRRPPEYGRIAWPEDIFGSVEVDGNGKFVGRNGNYQSSGTYRIVTRDGILGL SPFLREKLVERLRKAG TSTA_115240 MSPYLPLPLHEEWKDIDTYIKALLSFATSTPLFLNLCGGVHILD FLTSEPDLYTTLFAEDWRQFFHEHDLYDILDLILTDDLTPFRSPDGSGWKFSERREEK WKNGPLPPPSLLDYINDIRRLSLRRDFTPKFPPNTTSAIPRRLAVGMKDKKLHEVEHF SKYVDSFATSVSETRHEPITHIADFGSGQNYLGRTLAYSYNRHIIAIERKHAFIKGAQ GMDVTAKMAKKKVVGSNGVAEEVCTACLPEGEEVQEEKEGNEEDDSTVFSVFKDLDIS AEDLPTSTPNTTQKVEEVDTQPRGTMDYIEHNIKDGYLEPIIRHVVNPETEQDTTNPS PRTKDDARVLVVSLHSCGNLLHHGIRSLILNPSVVAIAMIGCCYNLLTERLGPPTYKL PVLRHMHQRLSVGATQKDPHGFPMSNLFENYPYSGGKGIKFNITARMMACQAPFNWSQ EETKAFFTRHYYRALLQKFFVDHGIAPKPELATFDVLTRDRPTTSSSDTSPPLIIGTL RKTAYKSFINYAQTAIAKLAKEPAHRSKLLPLLENEEATIAELEKYVSEYAHTKKSLS IVWILMSFSATLVEAMIVIDRWQYLREHMASGIVQECWVEPVFDYAESPRNLAVIGIK N TSTA_115250 MASLFKNLLFTTAIVAPTALVSAYSVTYEGYYQPNCGFEVNYGN FSAPYNCWGFEIWPVSSYKLYSEGPVDCPEGTSLQITFYAQQAGDQYHCGIDPLLVLP IGESQDCVEGLNISPAIAEVTCA TSTA_115260 MGTPNPDPSASHKKVRKGTRSCWECKRRKIRCIFASLGDAACVF CQRRRVPCIGQEIPESLALTRKGNRGLSDRIAKIEDVLKDLVASKDTRGASRIGEESQ QQCSQSDTSGLRSLLARAPSTPAKASGQTIAIHKEHSLIPPISPAVPNRAEADTTAHS LWAAFPSDDDIRILLPESLRTSRYTFLVNIHRHSKLTHETLAAPYPLPKRPDPNTHPV ILARHMLMFAITLQAPREGFLDLSESPSVLGCRLVTAAVTWLMAQEETHNSIDSLICI MLEAVFETNCGNLRKAWVVYRRAMTIAQTMGLHRSQIPPFKRIDPTFDADPKFMWFRI VYMDRYLSLLLGLPQGTTDRCMGDLANLQHEPPLGQFERQLTVIASSILERNDRCFTP SEVVTTQSIDAELLRVATNMPASFWGPANFQNVTVGSPENLLETVRLSAHVYYYGLLI QLHLPHMMHRISDDTGHEYSKIACVNASREILTRFIAHRSFQPKSSCSRPVDFFALLA AMTLSLAHLDAHSHTTNFLAHQRLSDRAILDQALERMDMMRDNNGDVVMEDSGKLVRQ LLEIEMNAANGNCYSASSIEGEDVAHDSTVKVYGGELRLLIPYLGTIKITRQGSISRD PFESSTASYPTSFPFESTGTTLNDTTESVQPADVQQPLTHSLGWTQPSSCLGGFLAPS TRDEQHRQLGLEKDDINLQPQMDISAIPAGEYDWAFQGADMAFFDTLMRGYSSIDN TSTA_115270 MADAADFRVVPSLSLLTHLSTEIVNKMAPDTVNEANENRETESP SANKESETNNTFDAPKSEVAKPPAPPPPPDGGLSAWLQVVGAFVIFFNTWGQINTFGV FQTYYESGALFTESSSNISWIGSIQCFLLQLTGIVAGPIYDRGYLRLLLFFGSFMIVF GYMMLSLCHEYWQAMLAQAFCVGIGSGLLFTPTVSLLPTWFSSHIGLAVGIASSGGSF GGVIYPIVLYRLIGQIGFPWAVRTMAFIALGTFFIPVIVMKQRLRPPKPRAVIDWSAF RDAPYMTFALAILIVFIGNSVLIFYISYYPIDKGFTDSSLGFYIVAIFNAASIFGRIA PNAVSDRIGVFNTFIPMALVLSITVFCLLAVVNTAGMVVEAVVTGFLSGVIVALPPVC FTRLTPNRALIGTRLGLGFAIGGLGLLIGGPTAGAILGTSEESLNWTGLWVYGGVTIA VAGFVLAVVRVMKAGSKPMIKV TSTA_115280 MLTAPSSGNSSHESITATIMSIDRPVIFGGVPDVLFLILSMGDA STIPTLCRLNKATYETIKSHETFLCTSFLRLHDFNPPLDRILTMDPITGQRNPLNFVN LQKFVFRRQTALKLASRVARSGFGAWWAIKGAGEMDAEAEMFRQRVERGLYVMFHMSD IARDVERVKLRPHRAAGNTYRIMLLSWLPCMRCEKSGINRNWWFPRHISHIYVVLLRK SQQREIGKLRWRFRQMLDLERRVDFHIALQMLRQFLETIIFAHCPDDDASISSLSGED VDVTNWFLLRQGAHSLARIFLELPDRDCCSIEDRARKQKDGVLPVCDYSEALKEYWDA RNGDSTVDCKKCEAWLRSSSTQSILNDFFGLHALDLGKEWVRQMRGEGELFDA TSTA_115290 MSEDPFLQVQSEVLNTLQTIRPLYSSFLRIRSLTTSPSNPELVQ SRTELESTLEDLQADLADLRQSVRAVERDPYRYGLELDEVERRRGFVQDLGREVDDMV SEIGKQPAPTTAAKGKNNISLPNPSEFDTLSPDIHDKFDHHREEGEDDDYYANFEQQR QVDLMAAQDQQLDGVFRTVGNLRQQADTMGRELEDQAVLLDDVEGLADSVGGKLASGM KRIRTIVRKNEDTYSTCCIGILLVILVLLFILLLVL TSTA_115300 MSGDEAPSLWERISLPSIPKEVGRKISQLEQEFVRAELEQLRKQ IPVLRPLYARRNALVASKELQDADFWPRVFANAPQEIDEYILASDAAVISQCLKNLTI ERCDVDENGSGGDPRSVRFTFEFATGEEENPYFTNAQLVKEFHYRTKISKTPKGKRHV WEGYVSTPVRINWKKDQDLTKGLLDAACDLYEAEQKKGAGVDRTTLPEYEKLITKLEE ASAEADALVGDEEEEADEEYLPGGVSFFNFFGYRGNTVTAEESAAAEKEEAERWQKIE NGEKVDDEDDEDDDEDDDIDEEEESIATIEIFPAADDIAVALADDVWPNALKYYVQSY EVANDFDDEMDIEELDDDEGEDDEDRPAKKVKT TSTA_115310 MSQTAKMDTHHISSSIPESQVLIIMTGGTICMQRSPSGFIPARD FQQTCLAKMPIFNDGSRPSVSMDVVVNAAGEKRKYASLRTPPSLYDRQVRYTVFEFEE LLDSSSIDSKGWAEIAQTIYNNYTLFDAFVVLHGTDSLAYTCSALSFMLQNLGKPVIL TGSQAPMLELQNDASDNLLGSLVVAGHFMIPEVCLYFNYKLFRGNRTTKVAASDFAAF DSPNAPPLAVTTSMRTQVNWDLVHRPTSIENFSIRTNLDTTNVACLRIFPGIKPEMVD AVLQLKGLRGLILETFGAGNAPGGRDNAMTNVLASAIQRGIVIINITQCLTGHVSPVY APGMTLFRAGVVAGQDMTTEAALTKLAYLLALPDSTPESIARDMAIPLRGELTSHSQT VFRHPEGGLSERTTTLTALGYAIAKGDLEKVKDLLRGANNWVVNDSDYSGNTPVHIAA QSPSLEILRYFLLQGASVHLRNSAGRTPLFLAASASLTDHVVLLRKSGAHLHADERAV AEMQARRRPGIWALAGVGARTMKERDELDSRESLEDNEHSSSNAGWNRNVQAMAGSAP A TSTA_115320 MQLIKCLGTLSIYHGICSASTVTIDPKSQLQVIDGFGISQAFGR ASQFKALAQGPQQKGLDYLFNTTTGAGLSIIRNRIGSGSNSADSIEPNSPGSPNAKPT YIWDGDDEGQVWFSQEAMGYGYLHYYNQTGIPITHVGFLNEPDGSDFMLSDAAQAASM IPILHSTLEIEGFGHVKMTCCDNIGWNSQKAYTKNLTKAGMDEYLSVITSHMYSSDAT TPMDTNLTTWITEAADLTNPWCTTWYSTGSLCEGFTWAVKLAEGIVNAGLSAYLYWEG VEVSQQQAASYLVLSDGTDVYPSGRLWAMAHWSRYIRPGAHRVATTGTVPNTIIGAFV NTDSSLVTVLTNSGGIAQNVELDLPGASSDNVFAVVTDQSSQMAPLDMNVSNGIVSVS VPAYGVITVKSEGTVAGGSSNIASPSKINIATPSSTAISSSSSVSRARSSSAIPSSSR AVSKSAQNSSAMPGKVQASTASACEAPIPSQVHHNHHGHHSHH TSTA_115330 MPLLDIVGCTGTNKTFWVGFGFMKNEKEESYLFILKSLEQNLMV KCRPALRQEVIRIDYEGKGMKSTLVDEFKEKVEAHWVAFWQDFMKLVNAYTEEEKDAE WNNFRAKYSHNVWDTVFEYIKKEWLQEDTAKHFLKCYTNEYLHLNKQASSQVEGAHWI IKRDLGTSTMDLLGATLSIEMTIEKQHQKIWQEIEDERVRIKIDFKNLRLFKHVLKKV SSHALKIIHSIFERYLPESAPDKKPIKPCTGVTRRTLGIPCIHKIKEYYEADTSIELF EFCPHWRLHTDEDLPPVDPRELVLEPEVIRPRGRPPGAINWPTTSEQSQSAEDRSTRR DPSAFEHLLTQESSRGRGSGHVRGSRGGGQAGRGRGGRQQGGECGSGSAGTSEVSTQS HENDDNEISENRDDKTNENQIRRSKRRGRGQPAPWLGDENE TSTA_115340 MTDLTNNQRIYLSASLVALPLFVLWSWRQRRLNAIEAAEMAQTS PKTVVVIGASWAGINTTHGLLKEVPSAKVVLINPSDEFYFNIASPRLVSKPGEIPREK YIYPIAPLFDKYPNAKKNFEFVQGKATAIDLEGKNVSVQESTGTSRTIAYDYLVIASG STSNATTGTGSFQVPFKQSSSTKVEAELKTAQETIKSAKSIIIGGAGAVGVEFAGELA EARPDLEITLVTNTDNVLFGLREPTRQKAAKILKQKKVKILTNKAVTSAAPDSTTGKW TVTTADGQTLTADIYVSTVGVVPNNEFIPASLLNKDGWVEVDTHFASKANSSVYAVGD ITHYSARLVSRVAGQVSVLISNLKADITGKGKRGVYKTEQSLMVVMPMGKSTGTGQLG NFTPPGFLVSFVKGKDYFTGSGKKFIAG TSTA_115340 MTDLTNNQRIYLSASLVALPLFVLWSWRQRRLNAIEAAEMAQTS PKTVVVIGASWAGINTTHGLLKEVPSAKVVLINPSDEFYFNIASPRLVSKPGEIPREK YIYPIAPLFDKYPNAKKNFEFVQGKATAIDLEGKNVSVQESTGTSRTIAYDYLVIASG STSNATTGTGSFQVPFKQSSSTKVEAELKTAQETIKSAKSIIIGGAGAVGVEFAGELA EARPDLEITLVTNTDNVLFGLREPTRQKAAKILKQKKVKILTNKAVTSAAPDSTTGKW TVTTADGQTLTADIYVSTVGVVPNNEFIPASLLNKDGWVEVDTHFASKANSSVYAVGD ITHYSARLVSRVAGQVSVLISNLKADITGKGKRGVYKTEQSLMVVMPMGKSTGTGQLG NFTPPGFLVSFVKGKDYFTGSGKKFIAG TSTA_115350 MTTELPKDLPKMEYRHLGNSGLLVSVISLGGWLTYGGHVEDEKT FSCMKAAYDAGINFFDTAEGYAGGQSEVVMGKAIKKFGWKQRDLVISTKLYFGQDNAK DPENPLNNRGLSRKHIIEGLNQSLARLDLPYVDIVYAHRPDRTTPMHEIVRAFNYLID QGKTFYWGTSEWSASEIAEAWRIADKLGLIGPVVEQPQYNLLERQRVEKEYRWLYAEH GLGLTVFSPLKQGILTGKYNNVEAPPPGSRLTEADGKMAYVTQFAKTWGNETWKKNLA VVANLKPIAEELNVTLAQLSLAWALKNPNVSSLITGASRPEQVFENVRAVEVVKKLTP EIMERIEKAAETAPPAEPLRY TSTA_115360 MTRLSLALCLLPLVAAMAVDRRQASPSLDTLIKNDGKHFWGQCA DQGRLTENSQNPAIYKADFGQVTPENSMKWDATEPSQGNFDFSGADWLVNWAKQNGKQ IRGHNLVWHSQLPNWVCNIKDKTALTNAMKNHITTVVSRYKGQFYAWDVVNEPFNEDG SLRQSCFYNVIGPDYINIAFETARAADPNVKLYVNDYNLDSASYSKTIGVANQVKKWL AAGVPIDGIGSESHLGAGQASGEVAITELDIAGASPSDYVAVAQACLNVSKCAGITSW GASDKDSWRSSDKPDLFDSNYNPKPAYSSVASFLSSHHATAAAGAAATGV TSTA_115370 MAIPLVLLLAWLLPTVFAASLTQVSNFGDNPGSLQMYIYVPNNL ASKPAIIVAMHPCGGSATQYYGMYDYHTPADQYGYILIYPSATRDLNCFDADTAASLT HNGGSDSLSIVNMVKYTISKYGADSSKVYMTGSSSGAIMTNVLAGTYPDVFAAGAAFS GMPFACLSGAGGADPAMSNQTCSRGQINHTPQEWAAYVHNAYPGYTGQYPRLQVWHGT ADNVISYTDFNQEISQWTTVMGLSFTSNQTNTPLSGYTKMIYGDGSRFQAFSASGVGH FVPTDVSVVLDWFGITGGGGGNGGGSGSTTTTTSATTTSTGPTGGCTAAHWDQCGGNG YTGCTSCASPYTCQKVNDYYSQCL TSTA_115380 MRSSFITLTYFASTAIQAVQASNFWRLPCKSRTVAARIDPIMSP GEINSHLHTIFGSGGFSPNVTQDDLLQSSCTSCAVTQDRSAYWAPPLMFLYPNRSSTM VNQDGGILIYYFGYGENPQPFPPGFRMVAGDQYLRNFTGPVPDPPTSEWTTDQKTQFS LAQKSLGFNCLHYHSDTHEDSLYRHTLPDKQFLDENCSDGLRLELMFPSCWNGVDIDS VDHKSHMAYPDLVKDGTCPEGFATRLISLFYETKYDTQDFKGVDGQFVLATGDPTGCG YHGDFIQGWQPDFLRQAMDECSNPSGEVSDCSLFTLQSDEDASACQVPVPEELTAENP FFNGDGLLGDVPVQEGPEKAAPYGAELLDLTDHLPVPSIGVVDDVARVVGGLVPTPAT SPARFDSRSAKCERDVVTETVVVEVRNPATATALHKQEHWHHDHNHRI TSTA_115390 MTQVHKTIAVVNATGRQAASLIRVASALGYGVRAHTHTLKGVIA EELSSLPNVTLIKGDLLNNTALMDEIFKGAQLAFINTVSQAGDEVVIGRALADAAKRA GTIQHYIYSSMPDHSVHGPWPGPPMWACKFTVENYVRQLGIPATFVYAGIYNNNFTSL PYPLFQMELQPDGSFEWAAPFNPDVPLPWLDAEHDVGPALIQIFKDGPKRWNGHRIAL TFETLSPIQVCAAFGRALGRRVRYRRTSKIEIKVKIPEGYREQLEVLEVLFGQLEAPY FPQPEFNTPAAGSPKGLGPAGGKGAGAGMMQGPGGVVSQRVTDEARKLWQGWRDMEEY AREVFPVEEEANGLQWML TSTA_115400 MSQLAQQDDVPVPATVEENSRDPSSIDEDAALLETLGYKQVLHR SYTFLENFSTTFAALYFVGGVRVTFSTGIASGGNLAYWTSYLVTLVFSFITAAVIAEV CSASPSAGSIYLWAAEAGGPKYGRLLGFVVAWWSTTAWTTFCASNTQAAVNYMLSEIT VFNLDFPTDPGDVKFRAVQWACTEVLLALAALINFIPPKYFRWVFYCSSAMVLLDFLL NMIWLPIGVVNSWGFRTAHEAFMTTYNGTGASPGWNWCLSYLATAGILIGFDASGHVA EETKNASVVAARGIFWSTVVSGLGGLVVIILFLFCSPDPDTLFSFGAPQPFVSLYAVV LGQGGHIFMNIVCIVALWFNTAIAIVAASRLVFAVARDGVLPFSGWVSQVSAEGQPRN AVIVVWAVAALVSCTILPSAVAFTSLISAAGVPSAAAYGLICLGRVFLTPKSFPKPKW SLGRWSKPFQIISIFWNGWVVAVLYSPYSFPVTGETLNYAPVIMGGVTIFALVSWWFT PEDAWLPRKRIQHFVESKGEARATTAEVSE TSTA_115410 MQSALHSIIGPKKVTPDNLNGRVAVVLGGALGIGYEVARAFALA GARVIMVNRKSEQGDEAIAAIKKESEDKAKIDWVGCDMGDLKQIRDVFTNMREKEERL DLLVLSAGINANQYRETNDKIDSHFQINWLGQFYVVNQLYPLLRKTSRLPDTPAPRIV WESSELHRMAPSDVKFSSKEEINNPDIGSAALYARTKLAIILGVDYGLVQKVIKPNHD HIYALSVHPGAVNTTMQQQWKEAYPGLLGKLLTATMQAISRDPEQGAYSALYAAVSPE VEEKGWNGHYFTDPGQLGQRSKQASGPALGDSLWELSEKMIQDVVGEDALVDWNS TSTA_115420 MKTSITTTIITLLTTNTLLQAQAATCQGSHGGAWGGATWESINK AIAQTCNSQPYNDGYKAVEVTAGYQIASAEADVGTGGSPNCWAAMYQIRDQCLDTGKG HYATQGEWQYGDEYYFLWAWDQADCRHQLAGGGTNVSFQRDKWYKTRR TSTA_115430 MRSRTLVPFTFELLPPGSVQPRGWIRDQLKLCAAGLGGNLYNFH RFVKDTSWLGGDVEYSPLRESAPYWYNYIVPLAYVLDDDELKEQANAFLDRTLKNQHA DGWIGPEHTKHSRGIWARCLVMMGMVNHALADPSQYDRIVDSLLRFTRLVHSMLKDNF QGLIPHPGDEFDEFCITRAHELSTSLQWLYEQVSNKRDKELIWETMDLAWEATRVGDR DWSKFFIEEQFPKKPAAPGSTLIKHVVNVSEALRYMPQLYRMNHDHELITKTRQSVDM VFKYHGTTFGALSGDEFLAGLHPKRGAELCGTAELIFSLSYMYRLFGDNSYADRAELA TFNGLPAGMTPDWWAHNYLTQSNETWARNLDNWPFYNCGGRALVYGLEVNYPCCLVNH SQAMPKFAMNAFVASSDLRSISHQFLVPAEVTIPVKGDKPIHIVSETHYPFDEKITYK IETSRPFNFYIRVPEWATKGTTVNRSLDTDEVKEETVEVDASSLYKIAIAPGKTAFRI TLNAEVRVVPRPNNAVAIYRGALLYAMEIPHKAKVGPPTHFAEWKPLPDANYSSKLRD VEYIPAGDWQVAIDPSQMHFHRSEVKGDLPNPIFESGAPPVSITVAGTKISNWKLEGD CAGLPPADPSATGKPFAVKLVPFASAKLHISEFPVVNLRKVELESQRSGHCILM TSTA_115440 MSWLKKAPALASFSLVIPTSPANLRILGCLAWQRFHCLRTTSRP RSHRQDRFLVASSAPHRHNFATASTSCVVVVPYQSLTVGIPRETFPNERRVAITPQNV ALLLKKGFSRVLIETGAGVEAQFTDEAYRKAGAIIVEGDVVWSQSDILLKVRAPSIDD ASQNEVDLIREGATVISFLYPAQNKPVVEALAKRGVTSFAMDKIPRISRAQVFDALSS MANIAGYKAVLEASNEFGRFLAGQVTAAGKVLVIGAGVAGLSAIGAARRNGAIVRAFD TRPAAREQVQSLGAEFIEVDIEEDGSGQGGYAKEMSKEFIEAEMKLFMEQCREVDIVI TTALIPGRPAPKLITKEMVDAMKPGSVIVDLAAEAGGNCEATVPGKLAIYDGVKVIGY TDLPSRLPTQSSTLYSNNITKFLLSLADKDKGFNIDLNDEVTRGAIVSLNGEILPPPP PPTPPSTPTAGTVQAPVKEVKSVELTPWKKATREVVTVTAGMGSALALGKLTGPAFMG NMFTFGLAGLVGYRAVWGVVPALHSPLMSVTNAISGMVGIGGLFVMGGGYFPTTIPEA LGALSVLLAFVNVSGGFVITKRMLDMFKRPTDPPEYPWLYAIPGVLFGGGYIAAAATG MAGLVQAGYLVSSVLCISSISGLASQLTARRGNILGILGVSSGFLASLLAVGFSPETL IQFGAVAGIGGLLGAVIGRRITPTGLPQTVAALHSVVGLAAVLTSIGSVMAEIGHVST LHMVTAYLGVLIGGVTFTGSIVAFLKLAGRMKSTPTILPGRHVINSSLLGANIATMGV FVTMAPGAPVIAATCLGTNTALSFLKGYTTTAAIGGADMPVVITVLNAYSGFALVAEG FMLDNPLLTTVGSLIGVSGSILSYIMCVAMNRSLTNVLFGGIAPIKASKKIEGEVATT SVDETADALASAENVIIVVGYGMAVAKAQYAISEITKMLRAKGVNVRFAIHPVAGRMP GQCNVLLAEASVPYDIVLEMEEINDDFSDTDVTLVIGANDTVNPIALEPDSPISGMPV LQAWKSKEVIVMKRGMSSGYADVPNPMFYMPNTKMLFGDAKSSCDAIKNVLEGRK TSTA_115450 MEASMVPEMSVKSAQHEPLHLHTIPPWKRYESEEEDVSETSSQS VFSPTEGDVSAESDADESVSDLAEYVDAEMLAPTYYNPSSDDSSSLYSNRLSIITAKR SSAATCVPNNYGQDTSTIDDNEDVSPLTDYMTPPVSPIYLSAVYYVPDGSESSSRDEA DAEDNDEPEWHEVSEAKQVFFTAPTSKPSIIIIQNVTTEAILTARMGNPVENQQSTEQ NVSDNLRKRSSTKRSSSASGMTTSPDRSKRYSAIFSREFTHNGEAAYLDSRNRTRAYS SQSPARNSSHPRTSRKDSNASTNTNSSERQQSRPRRNPSLRSYSRSSSRHTPDYEPPP NYSRPRSIRSSSVASDMTTRSSVSWKQVHTYNCSSSSSVVDHPTSSSPPPGENEPTKP TPHPLSIITTPTSPVTADFQQQQPRFTQSAITSHHTFDWSPVIEYRRSSTHPMQKTFP YSRLHNPSLSLSIATTPENLDLSRTRTRSGSMAPTTTSTTQKPFISTATSSTTSKSSN HSHSSSTMRLLMGGFRGLGKQRSHK TSTA_115460 MSFDLKHIPLPTIDRPFGIELWPIFNKAFEAVRGYPAEDFRFVN GETPMSTFSETAIALVTYYVVIFGGREVMKKLPAFKLNFLFMVHNFILTAVSGILLVL FLEQLIPTLYHHGVFYAICDHNGGWTQPLVVLYYLNYLNKYLEFIDTVFLVLKKKPLT FLHTYHHGATALLCWTQLIGLTSVSWVPITLNLAVHVVMYWYYFQSARGIRIWWKKYI TIFQIIQFVIDLFFIYFASYTYFSSTYFPWAPNMGTCAGEEFAAFAGMGILTSYLVLF ISFYLVTYKKAAKTGRPRSNTGRQALIDMKDAEVPSPIAAGRKAQASSNGATTTGRQG PVTRSRKA TSTA_115470 MASTTLFRLATRSIRPASFVRASSYAPRCSQPVRSSFAIANNFS TSAKLRSGHEEETYEEFSARYEKEFDAVQDVFELQRNLNNAFAYDLVPSVEVLSAALR AARRVNDFPTAVRVFEGIRAKVENEGQYKQYLEALEGLRNELGVPLREELYPKNE TSTA_115480 MAIARPIRLLGAVGILGVLLVLYKFSHSTTGGFTPVGTDKISDM KRDPLLDPTGEPEGILWRAEEHDYSPESENSARINATLLSLVRNQELTELVTTMKDLE RTWNHKFNYPWTFLNDEPFTPEFKKRTQEVTKAKCYYEQVPKDHWEAPEWIDDELVKE SNKILEENNIQYSDKPSYHKMCRWNSGMFYKHPALANTRYYWRVEPNVQFFCNVDYDV FRYMQDYNKTYGFTINLFDAPESLPTLWPETERFLAEHPEYISENNMMEWLVDDKLRP EHNKKANGYSTCHFWSNFEIGDMNFFRSEAYEAYFNHLDRAGGFFYERWGDAPVHSIA LGLFEDASKVHWFRDIGYRHIPYFNCPNSPKCSGCTPGKFYEGASFLAKEDCRPNYFK YVGTH TSTA_115490 MARSAAIGTLIDELIVTITRSDKTSATFPSTKKRCDAILKDSST WNRTDPFAIEKHLDGLQEKFRILNKDDLADALGSRLSELDGQRSSWTPELLSLLLQLS DRPANVYKVPKFESSRSGGASIAIKWSEIDAAGSEFADEDIWDDIDYGAASSDSESSL PSSDVSIPRILPQRTQPLRDEFVIPDELLSCGDDDELITIIKSGHFWEYDSAAVREFS ESHTRFVTELQAVREILFMLQGLPTSLFWNIDNSIAVDRRYALSHASNSVFLYILRSC ADIGVELGKLRKFVQIPQSVVFLQTFTRGVEKELAEFDAFLSQKQLSYLSSGNTVTVS LLQLTEEVQKEVRVLLVLGNLVTKLGSAPPEQSFLCLDLLYNLVCINQASGNEHEYRS LAKLFFSCFEAYARPLRLWLESGDLESSQDHFFITDRRHEHDLQTVWHDWYLLRETSG HLHAPIFIKPSARRILTTGKSMVFLQKLNVAIDESESTHWQPLKFEAVCPDDTSWQLL PFSSLLDQAVENLISTNHILASSMLRDQLNKECGLWTSLSALQYIYLCKDVSVSAAID SKIFESLDRRGGVWNDRYLLTELVQSAFGETDCVDTSRLIVRSARNTLHDFESQSRKV KILKSISIDYVLPWPVANIITKPAMNMYQRISTFLMQIRRAKYILERQRLLKKDYTGD NDDEDDGLSYIIRHNLLWFANTLYGHITDMVIATNTTTMEKTLAESPDIDGMISVHES YMSSIEEQCFLSHNLAPIYQAIINLLDLCIHFADIQAARHGDANRYDTSHRSSTALNG QRQQSRRRRHRRRTADSSDSEDEDHNDHDRENDDDEDYYDEGNTTSISFIESSYSHRL EYVMRQFHRSLAFVIAGLRGVGRVDGQQSWDILADRLSWNAQLKR TSTA_115500 MVASDEQTYLALPGPVAFSRSRGRAIALDIGAIDVRAQWVHYVH TAQPLQEAQEAVLEQLLRYGDIVDIPPTFEPTDGAVQNFYVYPRVGTISPWSSQATGI SHVCGLSTFVKRIERGLKISALFSEGSTPEEGYLERLHDRMTQVISQEEPDLAKVFSD HPPLPLETVPLHAGDRTPTEILQEANRRLGLALDQSEIDYLVAAYASNGPVPRDPTDV ELFMFAQVNSEHCRHKQFNATWIIDGKQMPNSLFSMIRNTHKKNPEYTVSAYSDNAAV LQGENAGFWAPDPTTREWTQTKEQVHILAKVETHNHPTAVSPFPGAATGSGGEIRDEG AVGRGSRPKAGLAGYCVSDLLIPELRQPWELDVGKPHHIASSLDIMLEAPIGSAAFNN EFGRPCTAGYFRTLLTELDIGNGQKEIRGYHKPIMIAGGVGTVRPQHALKDPKLVKPG SFLVVLGGPAMLIGLGGGAASSLASGEGSADLDFASVQRGNAEVQRRAQEVINACVAM GEDSPIKFIHDVGAGGLSNALPELVHDAGLGATFELREIDSADRGMSPMQIWCCEAQE RYVMAIGEEGMNKFTAIARRERCGFSVVGRAEQSQQYEEKRLVLLDRDSKEYPKPIDL PLSVLFGKPPKMTRQVDSRKLKQPAFDASLSTYLPAAPSEQRLFEEAASRVLSLPAVG SKSFLITIGDRTVGGLTARDQMVGPWQTPVSDVSVTATSLTQGIRTGESMAMGEKPTL ALISPAASARMAVAESLMNITAADLFDRLSRVKLSANWMSASSHPGEGAAIYEAVEAI GLGLCPQLGISIPVGKDSMSMKMKWNDEKTKEAKEVTAPLSVVISAFAPVQDFRNTWT PTLHSFEDVGETVLMFVDLACGHKALGGSAVAQVFKQVGQESPDVRDVELFRDFFDAT QQLHEQGIVLAYHDRSDGGLFTTLAEMMFAGRCGVEIMLDELQKEGDIRSTIETLFNE ELGAVFQVRKADEIRFRSCFATCGPPPGLIRRIGRVSQKPKQNLTIYHKHKLIYRQPR GKIQQIWSHTSYHMQKIRDNAACADQEYANILEDADPGISWNIKFDPKDKCLPILTSL SQFSPFSNKPRVAILREQGVNSQAEMAFAFNLAGFAVVDVHMTDIISGRVSLAAFVGL AACGGFSYGDVLGAGQGWAKSVLLHEETRKEFKTFFERPDTFALGVCNGCQFLSRLKE LIPGAQSWPSFERNASEQYEGRVAMVHVSDPDPSAPSVFLHGMHGSSLPIAVAHGEGR ASFTMTPDVTAQDFVRHNLAPVRYVDNTTLKPTMTYPYNPNGSPEGIAGIRSPDGRVL AIMPHPERTVIGGVASWLPADAEKWGDVGPWGRIFYSARRWVG TSTA_115510 MSTTGGEAPPQHAHMRSRTLSLGYDAGEVDPTTLPFGQLTEGAD LEEYITETRTGNIIRHTRSNVTGEVADWKLVTFKIDDPENPKNWSKAYKWYLTAVIAW TCFVVAFASAVITAGLDGPSREFHVSTEVSLLTITVFVVGFGVGPMVFAPLSEVVGRR PVYAITLFVALIFEIPCAVAPNIGTLIVCRAIDGIAFSAPMTLVGGSLSDLWKNEERG VPMAAFSAAPFIGPAIGPLVGGFLYDAAGWRWLYWIQLIVAGVAWGLITFTVPETYAP SLLKKRAKKLRKTENDDKYVTEQEIDSRPLGEKIRIFMLRPFQLLFLEPIVLFISIYM SVLYGLLYMFFVAYPIVYQEGKGYSPGITGLMFIPLALGVVVSACCAPIVNKHYLKMH AKYNGRPPAEIRLIPMMFSCWFIPIGLFIFAWTSYPRLHWIGPTIGGFPVGFGFIFLY NSANNYLVDTYQHQAASALAAKTFLRSMWGAGCVLFTNQMYHRLGYEWASTLLAFLGL ACCAIPYVFWFYGEKIRSYSRFAFVEDEETKAMPPFDGGH TSTA_115510 MVFAPLSEVVGRRPVYAITLFVALIFEIPCAVAPNIGTLIVCRA IDGIAFSAPMTLVGGSLSDLWKNEERGVPMAAFSAAPFIGPAIGPLVGGFLYDAAGWR WLYWIQLIVAGVAWGLITFTVPETYAPSLLKKRAKKLRKTENDDKYVTEQEIDSRPLG EKIRIFMLRPFQLLFLEPIVLFISIYMSVLYGLLYMFFVAYPIVYQEGKGYSPGITGL MFIPLALGVVVSACCAPIVNKHYLKMHAKYNGRPPAEIRLIPMMFSCWFIPIGLFIFA WTSYPRLHWIGPTIGGFPVGFGFIFLYNSANNYLVDTYQHQAASALAAKTFLRSMWGA GCVLFTNQMYHRLGYEWASTLLAFLGLACCAIPYVFWFYGEKIRSYSRFAFVEDEETK AMPPFDGGH TSTA_115520 MASFFGRPDPFAPEGSRGTNTTQTTSISDLPQAQIDAIIRTKRK AREPKACYPCHTRKVKCDRNLPCDGCVKRDHADLCSYERPSKKRQANTAFQPTATNDE VPEMSIPSVESSSVQVKSETVDSVPADFDSSGRISVPREHWNNVCNKLKEMEQAMSTL RSGLEQIDAGRSSITMAPDSAQSADANNSSPGTSPEREGIHTTHTYGEGTVHLGSRSV LAYILNKSGSLQAQALLEGGILPKLGLDNETATYPFVDLWSSDTSAFDVNAVCNALPT DQQILEFFHYYRDIAGTLYPVLVDVPGFEANLHTFLRNRSAAGGVFKQGEDRGLSQRP FGMSLAFVGSLFAVLASGCQSSDLPGKERELTSQVYVCCAYQCLRMCNFVSQPTLEAM KTLLIIGNVLSYNMNPGVSYVLLGMTMRMGLALGLHVESNRFDPVERYTRQHIWWSMA WQDSHFSLSYDRPSTVAVSQPDIADRPESMPGNRDYFETMCRIISLTLEVVRGRMVTP HFRMSFKSIQTYKDRIQQFLAEASPHLRDRQYCRTSMDHLQRLALRVHSSYITSELCR PALKPEADKEDPLLPVVRRYCVENLISTVESYIELHSFSAHGSRAWITLQRAISCAFL LAVIDEGRTEPKVKELLHELEVIISERATAEGGFDSAASAVPAGTISDSYQGAQPASI PANISMDTQTQWAKPLVRSLRALQKLNIAFDSYNNQPGSSHTASLGSADALSAQQSMG YRRTSSVNPQPQSAYTPNMGSLPPPTPESSSSGDWTFPNLLDRAAEYIHPPLWG TSTA_115530 MEKFLRSWRQDALNRGQNDSAVYIGDKVLALTNSDADAFWLAQV HFNNNNFTRALALLSRKDLISRSTSCKYLAAHCYIKQNKFEAALNVLGDQNPTHLINA AGHSRRKIQHLNGHSHVTLRNGKTAASRSDRADRNEEREREDANNLRFEAAMCYLRGL CYAKQNAFDRARDCYKDAVRIDIQCFEAFDQLMKNSLMSPAEELEFLESLDFDSVTIP SDPSKSQEAAHFVKMLYTTRLSKYTAPATLADATETLSTHYNLANNPDILLSRAEALY TQCRFAEALELSSSILTSPETGGALIESSVIPGQNLGHSPAVYPLHLACLYETGAVNA LFLLSHTLADNAPEEPYTYLAIGVYYLSVAKIAEARRFFSKASLLDPHSAPAWIGFAH TFAAEGEHDQAIAAYSTAARLFQGSHLPQLFLGMQHLALNNMSLAHEYLCAAYAMSSG SPSGSLTTIPSVPSGGLPALGGDPLVLNELGVVLYHQANLEPAADLFRQALLLADSLQ CDPGAWVATRANLGHALRRMGHLDEALAQFDECIRVGAGGGATGYSAAIGGVTATSAG VAGYEDRGLIGSLHTSRGLIYLQQGRTLEAVTVLHEAVRVLGVSGGDAAGGAGIAGTI LSRALELWSIEGRTKVIGPGTGRQKRDTLPRSRDDPFGSSMTDPIVHGGNTIEDDVEM ELDEHAKDLLGAALNKVRPRRTGKRPQRPAASIDLPPQDMEIEEPIGRSNRGESQLPS RRSPTRRVQSRRPDGA TSTA_115540 MSERKVLTKYYPPDFDPSAITRTKKVPGVKQKLLTVRLMAPFSM RCTSCGEYIYKGRKFNARKETTEEKYLSIAIYRFYIRCTRCSGEITFKTDPKNMDYVC ERGAKRNFEPWRNTQADNLNETEEETLDRLEREENEELEQLERDKMAELEEKMLDSKR EMAVADALDEIRTRNARIERGEALGEDVALAYVKDEAEEARLRAEKEDEEIARRAFMT STGQKVKRLVEDEEETAAKTAETPAPAMSFAKARKPKKPFNAGLGIKKKASLV TSTA_115550 MTEAKIDTYVKNLTNEKWEVAKKANVAIELRDTIETLCSGPNYS IFLTKLWPVFKNLLKGDPVFTNTSFEQKFRNSLLETLHRLPTASPDVEPYAVDMVDTL MQLVRVENEENAVLCMKTIMDLVRNQVNATASRVQPFLELIQEMFQGMEEVVRDTFDT PAQGTTPGMPSTPGAVSQNFQSPRPSSPSTTVSDLGPDQQMSHHLQKGMQSFKVLAEC PIIVVSIFQAHRNTVNANVKLFVPLIKSILLLQAKPQERAHAEAHAQGKLFTGVCKEI KNRAAFGEFITAQVKTMSFLAYLLRMYANHLQDFLPTLPGVVVRLLQDCPREKSSARK ELLVAIRHIINFNYRRIFLVTIDQLLDERTLIGDGLTVYETMRPLAYSMLADLIHHVR DHLTRNQIRKTVEVYTKNLHDEFPGTSFQTMSAKLLLNMAEKISKLEDKQDARYYLIM ILDAIGDKFAAMNYQFDNAVKVSRANKERDDSTPENYLADRASPPDWDEIDIFTAVPI KTSNPRDRGGDPVSDNLFLFKNLINGLKNIFHQLKNCNPTHIQIDPNNTPINWPEVSY GYNAEEVKVIKKLFHEGARVFRYYGVDQPAPDVSYSSSFEYLASQYTAPMGPQEKELL ESFGTVFHCIDTATFHEVFHSEIPYLHELMFEHPALIHLPQFFLASEATSPAFSGMVL QYLMDRIQEVGTSDMAKAKILLRMFKLSFMAVTLFSNQNEQVLYPHVTKIVTKCIELS VTAEEPMNYFLLLRSLFRSIGGGRFELLYKELLPLLEMLLETFNNLLLGARKPQERDL YVELTLTVPARLSHLLPHLSHLMRPIVVALRADSDLVGQGLRTLELCVDNLTADYLDP IMAPIMDELMTALWDHLRPHPYSHFHSHTTMRILGKLGGRNRKFLNHPPELSFQQFAD DVPSFDIRLIGPNEKRPFPIEIGVDVAYAKLLENPKTPVAKASDAYYKQQAFRMLSSQ LKLYIGYDNLPEDLASLIRLQADDLLESKIQGPIDILDKSERSSSIPKKLVQEESLKK LLKACFFATSIPDLEQAATSFVKDVCRHIVVVEVGRALAQARHTRRPFDVNSGEGPVY LDSRLLVNVIVDCLSSDDSKMRDSAKKAMEDIKQAAGVIFGGSDKAAKLPFWQHLGRV FCHSCYSEEWFTKAGGSLGIHLLATELDLGDTWLFERQVDFVRALMYVIKDTPADLPA STRVRAQTTLELILRRCNKGISKDDLKNEKSRFSWLCGFLICELSHMNKHVRETSQKA LSLLAEVVGCEQHELMLPVKDRLLQPIFNKPLRALPFPVQIGFIDAITFCLGLHKNIV VFNDSLNRLMLESLALADAEDESLASKPNEFKNAEMIVNLRVACLRLLSMAMSFPEFG AGPNASSRSRVISVFFKSLYSRSPEIIEAANAGLRDVLTQTNKLPKDLLQNGLRPILM NLQDPKRLTVAGLDGLARLLTLLTNYFKVEIGQRLLEHMKVIADDATLQKVSFNLVEQ NPVMKIVASIFNIFHLLPPAATTFMENLVNKVIDLEEKLRRTSNSPFRKPLVKYLNRY PKESWAFFQQRFNDERYGRFFGQVLADPDSAVLRSAVVADTEGFMNAAFGTESTDGKN TTAINAIHVVNSVCMHEATKKWLLNQPSLRPKLLSTGRDLEIKLRNDKLPASERLRVE QAEDQLMDVFTIYLADSLQDLDFLFEVISGLSADELKRTLAFPKFIYKHIITNESIDY RRSVIMRCLDLYGQRTSSQRMKTYAFHNLVNPIFAMDVQATWNSPPNIPKLMDKSMTE SIQNRLWRPQVGDIAEESNQPGVDHSRMELLQLSALLIKYHSQTVQESRKDIIKFAWN YIRLEDIINKYGAYVLISYFIAHYETPLKIVIQVYVALLRAHQNEGKALVTQALDVLA PILPTRIATLSQNPQQPPPDLRYPLWAKWPRRILAEETANLQQVMSIFQFLVRHPDLF YESREHFVPLIVPSLSKIAAPPNPSSESKKLALNLIGLIWHWEEKRVRGSSPRGPSES PNSRKRKLDENQQAESPSLVSRTEYSVSPEMRAALIKYLITFITTLPERFPVPAFKLR ELPTAKPQPPVVTGEMVKKATQLLTSLLQPEFWGDLDIDLYPKVTEPILTGEKAEKPD EKQITSMINTLQVLRVLIASKPDEWIVSRLPLIQKLFEKPLRSDNPEIQDCLHGAVDD DSSSRKIPPPVKRVLAALPEDQPEEEDGMDMDSSPSEFVTFLSTIATEGLSNNNYVSS LNVLWTLSSSRPAEMDAHIPQVMKAFSQKLAKEHVAAYATNQAPGQHPGIKQGDNGTP DQQEFEIGVDLILKTIDVISIRMSSLGDQRRPFLSVLAQLVERSQNIKLCSKVLGMVE SWIFHSTESWPTLKEKTAVLHKMLLFETRPDQTMLKKFLNLVIRIYEDNKITRTELTV RLEHAFLIGTRAQDVEMRNRFITIFDKSLTRTASSRLSYVMTSQNWDTLADSFWLAQA SHLVMGSVDMNTPARLLPDDMTVYPISFLFGAAEQDPRKADVMVDNQLESLVAECKRF AAEIGDVRTRDLLEPLCQLQHTDPKTSYKVWTTIFPIVWSTLSKDERIDLEKGMVTLI TREYHQRQIDKRPNVIQALLEGAVRSKPRFKVPPHVMKFLSRTYDAWYTSAAYLEESA NKPTIDTPIVRESNLDALVEIFAGLQEDDFFYGTWRRRCKFVETNAALSYEQHGMWDK AQQLYENAQIKARSGAMPFSQGEYYLWEDHWLICAQKLQQWEILSDFAKHENLNDLLL EAAWRNIENWQSDTNREQLDSLIKSVSDAPTPRRTFFQAFMALLNFHLKKDGIQEFNN ICDESIQLSIRKWHQLPKRITNAHIPILQHFQQLVELHDASVICNSLSQTNERNLDTK SAELKLLLGTWRDRLPNVWDDINAWQDLVTWRQHIFQLINATYLGLLPPQTNNVASNS YAYRGYHETAWIINRFAHVARKHQMPDVCINQLSRIYTLPNIEIQEAFLKLREQAKCH YQNPKELSSGLDVINNTNLNYFGAQQKAEFYTLKGMFLAKLNNVEEANDSFGVALYYD LKLAKAWAEWGHFNDQRFKMDPTDYELAGNAVSCYLEAAGLYKNAKSRKLLSRILWLL SLDNEEGRVSSAFENFKGDTPVWYWITFIPQLLTSLSRREARLCKAVLVKIAKLYPQA LFFLLRTNREDMISIKKTQEAKQEKLNRAKQQASPGMKVEPGNVARLGTAGEENPQSS PAVANTATPQNAAAQLNGQPNPQALAQAQNATQQQTPAQEQGQPQAQPQQAQTQVPAQ GQRQGQVPAQNANQPSNPGEPEKEPIKKPWEYSEEIMAGLKTAFPLLALSMEAMVDQI QKNFKCPPDEDAHRLIVALLNDGLAYVGRTPVAYAQDFKLPPATEANITRFAETILPA HIRKSFEADFVVKKITMFEYVQKLRRWRDKFEEKLDRRPQSQNLEVYSPHLSEFRFLK FEEVEVPGQYLLHKDKNQDFVRIDRFLPDVDLVRGIGVCHRRLKIRGLDGSIHPFAVQ HPAARHCRREERILQLFRIFNGILAKRKESRRRNLYFHLPLMVPVAPHIRLVRDDPSY ISMQGIYEDYCRREGINKDEPVLFTMEKMRGLAEMKQSVSFKPDLYNISYANMSQRSI EQQHVLRTEILTAIQEKWVPNTVMLDYFQKTYPNYEDFWLFRRQFSYQYAAICFMTYV MHIGNRYPNKISISRATGDIWGSELIPSINPTKAFFFNPEQVPFRLTPNIQTLMGPIA TEGVFACALMAIARCLTEPRHELEQQLSLFVREEMIFWATAHHRGNVSENQLRELVQS NSGIIVNRAVSLASPPEGNLPANQTTIDLISKAVNPQSLASADALWMPYL TSTA_115560 MFNRNNIPFTSRSNPPPPDPRRGNGYDAVISEGDRNPSRGGGYG APPPPQRGPAPGARRPVNDGSTWTLRSEKSPNTQYTYGNLVAVSTADFPPSAFGQEFY VLINDLYVLSARPLDSFPPGYIGFAERQRMWARIAVTDSVRVQIYDPFRQERKAYLGS ADVEVGFAGKARTDEPYDQNELANAVIEKFKNQILAPGQKVLMDHRGIPLSLSIKTVE LVDLMSEKQPQQSAEGVATDPTARGILTPHTAFNFYKDAKSGLNLKASDRRPAANSII RPDFKFEDMGIGGLDSEFSTIFRRAFASRIFPPGLINKLGIQHVKGILLYGVPGTGKT LIARQIGKMLNAREPKIINGPEVLNKYVGQSEENIRKMFADAEKEYKEKGDESALHII IFDELDAVCKQRGSGAGGGTGVGDSVVNQLLSKLDGVDQLNNILLIGMTNRKDMIDDA LLRPGRLEVHMEIGLPDEKGRAQILKIHTQKMRENNVMEPDVDLVELAQLTKNYSGAE ISGLVKAATSFAFNRHVKPDTIAGIKDDVANLKVRRDDFLNALEEVKPAFGVAEEQLG DCIQQGIIHFSDRIKDILNEGNLFVKQVGEEGGTPLFSVLFHGPPASGKTALAARIAM DSGYPFIRLISPEDMVGFTESAKIQHITKIFSDAYKSRTSIVVVDNIERLIDWVPIGA RFSNAVLQTLMVFMRKAPANGRRLLILATTTERRLLKDLDMYSSFNSDIEVPTVNTYD ELRYILEGLETFTPQEIEGILGGIYSIDDDKKIGVGIKKILLGIETAKQDIDKAGRFV NVINRLIDQDRGPAFS TSTA_115570 MKGFRQRVHEQLSRAKDSNKSSKKRDSNNNTSNTGLSPAHHAQS TSPNHVTPTSSTTSLNETRNAKVDNASPAAINSPAGHQNNQGAAAPAGAGQHFVPSAQ SMGGNLGGQPANLPGTPTRQGQQPITPSVVISPSSHVPLPGAAETMPGDLAPPKKSHV FDRLHSTPKDMSEGIRTPKRQHSSRFDISDQRQRELEKLPGFHEVPPNRRQDLFMQKI DQCNIIFDFNDPTADMKSKEIKRLALHELLDYVANNRSVITEPMYPRVVDMFAKNLFR PIPPPVTPQGEAYDPEEDEPVLEVAWPHIQVVYEFFLRFIESQDFNTNIAKQYIDHQF VLQLLELFDSEDPRERDFLKTTLHRIYGKFLNLRSYIRRSINNVFFQFTYETERFNGI AELLEILGSIINGFALPLKEEHKLFLTRVLIPMHKPKGLSMYHPQLAYCIVQFLEKDS VLTEDVVMGLLRYWPKVNSTKEVMFLNEVEDIFEVMDPTEFAKVQGPLFTQLAKSVAS PHFQVAERALYFWNNEYFCNLVSDNVETILPIMFAPLYENSKGHWNRTIHSMVYNAMK LFMEINPQLFDECSQEYNEHQSGAEEREQARRRRWEVLAEQAEKRKKGLLKEPTTSTT SVPASKADDIDPVTQDSQKRLNALKLDETTTVKDRATTSKHVRRRRSGSGSSVRMRRS SVGSASGSPATVVLSRSNSSRQ TSTA_115570 MKGFRQRVHEQLSRAKDSNKSSKKRDSNNNTSNTGLSPAHHAQS TSPNHVTPTSSTTSLNETRNAKVDNASPAAINSPAGHQNNQGAAAPAGAGQHFVPSAQ SMGGNLGGQPANLPGTPTRQGQQPITPSVVISPSSHVPLPGAAETMPGDLAPPKKSHV FDRLHSTPKDMSEGIRTPKRQHSSRFDISDQRQRELEKLPGFHEVPPNRRQDLFMQKI DQCNIIFDFNDPTADMKSKEIKRLALHELLDYVANNRSVITEPMYPRVVDMFAKNLFR PIPPPVTPQGEAYDPEEDEPVLEVAWPHIQVVYEFFLRFIESQDFNTNIAKQYIDHQF VLQLLELFDSEDPRERDFLKTTLHRIYGKFLNLRSYIRRSINNVFFQFTYETERFNGI AELLEILGSIINGFALPLKEEHKLFLTRVLIPMHKPKGLSMYHPQLAYCIVQFLEKDS VLTEDVVMGLLRYWPKVNSTKEVMFLNEVEDIFEVMDPTEFAKVQGPLFTQLAKSVAS PHFQVAERALYFWNNEYFCNLVSDNVETILPIMFAPLYENSKGHWNRTIHSMVYNAMK LFMEINPQLFDECSQEYNEHQSGAEEREQARRRRWEVLAEQAEKRKKGLLKEPTTSTT SVPASKADDIDPVTQDSQKRLNALKLDETTTVKDRATTSPS TSTA_115570 MKGFRQRVHEQLSRAKDSNKSSKKRDSNNNTSNTGLSPAHHAQS TSPNHVTPTSSTTSLNETRNAKVDNASPAAINSPAGHQNNQGAAAPAGAGQHFVPSAQ SMGGNLGGQPANLPGTPTRQGQQPITPSVVISPSSHVPLPGAAETMPGDLAPPKKSHV FDRLHSTPKDMSEGIRTPKRQHSSRFDISDQRQRELEKLPGFHEVPPNRRQDLFMQKI DQCNIIFDFNDPTADMKSKEIKRLALHELLDYVANNRSVITEPMYPRVVDMFAKNLFR PIPPPVTPQGEAYDPEEDEPVLEVAWPHIQVVYEFFLRFIESQDFNTNIAKQYIDHQF VLQLLELFDSEDPRERDFLKTTLHRIYGKFLNLRSYIRRSINNVFFQFTYETERFNGI AELLEILGSIINGFALPLKEEHKLFLTRVLIPMHKPKGLSMYHPQLAYCIVQFLEKDS VLTEDVVMGLLRYWPKVNSTKEVMFLNEVEDIFEVMDPTEFAKVQGPLFTQLAKSVAS PHFQVAERALYFWNNEYFCNLVSDNVETILPIMFAPLYENSKGHWNRTIHSMVYNAMK LFMEINPQLFDECSQEYNEHQSGAEEREQARRRRWEVLAEQAEKRKKGLLKEPTTSTT SVPASKADDIDPVTQDSQKRLNALKLDETTTVKDRATTSVS TSTA_115580 MAEDDELQYAPWYRIPSRRIVAVEHPGIVKNVDRAIKTLQSDTG ISKILDPSKPGSKVQLCLRPEDPMASTIDSSNRQTDNILLKVTVPKWTGGKRKRGSDE PFSDGPPSTPEDIEKERQSCAFRQRSLMDNAHRYSVEPVGTVTRTHNFRKIPDYVYST AKSPFVQKFRETILPFEYEKMRKFDISMSRGETKNVDLIPPPAFTKTFIPFQYTYRQN PTVKLSQDTSGNVTAINTQMPNKVFTHLVTCDVPEVPSEPREGIPPISSLDPDLQNVI ATVQKLFEERLAWTRRALLNAIPGKDQRYVLKYAISYVGYIFRSGPWRDAIVKLGHDP RKDVESRKYQTFVFRILAREPEIGRDGGGSAKQHQQSTTSGSSRGFSGLDISSIPTVT HTENPNSHLWTGKAPLALDGKIWMVCDIVDPILKQILYPPNADPNTFLRSECEIFSDG WYGSGTIAKVKVIMRQKIHALSQEHREPSDAEYECLLGFPDHVTEESGTRLEGFHLDA EKATPRETMLATEVRATIKGAISWRRMMAGQKVKTATTAATTTTTASTSMETAEDEEE REATRRVIEEEEEEDEEEEEEEVNENEDNDDMDVGGRSFDSE TSTA_115590 MSAITLSITPAMPRQTLFPVTRSNRRTLQRTKLSALNKLNGSVG STPLKRTISFDSDGENVKPCIKSEPTKRKRSVGDEGQLSESPKTKASRTEPTIWVGEE KVSSPLPKGLDSLVPVDRDFKTKPKSAKAFGRRSKLAPLFHESVRRNAANVSKKSIEP LRKPVPASWNFDIHVDTEVEEATNLMEHSAYRLDISDGEGKTLFDDRDKENIPPHELG ISLPTTAQPVSMASRKNMMAQYRTPLSELEVADYYSEGLHALSCEVIQEDTYIKGPST QVRMALNTPDRLQPFSVWQSTQARRQSKDIPVQEISASA TSTA_115600 MPTPARAVNLRKLEPKIDSSRVAAVVAANGRDEQCKGASCMADD VRLRTARVSPYARRAAIYDAYDWTSFNAPLAAVP TSTA_115610 MAPLLRVIGSLNADMVSVTPRFPEAGETITATSFTTSAGGKGAN QAVACGRLSRSRPTETQSSTSNNPVNVEMVGAVGSRDGHFQSLLGPTLEKSGLDTSRI RTVDDYTGVAVIIVDSSAGGENRILFSPGANYSGMQAIPDVLNMGLAPPVPDIIIMQG EIPTETTIGILREIAKYKKSQRDNGRKGIDAGPEVMLNPAPAPPGGLPEDVYGAIDHL IMNETEAELMAPKTEELLRVVPNAKGASLREQAAQYFHKLGVTYVVITLGAKGVWYSS CDAGTAIAADNHTRFTNEISAAKVSRVLDTTAAGDTFIGGYATHIARWREQRRARGKS SHELEQNEKTERYQVVMDEAMKRAGRASARCVEKQGAMNSIPWEDEI TSTA_115620 MDRRTPYTLSVLAPSQDGADESRVAIQGRLREFILAFQLDNSFI YRDQLRQNVLVKQYYCDIDIAHLISYNGELAHKLTTEPADIIPLFEAALKQCTQHIVY PSQRDVELPPHQLLLHSSATHTSIRDLNATNISHLVRIPGIVIGASTISSKATVVNIR CKNCEHIDNISVDSGFAGLTLPRRCGRRVQPGEQQSEPCPLDPYVIVHEKCHFVDQQV IKLQEAPDQVPVGELPRHVLISADRYLANRVVPGSRCTVMGIFSIYQSKGGAKAAAVA IRNPYLRAVGITSDIDHTSKGAATFTEEEEQEFLEMSRRPDLYEAFARSIAPSIYGNL DIKKAIACLLMGGSKKILPDGIKLRGDINVLLLGDPGTAKSQLLKFVEKVSPIAIYTS GKGSSAAGLTASVQRDSTTREFYLEGGAMVLADGGVVCIDEFDKMRDEDRVAIHEAME QQTISIAKAGITTILNSRTSVLAAANPIFGRYDDLKTPGENIDFQTTILSRFDMIFIV RDDHDRGRDERIARHVMGIHMGGKGVDEHTEAEIPLEKMKRYISYCKTRCAPQLSEEA ADKLSSHFVSIRKQVHRAELDANARSSIPITVRQLEAIIRITEALAKLQLSPVATTAH VDEAIRLFLASTMDAVTQGENQGSKELMEEVSKVEDEVKRRLPIGWSTSLATLRREFV DGRGYSEQALNRALLVMQRRDTIRIRSGGSQIYRNGV TSTA_115630 MTSSPRKSIDSLASVTSIPSLQQFSQNTYDSPRIAPAQRLPQRR SSTASSLVSIGGILDSSTHRSSIAELAISALLQPPIVRTGLVAHTSIPATGYKPPTAR DIPPVTLTNISNVESKAFQPYLEQVGSLYDAFQRAKEGSGNEENDSHLVRNDATLFPS SALSPRIDEYDGRRTPILERRLSGVSTSSRAHSPFDMRGMRRSSYGKGAQAVTPLSTI PNVYFEEDFHLENPRTFDVVSEKSEVVRQPSKASDQAGNGAAVEPPATRRKALATNAI LQEKLSWYMDTVEIHLISSISTASKSFFTALGSLRELHAEAADSVRRIQILRKDLNKI DKEMAMGGLKVVKLRQRRQNIRKLADAMSQLHEVVEAVTECENIIDQGEVEDAIDGLD EVGLLLAGEQATRRRNQDAAEQRPPVDLRGLHALQGIDEELARLRQRIGVRYESRFVD DLLTDLRRHISSVPGDVTLQRWGASFQRNRGGHRAPPSISPAYIAFDGELRSKLHAEL VGLGRSHYTMPAATSFKTAVLREMKALIRKHLPSSSDDDNESVMSASTHGGRQLSSQE KSSILARNLRALDADSAYDMLKQIYTGVSESLRRLGVQVKVLLDITSGLGAQPSPAIA RSATRSPDPQNIKQTPRSHGSAAEVAAVQEEILQVLDMSSLLGQAVDIVQSQIVKVLK VRSEQTSHLPIEDFLRYFSLNRLFADECEAISGRSGTSLKTVVDNQIRDFIVRFSDNQ RHKIVQVMDADKWDARDFGDVENAVLERILRASTTDVDLWLHVSRIWQPAEAESPATN PNAENGTGVNGSAKEKEKLRHASFDEQRYVLPESAVTIMKSIEEFEYLMANLPSMTQD IGSNLVECLKLFNSRSSQLILGAGATRSAGLKNINTKHLALSSQALSFIIALIPYVRE FARRQSPSSQLMGEFDKVKRLCQEHQNGIHEKLVDIMGGRSASHVNSMKKIDWDAEKD LKAVSGYMETLTKETATLHRVLVRHFPEMTVMMIMEPVFKSYREQWKKAFQEVNLKTE SGRQRMLSDVEYFKSKMGKIDGSGDLGDTLIQVVNAKTILPENIAEPSPQTETSSPSN EASSSPPVPEKDDKKADSKS TSTA_115640 MPRGAEYDNGVPQSDNAIETGPTKAHGTNPGSDIDRSGKAADLP ETMGGNNLASGGGGSTGSGSGKGGHEPKTLGDKKGLGSQPGV TSTA_115650 MASTNGSGTPRRDTRNHFLFEIATEVANRVGGIYSVLKSKAPVT TAEYGERYTLIGPWNRASAAVEVEPMEPTNQAMIETMASMKERGIEIIYGRWLIEGAP RVLLIDTGTGYGYLNEWKGDLWNTAGIPSPEGDHETNEAIVFGYLVAWFLGEYIAHES KRAVVAHFHEWLAGVALPLTKKRHMDLTTIFTTHATLLGRYLCAGSVDFYNHLQYFDV DAEAGKRGIYHRYCIERAAAHSADVFTTVSHITAFESEHLLKRKPDGVLPNGLNVKKF SAMHEFQNLHSQAKEKIHDFVRGHFYGHMDFDLENTLYFFTAGRYEYRNKGVDMFVES LARLNHRLKTSGSKTTVVAFIIMPAQTSSLTVEALKGQAVVKSLRDTLEMVEKGIGRR LFERCLSWKEGDNMPDEKDLITSQDRVLLRRRLFALKRHTLPPIVTHNMVNDHEDPIL NQLRRVQLFNHPSDRVKVVFHPEFLNSANPVLPLDYDDFVRGTHMGVFPSYYEPWGYT PAECTVMGVPSITTNLSGFGCYMEELIENSSDYGIHIVDRRAKGVDESVNQLCDFMFE FASKSRRQRINQRNRTERLSDLLDWKRMGLEYVKARQLALRRAYPTSFDSEEDFSDYI GSTAQKISRPLSVPGSPRDRTGMMTPGDFASLQEGREGLSTEDYIAWKLPEEEEPDEY PYPLTLRAKKPTDRPQSPLDSISLNGK TSTA_115660 MAKARACQRAFVYRGQTSDMQGSMQYLRSRISRGDWRILTLHRN PFRSIFWCGIILASTLSTNNIYTTHFHSSSRTIMAANNTMKAVVIHTKGGPEVLKVEQ RPIPTAKPGQVLIRVKAFGLNRSEMFTRQGHSPGVDFPRTLGIEATGIVEHAPGGEFE KGQIVMTAMGGMGRQFDGGYAQYTVVPTNQVQAITVPTKLGWDVLGAMPEMLNTAYGA ITKSLNVKKGDRLLIRGGTTSVGLAALAIAKGLGAHVTSTSRRPERESMLREYGADEF FVDDGAIAEQIKDEGQKFDKVLELVGVVTLKDSLNATAKGGVVSLVGIVGNSWNIENF QPQEFIPKYRFLTTFGGWIEEFMDTPLNDIVQQVEDGTFKIKVGKVFHIDQIAEAHQC MDDNAAEGKIVVLTG TSTA_115670 MATRISRSEFEGFVHPLIKDILEATEQYNLPENARKWFSDSLNA NIVGGKMNRGMSVPDTGSILLGRPLTDEEKKHLSLLGWFTELLQAFFLVSDDIMDGSI TRRGQPCWYRREGVGMIAINDAFMLESAIYVILKKYFRSHPAYTDLLELFHETTWQTE LGQLCDLITAPEDHVDLNNFSMEKYTFIVKYKTAYYSFYLPVTLALHYLQVATPKNLK QCEDILIPLGEYFQIQDDYLDAFGSPETIGKIGTDIQDNKCSWLVNRALTIVTPEQRK LLDEAYGRKDSALEAKVKALYNDIKLEQHYLKFEEQRVGQLRQQIEAVDESEGLKKEV FSAFLEKIYKRSK TSTA_115680 MKAFYSFPLLFLPSLVSATPSDLTTIELDKRACTPFKNPLTNAS GCCDIVSAQLFWVDILLGIGVCCPIGQVLEGFNCVTPSSGGSVCSGKAVCANKTGVDL GIKYGHCYVLLSLNNNYLGHDNALKYVVMGENPGVVFRVCGDTTTCTTSADQYVPVNG TWYMQDQMGDPNGSGFAWLGGAGDLNIVNSTDQALAMGGSSTCFGGNCAICITFPPGG AHAPCPLTPGQSHLGIAANPNSCQNFYWQEVSCRSENQLAECQISPSTAKSESVGYVA QV TSTA_115690 MASPFPDQEKGHDVGVNTSDRDSDEEFVKDGDIAYKVAEGANTS IATYQDARGAPVERQSPLGYSVDSWVSLCLNVNQMIGTGIFSTPATILKGVGSVGLTM IYWFIGYLLSQSTLSVYLELSSYFPSRSGSDVVYLEQAYPKPLYFFPTVFAIKHVIFS FTSSNAIVFSQYMFKLAGHVYTAWQLKAVAIAVYTVATVVVILSTKWSLRVVLGFGFI KLATLLLISIAGLVVLGGHTRVADPNVNWRDAWRGTSDATAYGATNAMVKLIFSYAGY TNAFGLVNEMKNPTKTLRWSAPASLIVVTVLYILVNVAYFSVASREDILASKQIAAAV FFQKLFGTSGAARALNVLICLSAFGNMVAVLVNGSRILRETGRQGVLPYPKFWTYTKP FGTPLGPYVFQWTTTIIMILAPPAGDAFNFVVDLAVYPSNVFNLLLVVGLVLIRRRRK RAGLPQPQYRAWDIAIAFATLTNTYMLIAPWYPPLTGATGGDVSFWYGTYLVVGIGLL VFCGVYYYVWIKLLPRLKGYELRQTVIEYENNSVVHHLVKVNKADVARWDEEHDAEGK LRRRHVHDSADSQ TSTA_115700 MRLFRKYVLPSHNEKSAGKKKKEKRFRASSLFNSNSSRATSRTS PLSSYSSSSSDPTKRLSADVLARIFYYVCPHTLDESYNSSEESITEDGCMLCDMRDLA CCALVNKKWCKSAQKLLYQHVRIDAVHYCELEIELSSKRKRSRSFVDQAGKKLDVPQS RLYQFMRTVRDSQQLANTVLSLRLPYMTREASKSDLARAISVLRNLRYVDLPVGFFTD DPSSYALKQELMANCPDVRRMTYAHGSEMSFSKIPGSSLWRNLESLELSNLQVEESTL RQVLSSFPALRCLKLESMPSIRDSVFTPNQYLPMFPPIAKLTIRNMLSITASGLATHL SVPQNQKALEELALVQCGVRPESLYMIFSRAPRLANLTLELEVDSSFLTQEVPPLASR SLKTLHYEITCSGSPTGLQPVTKSHYDYLMSSLKANNLPSLRSLYVLDSSFADILLLA PPPRLFGGGEGGSQSLGPGLQHPLTLYTKGMDEFEWNVTQFEPMSSGRRTSTTRPVSL HSAQLSPQWGGEARQSVLVGNGFGGYLAVPVNEGRPMSSGGIRGNKRDSKYDLWR TSTA_115710 MAMTFTEDSIKELRLRLEDAVIKCSERCLYQSAKWAAEMLNSLV STDGNDTDAESPVETEPQPHVNPFTSQSDPTEAALELQEAHKYLLAKSYFDTREYDRC AAVFLPPTIPPVSLSNVSPNVKSRTSLTPQKGKRKSFIRPGSKAGQALPRNPYPKLSQ KSLFLALYAKYLAGEKRRDEETEMVLGPADGGMTVNRELPDLARGLEGWFAEREERGL QDRGQGWLEYLYAVILLKGKNEEEAKKWLVRSVHLFPFHWGAWQELNELLPSVDDLKQ VAETLPQNIMSFIFQVHCSQELYQATDETHQTLNGLESIFPTSSFLKTERALLYYHSR DFEEASAIFADILIEFPHRLDSLDHYSNILYVMGARPQLAFVAQLATATDKFRPETCC VVGNYYSLKSEHEKAVMYFRRALTLDRNFLSAWTLMGHEYIEMKNTHAAIESYRRAVD VNRKDYRAWYGLGQAYEVLDMSFYALYYYQRTAALKPYDPKMWLAVGTCYAKMGRLQH SIKAMKRALVAGAYYEQSLDADPTHPSAGRKVLDPDTLHQIALLYERLGDEDEAAAYM ELTLQQESGEIERNEMDSDDDDDALGDDGNSDDETTGLRSRRQRRRQQKSRDDDNEVE AVGGTGVTATTSKARLWLARWALKHGDLNRADQLASELCQDGIEVEEAKALMRDVRAR REGGDEKQLHI TSTA_115720 MGFKFSYVCDLLSGLERNRHLKATSTARAQNPDFRIISSWFLNY SKRLYDKDTDLLAVLSCLLPEKRPDRVYFLQHASLARIIGRCLYLGASRLKDLGRWQV SGGGDLGECVENVMSQAENQIPPGQEVTVEEIDNALQEIASCCRFSGPSVRRKHAAVD FDEVLGSLYRRLSSRDAKWLTRMILKSYHPVQIPVDYFLKKVHFLLPHLLLFQNSLEA AVELLKSPSLKLFPPQPQSDFANLLSDKALEHLVPRLGIKIGRPEYYKARSIKHCSNM IRQRRMSLERKYDGEYCQIHIDISKGQDCIQIFSKSGKDSTLDRAGIHDVIKRSLAIG DTNCKVSRRCILEGELLVWDDRDCQIMEFHKLRKFLSRSGVYIGADLDSQPKPYEHLM IVFFDILLLDDDICLSKPHRLRRLLLKETVRIAPGRAEISEQEIIDFSRPDAIRRLQL ALSRSITERWEGYVIKACDEPYFSILSPEKSDSFSRWIKLKKDYIPGLGDTADFALIG ARYDAKDAPLLSKVRQLSWTHFFIGCLDNKEDVVRQTSSPRFRIVDMIGTHSLNENDM QVLNQWGKFAACDVDSNTAFDCYSIQNSLPKMDVAFKTPFVVELLGSGFDKAGNAQYY TLRFPRVLKIHWDRSFKDTVSFTELQDLAEKARAVPTEELQEENALWFEKVVSTDKKN EYIVDKSQSTTTSSFTCTSSLSKSASLISPDLTPPSVKQTPASLIRANKQNPSKAFSQ SPSVSSLNKHERTLSLIHPLSKLNSTSTLHAASSDLHSASSDLHSALSTLYSASCSKR PKISASTSSSTMENSIHLDQAPQQSLRARGSPIPRALTTSIGSISANPTSQLPHTPRL ASGFTTPLRENPNLAECPNPKRAVATYKEAWKFIADAADVAKKNEDENYDIGDYHLNK KDSRHLQVPLKSPLSTIPIYCGEFGFQEDMFRQAPREFTFSAKHFVKSLGFPHTREAL QWSNPAAAEFGIAMGIVLVNIKDPSRVLAMQLYNLGNLVTSELSQPNTELPSQGKIFF LDSRILKAGGHEGINNDIFLLNDWWTEYGTQYFYATVSWRQDRSTAPSNSGPRRVIDQ INKFGARALHFGEPAAAKIINLYEPSDVEVLGEFVSVRPNVHLFGDRYKDPNVRTAED DKFHYPLDIPDPNGGLGIRYPQQQPFFV TSTA_115730 MVLEDQRFIHEDLERLEQGIADRAAEEPRNIRERLARDHQIAGF LDRIEEQSKRLLDIYKDAEGLRAQEIQSISTGDAFEEFYKRLDEIKDFHKRYPNEPVE NLERAYKRRHPGEGESFAPEIDSMFTGEEAYGQFLDLIQLHEDYLNIPGIKRLTYIQY IDQFDVFTPPQMPIKRSAKVSDRYFKYVGDLTSYLESFLKRVRPLENLPKLFASFDEE FDKQWAAKEVPGWGAEATTNETAVGPKTEGTGEGIWCADCEKEFKNENVYKNHLTGKK HIKAAEAKKANGITATNGSASAGGIKDATLKSLKERAVAEREHRIRCLTKTLQFEREA TRVNVERKQGMTERERQMEIEALMADTEPSSGAFGREEESDEEGDDRIYNPLKLPLAW DGKPIPYWLYKLHGLGVEYPCEICGNFVYMGRRAFDKHFSEARHIYGLKCLGITQQTN LFREIVKIEDAMRLWEKLEQERKKEKESKENVVQMEDAEGNVMPERIYYDLQKQGIL TSTA_115740 MAEGIDRRADERMEFTTSKEVTVAPTFEDMHLKENLLRGIYAYG YESPSAVQSRAIVQICKGRDTIAQAQSGTGKTATFSISILQVIDTVVRETQALVLSPT RELATQIQSVVMALGDYMNVQCHACIGGTNVGEDIRKLEYGQHVVSGTPGRVADMIRR RHLRTRNIKMLVLDEADELLNRGFREQIYDVYRYLPPATQVVVVSATLPYDVLDMTTK FMTDPVRILVKRDELTLEGLKQYFIAVEKEEWKFDTLCDLYDTLTITQAVIFCNTRRK VDWLTDKMREANFTVSSMHGEMPQKERDSIMQDFRQGNSRVLISTDVWARGIDVQQVS LVINYDLPSNRENYIHRIGRSGRFGRKGVAINFVTSDDVRILRDIELYYSTQIDEMPM NVADLLS TSTA_115740 MEFTTSKEVTVAPTFEDMHLKENLLRGIYAYGYESPSAVQSRAI VQICKGRDTIAQAQSGTGKTATFSISILQVIDTVVRETQALVLSPTRELATQIQSVVM ALGDYMNVQCHACIGGTNVGEDIRKLEYGQHVVSGTPGRVADMIRRRHLRTRNIKMLV LDEADELLNRGFREQIYDVYRYLPPATQVVVVSATLPYDVLDMTTKFMTDPVRILVKR DELTLEGLKQYFIAVEKEEWKFDTLCDLYDTLTITQAVIFCNTRRKVDWLTDKMREAN FTVSSMHGEMPQKERDSIMQDFRQGNSRVLISTDVWARGIDVQQVSLVINYDLPSNRE NYIHRIGRSGRFGRKGVAINFVTSDDVRILRDIELYYSTQIDEMPMNVADLLS TSTA_115750 MLSRPTAAALLLTLRSANALVLPDGVGRLPALGWNSWNAYGCDV DETKIVTAANKLNSTGLKDLGYQYVNIDDCWSVKSGRDSVTNRIIPNPDTFPSGINGT AQQVHDLGLKIGIYSSAGYQTCAGYPASLGYETIDAQTFADWGIDYLKYDNCNYPSEW DDQYNACIPDSDYPGVNPNGTCPDLTNPAPAGYDWSMSNTTKRFNAMRDALASVQDQR VILYSLCEWGNADVPSWGNGTGNSWRVTGDINATWDRITAIANMNAHELSSVDFWGHN DPDMLEVGNGNLTIEENRAHFALWAIMKSPLIIGTDLSTIPDTHLFILKNADLIAFNQ DPIIGKPALPYKDGYNNGTYNPHYPPEYWSGATSHGWNLVLLFNSENVAASRTAVWSE IPQLSGHDSKYRVEDVWTGKDLGCVHKEYTAQLEAHDVAVLKVTGTC TSTA_115760 MFRRALYIPRQSASLTGYHRLIPAIIFFTPPQPRRQFHSSSAQF NKPIKGTIYKMRDEVKDAVSSSNSHLDSSIAEDPSERHIDESWKLRAPYQIQKDEEFG PVKWEAMCHCGNVQYQIKRERPLAAKYCHCRACQVLHAAPFQWCAIFFKTDIRFKKGT DSLMFWSSHEKSKKYQVPTKVYCSNCNSPIMDEGRNMCLIFPELIDLGKTEEEHLVRR KVFEIDHHIFYSRRLVEVHDGKPKWSELDDKSNRLDDDGNKI TSTA_115770 MIVLRSTVIAALASTTLAVTSISDDVMTSYLNNGAVDLAYMYAP MWFFGQALDEPPCYPVWAFSGNVSTPDIYDAAHKTPPAPQCEYPDMGCGCRQPDVPIG NPGPAFPIYYTFQQCNATEVRVVYNLFYQKDGAEVVGVIDTGHDYDWERVIIIHSKNT ADNTWAPSRALLSAHSGYHDLAWGDIQNTLTTDQVNVGDAINPNGVKGNDHPKVYVSW SKHANFDTRNTGWNDPISQSTDNAFRSEDWWHFVDAQYYIRSDSSTAAGQALGSVDWG SASSNPPSVQNSLCTQQTLMEAAANNS TSTA_115780 MHITHVKRTAGFGASVLKHLRSFAGVIIANLTLMHANIPYIRDI KYNFITLHYVYLVLWAFVGSIVLVAGGNMPYIDALFFASGAATQSGLNTIDINKIPTY AQIFLYVIGMWTNPIVVNTFVVFVRLYWFEKRFQHIVRDARALRRTRTRTFTTGREQH DVNREEQGVRGQSIVVLRNEQGEARDGTQDSHKTDTDANSDTGTSNATSNDRRDESSK LSPPSPLSDTEGLRLPTQRSPEQHIAFLEHQRTDKGTLRIPSPREFDRGGVPQALDEA VEDNQDNPTSPQSRPVDEEQQRQESGNELGNHITINEPDIMRFRARTSTFPRINSQRT TMRDEEGDNEPRRLRTRRGTFTGIFRSMTQEQDRDTLPYLSWNATVGRNSAFIALTEE QREELGGIEYRALKTLALVLVGYFVGFHIMGIVCLVPWIVASQKYGAVVTGDGQGRAW WGVFTAQSAFNDVGFTLTPDSMNSFNEAVWPLLIMSFLIVIGNTGFPCMLRLLIWIIS KFTITGSALWEELRFLLDHPRRCFTLLFPRNATWWLFAILVVLNGADLLFFIILDLND PTITSIPAGYRVLDGLFQAFSTRTAGFGVVNLSALHPAIQVSYLIMMYISVFPIAISM RRTNVYEERSLGIYGSAEEEAEDVTEPSYIGAHLRRQLSFDLWYIFLGLFIIAIVEAD RLESGADSYAFSLFAVLFEIVSAYGTVGLSLGYPNTNASFSGQFRVISKLVIIAMQIR GRHRGLPYALDRAILLPSESLQQREQDDAERRLRRRTSNLSDMAANGQSSGIFQIGTN YSLGSRTRSRPRRSEDN TSTA_115790 MAEAFGVFKSSLTMEPHSYVCVDYKSKFRHGGRYDSYPSLISVL ATPATSPTMIMDSDQLQLEEFIAGTRINMPFNYCAYIDFSGEHRIGHLDLNSNKIQPL SLVSGTCLSNLYEVIEAGEINITISREDQISLSDVKLLPPISGRDILAVGKNYPTLPV IFTKCATSIVAHGESVLLHPGFTETPDYEGEVGVIIGKAGHKIPESEAMDYVWGYTII NDFTARERQRDHKQFFIGKSPDTYCPIGPVAVPKEYLPTNLRLQTFVNGEKRQDATID QLIFSVPHLISCLSQAQTLQPGDTIATGTPYGVGFGFRPMKFLKAGDEVKVSITGLGT LTNRIAAADAVNPTVDLVKAQSPIPISNQKARGHNGLLKVGNKELFYQFLGQRDGPQT IFIHGLGGSSTYFSPLYKKLQATHGLHLIDLEGQGLSPTSALSNLTIESFASDIHDIY KSVRPDSKPATIIAHSMGCLVAVKFALENTSLVSSLVLMGPSPSPLSQASSIAIFARA ESVPNKGMLAVVDTMVNTDLSIETKTDNPLAVAATRMSLLGQDPEGFAKACMALARSA EEILDIAQLPASCKTLIIAGLEDEFSTPDVCSDYGKRIKSSEVKVLDGVAQWHLFEDV KGTSDAIYSFLGVP TSTA_115800 MPEGRRVLILRIPSEKLALTQPMDGVKKHIKRVGWKADEKEKLL FLRDQHPKMPIKEFQQKMRKEAGEDGDDAMTQPSRPQKRTAFVFSSESEDEDSSSDER IEDINPSGHRTPNKFVKLSHNTGAQSPSVRPDIEQRVPIIRPQTSEAPQTLGEATTAP SRPKSTPPETTAVVQDTKSLESRPDSRPESTDKNAILPASQLAKCSGTEIQCVFNQDM QQLQARLENQKQYVLPEAVSRQKFARRITAGYEILQALCHIEEDLSSETSQLRAQNSV LQREYEMMKTEKDLEISRLQEEVKSISRLQEEAKSLQAERDRLIDENIRLRRKVELMK DLVDS TSTA_115800 MPEGRRVLILRIPSEKLALTQPMDGVKKHIKRVGWKADEKEKLL FLRDQHPKMPIKEFQQTFFPDRTAKAVGEQIRKMRKEAGEDGDDAMTQPSRPQKRTAF VFSSESEDEDSSSDERIEDINPSGHRTPNKFVKLSHNTGAQSPSVRPDIEQRVPIIRP QTSEAPQTLGEATTAPSRPKSTPPETTAVVQDTKSLESRPDSRPESTDKNAILPASQL AKCSGTEIQCVFNQDMQQLQARLENQKQYVLPEAVSRQKFARRITAGYEILQALCHIE EDLSSETSQLRAQNSVLQREYEMMKTEKDLEISRLQEEVKSISRLQEEAKSLQAERDR LIDENIRLRRKVELMKDLVDS TSTA_115810 MSTTRQFDPNFTPSVINAMGPKTNERARVVLGSLIKHIHDFARE VELTPAEWMLGVEFINSIGQISTPIRNECHRVCDVIGLESLVDEIANKIVTEQGLSPT SNVILGPFWSPSAPFRELGDSIIQNTNPNGKVTYMHGVLTDMETGKPIEGAVLDIWQA SANGKYDFQDPEQTENNLRGKFRSNAKGEFYWYCYHPTPYSLPTDGPAGVLLNVMDRS PMRPAHIHLMITHPDYATIINQIYPSDDPHLKIDSVFAVKDDLVVDFKPKTDDPKAQL DLEYNVKMALKKHHPNPNSAPPVSSFERSRKAAL TSTA_115820 MDHLPSQGDLNWRLSAHPITLLFFLGFRIGSLLMYLFGVLFIDN FVLVFIITLLLLSADFYYLKNIAGRRLVGLRWWNEVNTATGESHWVFESSDPNVRTIN ATDKRFFWLSLYATPALWIGLAILAIVRLQNVIWLSLVAIALILTITNTLAFSRCDRF SQAGTFANSALSGGIASNIASGLIGRIFR TSTA_115830 MRLLLLAAISPLFQTVTAVTVQSYWKIPDGNQSDFASTYTEGNI LPTAWNGWDSSWTNYYLNGTTIADLWVTSYNFKQYQYSQYPTGNVNISQAGSYNWTID VTSTGLANTAEYVLRFIQPGQTYNSSALQISSTGFIILPSQVTTTTTTTPTSTSTQAS TSTTSVITLTPTTTTTSAAVDPSSSVLNSGAKAGIGVGVAVGVIGILAFLAFILRRRG YFTQDSSAFSSSEPEQNSVVEPAELNATSEEASKNDYLPVPVHELSGSPPLPIELDAS KTY TSTA_115840 MRASDEVKPLSGQAHDPFGGWAATLVDTLGASSLQSLLIAMSYT LTPAQIQLDTLWMMGLHDEFKEAVLAIAELNFTTCTLDEVNVFETTIRYLGGLLGAYD ISKGQYPVLLQKAIEVGQMLYAAFDTPNRMPVTRWKFHDAVNNLPQEAGENVLVAEIG SLTLEFTRLSQITKDPRWFDAVQRIMNIFDDQQEMTKVPGLWPVVVSAKTKNFHEFSG FTIGGMADSLYEYLPKQHLLLSGGSQQYRKSYSGAIAAMKSHIFFRPMTEDGRHVLFP GDVSWNGNEPTIEAKAQHLSCFAGGMVALGAQAFGRPEELTVARRLVDGCIWGYESGV SGIMPEIIRPVACEDQNSCPWDEDKWHRGVEEQYPEESAETTIKARHLPPGVSKIDDG RYILRITGDPVLQEKAWNMFNNIIKHTITDIAHAGLSDRTVPNPPKARPDGVFLDCGD LEIFLFDFLGARCCKFR TSTA_115850 MVLVVASGYGIGAVILYMKKIILGYNTPTTYARRLHFVWQVETL GKGLEAMAKEFNNPFRYCSEEGQDLLNDLLQDDILDNGYGRLHSFNFNLCDEGQARPG SDITTLREVSMLPPDHLNVNRKQRKQALCDGPMILLTLTSKGFRHKLNY TSTA_115860 MTKLVLAVVLAHSLLCIYDGPWFCGHWNRSKIVFYREGSFIPVR PFLCTPTHKISVETANSENFHRFPGILDFGVALLEIYLGQRIASDQNPTNNLWAIASR VLEEQKYNMNSNYRSAVKACLLPDFGTGCDCTPQKFRGLIFSQIVSPLQKELTTFTQG ITEVSSLSEFTSRIDLVSGHFYHITYIQERSPLPERPISVKTLSSEQKDLYHKPETEF RSLRTYSNKSTVSNISNNSYSHNSYTVAIICPMGIEMAPVLAMLDKEHSNLPLARHRN KYILGEIGEHRVVVTVMPEIGNNRAAAVVTQLQNDFAELRFGLLVGIGGGIPDLKNDI RLGDVVVSQPVLNFGGVVQFDRGKMLTDNRFERTGHLNKPPALLSSSLETLKARHKQN GNRINDHLTEMLRKYPNMVQEQYIYQGHENDILFHHSYDHESDSDCKDCKRDMLIERQ PRESRSPRVHYGTIGSANMVVKDAKIREELKRNLGIICVEMEAAGLMDEFPCLVIRGI SDYADSQKVKRWQPYAAAVAAAFAKELLSIVPP TSTA_115870 MITMASAARNNLRRALLYVPGSSQRFLDKSRSLAADCVSYDLED SVTPHKKAEARQLVRRAIDQPAPTRIRERAVRINSVDSGLALADLTEVLQSPNLTTLV VPKVNSASDLTFIHDVITHTLPDRKTPISILALIESAKSITNLNEICNATPLLQGLIF AAEDFALDLSLTRTPSLTEFLFARSAIVTAARAHDLPSTIDLVCTAYKSDTNTTAVLE EESRGGKRLGFNGKQCIHPTQVDTVQRVFSPEAEEVEWAVRVVIADKKAAEDGRGAWT LDGKMIDVPVAEKAKSIVRRAEACGLDVDGLKGQWKGQEPE TSTA_115870 MITMASAARNNLRRALLYVPGSSQRFLDKSRSLAADCVSYDLED SVTPHKKAEARQLVRRAIDQPAPTRIRERAVRINSVDSGLALADLTEVLQSPNLTTLV VPKVNSASDLTFIHDVITHTLPDRKTPISILALIESAKSITNLNEICNATPLLQGLIF AAEDFALDLSLTRTPSLTEFLFARSAIVTAARAHDLPSTIDLVCTAYKSDTNTTAVLE EESRGGKRLGFNGKQCIHPTQVDTVQRVFSPEAEEVEWAVRVVIADKKAAEDGRGAWT LDGKMIDVPVAEKAKSIVRRAEACGLDVDGLKGQWKGQEPE TSTA_115880 MVSEELFELCQPILQNTDLDDEEQTEQLEDLLRSKTTLTGASLE NAVLDILWRQRNQSKPDTSPPSRHTVIRRSSPAPWQVARSTTPISSPSMTGTSPAGPG FHLTRAGISRGPRSVTASPFTSPRPSPRLAFAQPIPHSPNLNAYEFSDQNSPSSELYG DFGSESNVDWLVADDQLSVTSSIGGLSASAPEFVPDMSPHDILRSVLGDKKTNDEIEA ALEANSYDLGATIAALSGDSGRDGSHKTEEARVVVGKSMTVDHSRPSTPSNQGRNPVV CKYWLSTGTCLRADCRFSHDLTNHICKYWMMGNCLAGDGCPFSHDPTSLIGNLSLTEG PATQSNFQLDNNQEAFPALQTPAAIGDQQWSAQHMRKYANHVLLTNPAGNTSPLVGGR RNGPSRPQSRPTSRHQQRDLNPSPLSVDDPEAFPALSAMSAKAPGKKHHGKRGGHNNK DPITSSLADVVRVSSPGPGKGKNSKTGKEVKNRELSAAALAIPAPQNIPWLETGPRAN QQYIRYRTDAIRHGTVRNKFLQSAAQAWNRSDARAAKALSLRGQAENEAMRRCHREAA RQLYEEREQHLANNGLDDATEELYVDLHGLHPEEAVKYLDKILVKHSTEDRILYAITG TGHHSKNGKDKVGKAVKVWLNELKYVFREFSVPGERGGYVGGVLGIDPTNYDKEAAEK WRSEIENQTETNDGQPMLSMGKVQLLKREDTNGK TSTA_115890 MPADYTSTARALSLPVSEPEDQQSPLFSPLRPPWSHSPVLSRRG SRPLSMGEAVSFRDRIINQAEFFYRTIKDRWEKLTLVQKIIYFTGSLLVGALGVASLI LAGKIFIWLGPVAEKWEHSPLAYVVVWFLIILVSFPPLVGWSTLGTISGFLFGFWKGW IIYATATIVGSTLSFIVSRTVLTGFVKGMMENNKRFAALALTLKYDGLKLLCMIRLCP LPYSFCNGAVSTFHTVKPLSYGLATAIISPKLMVPAFIGSRIRILSENNEKMSAGSKA INVISIIISVSIGVATGFYIYRRTLARAKELEAEERAGIRRSIQEDHAAGRHHHEFSD DPDVNAAAKTLARDEEAQLGLYDEDNIDIDVGDELAEARRNRSYRDEFTDNDSDVFDD GDGDESDTYGLHTHVRQ TSTA_115900 MPGNTTPGSKPPKTMSSRLLTMKFMQRAAASSSPVASEDPKTPP PKRQRLSTTTSEPATTPRSISDLDAVNAALAAEEQKRADAIARQAAEAGETNWVLDFE GPATNNVTSQPMIVAADSLDAEDQDTSYSGRKCYGGFKSKNRRNIFENVEEEFPTDPV ELAAYMAAKKRKIEKEERKADRAMQKATSISGGGRPVVDDRNKMKHGRSGKRSK TSTA_115910 MFSSARGRIASVAISTSRSSVRVSSRASYSVSTPRWSDSASNPA NDPTPKKSVPNVSATNAVPVDSMGAFDGRLVEKPVEGEQNRQLQAPNRATTWAQSQKP RAEAMTGPRFEQTIIELQPQPYAAIELIHKQPVRWTKERVVSCDGGGGPLGHPRIFIN TDKPEIATCGYCGLPFAHEKNKSYLKSLPSTSYPLEPTGHPAEVEEAQRITEGGYEQR TSTA_115920 MSWFQKQFTLPSRSRGSYLITDTVINELPEIRDYKCGLLNLFIQ HTSCALSLNENWDEDVRADMSDALDRIAPYDKKGNLYRHSAEGEDDMPAHIKSALVGA SVTIPITNGRLATGTWQGIWYLEFRASKHTRKVVATIQGEKA TSTA_115930 MGTQLETPSGAPTGTTPKFSRYRSVRRAAAEAQNQWTGDAPPVK NETIARSMSRYRRPKTANGAPANCPPVPSLPVSAQLPQPVRPRAEEALSPLSGASHSE KVQSPLSDREDEDQLSEAEKARMREEAMRKLTMAERPKASAMLPQAHQDSRSKSAPAN DRERGQKGVAGHSRRASWKDRLGLSHHKKEDTTNTNNSNNNNNIALADPGLDAPVSAV NAGERRVLVKCKSSSIRLPVTPTTRVKDIVFSAANCFSESIDPRNAIILESFASLGLE RPLRRYEHVRDVLNSWSSDDANALIISDPPFDGASRKLELHAAPTTQPKDAKFYLYHS SKPGKWDKRYITLRADGQVVLSKKSDAKEAKDFTNVCHMSDFDIYTPTARQVAKSIRP PKKICFAIKKNFVRFFAASDSTIAQDFHDAVHAWRSWYLVHVLEVGKAQNETAHIIPT PKSRDGHSSPTQHQRHISTDTIPYQLGSFTPLIEFGRNAEPTVAVYEKLVEEPSATSR DMFLRKKKTRDHAPPPSSFPVNLPALETKKEALSPPDDDSTFSPDSLLGRTYSERQAA QREREGTESDPFLSHGLLKNLSPTSTDQSSQIMPFSNPSSRQNSRSNTVRSHHHPAEG FSHSRSNSVRQKGKPLVDLTPTYREPPHHARKGHGVKVDSGIPLVEVATGLEAIPGVP TIPSATTWRRDEAAPAPIPGHRRANTVKSQHHNHVPVLDTYVSPSGATSFTPNGLVAL ASPATQTNTSVGRGVATGDRHATRPLLDMTPQSQFAEGSLLRSIEKL TSTA_115940 MSHTALVTGATGLLGREVTKSFKQAGWLTVGQGYNRAAPPTILK ANLEDPADVKRILDEAKPQVVVHCAANRQPDACEKNPEQARKINVEATRALAEGTNAR GILLIYISTDYVFAGLEGEAPYETDAKTNPTNIYGEMKRDGEEAVLEATKETGLGVVL RVPVLYGPTEYNGESAVNTILDAVEKSKDPNANIKMDDWARRFPTNTQDVGRVCNDIA VRYIRDKNNIKSLPKILHFSAEEDMTKYEMAQRIAKILEVKIPGMIANKEGNDPNAAV KRPYNTHLSTKALRELGINVQAMKFDDWWRAYLRKK TSTA_115950 MAAPRSVAAALRRTRLSSRCRCTHTIFTPTVVQTPRHFSITTSN RADPYKKIQLTAAAYPQIKRDPRFAEVTKEHVQYFKQLLGTDSALIDGVNADATDDLA PFNSDWMRKYGGQTRLVLRPQTAEEVSKILKYCNENKLAVVPQGGNTGLVGGSVPVFD EIVINTARMNKIRSFDQESGVLVADAGVILEVADAHVGEHGYLFPLDLGAKGSCHIGG NVATNAGGLRLLRYGSLHGNVLGLEAVLPDGTIIDSLSTLRKNNTGYDFKQLFIGAEG TIGIVTGVSILCPPRAKAVNVAYFGLESYEQVRKAFREAKGQLSEILSAFELMDGRSQ GIVRESTGNKPPLEGEYPFYCLIETSGSNTEHDLAKLESFLEHVLGEGIVADGVLAQD ETQIQSLWRWREGITESLSHLGGTYKYDVSIPLPELYQLVEDCKNRLTEKGLVGDDDS FPVRAVVGYGHMGDSNLHLNVSVRQYTKEVEKAIEPWVYEWIAKRNGSISAEHGLGIA KKEFIGYSQNDTNIKLMKQLKNLYDPNGIMNPYKYI TSTA_115960 MASDGDMASRYQVMEELGSGSFGTVYKGIEKETGEIVAIKHIDL ESSEDDIAEIQQEISVLAACASPYVTQYKASFLRGHKLWIVMEYLGGGSCLDLMKPGT FSEAHIAIVCRELLLGLDYLHREGKIHRDIKAANVLLSQSGKVKLADFGVAAQLSNIK SQRNTFVGTPFWMAPEVIQQAGYDFKADIWSLGITAMELANGEPPHASTHPMKVLFHI PKAPAPRLEGNEFSQTFKDFVAQCLVKDPDRRYTAKELLKHKFVRSAGKTEALQELIQ RKQDWDSGKDHLDDPRYYAETLTTFSSKEEDDDGWVFDTVKAPTVKRPVIAEDFCEDP FVKSEEMKSMTPQSMSKPVANSTVRRTPAERSPSVKRSNTKRRSSGVKHPLGLNLSYG NSPSTVRQFRRVSDRGNNDQSQHYSYPPGLDENAAAKTLFTEPVPNSKEAQLGRRAYS KGIGLACQEILANTGDQEKREAISRLAEAFSDLEMVDPEGLYHILKLSNEKMRTDPKL SALLPEPTPTTPQKPKLVLAQNNPHLKSHRRRQSEQILGEQKGSPQASSGMPGQHVPG MEHTKQLADVLYQRWSEGLRNRWPAI TSTA_115970 MEEPTTTAAANHGSSLEVPLPQKPSTEEKEQTPLPSRPNVAARH AKRLTLNFPIVPPPNLRSEQSSPSVATPAAESSPRMMSPGQSIPDASILGEALAQEPT GLLTAIASQERKVLEIKEELQKAEAELTSLKKQWVRSERQKKQTEISHHAEAMRPIRS SVGGSVDHSSGDPSTAAASVTTPVDTVPAQIRRSKELERRSSIRSAAKGETTISANGR RVFAGSKHTRTLSLLSPELGVVRPPFPMASPSGSTTSNETQATRHPRSATLPSVERAD TSKTAEASDKSTDENHWRRSLPPPSSEALLRTGRQMASDLREGLWTFLEDIRQATVGE EGISATRNRTLQPPASTTPRSRSGQRSERSVTPVRRREQNGASVGRTSSSSSLFKDAA ASKKNGNNNSSSPHIISSAGTEVSFWSEFGVDTPGQTLKPNQTTVANNTRKGQTGSGN SNQLEVEEEDDWDNWDTPQPVRKSHTPSSSRSTVISRNEQSPSTQLSSPRTSASFGER NTDEGERPRSDNGIPWPALSKFSASQLTRTASSLMDEWEKSLTSPSSSSKAEQDDWEA F TSTA_115980 MSFPGFPSPMGGAGAGQNAGMSEQEQNMIKMMNAAAESCPFKIA LSGGAGFALGGAFGFLTGAMAYDVPLTAKGTEIYNLPWREQLKHGFKDMGTRAWSSAK TFGYIGAVYTTAECTVEGLRAKNDLTNHVAAGCLTGAYLARNAGPKAALTGCIGFAAF STAIEAYMRMPGEN TSTA_115990 MTDSTTTTIEHSKEPLNQEPPIQKLVSSFLTKTEATYDRNHGPI PHLNSENHTVTIDGQVTCPLKLSINDLTSEFQQHEVISALQCAGNRRDTMSTQLKEVQ GINWQDGAMMNCRWKGPRLRDVLLAAGVDDHVGKEGRFVAFGCYQVECQDDTWFGGSV ELERCMSVEDEVILALEMNDVPLTPNHGYPVRVVIPGVAGARWVKWLDRITVQNEHSQ NYYQQYDYKALPPEITSSDKAKDYWMKVPPLLDMPINSVVASPDDGETVKLSMDRRVE VKGYAVPQGRCGPVTRVEVSGDGGGTWVEAQLGVPKSKINDKWCWKLWKASVPMERGT GKVLLSRAYDAAGNTQQETSLWNLRGVAYNGYGMSKDLTVE TSTA_116000 MFKHGLRTFATTALRSAEASTAYNTKVSTAQGWVNGLTEAIGNT PLIRLKRLSEETGCNILGKAEFQNPGGSVKDRAALYVVKDAEEKGLLRPGGTVVEGTA GNTGIGLAHVCRSKGYKLVIYMPNTQSQGKIDLLRLLGAEVYPVPAVAFDNPQNYNHQ ARRHAESLDNAVWTNQFDNTANRQAHIETTGPEIWAQTQGKIDAFTCATGTAGTLAGI TRYLKTVSDGRVKSILADPPGSVLHSYIQSGGKLAERQGGSITEGIGQGRVTENLKPD IDLIDDSLHISDEKSIEMVYRCLDEEGLYLGASSALNVVAAKEVAEKLGKGHTVVTIL CDGAYRYADRLFSDKWLKSKNLRGVIPKHLEKYIVLP TSTA_116010 MPARSTSGPSTGSRKTSGQSAVSSKRASSATPSVAVPPEPPLPT TSSHLRADVCAIFADSQRSTTGHRKLVVRLRKVQEICCGITPPKKTKDGQIEEDREIP SSDETVGEQEFNVEVTRCILRILPIKKTESVGDRVIRFLGLFLNHASEKDGEVFNPAD VDETQMFPETPTTRLTMAIANMVVPLLAAKDKIVRYRSTQLLAHLVNSLDSIDDEVFR LVRTGLLKRIRDKEATVRVQAVLGLARLVGDESEGGLEDSSQALLEKLIDILQNDATA DVRKTLLINLPLSQTSLPYLLERARDLDAATRRALYSRLLPTLGDFRHLSLSMREKLL RWGLRDRDENVRKATGKLFYERWIEDCAGNKPSGEGTTLGQISAPNISALLELLERID AVNSGMEGGVAHEAMRAFWEGRPDYREEIVFDEDFWQGLTAESAFLARSFNDFCRLEN EDKYGSLADDKMPEVTALAYFLQKYSSALLTRLKQASASGENNEEETMELEFVVEQLL QIALTLDYSDEVGRRKMFALLRENLAIPELPELCTKLHVEVLRNVCGPDAAGESEFCS VVLEAIAEVHDAIATEDSFVSARSELSDDGSSRASRDRSMTPASDVPFDKEKAKAKVL REIMINMKCLHIAQCMLQNVEGNLQQNMHLVTMLNNLVVPAVRSHEAPIRERGLECLG LCCLLDKTLAEENMTLFIHCFTKGHEALQVTALHILADMLTAHPTLLLPVIQRDSETV TPPPFQKNIFKVFAKALKSTSPADVQSAAAVALSKMLLVNIFTPSGPNIPQAIKDHNE SSIEALLQSLIISFFHPRTRENPVLRQALTYFFPVFCHSRLANTQRMRRIAVPVVRAV LNAAEEYFSLEAEEDSDGDIDESVGEREIKALMTQVTGMLVEWTDERRVVGLGGENIL AGGTASSNAHGYVHLALVNDILERILGISAGPNKCSKEEKKLLMSMLSKVYISAPTAP ASTIAPGSRAGSRALTEATEDAFRSSNRSNSREEIDPEIVELAENVKELLNDALEEAA TDAASRNAIVKVKNTILKILAYASQQTSNSRDTNRNTRASTVDSDYTNAGPRSRQTSV EHSGQGVRAGTTEVAIDETIMEIDEEGELDDSRVTVIKNERTSEEPE TSTA_116020 MAAVLSPIPKLDRYIVIHVATTCDEHGVYVTKDSAEVIELGWIL LDTKNCDELHRESILVKPVNTPITPLCTSLTTLTWENVRNAGSFRDAVNRFDAFAQEH LISKNLEFSFVTLDSWDLRVQLPREARDKAVVLPPYLQHSRTFDLRTEYQRWQTHHPE SLPFGPSSLANICAALEVEPVQSSAPIKHNLPFHLQALAPASPRRAMEEAITLSRVLK GLIRKSQPAHEHPEILTRPMDARADVRAFLAERSKVLHMSGLPHDTTQSELESWFTQY GGRPIAFWTLRTPDQHKPTGTGFAVFSSHEEAAESLCMNGRALNEKAIEVSPSSSRVL DRAAEILTPFPPSKNRPRPGDWTCPSCGFSNFQRRTACFRCSFPAVGAAPDPYGYGYV PPSMMPPMNPHGGHGMGHSRVGGNGVVPFRAGDWKCGSEGCGYHNFAKNTNCLRCGAP RSGAAVVADSAFPSPMEPPSGFGMGPGSMAGTPTPAPFPTAGGGGGFGGFGQQFGAPP SNYGLPSGLGNTPGPYPPMGQINAGYGSANAPHSATSFGNPATQAAFTGADHGSHSNA LNGNNLYTTDGASDPFAFLSTGLGGLTVNDDNHGRRNGAAANKSPA TSTA_116030 MASGYDRALSVFSPDGHVFQVEYALEAVKRGTCAVGVKGKDIVV LGCEKRSAMKLQDTRITPSKIGLIDTHVCLAFAGLNADARILIDKARLEAQSHRLTVE DPVTIEYITKYVAGVQQRYTQSGGVRPFGISTLIVGFDKGDNTPRLYQTEPSGIYSAW KANAIGRSSKTVREFLERNHKDNMDREATLQLTIKSLLEVVQTGAKNIEIAIMAPGKP IEMLPTEHIEAYVKSIEAEKQEEAAKKKTGRTPGTGTAAILTRAGTTGEGSES TSTA_116030 MKLQDTRITPSKIGLIDTHVCLAFAGLNADARILIDKARLEAQS HRLTVEDPVTIEYITKYVAGVQQRYTQSGGVRPFGISTLIVGFDKGDNTPRLYQTEPS GIYSAWKANAIGRSSKTVREFLERNHKDNMDREATLQLTIKSLLEVVQTGAKNIEIAI MAPGKPIEMLPTEHIEAYVKSIEAEKQEEAAKKKTGRTPGTGTAAILTRAGTTGEGSE S TSTA_116040 MCKHVLNAQVSIRSPCCRKWFDCAECHQEQESHPLMQSFDMTFA CKKCKKCFRKDTSEFEESDEYCPHCDNHFVIEAKTPQASLQVEGEDVRMDARMLKDDR VRGDAERSIFNIRDAADRLG TSTA_116050 MAEERKDVEGADEAPLKPVSSLLSHFEHLSYSKSKPPTPGSNYD RISRPRFKDLEDESHPIVRASLDLPRSHSPWAVSGGSENGSVSAVDRTSNAPWMDSKR EKSPGSRRFSRPLSMNLRSIPQMTPSLTVESPRSPPRGANGQNGGHWPSFNRGTSDIT PATNPRARSPPGPAGTRVPNSPGARSAGAPQASREHSPSGSVKSSSVPPPVSRGEKPK IPAKPANMSNLDVRALTPTHERNQPTTAQISPFSTPPSSPEKPQVKAGQPPRKSPKIS RSLSTTPEPISLPPPVDRSTRPVTNATQSTDARSRPPLVTSHPTDAREFGFTRRGTGL SPSNHSRSASQIVSNTRDSRLARPVGHKTLPDDDRPELRPSLPPRDGQPRSVGPSELR NPDLPPRTSLDMPSRAPKIPTPSRLPTLEMNTRFAPPPRRQTATVSGKLGPDTPQTSK IRQHRSQITSHTMSKQASRSDSRDSEDEAYPEEAPVSRTDYPDSSQVNRRPPIFKTGP LTIPTRYDTREFDVCGKHACTTGYLTRVWDLTTGEQILSISHGETIKAHTVAFKPGTG LEDEGTRLWVGTSAGEIHEIDINTQSIVTSRSYPSRREVIRIYRHKKEMWTLDDEGKL LVWPPDETGTPNLQYSYDSPQDRVAKGHTFSMVVGDDLWLATGKEVRVYRPDAHGEAF QVLKKPLGANHTGDVTSGTHTTKDGGRVYLGHADGKVTVYSSTDYSCVASVNVSVYKI NSLAVVGDYLWAAYKTGMIYVYDVSTNPWTVKKDWHAHESPVCGLVLDPSSLWTMNRL QVVSLGTDNYLRLWDGMLEDDWLESRMQRRDVEYCNFREIRATIVTWNAGASVPRDLH GSAFIENAIHAEQPPEILVFGFQELVDLEDKKITAKSLLLGSKKRENNEKEHMSRQYR VWRDHLAACINNAMPLEESYVLLHTANLVGLFTCVFIKQKERERVRNVSAAEVKRGMG GLHGNKGALILRFVLDDSSMCFINCHLAAGQSHTAHRNNDIAAILEAESLPPEHSLST RTDLFVNGGDGSMILDHEICILNGDLNYRIDSMSRNVVIDAVRQNNLPKLLERDQLLA SKRKNPSFRLRTFTEAPITFAPTYKYDVNSDEYDTSDKKRSPAWCDRILYRGIGKVKQ TEYRRHEVRASDHRPVSASFKMRVKSILPEERAAAWEACQKEFSKEKKRLACESSIEY LVNVFGMEREQAREVITSGGSSKPKT TSTA_116060 MSPTPIALGYDLPTTTSTAYLVLFRSQVEGHSSIFDLADQDPTD SPSPIASDHPDSPSTTSSASFNIEDLISDPYPLTEEEQSFSQYTGYSPPLHPTSNGNG VSTNQVSEITLTPAHNPRRRRRRRRSEGSSSSSSSSVSESSETGVRSSARPPLATDVS SAGTRGTQRSTAGRAEGLPPSPKRRRLENMRPNNGDSTRTANGRPDASNGLLSTSSMR GKSSLKGKSNNSHLNNGQSNNTNGSAAKSSALSSSYFGHDREEVTRILIQGLYDLGYS GAASALSRESGYELESPAVVAFRNAVLDGQWAEAEHLLLESFEEGHEGTDGEYESPSS WGKLALAETADKNEMLFLLRQQKFLELLEARDLGAALMVLRQELTPLNHNIPQLHALS SLLMSPVEHLRELSGSNGSIALSRELLLSDLSTFISPAVMIPDHRLASLLNQVKSNWV NQCLFHNTAQSPSLYSDHMCDRNAFPRHNTMQLTRHSNEVWYVEFSHDGTKLVTTSKD KSVIIYDATDKFSVIHKFYEHHDAVVFATWSPDDSKIITCSQDKTAKVWDVQTGRCFM TIEHHTDIVTSAAWAPDGETFVTASMDNKAPLCYWGLRSRNPIHVWRGGFRAQDCAIT PDGTRLVASDNEDKLYVYDLASRAEEFCLSLKNHITSVSVSHDSRYVLLNLRNNQIQL MDIETTEVVRRFDGQKQGEWVIRSRFGGAGENFVVSGSEDSQIYIWHRENGALVEVLD GHTKGCVNAISWNPKNPCMFASAGDDCVVRIWTRDNPSEDSSNKGRLSKTSDFTRISA LRSTTS TSTA_116070 MPDLKTGLVQPFLGALQACTSVLLTLLYGVITRQANLINDDTIN QMSAICVKIFLPALILVKLGSELSLEIASHYIPVFVWSILYTIVSIGFGHVFSRCLHL PTWVTPAVTFNNTSSLPLLLLQTLQSTGSLKLITMPDQSESDCMNRAQSYFLVCAVVS KTMAYALGPRMLGHKDASRDNQENHHDDEESGSSSSNVSNEQEEELDEQTSLLPNPIQ KARQRFSSKSHRLLHTFIPGRVRHRIDSIDSPPFLDTAILCTATGVLIGLIPKLHQAF FSPYEDGGIFNAWLISSIENLGTLFTSLQVFLVGCKLGVSFQRMKRSSSSSSSNDQDE EEGSGRVPIKAVLVVYTIRLILWPIVSILTTYLIIRYTSILPHDPILWFTLMVLPTGP PALINSGLAELSDQVTKADKMAIAKMLAIMYALSPSICFTITGALKATEAVRTR TSTA_116080 MLGLRPRLKVRPSLLDLLSHKQTVVDSAAATRASPPLDLPPLPA TPTPTSTPPSTTPTAANHHSSLFSSSDSPSVGDKLPAEIIVELQSPPLPSTPSPPVMA PSDKKQTGDDQLGAVFSISGPVVVAEKMIGCAMYELCHVGHDRLVGEVIRIDGDKATI QVYEETAGVRVGDPVSRTGKPLSVELGPGLMETIYDGIQRPLKAISDVSESIYIPRGI SVPALDRKKKWDFKPTKKVGDMITGGDIWGIVYENSLLDEHKILLPPRARGTITKIAE AGSYTVDEKILEIEFDGKKSEHGMMHTWPVRVPRPVNEKLASDSPFIVGQRVLDSLFP SVQGGTVCIPGAFGCGKTVISQSVSKFSNSDIIVYVGCGERGNEMAEVLMDFPELSID INGRKEPIMKRTCLIGNTSNMPVAAREASIYTGITVAEYFRDQGKNVAMMADSSSRWA EALREISGRLGEMPADQGFPAYLGAKLASFYERAGKSVALGSPERQGSVSIVGAVSPP GGDFTDPVTTSTLGIVQVFWGLDKKLAQRKHFPSINTTVSYSKYTTILDKYYEKEHPE FPRLRDQIRELLSNSEDLDQVVQLVGKSALGDSDKITLDVAALLKDDFLQQNGYSDYD QFCPLWKTQYMMKAFMGYHDEAQKAVAQGQSWAKVREATADIQTALRNMKFEVPDDEK AVSAKYEKLLSDMSERFASVSDE TSTA_116080 MLGLRPRLKVRPSLLDLLSHKQTVVDSAAATRASPPLDLPPLPA TPTPTSTPPSTTPTAANHHSSLFSSSDSPSVGDKLPAEIIVELQSPPLPSTPSPPVMA PSDKKQTGDDQLGAVFSISGPVVVAEKMIGCAMYELCHVGHDRLVGEVIRIDGDKATI QVYEETAGVRVGDPVSRTGKPLSVELGPGLMETIYDGIQRPLKAISDVSESIYIPRGI SVPALDRKKKWDFKPTKKVGDMITGGDIWGIVYENSLLDEHKILLPPRARGTITKIAE AGSYTVDEKILEIEFDGKKSEHGMMHTWPVRVPRPVNEKLASDSPFIVGQRVLDSLFP SVQGGTVCIPGAFGCGKTVISQSVSKFSNSDIIVYVGCGERGNEMAEVLMDFPELSID INGRKEPIMKRTCLIGNTSNMPVAAREASIYTGITVAEYFRDQGKNVAMMADSSSRWA EALREISGRLGEMPADQGFPAYLGAKLASFYERAGKSVALGSPERQGSVSIVGAVSPP GGDFTDPVTTSTLGIVQVFWGLDKKLAQRKHFPSINTTVSYSKYTTILDKYYEKEHPE FPRLRDQIRELLSNSEDLDQVVQLVGKSALGDSDKITLDVAALLKDDFLQQNGYSDYD QFCPLWKTQYMMKAFMGYHDEAQKAVAQGQSWAKVREATADIQTALRNMKFEVPDDEK AVSAKVCLSTFMKQRRKY TSTA_116090 MATAPSGYSINVNDPGLISLVNKLQDVFSTVGVQNPIDLPQIAV VGSQSSGKSSVLENIVGRDFLPRGSGIVTRRPLILQLINRPPNKPQANGTDEDKAVEG TSDSAANVDEYGEFLHIPGQKFYDFNKIREEIIRETETKVGRNAGISPVPINLRIYSP NVLTLTLVDLPGLTKVPVGDQPKDIEKQIKDMVLKYISKPNAIVLAVTAANQDLANSD GLKLAREVDPEGQRTIGVLTKVDLMDEGTDVVDILAGRIIPLRLGYVPVVNRGQRDIE NKRPISYALEHEKNFFEGHKAYRNKASYCGTPYLARKLNLILMMHIKQTLPDIKARIS SSLQKYSQELAQLGDSMLGNSANIVLNIITEFSNEYRTALDGKNQELSNIELSGGARI SFVFHEIYSNGVKAIDPFDHVKDLDIRTILYNSSGSSPALFVGTTAFELIVKQQIKRL EDPSLKCVSLVYDELVRILSQLLNKSLFRRYPMLKEKFHNVVIQFFKKSMEPCNKLVK DLVSMEACYINTGHPDFLNGHRAMAIVNERTQASKPTQVDPKTGKPLPPRSSSPSLDT IPDANKEGGFFGSFFASKNKKKLAAMEPPPPTLKASGTLNERENIEVEVIKLLITSYF NIVKRTMIDMVPKAIMYTLVQFSKDEMQRELLENMYRNNELDDLLKESDYTIRRRKEC QQMVESLTRASEIVSQVQ TSTA_116100 MAPIHKVAVIQWHIKNLDPEYNHSKAIQFITSAASNGATLAVLP EYHLCGWAPESPEFYTQALRNQPYLKSYCQLAKALHINIVPGTIIEAERKDTSTDQHT LYNTAYFISSSGEILGKYRKKNIWHPERPHLTSSGADPHEVIQTGVGKVGMLICWDLA FPEAFRELISKGAEIIIIPTYWGKHDANEEALKLNPNSEQLFIDSTLTSRCFENTCAI IFANAAGPADFYLGSSRVVLPFIGAVGGKTMGAEEEGVMVVELDLSVLALAEENYKVR QDMASEGWYYSYRHTVDKRPAETA TSTA_116110 MGKDLVSHALRKRSASVDSPFINHDGDHGMNCTLSHEYLKTDPA FNPSQVAGTSPSAVTTSANTTPPRLPRLRTAKASSKEVAGSILHPKRTIFKYCQGKTA KSLSKATRPYITPQADREFLAAHDALFEAKKNGDKAPARKTRGRKGTPAARREKSPHS RENGVPLGEYQPLQTDAEIQTRKVELLEAHRQSIIIAWITTRHIKAVRVTPSRIADFP RLDDEKFIERDANGVEIRFRWDRYLGQPFTARYVDVDEWKSPLDMVALVERLVMATEP WQAWWMSIRDLYRWENPRRTAIWYAVFAVLWHTQHVVGFLYAYILYITLKNKFYPSNA DAIRESLDRSLDREAQAYHFGEMVDRYGREKWLEPLLDQIAPFIRVQLGDLVTLLEIT RNFYYWRDPRKTAASLFFFFSCLLVTLLTDMEFCMKVVWFVAINVFFLCWPIASRYPR YRYFVSPFRWIFWDVPSYPEWAIRFLQEHESLRRHEIKTHGLAHSKNTYSHDIHSNQE DTRGDAFHDAAETREHIAEKFPYQETDSIKSDNEDTASPYVQSVYFTAYQHGREGQLI LTSEGIRFVENPHLLTTFHQKRRQLLDQEPRWSLTYAQLLQMTKQRSPKFSKLAGLDL SLKRLDFEFSTDNTNASEEVFKGNVWDIKSSYHTMAGGKRTRIESVDVNQAERDEIFN LIVGWSKSRWQATSGQDNWSNKN TSTA_116120 MLLLECLSYLSLFGAVVTASHLNFHHSHKRSAVEYDYVIVGSGA GGGPLAARLAIAGYKVLLLDAGTDEGNATQQMVPALQLQSTEYEPQRWDYFVNHYTDL DRQKEDSKMVYNTSSGELYVGLDPPAGAEPLGILYPRAGTLGGCTAHNAMITVTAQDA DWQYIADITGDKSWEPDNMQTYFERLEANRYLPTSIVGHGFNGWLMTTLTSLGLVVED QKLLSLILASCTAAGKSILGMIINTVTGLAGVLLRDLNSPDRNWATGPFQVPLAVKLP DHSRTGPRDFLMNTIAATNSDGSRKYVLDIQLETLVTKVIFDQTGATPRAVGVEYLQG QSLYRADLRSSKAGPGTPGAVNATREVILSAGAFNTPQLLKLSGVGPRAELEQFDIPV VADLPGVGTNLQDRYENTVIGEAPTDFFITAQCTFLRTSPDPCLKQWEDNKIDPGTYA TNGIAIAILRNSSTSDTSFPDIFVSGAPANFKGYSPGYSAYSLADAKHWAWIILKSHV RNNMGTVTLRSTDPRDTPVINFRYFDEGVTTDGAADLDLQAQYEGMMFARQAFADLIP LDGSFTETWPGPNVTTEAEMKDFIKREAWGHHASCTCPIGSDSDPNAVLDSEFHVRGV EGLRVVDASVFPKIPGYYIALPTYQISEKASDVIIAAAKASGN TSTA_116130 MRSFAITAIFAVISTVVIATAPPPSETALLGELPPCGLACLEQE IPKSNCTATDLPCICTNKELNGLIALCVSQSCTIRESLTTKNVTSTLCGAPVRDRTSI VSNAGIIGGVVAVFFYILRMLSRVPQFGGGTLGLDDAVMTLVVALAIALAALSDVLAK HGLGKDMWTVPFDDITYILYIYYFDEDIYLSILPLTKISILLFYLRVFPQRYFRNAAY VAIALNVGYWIAFVLVSVFQCRPINAAWLRWDGEHPAKCQNINAQGWTSAVLNMALDI LTMVLPLHELRKLTMSMRKKILVMIMFSLGIFVTLVSILRLHTLVEFSNTSNLTWDYV ELGYWSTIEVDVGIICACLPAIRKLLWKIFPNTLGSAVRRGAKTPNYYEQRSGHSGGM IRENRRATLEDGDAFPLVEVTTLVEVTSHTELPKV TSTA_116140 MRFQSVVFPAFLAASGVSAFPTSENLMKMMSVAKVQRCPFADIK DGVEKAIQKRSLLDPLSSPIEVTGEHQFVPPDFAAGDQRGPCPGLNALANHGYIPHNG VVSLAEVVPAINQVWGMALDLGLILGVMGTVWVGDPISLNPSFSIAGESPAIEDLLNN VFGILGTPRGLNGSHNFIESDSSPFRDDLYLTGDAWTLNLTKFQHLYDMSTDGTFSMD LMAEFAKDRYYESVATNPNFYYGPFTGAIARNAGYIFAGRLFRNHSVENPEGVLTKDV LKSIFGVSGPDNALQYTYGHERIPENWYRRPVDFGLVELNLDLLGMAGKYPELLNIGG NVGSVNTFSGVDLSNLTGGLLNAANLLEGNNLLCFAFEVVKTVSPNVLTNIFSTIAAP LELITNALATPLLDLACPAFKDITYNGEPLWEGLQSAFPGAGWANAVL TSTA_116150 MELYINTQDEFLGNALQAASIGEGTKVVQMLSEKVADLNAQDRQ AEVNAQDGHYGNALQVASARANEKTQRTLFDEEANVDILYDYPCKEQYASFPEEQG TSTA_116160 MNKEGFNPGRSRFPNRPHVQEVIRYWKMLLNNEADVNSQGGPYG NALQAATVKEYIDVVQILLERGANINTQGGENIPRVVYLRMENLFYS TSTA_116170 MVQPLGVDRHGGAIKCETHGKRSSLSTSVISTEESYMKQSRWKE AKELQVPVIESIRHVLGLEHPDTLSRISNLESIYVNQGRWKEAKELQAQIIRIRMQVF VEFEDSDSSIEDGSVFSATLSIPSTRSLDSGRADINTLLVQGFATLLHEDDTVLSLLL LGRDRHTSRISDVIEVSNPEDEESDQDSVTEELGDDEPYEGSLQHLDQIKHLILQSTT YQILRHRPGEFVQPTLHSRLRDLVTRWSNPADKNHGEIARYNLWDLLTELRHVYHYRN KFKYDNNMSGFLRFTGHYQHIVERWTGERWDWWPLPRCPRNQRQGYGGIV TSTA_116180 MASNSDLGVVLVVGGCGYLGSNLVKMLQEEPTCTAIHVISRTPT QNLHPGVTYHAGDISDARQVADLFTKINPRVVFHTASPKDIAPESLLRKTNIEGTRNL LKAARETKSTRAFIYTGSDSALEQMPGVKQTEETAKLYTERSKDANPYAKSKAIADAE VQAANTSLTLTTAVIRIPGLYGENDDNCVGTLLETITRGEHNVQVGDNKPIFEFVYVK KACEAHILAAKRILEGRLNGGQAFFISDGVSLPYFDFARKLYAGAGHLVPPDQIKVMP LWLVVGIAKLGEWLYWAFTFNTKFPKMRSQRIRYLAGGCQWDVSKAREQLGYEPVTDQ DAVLKKVAQAESIRLGIKNKRIPTAINMGHHAQGQIERILDRGRSLVDLSADGVIELR RHSEQSIAIDKFKVSSAYNDIRGGPGWIHEDAAALIGKFLGLLRDRLSAATDSRYFLT GSKNCLLAGESDCSSKQADASLMKSGTKWPVVALEVGISETTTKLYDDANRWLKGSSG QTKHVILVDVQEKGRPDTSNDKWDLSEVDFLETRRDRLSDHILQWYQSQGIQLVGNFK LSVHLWYSDKYRQCILNEAAFSPGKLIDLTTIQDAPFEIGLSHA TSTA_116190 MCAQAVLAAVTWLASSLVAASPYEQCEASTSKFLKVETTNGLIE GHIVDDTPCVIEYLGIPYAKPPVGDLRFASPQRYEDHHSYEAKDFGYDCPHSTFPYID YPDFFEDANRIIDYFAASGGTPQSEDCLTLNIWAKATFRSNLARKPVLVFFYGGKFTF GNTNTPFYYGVNFANAEDLVVVTVNYRMNIFGFPGADGLDTNLGMRDQRLATEWVRDN IAAFGGDTSKITISGQSAGGATVDYWTYAYRDDPIISAAITHSGNVFSFPLPDPSTQQ DNWETVVDYVGCGNATDTFKCMRNASWEDIKAGAATVKSGQSSSPLRTVPSFYPKADN LLVFTDYLERTDQGDFAKVPILYGNNNNEAGFYAITVYSNGAVPTQEQDNVFNLESFT CAVAKQVESRRRHGTPAWAFRYMGDWNNTRLYPTSGAYHGTDMHMLFGNSAAVSGLPT SEPQRGLTKLMQHSWFAFLDNPRTGLSERGWPEYDPNEKTLVRLGNDNKPEYELLYPS SYDSFCSNTTLGSLGTSTTTSSVKVRLEAEAHKN TSTA_116200 MAYQAHGQTQYPKSHGDTAPPYADNIALNSSINNDSPQKLSQYS RFDPRGWRTRTRLIAAGGIVVIIIAIIVGAYEGWKANRYPNYSALTYSLKDTYEGENF FDNFWYWSDADPTGGFVFYLQRANAVWSNLTYATKDSAVIKVDTSYPNTIGGRNSVRI QSNNTYNNGLFIFDILHTPYGCGTWPALWLADSSNWPTNGEIDVIEATNNGTWGNSMT LHTTDGCSMGVKRKETGKVTSKNCYNGTNSNEGCGVSSTTASYGPEFNKNGGGVYATE LRDAGIRIWWWPRNSIPSDIADGKPDPSTWGEATADFPSTDCSISSHFRNQSIIANID LCGSLAAADRYYIDQAQCPSNCTAYVAANPGAFAEAYWEFKSFKNYLQEANTWGSSYA TDPYGTNTYGTSTYGGTSYSTYGDTTGGGANRTTYDPTTGAYDQHIDYDADATTRRHH REQMDESGRYYHRDVDRDTTGLDNTTRVHKEYSNPNTGTSYTRDSAYDRNNLV TSTA_116210 MRLSQWLPLPILTLLSTTITGSSTNYSTWMADSIISRGQGIAPE EPTVSTYLQIGIVQSALLRLIDAPFVASDCTKDDYRAYVRRGSESVLGKLLNASQDIS YPLDRFSLGRGLLAEYYDEGNRTVKSALDALNESIALQPRNQYGGLWYFTYPNWSYLD GMYSYTSFTSLYTTHFDPPAPNTATDAFQLDLLWSHCYDNTSGLLFHGYDASKTAVWA DPVTGASPIVWGRALGWYFMALVDWLDLNSFQPDPLQWGYVHRRFVALADAIANVVDP VSGAWWQVLNFAGREGNYIESSASAMFTYGLYKGVRLGYLPASRYRETANKAYEYLVN TFVVKNQNGTLGWNGTVSVCSLNSTASYEYYVTQPLLFNSVHGSASFVLASLENEMAV TSTA_116220 MSRSAAYLVVEPHPSVPSTRRPALHTSRGGAGNVISLRNTKTTD SQTATGPASLTRLDSRAPLTFKSGRGGAGNVHSSSERAIFSFDEELEREMRREKEIAP VFHVGRGGAGNLILNSEKSYGLERRQSSTSSSSNESLADRAKDRARQSLERTWSRLTG HQ TSTA_116230 MTTLRDHLAYTPQPLKFGTSGRRGQVIDLTQLEIYTNVLAEIYY LQSLPLSQGGIQTGDDFYYAYDLRPSSTRYVDEQETRRGGLCQAVEQALKDGGMRPLN LGAIPTPALTYFALQRGKGSIMVTGSHIPFDRNGYKLNTSKGELMKRDEQPINELVER TREEIMGQSCSESLFDEQELGPVLPEARTAYIQRFIDFFEGESLQGMTILAYQHSAVG RDLLVEIFEKFDATVIPVGRSETFVPIDTEAIDQAQLDTVQKLYDGVGQLSVDAVIST DGDSDRPLILAPREGKLCFFGGDLLGMIVAQFLDADAAVVPISANDAIDHGPLSKITE PKTKIGSPYVIAGMQLATEKGRTQVCGWEANGGFLTGSDLKRNGKRLSALPTRDAMLP LLCALFAAQNQRMTLTDLFATLPKRYSRAALLRNFPRSASLKIINRFSPPDAIMREIS FNDASHQITIRDSSNSPIDTQAGDEIKRIRTELETIFSPHRGFGPVIRLNYTDGVRMY FGNGDVAHFRPSGNADELRIYAIADRQERADDIAMQGVAEPDGLLRRLQNAV TSTA_116240 MSVRKAHNAGRNHIRNVVDYYQQIGHEKAQSVIDSITSSYAAEG QANPLLQHPGAPGSFPPPPFGLPGRPGQMPPPPFGIPPPGAPGGLPPPGGRGMPPFQP PFPIPAGGMQQQTPGAGPPAGMPPLPGGLPFPPPGGLPANFPPPGFPGGGPGGVPGSS ISPPPAGGFPPGPPGGGFRPPGMDR TSTA_116250 MWLSSSLSYLVAIVALSPFVSAIRLLQSTSLTECMANSNFSASL FQVTFTPDNKTLAININGISSISGNVTAEVVVTAYGFQALRQKLSPCDLKLDGLCPMQ TGPIAIDTTIPISDSVVKAIPSIAFNVPDLDGQVFVYINSTDTGETIACLEANLTNSQ TVHQKAVEWVVAVIAGLGLVASAITSGLGHSNTAAHVAANALSLFGFFQAQAMIGDTA VHMPPIVAAWTQNFQWSMGIIQVDFLQKIATWYQRATGGTASTLLQSLDTTSVVVQKR AFDTGMDLYRRGMNYFDRRATGTSQTVTISGIERVGFKADIESTNIFLTGLIWFVVFV ALVMIGVSAFKGICELLVKYNKMPSDKFQDFRNGWKIVMRGILFRLTLIGWAQMCVLC LWELTKRDSAAEVILAILMFLSMAIVLGWAAFKVITLARRSIAMHKNPAYILYSDPAC LNKWGFLYVQYRATAYYFVIPVLGYLLLKGIFIAFGQKAQVAQAVAFLVIEASFLIAV SVIRPWMDKRTNIFNISIAACNFLNAIFLLVFSDVFNQPGMVTGVMGIVFFVYNAVFA LVLLILVLIASIYAIVSKNPDMRYQPMRDDRGSFIKSNVELNTELDALANTARGGGEM ELKSTAYHPTYEEESHSISSGNGASINGATINHRPHDINKAPPSPIDPAVPLFPSHAP PSYEQNGYGQNGYGHEIERSRSPAQIGDFNPASALAMQNYRQQHNASPWQRGAGYDH TSTA_116260 MHKIVDGYEKVADNLNAHVHPNDPYPTTEYLRSSIKMGAEVLFL ERIEVSSEQPLWILCWAGTNVLASVLLSRKYTFFLGRCRVTVKITRLHDLRPGRYWRV DPQQVLCTYPDIFCICSIHGWSQCGLATWSSISGERWYGFDHGGPDPTRVSKDWIRKN IQIGPLGSVYPDVMVIPEGDTLTFLYLIQNSLGVPEHPDYGSWGRRYNRTSTTSGNRY YSDVADEMIGLDGRKHKSNQATIWRWRNAF TSTA_116270 MGVNSRRPLLPAPTESIVDTTGSSLTTTDMATDVSRRTDKSSYS LPDDGSPITISTRRRSSKKDDDDSSKLSRTSHQSQTSLLIEYFEREKNGSKLHSRPSV RVKVIPSGRKNREHGDTIQIREGGSSKRPHYTRRISVSTPSRQKTIAETNDDQSVTDD EQGNQPLEIEFLDRGNGSDLSNERYIQPTSEISSMPADSMLDGSNVSGVPRRKRSQSL DKARGTSEADLLKAPSRRRSRSLSRERIAYRVAQKLNAGDGARNTKELLGAESKPLKK RSHKYEDEEQPSVESSLLSGSNVSSRHKSGDALSFRSNTSKSSLNNTKFLETVEDAIR RLILPELKELKKDQKVSDNKLKFERDTIESGSGVNSKDVPKRQLSKHSSAPDVSKSSL FVASPEKEEAVTPEERRRRHKERRREKERETGTASPEDKIRIRKGSLPGNQREFTEEE KLRRQRSKGLRDAAAAGIVGSALTAAALKHHDSKSSLGKKPRKRKSKSHSSLASINDT DTELVFQKHNVPPMPFRSDIDTELTRDSLLSQRTSDSADTETPTPRQIKEVIRGSPRD LASPSPGTPLGSHRELSSRQSDRSGHEVDEKADFGDDDVFLEGAGGAIAAAAAGNLLG HHPDHDMLGPEEDIHHPRALSPIQSVASDHDLHQTQQEEVYQDKEADDRRYSIQSLSS APSTELARSTRPEGISFESRSEILKQHDEAPTELGYETDRNIESENGKEWNETSSYAG HDDDFHDENLKADTNYNAVHVNTYDNYDDTPRELNEGAAVNPKFVTPVAVESAVASLL EPSVMDTRSGLSQARSQADSLNRSVNGSNVEEVHEQSQAEGSRRGSPLKHEYDLHNHD EKSFTKRLGAASPPQSVTQSDEDVSEQEERFPLDSTIVDNNRDIHLEEAQYEEEESEI NTNPSIIQGPIGSVPHGSRDHWPYSATPPQVRDLQSSPQHDLNPAAAAAIGGGLGAGL GLSAAEHGIGYDQTYGQGYMSGRMYSTPPGAKDEGYISAANPMSPSNGTPEPRNKFST LDHANPPLLFDNRDLEDDPFVGSGHQRHFSGYSHGMASPIYDSATGRGLDSIESKDII ALMNHLTVRDAQRNARDTEILVTLVRSAAEMRSSFLEMKKFIAEQDELLMEANEKQHE RTRAIGGPRPLPASRSNRQLAAADYGEEVQAKRRNVFRRAMKSLSLKSSNDLTKIEDM LEQLLDEVEALRNAQEGRSVGTAPATNAASLNSNINGGAYPDGYEPEGLAGTGSPDGQ SGYTSNSSRPLADSRPMNTRRPSGNRISTVLEGDEEIDTYEQPLLEREVPYDDRVADQ RSVPEHLGTPPRKPVPTSSGSQETTPRKSDEKARKHKSNSSSFFKISRWSKTTASSVG EGIRNSMQTGRKERPSSEMSRSGSDLAKQDHYNTGDFYDPLGDDRLRSTYTLDEGQQD NRPPSPLVPSQVSEGPKYKAHRDSLNLQHPQPQKGPTDRYQTHLESQAQDYAPNSPSS ERWGSQSSLPHYSANATNRYSSGNARLTPISDAGYSEVSSSRGPPRPPKIKDAGPLVP QRPPKIKEDGSGSPHTDRFSARNNRGSASPVNQPPTRKPTGPRPITSSGQYSPGNIKR HQYRGSPNKIDYDDEY TSTA_116280 MEAKALGQLLDEKNQSLRLNCKSSQLFHLAFWTVYLLFLRTLGV FLRFIKLSPERLQGHILRTEFRIANIAVSIIQILPDRDISYSSFSKSTYRQQQPRQRD GSYEGSTLSTGPADDLMAPRKSSLESDYTPPAMFIHQPDMAYLRPQAPGPPYRRENFE NIRPGSSLISHLPPGIHANGRHRSTQIISQSLDGTVSPPSFAEPIPQIPLPSIPAFIA RMPFVSVSDSIYSSDSAQLPFSERKASAEARTYIDVLPKWSFEDSDGAHQVNPTSEND ATNASQGNALGFPARIRTDAQLNTLAAVAQQYARMNYRRSRDRRSSSAPVVVQNDAKE LED TSTA_116290 MILSRPRTQLAQPLLRYLHFRRTMASVHTAPARPRRFAPLGQDT DTSDAPKLQGIVFDVDGTLCLPQHYMFTQMRSALGIDKSTDILEHIRSLPTEQDRTEA VAKVQAVEREAMLAQQPQPGLLALMDYLEEKGIRRALCTRNFEAPVTHLLKNHLPNHI FEPIVTRDTPDLLPKPEPAGLLHIAEQWGLKNRAESMIMVGDSIDDMTAGHKAGAATV LLVNERNAHLKEHLHTDICISRLDELVDILEKGFVGDSAGDSCARSSST TSTA_116300 MAGISDDEGDSRTASVGQARSQGPTNSGKKRSQKSHKTSKKDLQ DLVPQGAKFSTTPLQVDPDSTSSSGPDSSNSDGEPDQNMNKRRKTNAPAINWNQASRS AIRTTLGAKPTAAVAKIQQAKSAFNAVNDKYFRSRSASISDGEAANKCDTRANENSTE AEEEPKTYFVDDSDASDSEDVSEGDDSMMLNIKQRSNGHGLDGAQDDVINLSTPPFVV DISPNLHPPQLDQQETQEDSSFISEPSITQLPPQSKAEAFSEFAASYKTSPAILADLK VKDLEIQARFFFYNSNINELDLTRPISCTECLQEGHLAAVCPSKECENCGAWNLHEDR LCPSVRRCQRCREIGHDSHTCLSSLKASAAETPCDYCGSDTHAEFDCDKLWKFPRIQP LDGPIKVSISCSYCTNKNHLFGDCPLKKVPTTSSTFSLKDYTPSVITNLNSVIGPKKA DDLGMSIRGRAANSQNTLLPNDEDTLVLHGKRSQPNPRGGRGKITFRGGLGQNRGLYG EQPPPPPPGLPPLPREPPPPFQPRDYRDRDDGFNRQRRRSRSPASSHRPQPGSYSRES SGPRGRGGRGRGRGRGSDQYRPGRR TSTA_116310 MSQSKGGRRRRSSSIIYQEPIESNEQMSDQAALPNLNANWVNAK GAWTIHFVLIAALKIFYDIIPGVSQETSWTLTNITYMFVTFIMFHWVRGIPFEFNAGA YDNLNMWEQIDNGDQYTPAKKFLLSVPIILFLLSTHYTHYDLTSFLINFLATLGVVIP KLPISHRMRIGLFSELPDDS TSTA_116320 MAQETRSEFRAAKPLPYELRQHCAIYFEEKLYSQALSLLLNILS YGTATHAPAYVPAPQHLSLAATLLVHPSTTTRAKLQEEKDAASAALQLLRLTLQLVGP ISSRLNVAFTFTHFESSRHGGQWKADESTTSVSGSGYDDDEVPLDLDLAKSKSVWSRA EDFWHVVGWTFNCSVLYPRRWERWQIWLEYMCLAMEMDWIQREKKVDLDKKLKPEEVL KDSLVWKFIDTVTTGYGRNRRILRAIFADGSATSVTEFREIFKNELKEPKKEDEEKKI SNSRKRTEVNIDKDEYGDYLFQDEDDSDVADKSLRTRPKRARRGTRAPDSAVVDDEAA AAEEKEAKKLADEAHAKGDVAAYGGFHSLELRQRLLSLLTKVSLAMPKTFMPTHALYH LFVENIRHLPLPIFQTFASPQTLPWMTADAQSTLCETLILRMRESSAPDSKDIYLHQE KLQECYLPYSANTASAVDNAKYSILLEALVILLARNDSLRNTPILRESVMTGNQRRIE KAEAEIRRNKASRKLEDEEWSWLVESADRLLYLVEEILPPVNLSDAE TSTA_116330 MASVTSLDKDLRNMRLSKYTPQAANEVRDWIEEVLHQKLPSGDL LDALKDGVALCRLVNLAVSPGVKFKESSMPFVQMENISHFLRACQLAPLSLPPHDVFL TVDLYERKDPAQVLQCIAAFSRRANTIQPSKFPRPIGGVKSKGGLMSPQGTGSNFGAS TRSRGTSNVSQTSSTTFDPVNRHNTPGRGSPHKTAQSPTSPPPTFSSWSKKSDEGTTA PAWNIHQYGYMGGASQGNQGVAFGARRQITTPSPAVPSLAEKEKRRREAEAEEERQRQ EEELRRQQEEQRAREEEERRWAEETARLREKERQEIENEKKRWAEEQSKWEEEEQRRL KEEKEAAERLEQERARKRASSDARLNGQFLSQYQATQKTAEESAESQRIKQLERELEL ARERERHYEQERQDLRAKEAARKARSRSRSRPRPVQPSYDFTSQEEERKLLRSEWKQQ QKNIAPVKEQEDTPPALPSRPLPNPLEATSPPSRPLPDPLKYSGGKDSRVDRFLASNP APVQSRPVAHRPQDYTTTSEVDAENDRRIASQAKTKAGGWASKSLLEREMERERERQR EWEENQKRTAEAAKRGVGANTGSAPGQGAWDVHQYGYLGGDSQNRGGTGLGVSGPRRQ IIGPRPPP TSTA_116340 MSPVNSLWFKWKALKLPWRRSWVVGQDLSGNTFWEFRDAINTNR LRRIVKYNNKTHYGDVKITPQWHQWLRYVRQEPPSLEEQRRDIMRQIQIKKLAQIADE KWAAKPSYLDKPQTKQTSPATRTSDKTLHPTKTSDPRSERQPGVMNQVQGQEQIQQDA SQKKTKALSRNVPTGPGEKWQPEAWTPDATRR TSTA_116350 MAAFGKGDLGHSSLWLSRGHLTHPGSAERNVSHSKSTYEKAENT AIPVFIMFAPAFDHSFNDLFNQYVNTDPSASGDGNKDIHFTSSFDQFFSVGSFSSDCG ELSPAGPKHSQQSSAQNWHKDAWTIQQDSLNDLQLHRPKPVHDTVQPSQIVSGFNTVS LEESSPEALGLLSTSPSSPPATPSCKIHSKSAPITPKSVRVRKSNDRGSLSRKQSYSP NLMRSHLQRSKMAYPENWLLRLQHLNGQLPGAADRLPLSPPPSDILVQNESMRRDSGI HLNSSTENLLRDATDFSSHYASAMFASKMQQQPAGFINQNTSTPPPVDDMFQTPSSSD SQQLHAWHADALASTFQLTSDINGHDSHWWSSPLPTRVSQPQTQNSYLVSSLGHKSPM NHPTHQQQQQHDLLQGGLMIDFGSTFGLGANADAFSSAAPLHSTTATHIPTTSHQQPT FSHHPYVPTSQPAHQQQFIAQPSRTPSVSPTNMTSPKSRAALKNSTPRRAHARKLSSN SISTPKPVKLSTPTKGSSKGSANVSFVNFTPEDSKKILTGVAPSGSSKTKARREQEAR EKRRKLSEAALLAVRNAGGDVEALEAVLC TSTA_116360 MEAPLKQYPPWNSLPTTFSRSQTASSLRDSSCASDDVSDISLGT INTTLTNISSTLPDFGIPIPHTPKLHFKFQNSVHTLSGTDFGYESDDSRVEGTEHVEF SEHDYQYPENSLQGDEIFAFEDYSYDPLENATSPYDPCDSTSEFDDFEDFEDIDEDFD GYTDDLQFDDSHIAFENVVRFDPDVSYIEAVELPDVDANEGSETPQMTLHELMLLADR QRGLVGNDSKGDNEEDDMNAETSIANGLIEELAQDHPRDVMDVDRQLFIAFINGLHGD TGRKYQPRLQDRVQGFREGRFQSPFFEGEKDSSGCFMLDEALKHVIGMFRHVVLREEF DELVHLAQSSRMQKEMSAASPHMQPSSFWDKIEGLLSERLLKGIASVGKDELSFFADG VVYALERPKIYTYDGIIAKGVENLKAMGENKVVELAALLIEGSDLVAGSGDQQNRYLT TQQWSHERRRKVM TSTA_116370 MDNPRLIGRGLAPFDLYPYNPSKTAGWVFVVIFGIGAAVHFVLM FPLRAWFFIPFILGCVGEAFGYYGRAWSHNNIRDGSPYLLQLMLILGSAPLLAATVYM TLGRFARSLQAEKYTIMSVRWVTKIYVLIDIASFGCQMAGSAMQASSDPTGIKLGQHI VIGGLIVQLIALGWFIFENTILHIRLTNGPTVITLKDPSIPWRSTLWMLRGVSVLIFI RSVYRLIEFTSGSDSALTKHEAFLYVFDASLLSLVAFLFVVIHPGRLFRKIRILTLHS LDEDGSMMLDERP TSTA_116380 MENQDSYNKSNKTTRRSHRKSRAGCGNCKKRRIKCDEKKPVCSN CTGRALECTFSIDNVETSISTYLPETTARFRPYTFSHNNLKDIEKKGASSFEAEYQSV STHSIGIQCNLDAPSANKQHISLDDLQLFHHFIVSTYNTISDDTGSCDLWQIHVPQWG MNVPSIHHLILALSSLHLSHKFPNRRDEYVRKADDHFTFGLQSVTAVLAYLDSRTSQQ IYISTVLICFVYFARGPRTGEYLVFGDYGQSEWRVLMRGVKSIVESHRSEVFTGDLAP NSQSMTVSVNPLLRKNETDSYLEHLQEMRTLIQQELPSDTDRAMYNSVIDDLLAVRAE VNIKRSIQWPPVGLMQVLIGWVYRIPDEFVNRLEQKESMALVVLAYWAMLLKYMRSVW FMKGWDVHVMEGISASLQKEFHGWIQWPLQQLEKD TSTA_116390 MRNQQDIGDMSPLTRSRSVSVSSDATPKAMLSSPPPVDPSPAFI APSSAAQIISVDMEQNEVMVSDEEGCINSNSLALLNGFLDYLLFSFLASAKSTQLSAL RPAIADVLKPRLAREVVAAADEELGEYMGGGDEDELQEFRGGRAPHGEFDLVRAWKLA RLRCMVYTRLGDLEEEEEDEYIVREGLDESGGAPRRFSGHAGNITPAAAIFLTSIIEY IGEHALVIAGENARNRVFSAARLASKLANPEGANQKMTVEESDMEKLALNSTLGRLWR TWKKGIRSSPILARSFSRESFARRSTTPSSKSAFAITDDIYGRDSRVDEHAEEKESRR RSIEPASIALPMNEKDVDEIEVPGLWVGEEEAETMQAAIAQKVRPRSLIVSRCSGPPT PTSPSRYPGTWPATNSPQHSRAQSVPVHIEIFKKADRSPVREAGLSLETVTENEGNET VLTTEAPTLEDRNVTSTSIPKKTEERSENELQPLATVSQTTSNEDIAHSMPGDAPGDE IVEGQGTYQRPKLNSLSMQRPKRKQSKDTTKKEGPDTYVVENRADYRDDHPSMQGGEM GRGVQSAPTQQNALHADDVSYAHESAQTILHPIAPTSHPRQLETDKQTTVEENINNLP RHSPNPSQQASSFYTESIGAPHSTSDDSEGSIHRSIPSRAASHAASVRSQPRQDDPSP GRERATVQRVYGPTTTSQASIRSRRSTSISEKRPLTSGSGASNVSSKLKVLIGRHPAE VDVPLPAPPRRSSDASRFAAGDDADEAALDELIKSDETIRFTLTPRTMREIEMPGSPR WNTARNDVHKDRTDTSDLATFFRTTAPPGEPVPAPRSTTEQEAPVICTKPAPSMIDSS RSHSAGGTVSEKSSPTSVHSTASPVSRTSSGSRAAMHQAREARVGGESVRDFAQFIRA TGPRTEAGPSGHTRDGSESLVNSSRPETAQSISSRTTAKPRSSTNSAKRNGPRLQARD PAGHDSNKTSDLIDFIREGPPGASTHRIPRTVAPFRNTMDSDDLVLNETARDNDTRVT PSLASTGAESTSSSRAPLLSKSGPADAPPIPARKRRGPRDPYAIDVSDDEDEEQFSEP SIPSKPPRAEESLLDFLNSVPPPVTQKAPEPFILTSYPLPPNTPSGQTVSSVRNRFRR NTVTDKTPTSKKSMPSMRSPKTVTKPQISSPLPQSNGLPANRSGYSAQMTRERGLASQ PSMPSLSRPARQTETGALADFLKNTGPPEPISRPPTIMSEDRKDSTFSKFFRRKRVEA TSTA_116400 MAYTLRTVLGLLLLWAGLSSALKFDLAATTSKSERCIRNFVSNG QLVVVTAIVSGSKGDGQVVNMHIKDAMGNDYGRPKDIVGETRQAFTSPADTAFDVCFE NTITNTRHMRGPVSREIELDIEIGADARDWSSIQAQEKLKPVETDLRRIEEVVGEIVN EMDYLRQREQKLRDTNESTNERVKWFAFGTMGMLMGLGAWQVVYLRAYFRSKHLI TSTA_116410 MSSPELTATMGPFSCANQQQGGQSGCSKTGTETCSACKLVLYCS KSCREAHWPIHKLDCTNHIRKSSWKPAWEIERRKPAFINDLDDSGTASMAIHGANKYL WGNVPAIDLLQLKENEGSNTNQDLCLLFAASGDPRNFIKTIASLSGEYQGYIHVDIND RDETVVARNVLMILIALNFPPETASDMIIHIWYSAFLTESIAQSIKNTILPIIQDVLN SNIVKPGASSSALWTYGETKLSVELDGKVWNLLLAYCNGNHYISFEHAADLRRSVTMV LSRIDYLDRAMFLLPPSWRVAKIKFRTDGLLLPFGAARGDFNVPNPTFFHSQTSWPMT DFADPLHGWELRDVLQEAYSAKDDIYGLLYMHIRQHLVKFCKRLSALWLSMSLFCMDA LVLPTRIVEIDDERRYDRIDVANITNLASLGLPRTISLYGPLLQHPSLNPKATLLTFF LNTATEMSTIQEREESKKKTDKILREFLPLNPYGPLRGEEYKRSAEFLNFGHSRSLLF DLEPAFERYMKRWRFLEIGKMSHLEMKARHTIIDKWPMRLSKRPTKREFELLRWSGHD GSERYVEWRRVL TSTA_116420 MALLLFAKRSHILSHFTPRLAAAVSLIALSSLNYGFDNQGIATS QAMATYKHQFGTYNPKTGSYAIPTYWTSLLNSLNFIGFAFGLYIGSIISARYGRRMSM FCMCVWATMSATVLVTSRQIEQVLAGRILNYTYIGMELSTCPPFQAEIVPAPIRGFAV GTYQTSLLLGGIIINSVCRGTSGLTTNAAWRIPQTLFYIIPTVVGIGVWLIPESPRWL LLQGRERDALESLRLLRTTASRDDDYDCEHELYLLKKSLLEEQNQGTYRDLFRGSNLR RTFIAMGMNFFIQATGSPFTAAYGTLFVQSLNSMNAFDYSIMSSCINTFCCLVSTMIT DKVGRRPILLIGSALQITWLFSMAGIGLSDNPTSSGKHAIIALTALSSASLCFSWDPL NYIITTELPASRLRDKTQRVASSVNIATNFAFSFSTPYLLDAPYANLGSKVGFIFGSL AIASFIFAYFCVPEMKGRTLEEIDGMFQNGVPVWKFGKYRGSFEMGASEMAVTVEEED AVYQEMHVGK TSTA_116430 MKQNRLRQIAPGLQRRFPRSRCLSPIPRLFSSFRPAQQQCHSTK KAPCNKSSGRSNSETPRKPRLRSVFDPGFWTCKSTWKRAGINTLRCLVGCTLGDFSAL WMLQTYYPGLGMGVIMGASMASGITTSIILETILLRRGVDQLSWLMAARTAMGMSMAS MLAMEAAENIVDYHLTGGVVDISDPKFWTAAAASMAAGYLAPLPYNYLRLRKYGKACH TSTA_116440 MLKRSPTGTWMPAWVPESTTTVAALLMICSMVQSATGGYDGSMM NGLNILPSYTEYFNLNAATTGLNTASVFIGSFFGTLCSGVLSDWLGRRSTLLWGSVVT LVGIVLQTAAQDIAMFVISRIILGLGLAISGTAGGVYLAETFPSRWRAWGVGLLNDFY YVGALIAAGITLGTGEWNSTWAWRAPSLFQGIFSLACIIIIPFIPESPRWLVHQGLHD LAREVVAQTNSNGNQSDPVALAVYKEILDTLNWEKRQGRTMSPKELIKTPTARKRMLI GISPGPFSCIAGNIIASYYLGSELDTAGIKDTVEQLKANVVLNAWCLVCALTGTHLAA KWGRKPTAILSQVLLIACLFIIGGLSKMYADNPDGASNALVYGDVAVMFLFQGFYSIA WTPLLYLYPPEVMNYSIRANGVALSAFSLNAFALVFVYIMPIGLANIGWKMYMINGSW DIVILILIIVYWVETKGRTLEEIDAIFEGEKHSSVPDVELLRKGKEHIDVGEVEQQIV AEVLATKME TSTA_116450 MIDGIFQSEGERCYLDRPVIAIAGLACEASTFTPSKTLAPAFHP RRGIECIQEYDFLKPGSPLANIAEWRGALIGHALPGGIVTRAAFEELAAEILARLTDI VSCTPPLDGLWFDIHGAMCVEGLDDVEAELLRRIRTVIGPGVMVSASMDLHGNVSREL AHRTDLITCYRMAPHEDEQETKERACRNLVDLLTKQRLAGDRWLRPFKAWIPLPILLP GEQTSTRVEPAKHIYAAVSDVEARHGIIDAAIWVGYAWADEPRNRAIVMVTGWDKTVV AKGAEDLAKLFWDSHADFDFVGPTGTFKECIDRALASSARPFFISDSGDNPTAGGSGD MTWGLTKLLNRPEFQDASGPTVIYASVPGPKAVEVAVAAGIGATVTVTAGAEVDNIHS GPLTMTGRVHSIKHGDKNAEIEVVLQVGSAYAILTKLRKPYHKEHDFTDLNLQPRSAD IVIVKIGYLEPELFDMAADWMLALTPGGVDQDLVRLGHHRIRRPMWPFDKRSETQPDL RARFISLSHDPLLGPDE TSTA_116460 MRPKTRKDFAIALPLEADAVEALFDEQYDRLGKYYGKQPGGANA YINGRIGKHDVVLCYIPGMGKGNAAGVAASLKVSYPGVELALVVGICGGAPPPPKYQE IFLGDVIISNFVVKYDFGRQYLGGRKICALLNGLRAENARRELQDQTQQYLRILQQRG AKWYRPNADDILFNASYPHKHYSHASTGCSCFGSDSPDNICEAALRQSCNELECNKGQ AIRYREPSEAVQTSLYIGTVASADTVMKSGQHRDEIVRKENAIGFEMEGAGVWDHVSC IIIKGVCDYADSHKNKLWQAYAAATGASAAKAFLDYWPVDHEDASKTRHLMTPFERND CFVGRHDEIQKLEDLISVPDRAKRLAITGLGGVGKTQVALELAYRMRDREPECSIFWI PCTSSEAVEQACMTIAEMVGLQDVKPAEIKERIKTYFSQNDEKWLLIFDNADDIDMWV NGNNDTLPLKNFLPVNNHGHVIFTTRNRELAVDLASPYVIHVRELDEKTGMEFLEKSL VRTDLLHDSRTTIALLEQLTFLPLAISQATAYINKKDIMLSDYLILLEEQEADVVELL SKDFGDNGRYNDIQSPIAKTWLISFSQVQKLDQLAAEYLSLMACVNPRNIPQAFLPQP GSKLKMRDALGLLSAYSFITIQPGNSSISLHRLVHLATRNWMRKEEQFSVYITKAADR LNEIFPHNDHTNRQLWREYLPHTLSLLSEREFREQQQQYIDYIQKVGACLYSDGRNNE AEKLLAQVMMDRKQVLGPEHPVTLASMNNLSLTYLNQGRWKEAEALQVQVMETWKQAL GPEHPSTLTSMSNLSVTYQNQGRWKEAEELGVQATETRKQVLGPEHPDTLTSMCNLAY TWKSLGNIQAAFALMEECFELRIKALGPDHPDTTSSSHILSSWNAADSGSWRFGRIWL ISVVVIPLLALAITGIQRLC TSTA_116470 MCVALLLRSGRTNRRKIVPTFSEAALKDKQLPNAVLIEYIPDLH RINLSTYSDHRMVGFCTILEKIYAVGIYHGNPYPRNMTVQTSTNRVVCIDFDLAQTFS GNRLDWQRMKDEKFMVDESCEDLTEDFKEGKLSRGWYYYYKYL TSTA_116480 MSSALENLQQRELAEEIEAINAIYEPDTIEINTISSASDNVPRT LDLGGTDNSTAAITTTIRLRIPEHPHLSFIFGFDDEYPETPPRVVGTASTSSRGEGSL TVDVLRDIVSRAHQPGQVCLFEVISEATEKFSELSIGGTTDPENASRGNETPVGEHAT DDQVDQTADNFMALSLKDSFGIDSPPDWILSDIVTEKKSVFVGRAAKAYLDYLLATDK KVASATHNISAWRIRERKDDNIERIFQDCDDDGETAAGGRLLHLMQLMDVWDVLVVVT RWYGGILLGPDRFRIINNVGKDALIKAGFQRDASSAKDKGKKKGKK TSTA_116490 MFLTKQHLLLSAFLAASTVQGHMKMSSPQPFGQDSLNNSPLAAD GSDFPCKLRGDTYSFTPATLAANTYHVGDSPELSLVGGATHGGGSCQISLTTDLEPTK NSKWMVIHSIEGGCPVNAAGNIGNDANAPDPTKFHFPIPDIAPGRYTLAWTWFNRIGN REMYMNCAPVQILAKGGSKKREEKTSISKRADFPQMFVANINGCTTTEGVDIRFPNPG SSVEQLGQASNLQPSGQPACSGGSGPVTGVVPASQPTGSTSAGGSSGSSSSASGGASG GSSGSAGAAGSGSTEGAPTTFATAAQPTTATAPENTVQPVASPPAEPSANPGAGAGTG ASGGATSGGLTGACSSEGMWNCIGGKSYQRCASGAWSAVQPIAAGTTCQSGQSATLNI VAKREYGGHIHNRRHLLSTA TSTA_116500 MASRPTVTIATAEGKPSGATHPLPTVFSSPIRPDIVQKVHTGIA KNKRQPYAVSEKAGEQTSAESWGTGRAVARIPRVSGGGTHRAGQAAFGNMCRSGRMFA PTKVWRKWHQKVNQGQRRFATASALAASSVPSLLFARGHRVANVPEVPLVVESKTFEN AAVAKTKAAVALLKAVGAGPDLVKVQKSRKSRAGKGKMRGRRFRQRRGPLVVYNPETD GKELVKAFRNIPGVETSSVYALNLLQLAPGGHLGRFIVWTSSAFAALDEVYGTTTTAS ALKKDFLLPSNVLANADITRIINSSEIQSVLREPKGEARTKRPFVQKKNPLRNKQVLL RLNPYAAQYSKDKVGQQKIEASKPERAGEQFQKILAEQ TSTA_116510 MSITYSRIEHPGHTFPLQSMSYHYDGGRHGQDRNSRHYRLPPPH SSIPIGLIADEQQTSSHQRTDAQHSRNDFPAQRENLPSVHQLLSGGNAGYLPSLPPPT SRHGHSLQNSPSIHSLPPSSHYKPPRKSHGGYPDYSSLATSGDREYEDNRSPQSGTLP PISQVGMEASYTRPSHRSRSHLVSDEDSSRSQSKDATASPRHSDPSLSHFVSSPGQEG SRPGSRGPAVPNQVVDERYLPGKGVCYIFADNSYCPKLIDGQPVNPNWGLTKAGKARK RLAQACIPCREKKVKCQPNLPKCDQCQKSGRECRFESAPRGSRARSSSYDPQSA TSTA_116520 MASSRSEETLPNGSTYADDKDYDRFLQYPDKVDYYSLLGLSRYP PPTDSQLQTAYHNLSLSLHPDKQPPHLVEAANAQFRRIQEAYTTLIDPKKRVVYDLEG EEGVEREWSAGGRMSTRMENGDASLGQVGPRAMPPAEFRRWFLARMKARERAALEELV GAKGSVSVTVDASSWISPGEEEDELRITWPPPMRVSRYVLGYNFKAALPDFSSAWTWF SGNHTEPEEEISQEDDKPEEQLQSSPEVTFHTSINGAVVQIRQPLKISYEDGTTEIVQ IDGPRILGGASFNLGASINHSFPSAGLQAGPQPKLSMRSLLDGASVGGTLGILPTRTL SMHIQKQVVPFPNGKPFTVLMKTDISSKPNKFMPKITTFVQRPFGLRKFGTLTYTTGS RLTWPSFVRGLLSPFIDMSIDPRRDLLSSNNGSLQLQYTTISDPVTADLAGDPEIPKS TRNEIWQWSVAATPVGGGLNLTYSRNFFADKLEDVPRSEWNLDGYHPTIPLPAHRGVR VEVEASVDLEGGMTWAIKALRRVGDFTTMGLGVGLRDRRGLVMTVQWHRLGQSIKVPI MICPFDLADADISTLAVLVPCLTYLGIEFGYIRPQERRHRREAIIRKRKVLKSQIPMR QKESAQQIELMSDYTRRRQAREKDKGGLVVVKAEYGYIPPKNAKITDDLTDPKVIDAT IPVAALVEKSRLFISKDTIRFQIIGFYDPAPLLPKTLKVWYDFHGLRHYTEAKDGEDL ACPQREHMLLSEDL TSTA_116530 MCFDICIDCCLEQWMVCFWPSLAKHRKKPKDESELEHVYVRQRV DGKVVRSIDTRPVRRSQTVNRGTSRLPPGVTVNAGRAREQSRANESRDRRQVRSDSNQ NEATDNTSNDRPHRDRRNTIDAGVQTTDSLLNVTAGPAKSRSKDQRNQRDDTHRGRNK DWDQENNQDSDDNNQGKNKNWNQETDRGGKKSKYDKRAKLKTQESDSQEESSKVNDRS DDTMDKTKGKAKAKDDNKSHNLGTNDQKNVDFSGDHKDKKDEKKNEDTEASPAAEAID DWPVLPENESKSPEAEAFTAAELIDDWPVVPENDSKSPEAEATPAAEVIDDWPVVPEN DSKAPDPATNAWNDWNTSEWNTGDWNTGETGVDNVKCKNSQSPTQARSPDTPWQRRGY FSELPWIRSYRETECRTRPMSCIPIQGIDGEYRFWVCDASGEFRLRSRAEIDEQYQPG HWVEDEWGWEYFKTYTYQKV TSTA_116540 MASVASGLFKSLPKPKYTGEDEEIPSHAQQRGPRVLGAGALDES QVVLRRTGPPPYGNRTGWRPRAPEDFSDGGAFPEILVAQYPLDMGRKGAQSTSNALAV QVDGEGKVKYDAIARRGHSDNRIVHASFKDLIPLRQRVDMGEISLDRPSEEEVAEQME KTKDALARLVTGAVAAQKPKNVRGGQRADPTFVRYTPANQMGDTSRKNDRIMKIMERQ QDPMEPPKFKHKKIPRGPPSPPPPVMHSPPRKLTAEDQEAWKIPPPVSNWKNPKGYTV PLDKRLAADGRGLQDVTINDKFAQFAEALFTADRHAREEVRQRAQMQQKLAEKEKAQK EEHLRQLAQKAREQRSTAASSRRESRARSFSGSRSPSPAYSSRSVSPSDDESAARERE KARRERRQEAERQLRQSRMGTERRIQMLAREQNRDISEKVALGLAKPTQNTESMYDSR LFNQTSGLGTGFNEDNPYDKPLFAAQDAISSIYRPRAQADDEYDEDAASGEMSKIQKS GRFEVLGRAKEGFRGAAEAEARDGPVEFEKDTADPFGIDSMIADVTGGSSSAGQKRYG IQQADRDEDGGRGSKRARVEEED TSTA_116550 MDQSLSLISATVQITDSGLALVHIPLDLYPFFVQPLLRLLYCDI PAINKLAEEEGEDVDRASLTPFNLGFINFSVTPVECSVVCSRQLAERFFTPLATKFNK LASSNQKVWISDDDFIAMQVEGQGLDAGRRVLELTGPLALAGISIFFISTYFSDYILV PARSKDQVIHTLSSQGFTFETDSDQFVNNFNIQSPPTPGLQSSIHISPPSTPPPSTVN ELQTRTFEFLRKNRIIPRVDQTVQLVQCSAHHRDGDTESSAAILRNALTTALLVDHPR FLSLTLTSADPAASLLLEKRLLPRFTLDPSMHSDDEESSILLGSKEDILIPITLDLRD VPIDATGIVCGVAGRLADATGHNRDPFPGAAMSIVSSPANESKRFSFGSANIDDLQLS DSVSSLAPSTSSATGLKVPEYTSDIPPPGIEAYHPAVYDRRPSHDANSTTKSTSIPHH RLEPEQYGDAVEISFLSTARAGTVLVWEREINLAVQALDAEKAQPAPTDAE TSTA_116560 MCGRYALGVRMFFVRRRMQEQGMQVDEAPEDDEIRETYNFAPGY YGAVYRADSPDHGFDDEPPPHDQDSENISSSPAEKDGEEEKTIKYKLQKMRWGLIPFW TKRQPDYGSMMRTINCRADSLAENKGMWTSMKRKKRCVIICQGFYEWLKKGPGGKEKV PHFIKRKDGDLMYFAGLWDCVQYEDSNEKLYTYTIITTDSNPYLKFLHDRMPVILDPA SKEMQAWLDPRQTTWNKELQSILKPYEGELECYPVSKEVGKVGNNSAEFLVPVNSREN KSNIANFFANAGAKKKKEEEEKGEEAAKGADKEEEKGRIRNETDPEVRETVDAEWTED NAPKPVPRSDRDKKTSPQSGLKRKFSTDESPPVTKRQKSLSPVKERDTAVGGRKMKSA TSSSPSKPKSIRNKRAAAAATTGSQRITDFFKK TSTA_116560 MCGRYALGVRMFFVRRRMQEQGMQVDEAPEDDEIRETYNFAPGY YGAVYRADSPDHGFDDEPPPHDQDSENISSSPAEKDGEEEKTIKYKLQKMRWGLIPFW TKRQPDYGSMMRTINCRADSLAENKGMWTSMKRKKRCVIICQGFYEWLKKGPGGKEKV PHFIKRKDGDLMYFAGLWDCVQYEDSNEKLYTYTIITTDSNPYLKFLHDRMPVILDPA SKEMQAWLDPRQTTWNKELQSILKPYEGELECYPVSKEVGKVGNNSAEFLVPVNSREN KSNIANFFANAGAKKKKEEEEKGEEAAKGADKEEEKGRIRNETDPEVRETVDAEWTED NAPKPVPRSDRDKKTSPQSGLKRKFSTDESPPVTKRQKSLSPVKERDTAVGGRKMKSA TSSSPSKPKSIRNKRAAAAATTGSQRITDFFKK TSTA_116570 MFSCASRRFVALRLPSTEASHVPRRSLYKDLPITYTSTQIRTAA FSQRIRRTYRSAARDIWRKNPVFFPFAIVSIIAATAVSTYLLRRFYLSATEVDEKVNR FPPAVAKELRKALYSTEINLEPQKALEHYRAALRVATELGMHPWSDEVLGIKLQMADM LVKAGYHKQAVQMLTTVMKDAFKWAADTRTRYSVTLPKDKPVADPNLRDLLVPEEETK EYELRQTDKTMKKVIGTHLLIAELQEGEHLKDPAKSFLARRQALEILRQEIRYREARG LPALATPEEGDGWLNAEEVGHIMSDLGETWLRAGRPDKALELLMPSIAILRDVEGKEI TCRQVVLLANIAAAMFDHKPDQQDATNKKRPPVTVEQQMQSSRAWALKAIEVSKMVQE DMRDEDCDLGCAAAADVLSAIADWRGADEEARKWLREEKRYCESANYAEGVEKAVTLL ASYDRARKKTAKVQ TSTA_116580 MAEQLILRGTLEGHNGWVTSLATSLENPNMLLSASRDKTLIVWN LTRDDQAYGYPKRSLEGHSHIVSDCVISSDGAYALSASWDKTLRLWELATGNTTRVFS GHTSDVLSVSFSADNRQIVSGSRDRTIKLWNTLGDCKFTITEKGHTEWVSCVRFSPNP QNPVIVSAGWDKLVKVWELASCRLQTDHIGHTGYINTVTISPDGSLCASGGKDGTTML WDLNESKHLYSLQAGDEIHALVFSPNRYWLCAATSSSITIFDLEKKSKVDELKPEYVE KGKKSREPECVSLAWSADGQTLFAGYTDNKIRAWGVMSRA TSTA_116590 MAAAQFIRSLRPTARNGLRTFPNLQPQSRAFGLSAHRANERAPS TAKGHREIQKERPLNQSIPNTTSTLTKDYPKVGADSSPPDFLSTVDPNYKPADPYPGK VEHLTGGRESAGAQKPELEVGEMEGITFKVEPLKRQGEDTSTMRARLLYQSRKRGILE TDLLLSTFADVYLGNMSKEQLQQYDKFLDENDWDIYYWATQEPKQAEKIASSSAGDAG SAVKVDTPTEAWKQGAGKTGEWAQTVGAFKPAYRPVPSRWADSEILALVRQHVQDKSA VGFHAAKQKKRGGSGLGRMPDVKVFDS TSTA_116600 MSFLQSLFRRFSRKQEETPPESHQHESFEDDAKLDDDTNWEEDT NQDDSTNLENGTNWDDDANIDAGTDLEDDANLTGEQETKNDSDTQTDLKWKGDTHPNT TTGSSGDDNKWTPRKSDAEPQYAYSRDRPYTPRFVNQGNSHLPPAVNATTLAASTPQY LTHAPPSSSFSTRFVNESPTTVVSEPRTGPRIKFFKPARKRTSKRLKDQSLTVLERKT SPSSQENYSITPQNAPEVAPRTASQNVTPNAPQNETNTNLKTEPSTSTNDKPIKPQDE STFDQADIADQPRLPWNWTAFGTKTKEVYEPTAVGKWWYMWAQENRDSPRVASNIGRI METELGVQRIGADRCTRCQIQGLECWVYSVKARFQVNNPGSACARCRADPACMGCSLS QRSKKRKGSPLPPPPPRLLLPKGGHPAYSTQYMSPETSTIGAYQPAYIAPSSRMPYS TSTA_116610 MTRSRACDPCATRKIKCDRGNPCGRCHTLGISCTTSRAQSKPGP KGPWAEKRRLARLQESILQDSATVPESQLVPVIPEQQQHGDGGSSSSSTVESPPTSSQ FSPTLPIPLIQQYLDVYHERLYSVWPVVDRDEMSLRIQDPEDAEAYALCTALTAVVLA HLKFNPSDIAQAAHAVDNTWVAGESERARATLRYHEKPSLELLLSSFFLHIFYANRGH VCRATVLIREAITLAQFLELDQAKHYIGLSKKEAQLHLRIIRILSITERGHTTRFDLP RILVLDPDLPQLETQDVQPGLVAFTDLCQLFHTFGRAMDSDMLIRTADFFVGTDKQLR GLQQVESKMQVQQADFLITQQWMRIMVWKMSIFHIKLSVNADDENLSICFPEHVAEIV LMYLSHLSRNIIEAHGIGMQMKLADVAISLADMLSCIPWVSERRQIMKVGPEEMVCHI AEFLASLRGNVNPRMEILFEKLQFRGWGNSPRPMPGFSLETLPTTIPTTTPTPITTVL PIRQIEDICNEAEEEEDDDEYDDYVKRTILHTADHY TSTA_116620 MAQHPEFRSSLHPPLDLSHHFSQTTKNRQSSNVKGLYKWFQIPG MQNVAGGLPHESYFPYDTLEAAAARPSRFDLPDSSKGKNNKPAEWHVTVPKIQDTTDI LKKIDLSTALQYGTAEGYPPLAAFIRKLTRDHLHPNIPYAEGPEIIMSCGNTDGFGKV IEALTNPWNKTRDWIRDKEGVLFEEFAYMNAVQTVQPRGLNIVTVAVDADGMKASGPG GLADVLENWDFSQGRCPHLMYTVTLGQNPTGSTTSIERRKEIYALCQKYDIIIIEDEP YWNLQFPSSRKHAAKYRGDAVQADLFNRNYNAHGRSSGYEFLDSLVPSFLSIDVDGRV VRLDTFSKTIAPGCRLGWVTAQPAIIERIARITETSTQQPSGFVQVMVAQLLLQQQSS PAARKATDKDNLGWTLDGWIQWLEGLRDAYERRVHDMCSTLEEGRTVAIDKPAPAAKH RRAPSNGGLDSWSVVSKVPMYDFNWPLAGMFIWIKIRYDTHPLLEIYGAEKVCKALWL FLLEKPFLVLCAPGTMFAPTEAIRGRASKYLRLCFAPMAEDAVTDISQHFVAGCRAFW QLENFDDIPGLDDPIMPEMMGMQMC TSTA_116630 MKLSPHLCLVLGTVVALPLDPTPSNCSCLADAVSVFSANPLAPA FCSQFVGVSTVVVSTTVTTPAATTVVVETVPAPFTITETFVDFESTESTHIVTVTSPT TECYSGTSFGNAAGPATAAATTVAGKLVRKASSITPLPTTLSLSLWPLPTPTWVPPLK DSLLSSACECFLGPSLTVTETSTDWVASGTVTATIDVTTVPVTTEVITLVTTHTAFVV TTESIATSTRTTTTTSYTPVPTNTQLGLNWYYYYSSQNYFPGHAITFDPQNFNSPKYN FSGYVENVASFQTVGYSTSSGNHECNLPANEPCEFVCIVIQGYLWAKEGPGIYTLSSS SDTDNVFYAWHGTKAYSDYKNTNYDYLAGDYIHQAGSVTVNIGVGELVPFTFMWANGG GPGEALLTIVDPAGKQHADTTGFFVPANSTCPGYIDPFSP TSTA_116640 MTPSRVPVDLTRRSNTHIGMRSVARPISKTIINCLPRSCADEDL CCSWCMRRRGLANILVGQSNENTLKKTENYYAARADWRKHFFIPLSGIKPSIIAANIS SETYLGEGSIVKEAWFYVLEDCEKKEGMILEAAEEEPEGATVGVAREAVEVARKAVGV ARKAVGVARKAVGVARKAGGAASWESLRLLRP TSTA_116650 MIRDQRDEERGIYVAPPLTDDGIEDEDLDNPVTHVLNVETNHHG HDGTSFRMPVWLRESSSSFQWRWIPLPLRKAGRVTARWVKGPDPPHDLLFQPLFPKIQ EIPVKFFDRYAPRKAHKVALLLLVYFLWLLPWFLIILKANTSGNIEDYGRPQVISCAA SYWAAGNRCGLNGNECRPFDASHLAFRCPGNCKSVILQQERTVGNQTLNYQSLVVGGP EPDSSELGIYRADSFICQAAIHAGVVSDSSGGCGVLKLNGAGHSFPSSTRNGITSTGF PSTFPKSFYFLSLSDSQNSCPADPRWPLLGITAASVAIISIFTLSPGVFYFSTFSMLI LHVGVVSDPPYFPSLAELFSILLSRLLPACFIAYVLYLYAARPLLQRVAHPGYQIEKS ILYLTPAFIGALNNYTFALWIPLQRLTPHDLANQPGAKVALATVVSIIIVIVLSQAWL IRQAGLMPRYLKVYLSFVAILLFLLALPGLRLRIHHYILAMLLMPGTIIPTRASFIYQ GLLLGLFVNGVARWGFASIIETPAALGEDPTGPGGWWGASYPNITNASVSIHLDKASP MHPIFYNNAIGSGSGSAYRGNGNITFHLWERQRMEHLGVDGISVLLNDVERWRGYLDE DRKGKFTWRRQGHRGLELVNYSDDLNLQDVDSGDESDDDMVSFTVQKDELHRRSSITE QAKDENEDEPQDLFFRFAFLKGSEAGHYGGAGVWLRDGRWIDPPPQQKRGS TSTA_116660 MRTSTLLVAALAATVSTAKCSSNVTIYRNESFLPGNKLPESHIT TDGKDIVNLFVSLTSSTKWTLISKTKIEGDTGEPEGMVRVGDQRLFVTSNQYTIPTVK YNKTINGTDRTPGAGFSHMLIYDLEGRRIANASLTAPGDIEYHGGGIDYDGRYVWITL AQYRPNTTATIARIDPLNLKVTRVFRTADHNGGIIHDTITNELVTLNWNGQNATTWSL NGYPKGFTALPSFTYPLRNVPNPSHHTIPTLLLAQACCGLQPDFNPVRSVMLCGGVGT FGKLSLGGMALVDTQTMLPLWEVPITLTSDLGVTLTENPVDVQVVDGKLRVWFMPDQH NSTLYVYEAN TSTA_116670 MRSKCHMPSNSSPGVDNVFGPAVGTGYRDGFDFTLLFEQSILSI PPATILLIIPPLRIRYLLRKNFRTRYNAVRITKFGKESFVIAAGFAAVHLALLVLWAY TTVPRTRATIPSVVLNVQLVILSWTEDTRAYPLLSSLFDISQARTLWALQGRNESIAT AFTASVGLKATFFPIESTGKRRYLHREYRNLPPESTSGIFKHSKLFLAGFKSVLTLND LDGLDKNLQSTGQSHKAKYAWQRRKRPERRFEVPIAICKALWWPLLSAVFPRLCLIGF TFAQLFLISSLLDWPRFIAMFRGAIASLVYEHRLSISDGSLDDRSAAITLMTTDIGRI SACLVSLNECWALPIEIVIGITLLSIRIVWVNLVPVIMTIFSGMGSMKIAKHIGGRQK IWVDLVQQRIAIMASMLSKIQTVRRMAAASSGCFDRIQKFLPTPSKPANSRRRTQPGR QKLIRATLGHAFCEKGRIEVSPRNIAYCAICGFINENEDTNRIDIKWYETVLRACALG YDLKLLDNGGRAKIGSGSSTVVSGDQMQRIALARALYSRKNALDNAAKKLIMTRLLGE NGLLRQLNSAVVETFLIFRSHLCPIQLKVGSDNSKRGAQRYSHELEVLVVILESKYLK IERISIGWQKILTYVFLVILHVFCARSVVEIWLERWATHDGAQKAHYGSVYLVLAVIN SVGNRGYVWAILVSLSPSMSRKLHRRLPNTLMRVSSSYLSSTDSGVILNRFSQDIALI EGQLPIGMLVFVPTFNWQEQFLRKNFKLLDTSQRPYYLLYCIQRWLTLAPDLIVAAET ATLVGLAIKIKGSVSDGLIGVSLNSILSFSNSLSSVTTSWTQLEISLGSMSRVRDFET NVEIEDEPKEEKGSPAQWPSHSMIQFRQMTSQYSPENVVLRNISFTVQSRQKIRICGR TGSGKSTFLATILGILEISHGSLVIDGIDITSLPREILRERLVTIPQSTLMPEGSSIR TNADLSRHHSDAEIIGALDCGCL TSTA_116680 MSISRKFPTRHAHTLKTHSGPVNTVIFSSYPGTYVLTGSTDRAI HLSRALPSSDTHSLVETTRPIQKYEAHGYSVLDIAVSSDNARFSSVGGDRQVFLWDVE QGSTVRRWTGHSSRVEAVQFAGEGDSIVVSGSADTTINLWDAKSNAYKPIQTLTEASD TVSSLHVHMPTYSIASGSYDGRVRIYDLRMGRTTVDVIAHPVTSVRCSADGNAVLVSS LDGKIRMLDRTDGKLLKAYGGESQVGKFGEKYMNKSLRIRSVFAKGDAVVLSGSETAD MESKENTSQAFVFAWNVLTGDIIATIPAGEGVKVISCVAWNEKGQCWAGACADGTVKI YS TSTA_116690 MATSTETASPIGIANLPNQRHKIVAKRGAAFTIMVAGESGLGKT TFINTLFSTTIKNYADHKKRHAKQIDKTVEIEITKAELEEKFFKVRLTVIDTPGFGDY VNNRDSWQPIIEFLDDQHESYMLQEQQPRRTDKIDLRVHACLYFIRPTGHTLKPLDIE VMKRLSSRVNLIPVIAKADTLSASDLARFKQRVRAVVASQGIQIYQPPVEEDDEHAAA HARSLSAAMPFAVIGSEKDVKNNEGKVVKGRQYAWGVAEVENEDHCDFKKLRSILIRT HMLDLIHTTEESHYEAYRAQQMETRKFGEARPRKLDNPKFKEEEENLRKRFTEQVKVE EQRFRQWEQKLISERDRLNKDLEATHAAIKQLEQELEQMQGSARSGRR TSTA_116700 MKTDFKFSNLLGTVYRKGNLLFTPDGTCLLSPVGNRVTVFDLVN NKSYTLPLFHRKNIVRLDLNPQGNLLLTVDEDGRAILTNFKRRIVIYHISFKGPADAL KFSPSGQHFAVGIGRRLQLWQTPSVPGTDAGGDLEYAPFVLHRELTGHFDTIQNIEWS SDSRFILTSSKDLTARVWSLDPQDGFEPTTLAGHRQGVKAAFFTADQEAIYTVSEDGA LFRWEYTTKRLEDEVDGEERWRIMRKDFFLQNDAKLKCASFHAQSNLLVVGFSNGIFG LYELPDFNNIHTLSVSQSNIDFVTINKSGEWLAFGSSKFGQLLVWEWQSESYILKQQG HLDSLNSLVYSADGQRVITTADDGKIKVWDTSSGFCIVTFTEHTGAVTDCKFSKKGNV LYTASLDGSVRAWDLIRYRNFRTFTAPSRLGFTSLAVDPSGEVVCAGSQDSFDIHVWS VQTGQLLEQLAGHEGPVVSLAFAADGSNLVSGSWDRTVRIWSIFGRSQTSEPLQLQSD VLSVDMRPDGKQIAASSLDGQLSFWNVFDAVQESAIEGRRDVSGGRKISDRRTAANVE GTKSFTKITYSADGTCLLAGGNSKYICLYDVTTGSLVKKYTVSVNMSIDGTQEYLNSK DLTEAGPRGLLDEAGEASDLEGRIDRSLPGAKRGDAGARNTKPEVRVSAVSFAPTGRS FCAATTEGLLIYSLDTEFVFDPFDLDIDITPDSILDTLQDAKKSSHATSTDDASFLKA LIMAFRLNEAPLIRTVYESIPYRDISHIVRSIPQAYLPRFIRFIAHSTEETPHFEFNL LWIESILSVHGRYLKEHNGQLAPELRALQRVLDDFRDSLKRLTEKNVYGLNYLLSKPV LASKKANNTLRINESAPVDQEMIEIE TSTA_116710 MSSVKIPSFDNLTLDPSGPKGNAWGLFGKDNHLGMLNLLTPDVI KQSAAEEIREGARFALDWKLNALHKPAFGRESFEKKIHHKVPRAVNDDVLVFNTQSST QWDGFRHYANQAHKLFYNGTSQETIETTDVLGIDSWVDNGGIVGRGVLIDVASYAEKN NVTFPPFESTVIPLDLVKKVAEEQQVSFRLGDILFIRVGFTKAYEQLSAEEGLALGQR AISRFAGIESSEATLRWIWENQFAAIASDAVAVESSPVDGPQVPPEWSLHQWCLAGWG MPLGEMFYLEKLASYCAKKKRWTFFFSSVPLNVPGGVASPPNAIAIM TSTA_116720 MSKTTPTQDPALASRWPTEFPPRRVSSQRRNQKSTACEACKVKK IKCSGGPPCESCVRNGIECVVDETQDGRRKIAIKRTIDDLEKDRDLLLDLVASMRDGK NTYVEQLLSLIRGHGSLGEIRAYIDAQVREAHIEQTPELERLQQEIQESRSVRAREMR SIMDVQRLSDIPVLQNPGSRDMQAGQLDCEYCSPFLVNAILAEASALSDYVEVFTDRD DAATRGEKFLIEARRQLFTMDDFATLPTIQGLTILSVVYVDQFSLQRMSIIGKDRSGW MYLGMTIRAAQEYENSVLKGNMENGNDSISYALWGIFNMITTYSVSLMRYEAKPLPRY PRPKSSHNTKWDVWSPYPRQSQPVPGHISCISHGWSDLIIIVSQIGKWMCNKEEQLGS DMATKVTNFYKELQNWKKDLPTCVQAESASVPQTLYLHMQE TSTA_116730 MIPSFALLFVSGLVQFVAAKDVYLQWDVTWVNAAPDSYERPVIG INNEWPCPQVDVDVGDRLIVDVTNSLGNQSTAIHWHGIHQYTSGTMDGASDVSQCPIP PGSTIRYNFTLDQAGTYWYHSHNMGQYPDGLRGPLIVHDPSPPFEWDDEFTVTLTDWY HQEMPELLDQYESTDNAQSNAGDEPVPDVALINDSTQSQFKVQPGKTYLVRFICVGNW PGHAFLFDDHEMTVVEIDGVWVEPYPVGERNIRIAPGQRMSVLIKTKDDASKNYAFWD TIDINMMFVYENKPIPEHYNPNATAWLVYDESLPLPPPPVINEFDFIDDLSFVPYDRT PLLEPVDHQIILNTGHTTINGISRFTINGRTYMPQQVPTLYTALTIGPKYYNNPAVYG DVNPIILKHNDVVEIVINNYHNNLHPWHLHGHQFQVLQRTNVDGGFFNGYYANVSSTP VIRDTIMVQNNGHAVIRFRADNPGVWLLHCHIEWHVEAGFVVTIIEAPDQFQNMYRSK DHIRICDAYGSPSSGNAAGKQGTNLQGANNYIYPGDSGASYPPPTFP TSTA_116740 MINWILGDKFYAIYPHKNSIKGLWESKWEAACLKAVYPFHDGAF EDFKPIFETLIAENINDAYTDEYTNAFLPTAKALETKAFEAAERGIWDKAAEYYRRAA VVYRISRFPYVSTITEGNTVTSPLKRAAFDRQKEVYLKAASTWSPPINEVIIPHKYRA GKDGETIPLLIRIPQQADITNQVPVVLLMTGLDGYRCDNSQRTHELVGRGWATVICEI PGTADCPADPADPESVDRLWNSVLAYIASRPEFNLKKIAAWGLSAGGYYAVRAASTHR NQFLGCVAHGPGTHHFLSEKWLERIDDHEYPFIISKAMAEKFGFQNVEDFIKDGQNKF SLLENGIVKKPSCRLLLLNGIDDGVVPIEDSLILFNHGSPKEGRFVEKLAHMGYPDTL AVAYEWFENLLTKGDNPGLRN TSTA_116750 MIASSNSTNDNACHATPRTLLGKVALVTGGGRGIGAGIALELAK RGAYVAINYSSSASSANQIIQSIEAEGSRGAAFKADVTKIEEIESLFNSVHRYFGRID IVVSNAGVEKFKPLSETALEDFNEVFNINTRGQFFVAKTAHKHIEQGGRVILMSSIAA GVSVPGHALYAGSKSAIEGFTRCFAADFGEKRCTVNAIAPAGIKSDMWLANAWRYAAG CDKTSSLEEIETALANGSPLKRCGVPADIGRVVCFLASPDGEWINGQTIPVNGGANI TSTA_116760 MKAQISSGVSSSVFSPTFDLNVYSKFVTVSGSIDLCRRRYLVST FVNISKRKYLQGFSMAPSLTKDVEPSKFVSSGKPNLDISFQDFLELSKVVFDWADSYD SKDWDRLRGIIAPTLTVDYTQIGLARWDSITANEYMKMITDPSFLGDPTVKTQHLLGQ TWWEKISDTEVVGHHQLRAAHQVYTDIDLKTVKVKGHGHATNEHYYRKVDGVWKFAGL KPTVRWNEYQFEDVFKACRH TSTA_116770 MTYLSLRNEEYSQKMKSAISVLGVGVLPLLSLASNTPGFRDAEA SPFTAFQFEDNGSWIANIANRPNNDLVITRTDVPEVWTINVDEGTAQVATNISDANNL IGISSIDDDEYVVIAGNLDTTTLTPEAGSFSAWKVSIDGDGVGTANLIAAIPDAQFLH GVVTFQKTRDTVNVLIADAGQGALYKLDTANGEYSIVIQDDGLAGVNNLRMQNGYLYF TATQNKVFGRVPLDLEEGTAVGPIETILNDQTIVPDGFALAPNGSVAFMATFVQNSII AIDLDDENYPTSIIYGGINSTDIAGPTTVQFVHNGPWEILYIATNGGQTAPVGGSFIE PAKIAGMYLF TSTA_116780 MTLSRRVLPAVAFIVTFTHGARVHFDLDLTWQVGAPNGQERQMI FVNGQFPGPPLVLDQGDDVTVTVSNHLPFNTSVHFHGIEQKNTAWADGVPGLTQWAIA PENTFIYQWHANEYGTYWYHSHDMATLQDGLYGAIRIRPSKQTEDPFEMITTDTTELR AIRHAVQNAELIIVSDWTQYTSFEYMDAMKQTGYDIFCSDSILIQGKGSVYCPPQGEL ISLMPASVQHVLQANVTDKGCLPFVTGTQGLWEHHAEKLPAKLNEGCVASEGSRATVE VDPSNGWASLNFIGAASEKALVVSVDEHPMWIYEVDGRYIEPQLADTFELYNGERYSA LIKLDKEPANYNITITDTGGNQIIAGYATLQYRGAENNARKSVPYINYGGQPTSPDVV ALNVSVLPPFPEIHPAEKADDFFLLNLSRINSSWQWSLGGTTSLPADLDSMEPVLYNK DSPGLENALKIATRNNTWVDIVYQLRLWDASITPVQPPHPIHKHSNKMFLIGAAQGIF NWSSIDEGVAASPQSFFLDMPLYRDTFVTSPRGEAWIAIRYFVQNPGPFLLHCHMETH LWSGMGMVLLDGYDVWDQIQSGYWDDAC TSTA_116790 MRAGMGSTSWAYVFGDQTSEFDSGLRRLLQAKGNTFVTSFLERC SFALRHEVTQLPPSDRRKFPRFTSITSLLSRYRESGPNPALESALTIIYQLGSFISYY GDGGQIYPVGSESYVIGLCTGLLASAAIASSRTIGELIPLAVEAVVVALRLGLCAYKV RNYVGQYDGRSQSWSAVISGVSEERALALITEFGKKKGLSPSSRPYVSAVSYNGLTIS APPLVLEELIDSELSKHAKPLRVAVHAPFHAPHLYGQEDVEWALNTTNHGSFKDYCSR IPVLSSTTGYEIAAESFEDLLRVAVEEILLKQLCWDKVLDGYSSIIKGSSVSTCTIFP VATSASSGLVSALKRAGISEVLLENTLSDSTKPGKFPSTTGRTEQSKIAIIGLSGRFP DAPSPEHFWDILYQGLDVHREVPPDRWNWKTHVDLTGKKRNTSQVPYGCWIPEPGLFD PRFFNMSPREALQADPGQRLALVTAYEALEMAGFVPDSTPSTQKDRVGIFYGITSDDY REINSGQDIDTYFIPGGNRAFTPGRINYHFKFSGPSVSVDTACSSSLAAIHMACNALW RNDCDAAIAGGTNILTNPDNHAGLDRGHFLSRTGNCNTFDDAADGYCRADGVGTVVLK RLEDAIADNDPIQAVIAGAYTNHSAEAVSMTRPHVGAQAFIFDKLLNEADVHPNDVSY IEMHGTGTQAGDAVEMKSVLDTFAPDHGRKPEQTLHLGSAKSNIGHGESASGVASLIK VLLMMRKSTIPPHCGIKTKINQNFPTDLKERNVHIAFKPTPWVKPESGLRRCFLNNFS AAGGNTALLLEDAPNRQIATIADPRSMFSVAVSARCQASLKNNIKNLSDWIEKHSSDM KEDEFLAKLSYTTTARRIHHPFRIMVSGTKVSEVQEALRAAASRETISTAPSVASGAG FVFTGQGAQYTNMGKQLFEGFLQFRSDVLRFNGIAQSQGFPSFVSLIDGSVPIEEFGP VITQLGTTCMQMAIANLWISWDVRPTFVMGHSLGEYAALYVSGALTAFDAIYLCGRRA QLLEEKCKVGTHAMLAVRSGLSEITPFLNPGVHEVACINAPGETVISATSQNIDALAE QLVAAGIKATKLKVPFAFHSSQVEPILEELTKVTKGVKYHKPSIPLVSPLLGEVINEK NYEQLSGTYLSRHCRETVNFLAAIEASRHAKLMTDKTVWIEIGSHTVCSGMIKSTLGP QANTVASLRRNEDTWKVLSQSLTSLYIAGIDIQWKEYYQDFKSSHEVLHLPAYSWDNK NYWIDYKNDFCLTKGGDPVPQITSPAPSHLTISAQKIVKSIGEANKATVITETDISDP RLCPVIQGHKVNGTPLCPSSLYADIAQTLAEYLIVNFKPELKGVGLDVADMAVPKPLI YKNAGPQLFRAAATADWDGRQVSMQIYSVTPEGKKMADHASCIVKFFDVEAAQEEWKR NAYLIHRSVDRLFQNVANGDSNKLGCGMVYKLFGALVDYDKNYKSMRDVILDSDQYEA TALVKFQAEKANFHRNPFWIDSIGHITGFTMNANDATDSANFVYVNHGWDSMRCATKF SADATYRTYVRMQPWQGTIYSGDVYVFEENEIIAVYGGVKFQGVPRKILNTVLPPPGG TAQVTKPATKGPVPVPVTVPKTKPIAKAVKCSAPKTPAPSVLVQAFKILASEVGLSEA ELSDDLVFSDYGVDSLLALTITGKFREELNLDLESSIFMDYPTVKDFKGLLMQSATPV DSSESSSESSVFDDSGLTEPPTPGTPGDYPPPMKVAPSLEEDKTLATIRQTLIEEIGV SAEELTGEANLSEMGMDSLLSLTVLGRLREALGLDLPSEFFIENPTMGQVGEALDLKP KAPIRQPKSAMLSTSAPLLQPEDLSSKLQHPPATSILLQGNPKTAKHTLFLFPDGSGS STSYATLPKISADVCVYGLNCPYMKTPEKLNCALQDLTASYVAEMRRRQPKGPYNVGG WSAGGISAYDAVRQLVIEQGETVERIFFLDSPFPIGLEKLPPRLYKFFDDMKIFGDGK APPPKWLLPHFLAFIDALDMYEAVPFPSNDPKYESRLPKAYLVWAKDGVCGKPSDPRP APSEDGSHDPREMLWLLNDRTDFGPNGWDTLVGKHKVASIEALHEANHFTMMDGSKAG GLSSFIKNAF TSTA_116800 MTPALPTKFPCWCRAIYSWGGESKRDLGFVEGDLIECLNAGDGS WWMGRLRRDKRMMGLFPSNFVVVLGEDFVPVTRSTTDALTRNDSADSRSLKKQRTVFR KPFQAHRHAVTPGEANRRAREKLSETPSTATSRENTPPRSILPQKPPRTPTTAIRARN TLNRPPSQTVSPSPAENARAPSPVPQTRDDFVSTAPSYPVPSKMTLEDVPYVPPQPPV REASPSPPPPPPPPHRVAVRSQQHRMSIAPTPIEMNNRYTVFAKTPPPRETSPLPPSL SPGHSPGHSPGGHSSVGHGNTPSPLRDAMEDVMTSLQDMGLPRGAPSPSPTPQFSNPW SPDAYNEIRATSPRPARPRANTSLGFGLDSEEDIDHGVNRFDEQQAYGQRYYDGPPQF NNYVQRMESRLRQLHDQSDQSTQDSEDDVGPPPPPKQHGYHARHDSIGVPRPQLRARK SAVELGKQFLNRTMTNKSNTTNSSSGVQSTFTTDTTSTNTTASLMSGTSAGQFSATSA GSFARHGQAKWGSFSAERPTTGMDSLNRGIFSDLERPLTPISGISYHSSHNTSRQGAQ SAVPWSPSKDLNDSPGVFGGLSTPKVKKNGFLKRFLESAKTGAATARSSIQSPHSGGS PSPTKHRFTQSFGSSRRSEQNASQPDLGTSSSIDWVQVRRDVNRASTPSRNERIERAE RCQMMDHPVIYAVEELYETAEGDESIDGLPLSEPTNWGAINLNLVDKSTRFISSLPPL TNPASLAQGYICRPYKSDVQRLRAIFIWVSEKIAWEEPLDENEVDLKRVINMKRGCPQ DVAFLVQEMCSAVGLHADIIKGYLKSPGELLDLTALSRPNHWWNAILIDGEWRFMDCS LASPTNPKRSQFVTTNSQHAESWYFLARPLEICYTHIPLHPEEQHICPPISPDVLLAL PPVSPAFFKNSMQIPNYDTSHIRIEGLDIMQLRILVPPDVECAAEVEAPAFARDVDGD WFESGDVVRKRALAQPDWIRGQKRFTIKALLPGDEGQGVLKIYAGKKGLMHSSRDIPH PLAVTLPILHTGENPPYEFLLRHPTPHAQRHDLYVIQPQCGKLAINNTFVFAVRQHPA APFSKSSSGDIPGDGRVSPSIMRPSSALSMVSSSYGGSVASSTSLDGFTANTSVVSSS KSTSNRDKPAKLAIQSPSGKILRLMRKADHMISTTNENSDSLTEGTPDGSVWETVIKI GERGVWRGLVLADRSARWCVFCEWESY TSTA_116810 MPQDTSMNLGPSHITSSGLLPFLKHARHHFLPTIRNGITTYSPI YVLGNQSADLDSIISAILYSYVPLVNLTDVRGGSGLRRLRPEFACALELAVSSSSSST ASTEGKRDKDGEDGIVQLLKEHILTVADLREGLLSSPDVVNTDAEPKKMGNKLELDCV MVDWNNLPHKTSPQEEQGKGSIDGLSDLVSFTVTGCIDHRPDEGFVPPLGRLPEGSPR VIISGPGSCTSLVIREIRERVLWPVSSSEVHEERQRQHVSEGSEEGDWEVQLAKLALA SILIDTTNLTAEGKVTDVDREAASFLTERISSSSPTGGGGKEWDRDSFFQKIQYAKAH SLDWLTVDEMLGRDYKDWTETTPSGDKKVIGIASVVRPLNWIIDKGEDEDDKSTTADD NLKSFLGKLKKFALERHLDVISVMTAFNTEDDGKEERFARELLVWGLEDDNSDALEKF ANTAKKQLGLQKWNEFPIEGSHEYSGRLYIWKQGNVSQSRKQVAPLLRRAFTG TSTA_116820 MVAPATTPANAQANNPRQRTNASYPSYGHNVPLSARRSAPLDLS TVERRGQPSAAREPTNRVRAHGLLEAPTFRPTEEEFKDPNEYIRKIAPEGAKYGICRI IPPESWNPPFAVDTERFHFKTRRQELNSVEGGTRANLNYLDQLAKFHKQHGTNLNRFP SVDKRPLDLYKLKKAVEVRGGFDQVCKLKKWAEIGRDLGYSGKIMSSLSTSLKNSYQR WLQPYEEYLRVAKPGVQQQLEVEQGGPYTPSPNRSPVAKRPMSATPSNARQDSPAVRA SNILNASIETDHVSEQQASATEIPVRPTPGFTAVNRPGGFTPINRSPSFAAVNHTPPI KRENENGTSTPKSIAPYPGKDKAEAKHTPVTNGHTSHPLKRTISHDSLAADSQSDELD ASGRRSKRLRKDGVPTVAGSHMSLLRPTPPKGKMKSGTRKTGEKCEACGKTDNPETIF VCDSCENGYHKSCLENPLNADPDYDWHCPKCLVGTGEFGFEEGGVYSLKQFQEKANAF KKNYFAPRMPFDPVLNTQRRETEDDVEREFWRLVESLTETVEVEYGADIHSTTHGSGF PTVERNPLDPYSQDPWNLNVLPFYGDSLFRHIKSDISGMTVPWVYVGMCFSTFCWHNE DHYAYSANYQHFGATKTWYGIPGKDAEAFEAAMRQAVPELFETQPDLLFQLVTLLPPD QLKKAGVNVYALDQRAGQFVITFPQAYHAGFNHGFNFNEAVNFAPTDWEPFGALGVER LQAFRRQPCFSHDELLMTAAARDTSIKTAKWLAPALERAVGREESDRASFLARHREIA RHQCKFNGSDWSNDADCKLKYVVDEEDLPEEEYQCHYCKAYAYLTQFRCDNTGKTICL IHADMYECCDEPLEQRMLGSHHTLRYRMTDESLRNLVQKIRDRARIPEAWTEKLDKIL EDEAKPQLKVLHSLLSEGEKIPYHLPGLQDLAAFVQRCDKWVEEANNYITRKQQNRRK NEKAWRKGTSKAAQLEERDRELRRVENIHALLSEADKLSFDCPQMAALEEKTHEIEKF RLEVHLALNSNIRSASEIEELVESSRNFNVDLPEVEKLETLLQQIKWREQSHVKREQH LTLDDVHQFIQQGEELGLSENDPDLVHFKELRRSGDAWEAKAKELISVEAVHYVQLEA LSAQASRFPVSPETLAQVEAILTKQRDAQNHIRSLYERSKDPDLRKRPTYKEVRELME SLEQLNSRPIGVIDLEREQKHHEDWMRKGKKLFGKANAPLHILKSYMEVVEKKNAACF DLDDRCRPPVEPSSRDNTPDGLLENPSASWFEAKSRKRDVFCICRLPESGMMIECELC HEWYHGKCLKIARGKVKEFDKYTCPICDWRRKIPRDAARPKLEDLQEWQAEIANLPFQ PDEEEVLNSIVKQATQFREFLQSFTNAACTTNEEVPTLIFYLRKIEGAEVLLAYETNF FRQEIHKWAPVAPEPPPILEQSLSTRKPRPTKSQKIMAQLGVDRVEDLPAHLRPKTIQ AGKRKSMDASQPGRTSQPGGSGTTDYKSDTPGENGAGLENSSTPFFSGSYANLGQDSS PSFRLSSTPEPAPALLPHATGHSTTFPSRSSPQGLDQPLFGGPSVFRDPQEGGPGVGI DTGNPFGSSPPRQEMTDVFADLTNDDPETVEPMENTHANEALEALDVSNGSERHDSNA EGDGNISGSGDDVETRDRDGDGF TSTA_116830 MTTTVSEEAVLTKPIPAFYCCYLLRSAKRPSALYIGSTPDPARR LEQHNGFAKGGAKRTERNTLRPWEMVAIVEGFPSRTGALQFEWSWQHVHTTRHIGAVE TDQLNRKRKNPPTDKGSGIWTSTPKVLGNLHQLLRSTYFGTWPLTVRFLSSEAHNHWQ RWIERADGLLPDTIRVKLDFRAEGASVLDSNLPANDMTHIDATYGGIQEHLAKSTSLL GDNTNSLSCEVCQQQLSTQTEIIVVCSHRRCHAVFHVNCISQLFLEDEGSSGLVPILG ECPACRQEVTWVELMKELSMRLHGGKNATKLLKGERKDKANTQGTKSSKGGKKPAKTG DYTLDGDYEDLDEDWMNAVNVEPSSEDGDRNAANVKGSTGRVEIVIDDSEEDGFD TSTA_116840 MAELSASDKEKRGALVVVEGLDRAGKSSQCELLYKSLLGMNYKA KYIRFPDRSTPIGKQIDSYLRGQSQLDDHSIHLLFSANRWELAQSIHEYIANGTTVIV DRYSYSGAVYSAAKGNPNLSLHWAWQPEVGLPRPDLCLFLSISPEEAAKRGGYGVERY ETDSMQKRVRELFLSLLDLPHNDEICVIDAGQSVDEVAQDILDPVLECMKNVDSIGPL KKLGPWTFIPTGESPTGRKD TSTA_116850 MGNEFDEQNTEKMRNPFVTVHSSAASAASSGLAARVGKLILPNR KPIRTPHYITITSRGTVPHISPDIVRNSTAIGSLYVGLEDFLDKAPRTIPILNIPQSH PRESRLRKFIAAQDDDVLILASRRAQPVVTPQANTPNSITILTSAGSYILEHAKWLET IQELRPDIVIGFADLTPGHVPGVKRRAKMVDRTHAYTRDATHYLYGESDSSSCNNMDD TSLYFAPILPLENTQQSLYLDDLEDELRPKISGLALYESASLSIIPDTLSDLARFCIG EPWVPQEILRDIALGADLFTIPFIGDLSNAGIALEFVFTPSSLTNTATNVTSKPLAFD LWDKSYSTDLTSISEGCECYACRKHHRAYIHHLLSAKEMLAWSLLQIHNHHVMDRFFE AVRESITNNTLEADIASFEREYESAFPKQTGQGPRVRGYSSYVSKTGEPRRNEPAYGR LNDALEKYAESQQSSVATPDTNAEGLERQGFAEKSG TSTA_116860 MEKITEKIAALPPGSNYFSLEFFPPKTQMGSVNLQARLERMAQV LRPLFVTVTWGAGGTTAAKSLELAEICQRQLQLTTCLHLTCTNMTRSLIDEALEQAKA LGIKNILALRGDPPRSEEYNMDGDDDSNKDFTWAIDLVRYIRRTYGDYFCVGVAAYPE GHPGESQTDIQDPEKDLPYLVEKVQAGADFIMTQLTYDFETYQKFEERLRNHPSGAIK SIPIIPGLMPIHGYKILTRVTKLSGAHIPPAIMSRIEQVRSDDERVKQVGVDIVSEIV NQIKSLPNPGHRGYHFYTLNLEKSVTLILERCDLIPPSSDDDAVEDDIAIEEVAVSNN KDDLKTLVSRRRASSVNSGPYNRVIVDKLHVPTDQQSEHSSRKSITYETLASSAGVPA LNPPPRSTQLQISEGIGSLGREATWDDFPNGRWGDSRSPAFGEIDGYGPSLHVNATVA KQLWGFPVTREDIGALFRKHVSGELYIVPWSEGGAAEDANLNAETETIKPQLLQIIEK KNWWTLASQPAVNGVRSDHPVFGWGPPGEGFVFQKAFVEFFCPSSDYQNKVKPTLLKH GSEEFSWFAINAAGHLESSFLISTSSSPKPEDTSSAVAAADEEPLEIRESTGMAVTWG AFRGREITTPTIIEEVSFRAWGEEAFRIWDEWRRIYPRGSATERLLNETKNDVWLLCV IGHRYGAGTVPGSEEEKKEMNTLWNVLAGDM TSTA_116870 MIFSRLFPRPSINPKLHIIRRFSLQRRLLNSASPDRAPEPSSSL RARLQKFNDRLPRFLRGYTTPLIGAPTTHITSFLVLHEITAVLPLFGLFGFFHYSGWT PLLSAGDNDTLNEAVQRFGKWMTKKGWVGSEDVEAAKNVSSCTPSADDQVLTQQKGAQ LVLQFAAAYVTTKALLPLRIVASVWATPWFARVLLGPIGRGIKGIFQRT TSTA_116880 MSSEQTFIAIKPDGVQRGLVGPIVSRFESRGFKLAAIKLISPPR ELLEKHYADLADKPFFPGLVTYMLSGPIVAMVWEGRDAVKTGRTILGATNPLASAPGT IRGDYAIDVGRNVCHGSDSVENAKKEIALWFKEGEVINYKHSQFDWIYEKA TSTA_116890 MAGPRSVKQQLVLFLSFLTILACFVLPAVSVKHENFKTCSQSGF CKRNRAYADEAIASGSNWKPPYELDPSTVELKNGYLHGIVSKTIKSGEKIRLPLTVSF LESGAARVVLDEEKRIKGDIELRHGSNIRKERYNEAEKWAIVGGLAPNKAAVFSPESE TGYTKVQYGPENQYLMVLRHAPLEISFQRDGETHVKLNDRGFLNLEHWRPKVDAPEGE TTPEDESTWWEESFGGNTDSKPKGPESVALDITFPGYEHVYGIPEHADSMSLRETRGG SGNHEDPYRLYNSDVFEYELNSPMTLYGAIPFMQAHRKNSSVGVFWLNAAETWIDIVK AKSTSNPLSLGLSGKKTTQTHWFSESGQLDVFVFLGPNPQALSKTYGELTGYTQLPQQ FAIAYHQCRWNYVTDEDVKEVDRRFDRYQIPYDVIWLDIEYTDGKKYFTWDPMTFPDP KGMQDQLDASERKLVAIIDPHLKNENGYPIIDELKGKDLGIKNKDGNIYDGWCWPGSS HWVDCFNPAAISWWKSLYSYDKFKGTFHNTFIWNDMNEPSVFNGPETTMPKDNIHYGN WEHRDVHNINGMTVVNATFQGLVERKKGQLQRPFVLTRSFYAGTQRMGAMWTGDNQAE WGHLAMSMPMVLNQGIAGFPFAGADVGGFFGNPDKDLLVRWYQTGIWYPFFRAHAHID TRRREPYLTGEPFMQIISQAIRLRYQLLPAWYTAFHEASVSGIPIVRPQYYIHPEDEH GFAMDDQFYLGSTGILVKPVVAKDQDSVDIYLSDNEKYYDYFDYTIYQGSRKWHKVPA PLDKVPVLMQGGHVIPRKDRPRRSSGLMRWDPYTLVVVLDENGSADGTLYVDDGEGYD YEKGGYIHRQFIFVDSTFVSEDIGTKGPKTDAYLKSMADVRIEKIVVIGAPAEWEQKE TVTVIEDGAKEATKAVLQFTGSKNGKAAFAVVKNPNVGIGKSWKIEF TSTA_116900 MWLLDIILEYGAPFFLITAPITSYADQIHSIHRSKSSAGFSLDI PLIMLIASIFKFFYWFGSYYAASLFIQAINMIFVQLVLLKIALTHRPTPSAKDNIEHV PFSGQYHDNTLMGFMRPYNFWRWRNEKPYWTVLGYFTGFLFVIHVFLPFISQTETYIN LLGVAGLTVEAFLPVPQILANQRSHSCKGFRVSVLASWLLGDAMKMSYLFYTKDVIPP AFKFCAIFQCMCDMYLGLQYLMYGTGKATHTATGNGDRWGANEKDIHLG TSTA_116910 MASLKKTIRVGYVPEHYLAPLHLAIRDPSYANLPFELELICFPS GTGHMITSIRSNEIDIGIGLTEGWIAGLTGKQQLEASKAAGDDGGYRIVGQWVETPLR WAIVTGRNREDLTGVSDLKDSRVGVSRLGSGSHIMSFVLSQQQGWSVDSLKPVILGPF GDLREGVSSSGASQNAEFFMWEQFTTKPYFDPAVPSTTPPPLKKIGEIYTPWPSWLIV ASTKTFPTPENDATLQALFELLDKGISTFESDTENVIKLLGTGDFGCHYVEDDAREWL KATRFVKHTRGLSSEIVQKTVDVLKVAGVIDPELPLTDAVQRVTGISR TSTA_116910 MITSIRSNEIDIGIGLTEGWIAGLTGKQQLEASKAAGDDGGYRI VGQWVETPLRWAIVTGRNREDLTGVSDLKDSRVGVSRLGSGSHIMSFVLSQQQGWSVD SLKPVILGPFGDLREGVSSSGASQNAEFFMWEQFTTKPYFDPAVPSTTPPPLKKIGEI YTPWPSWLIVASTKTFPTPENDATLQALFELLDKGISTFESDTENVIKLLGTGDFGCH YVEDDAREWLKATRFVKHTRGLSSEIVQKTVDVLKVAGVIDPELPLTDAVQRVTGISR TSTA_116920 MTTAISPRQSVINPDVERVVEVTDPDYITKETETETESSGYDSK TEEYPSALPLAFITMAVMFSVFLSALDQTIVGTAIPKITDEFHSVSQVSWFGSAYFMT FGGFQTSWGKIYTYYKLKNSFLLSVFVFEVGSLLCGVAPNTIAFIFGRAIAGLGGAGM STGAFTIIAFSVEPRRRPAFIGITGATYGISAVIGPLLGGVFSDRVSWRWCFYINLPL GGVVAAIIVFFFHTPSQAKPLPATWRERLLQMDPVGVILCMAAIITFIMAFEYGGQTR LWNSSVVIGLIVGFFLITATFVVWEYFQKERAMIVPRMFKQRSIWAGALYQFPFAGAY FLILYYLPIYFQSIQDVSAIESGVRNLALVLTISIGVAMGGFVVGKTGWTVPFMAAGA AISTIGFGLFRTLGFHTGTGKWIGYQILAGFGCSFPFQITINIAQANASAADISKATA TLFFFQVIGGAFSLAAAQAAFINKIIKTASSIDRTKIVAAGATQLREVFNAEELPMVL DGYMAGIRAAFTIAIGMMGFAFFASLLSSWKNLHEQKAEDSDSTKPDVIVAVA TSTA_116930 MEDHRRDPSSAGYEYPVKQRKVRKGTRSCWECKRRKVRCSFSAI NDNSTVCVACRKRRTPCVSQEFLEDYAPPSSLGIVAPVHGSETLGTSGIQKSTTAESA ALLTPESSRGSTVDDHRTLSGAHKLAELSKALHAAFPAQQDVNTICQTTSSCLLVISQ LHTRPRNEIDLDEDKLKKRLAEIPPSTTHPIILARHMLLLASLLQDMHTVEQEIRMTE TPLAIMDRLLDSVTTLIMKKDSLITTVDGLQCVLLEGTFHANGGNLRSAWLAFRRALS LGQLLGLDRPQIPSLPVIDSKRKVDLRQLWFRILYFDTFYSMMLGLPQGNVDMSMTYQ TLSDCPSIDQLELVQVQVASGIIERDKRHSFADEYGTTRDIDNQLLQVGRDMPNRFWL PPPPTANVTEKDAILAGFRDTFVLMDVLIYYNLLNQLHLPYLLWSDFGNKNYEYSKIA CIQASREILTRYIILLNHNQLSFFCERIDYFVLMASMTLLLVYLGNHRCPRDVAETSS AIPHLLTPHQRLSDRATMKQVLRLIERASAKGFEESQRKGLNLLRCLLSIEADAASGQ NYTISTGVSHSSSYQRGPEYFHTVGSDVEDSVSINIPYLGMIKITRQTTPMPEIMNSL QTDPSAVNGENMYQSSTTTQVPQQFSYGLQELGDDLAAASDNYRLSFQDIDLDFFDSL VRRRDFEFGLDGYDGMIS TSTA_116940 MSQSQVTVHSTEIDITDLPTKSVTFAPNRATVTREIENIQTKLG ANEIIILGLDPDIDPDSVRVEGYGLATITDTQTEIVPRKQQFEDQFPDLVEDDEDESE DNSTLSDDDYGVDRTAFEKAKSELKSAEATLARYQNRQDTAVKVLSFLDHYGQSIKAE EVDVTKMTDFLTVYGEQREQQSEAHISTSAGIALWTEEVEEARKRFNRAEAAFKKAKD AASREIRRQREKKQREKQQKRREKQRIIQQRRRFWTHNVGQVVVCLDRASEFTPASSR RQSITSVTGPGVDSTPSKPLDEDHINLRLTYVVPSARWAPRYELKISTPKSSADLVYR AEFENTTSETWKNAKITFSTSQTFFSGLDEKIPILDPWHVKLGSVVGTFTKKDVPTSS VQSKKEMMANNVGPFHESKKMRTAFGNTNPANVNLFGSAPTQQQVQQQQQIMRRAQQI QQMQQHQPNQMAQAHIMPRALPPIASSSHARAAPGIAFRKAPTSAADDSDNESEDEAD ELTLNPEDHSIAHQDSIRQDYGLTTTYDLPGQRTLEPSTVQRRHVIAELHLASIILSH VLIPKLRRAAFLKARITNTTAVSLLRGKAGMTVDGTFLGSTTLPSCEPSHFIDLSLGV DPSILVSYAKPTARRATTGFFSKEDSTIFTRSCWIKNTKKSEVSIIVLDQVPVSEDEN LRINILQPKGLDKENDTANLNELFKKGENGKGDVLLGKDGQIKWNIKLRPGKDVKLVL EYESRIPSGQQIVGLD TSTA_116940 MSQSQVTVHSTEIDITDLPTKSVTFAPNRATVTREIENIQTKLG ANEIIILGLDPDIDPDSVRVEGYGLATITDTQTEIVPRKQQFEDQFPDLVEDDEDESE DNSTLSDDDYGVDRTAFEKAKSELKSAEATLARYQNRQDTAVKVLSFLDHYGQSIKAE EVDVTKMTDFLTVYGEQREQQSEAHISTSAGIALWTEEVEEARKRFNRAEAAFKKAKD AASREIRRQREKKQREKQQKRREKQRIIQQRRRFWTHNVGQVVVCLDRASEFTPASSR RQSITSVTGPGVDSTPSKPLDEDHINLRLTYVVPSARWAPRYELKISTPKSSADLVYR AEFENTTSETWKNAKITFSTSQTFFSGLDEKIPILDPWHVKLGSVVGTFTKKDVPTSS VQSKKEMMANNVGPFHESKKMRTGGLFGASTTSPPAFGSVNAAGLFGSNNTGGAAFGN TNPANVNLFGSAPTQQQVQQQQQIMRRAQQIQQMQQHQPNQMAQAHIMPRALPPIASS SHARAAPGIAFRKAPTSAADDSDNESEDEADELTLNPEDHSIAHQDSIRQDYGLTTTY DLPGQRTLEPSTVQRRHVIAELHLASIILSHVLIPKLRRAAFLKARITNTTAVSLLRG KAGMTVDGTFLGSTTLPSCEPSHFIDLSLGVDPSILVSYAKPTARRATTGFFSKEDST IFTRSCWIKNTKKSEVSIIVLDQVPVSEDENLRINILQPKGLDKENDTANLNELFKKG ENGKGDVLLGKDGQIKWNIKLRPGKDVKLVLEYESRIPSGQQIVGLD TSTA_116950 MSNSDTNNILQLPNPAHIQADSMLARRFGRETVNYFGGSPLNRL SFLRTDHAFLSAAVKHPSARFVLLKDLSPLIKGPNSLYHAEYKDVQKLVPPTIFDKSE EDMLKEFDSRITKPELIFLGVDESAAASVQTGEELLQWTIYKGRPYFALDVSEKGTDE QKAEAKSVVEELAARGITPFTTRQHLLQPPTDGAIYAQARAYMDWNSRNKFCGTCGHR TLSINAGTKRACPPTDQALAAEGKSPEKGPCPTRTTISNLSFPRTDPTIIVATLSADG KRLLLGRSKRFPPNWYSTLAGFIEPGESVEDAVRREVWEEAGVTLSRVVIHSTQPWPY PANLMIGAIAQVSDPAHEVINLEHDPELEDAKWFSIAEVEEALKNGAGMLGEPPSKEY VEGNLRLPPVTAIANQLIQAAINQEYVGDKLAKM TSTA_116950 MLKEFDSRITKPELIFLGVDESAAASVQTGEELLQWTIYKGRPY FALDVSEKGTDEQKAEAKSVVEELAARGITPFTTRQHLLQPPTDGAIYAQARAYMDWN SRNKFCGTCGHRTLSINAGTKRACPPTDQALAAEGKSPEKGPCPTRTTISNLSFPRTD PTIIVATLSADGKRLLLGRSKRFPPNWYSTLAGFIEPGESVEDAVRREVWEEAGVTLS RVVIHSTQPWPYPANLMIGAIAQVSDPAHEVINLEHDPELEDAKWFSIAEVEEALKNG AGMLGEPPSKEYVEGNLRLPPVTAIANQLIQAAINQEYVGDKLAKM TSTA_116960 MSHLDASKLFSLNGLVAVVTGGGSGLGRTMALALAQNGASKVFI IGRRADALNETASLSPRLGVIIPVIGDIGSKESLENAYKTVSSQTEYIDLLIANSGII GPANARNVTEDGETVPLEKYRESLWSIDPKEMTHTFHVNATGAHFTVVAFLPLLDAAN KRRPPQVPNSGILSPPRPQIIITSSIAGFMREIVSGFAYQASKAAVNSLIKMWSNNLL NYQIRVNGIAPGIYPSEMTTGRLREFGTQHGVTEGDAPKTAVPLTRLGSEQDIAGLVL FMAGASGGYLNGTIVISDGGRLASKPSSY TSTA_116970 MAASQRSPGLKDEWRATQEHQDKSAFVRGRFSEHERGKNRHRVR RRPSGPDRRSRSPVSSRFGQPSGYEDSLGQFESSSIPSASRRPSFSSSQSLPVSRDGP RAQEANDVSSEKSYGRGGADREAFSQTRRGRSPRGPRRDRNRKNRNAGNHQRGQSSQQ RRPSRRERYQERNSRARPFPSGGFDQLENNPKSRSSLSPARPDTVLGSASQRSNSPGF SYEDRNKSGRSPSISSNLKGETSTYKHRPATAEPRDSPSMSRPTSSSNAEARNDPSGN NNASESFSRHGTMNTTQDFDMRDAPTGPRADRQHASQYGSYHGGSEPNSPYPNSRGGR NKHMGNDQDSYQHDTNHAPSNMPPARGGYHRGFQDRRFSGHGPSGFNGSSSQRGRGHF NSHQWIPTGPRDRGEQNNSPNFHHSGSNTPQYQASQSNDQPPAASHHDDNSRFLGDVN MEHVQAKPSADLGDAQTMPPPPREPASSTTPAKEGSKFSFAFKSKANPPPPAKPVPDL AQRMQVREPPRGPAMRPPEQPSRNRMSGPPPPPKFKQDHRRDRDRDTHRGRGRDDRRD LHPRNPRDSRREDHRFDPRDARHRDDQRPDFRHDRRRDRSPEPKRQKRTVQRVRPRQI LPEEFRDSDSVYYRKPGDESVIGAGTYGKVFKAIHIYTQDKVALKRIRMEGEKDGFPV TAVREIKLLQHLRHNNVVSLLEVMVERNECFMVFEYLSHDLTGLINHPTFTLTEAHKK DLAKQMFGGLQYLHHRGVLHRDIKAANILISNRGQLKYADFGLARFFSKSRQLDYTNR VITIWYRPPELLLGDTRYGPAVDIWSAACVFMEMFTKKAVFPGDGKELNQLDKLYSSL GTPTRADWPGIVDMPWVELMGPTERKKRVFEDTYRDFLSPSALDLMCQIFQYDPAKRP TADEVLAHAYFTAEEPGPQQATELENIEGDWHEFESKALRKERDREAREARKDRERER EKEKRRASTGLPQETQRDAKRQRQEEDSA TSTA_116980 MGVPKFFRWLSERYPSISQLIAENRIPEFDNLYFDMNGIIHNCT HSDSDSPTHRMSEDQMFIAIFNYIEHLYGKIKPKKLFFMAVDGVAPRAKMNQQRARRF RSALDAEVAKEKAIAQGIEMPKEDAFDSNCITPGTEFMARLTKQLKYFISKKISEDVD WQGVDIVLSGHEVPGEGEHKIMEYIRQAKAQPDYDPNVRHCLYGLDADLIMLGLLSHD PYFCLLREEVTFGRQAQKKSKELEHQNFYLLHLCIVREYLELEFQELKAIKDSSIDFD MERIIDDFILMAFFVGNDFLPNLPHMHINEGALALMFTKYKEALPKMGGYINEYGTIN LQRLGILLDHLSEFEFRFFEAEYSDAKWIRSKSSNSDATESNDSQKSFTITPSQKKLL KDIKQYVLNRPSNIQHAKPLDLSPTLPARDRKFVEQLADDLRLMWTTITDDHGERFIR LQLPGSTLANGGEGDAEESEESEDEEASMALRRVIKRYENAKVYEQTAEEAQMAAEQK YEKKFQEWKNKYYMEKFGHGLEDEAAMTKLTENYVQGLQWVLFYYYRGVVSWPWYFQY HYAPMISDVKKGLGANIDFKLGQPFRPFQQLMGVLPDRSKKIVPPAYWDLMTSPDSPI IDFYPRDFELDMNGKKMEWEAVVKIPFIDEKRLLPAMASREHLLTPEERGRNEFGVTL KFTYSPSIEFVYPSPLPGIFPDIPRCHCIENIYELPTMDGLEHYVGLVDGVKLGAAAL AGFPTLKTLPHAGQLAFHGVNVFQQDSRKESMVITLLGPEARSNIELAKAKLGKRVYV GYPFLQEALVDRVSDELFDYVLPEGEQHIVAIPHSPPQIEQWARKSDRIEGYYSKRLG MIIGPVESVIHVQLLKGLRKTDDGATIKEFADIPGQETDHALQLIVDSIISEDERFLE REALPIEEEFPEGSKAFFLGEFNYGTAVQVTSHEDGKITGMMAAVKGKEPEFGKRHVR EAEKLSPYTPSFAIARNLHLNALVLAKITSSFSVTIDGQRANLGLNLKFEARKLKVLG YSRRGDSGWEFSNKAIDLIQQYMIKFPEFIAGIQRNPQGDIYEPTDFYPEEIAMSKMK EIKAWLKSVESKHLEKVPLDAEQLDSDVVKRIELDADRIAQVQSAHEIKRIKGVPRQA LLKPADVEHRLGNQRFSLGDRVVYVQESGKVPLAYRGTVVGVTRTPRTVFLDVVFDAP FMSGTTLGDRCSPFRGQTVPVFSILNLTDRQLVAGSRAANTRAAQNTPANIGYGSPLG PGGLGQLRDALPPAPLRGSYRGAISGQSNGRGAHISRGGRGGITTKPSHANGDANGLQ NGHNFRGTRGRGRGGAPRGQHHTRGGYTSVENGHQDEGVIKNNPNFRPKSYNNVPPPA GLNNGTGRGRPNGARGGNSHGGGRGTGLARGRKPAAATQ TSTA_116990 MEPYDDGFIEEQEEQEEERNEEKIINEEYKTWKKNAPFLYDMIL STALEWPTLTTQWLPDKQEVPDKPYSTHRLLLGTHTSNDAKNYLQIAHVQLPNPNYPE AEDYDEERGEIGGYGGGAKKSAVEVKFNIVQKIDHKGEVNKARYQPQNPNIIATMCTD GRVMIWDRSKHPSIPTGTVNPQLELLGHTKEGFGLSWSPHAEGKLVTGSEDKTVRLWD METYTKGNKAIRPISTYTHHSSIVNDVQYHPLHSSLIGTVSDDITLQIIDIRESDTTK AAAVAEGQHRDAINAIAFNPAAETVLATGSADKSIGLWDLRNLKSKLHALECHTESVT SVSWHPFEEAVLASASYDRKIMFWDLSRAGEEQTPEDAQDGPPELLFMHGGHTNRISD FSWNLSDPWVLCSAAEDNLLQVWKVSDAIVGKDLGDVPTEELEA TSTA_117000 MGSGSSPPLGPRPYSAVPSHLLNGSSPLHSSTATSNSARERESL NSSIRSSFRGAVSFEAADTNAENEPNEADKTGPVSPPSLVSRPASPYTLVPPIDFDGL SWPCPGTKERLEESPEQNEERVKRLASAVKTILECVGEDPEREGLLGTPERYAKAMLW FTKGYMENVRDLVNNATFMEDHDELVIVKDIEVFSLCEHHMVPFTGKIHIGYIPDRRV LGLSKLARLAEMFSRRLQVQERLTKQIALAIKEVLNPRGVGVVMESSHLCMVMRGVQK TTSTTTTSCMLGCMRTSAKTREEFLTLLNRR TSTA_117010 MARVKFIRRRSDEPSQLRPSAPARNRPLAPAQPSSSAQNLDPNI DPRLQDVNVHPGTFGDDSLVSQGTTINPSVSRGAAEPSQQSAAQLGGADPNLVAAVSG LLLLGGANPSQATAPQVTAPQAQPRPRRANDIFPNIIQPPAVPNPDPDFGGPRINSTS KRVKRDTGESKKPTLSVEQLSMQSFKNNCRLDARRGTPQFNIFQAILQHAHIFFHFIT CLDIDELVTLYAISKDLHNVVNTATTAIMLSQAMRYASDAATVFPFRCYRRLCNDDSR VELDQTRGQSRLIPSFRWLRMVRYREEVAQKIITMLNDEGLYLPGHCATIVKKVWFLM DIPDNQRRVAIIQNEDLWSASDLFYAIMLFMRIDMRCTDPLAKRAYGHMRRMLIAQPS MTMLCRVLERKFLINRVETVQTWLRWKYEPRNNDPADFYGMPLAELGLLQYEGYGKDG RHVKLLRPDDLILKECVRRGMDMEPAFFCILNCTGPRPEPVVDDDDTMSLDEADK TSTA_117020 MGDHTFFFYGTLMAPSILHRIIHGSPTPELWQKALLTTRPALLP GYRRYRVHNADYPAILPSSEADSAVLGVVVTGLTEGDVLRLDTFEGSQYEKRTVKVRV LKSTAASTENKQAQDGDDKALQKGLDQTAHLAEGGNETEMDEVEATTYVWTDLESDLD MHAEWDFESFKRDKLQWWITAPEDDL TSTA_117030 MRRREESASAEQRLFPTYNKSPDDVPIRPGQTFGGSNNKSQIRK FNFHPRDFINSRRRDSGPVRAWGGRNTANGSAQYSTPSRHPLSSPTLVDNDDRVLKRR RHSSGPVIDLVVDDDTQSVHEGDTRRDQSVEPTGDYETKGNPTQVFQVPEDDDPEDAS VEVKSTKEENRSGESIEIDDIAPLQVEKVNSTTHVVKDAADSEEHHLSDRSESPDELQ KDVTFRTYPRKPASNLRAINFESISDEDIQKQSRGLKRRISPNDIRPTLFNSSNKTPE RRYPRSQRFVASHRHLFEVSFFRYGNVKVENRSVQLFLDEGKGTIGLLTSSKLQPSVS VPIRRIMQVLVGSHSSLKTRFSLSKIEGSVNQDLVDVEFASEQEKDQLCSLLQKKNVK LREKLGNWMDKAFNNVTTIDLIHQKRPSSPGSPEPADSTKPDLVKRQRLSATMQGEEE SLKGIKQPPRRMALPVTQSSGPVKNTRSTHDDEGIAIPVKTYQAFLKERETRCKDRQG LVDLVENEPNSIPETASPGDGTWSKPLVYPRVGKKKAEVNSYDLERLRDGEFLNDNLI GLYARFLEHYLERNKPEVSKRVYFFNSYFYATLTTPVKGRKGINYPGVAKWTRNVDLF DHDYVIIPINESAHWYLAIICNLTSLKRRYKAEEVEVASEQESPEQQETIDQKEIPET PPSAKAATPEETTRVSHAWMKVNDVVPNSNPQSGADSADEWPEKEENRTSPPGAFHNG NSFEPNQSIAADTDFVKRKKPKFAGPIYSLDQPTIITFDSLNEPRQHAIKVLKQYLVG ESIKAKIQFSMKDVKGMTAKGIPLQPNFSDCGLYLLAYLEKFVQEPDTFIRNLLRREM DTHIDWPTLKSGLLRRRLREFLFKLHDEQESGRDTGLLVDAQPISYLLGPSTANPTEN AQDAEAAPVAEPEIIADSFQEKDKEDEADSDEQSIVQETQISEQQSAPDPPPERETIN GSKAAQHVSEVVEIPKTPERELPNRESNANVEILDSSPVDMKDAADKSGKESPGPGKR VEADKDVFEDMFEYLSQDTPPRNIVEVRVPIVQVPGTPPSSKKPGRDRTSPRQVKSKR RTS TSTA_117040 MDQANLWTRRSNASKLSLSTASDKEGSSRPELPRTHSSKRFGPD SSHGRSNPFNAISPLSGGVSSPSAGASNAFGLGSGAFASFGSSTKTPKTPGSTFDLSS TTKDKRDTSEDTSSAAGNIKSKTSSSSLDTTSTTAIKEHPLKSTWIVWYRPPTPKYSD YEKSTVALASISSVESFWTIYSHLKRPSLLPTVSDYHIFKKGIRPVWEDEANKRGGKW IIRLKKGVADRYWEDLLLAIVGDQFAEAGDEVCGTVLSVRSGEDVLSVWTRIDGGRNI KIRETIKRVLALPADTNIVWKSHDDSIAQRSAIDQARQEKAAANSGHHHHGYHHGANE RRRGGNNDESFGDRSKGMAS TSTA_117050 MEMPAVSESHEPDQRPNLICIPQLSPDIEDPDRYSVQGVVTLVW PFSSSNRVLSLLLAEPDFRLRNQNGQVKVTFCGRCAEEVARTKVGIGDTVTLGLQGAQ WTENQKVQSTPGKSLRWELQFNFRVKFEVGKWLATRNGKTTAAVDYEQPASPKQDAQH IESITPAQLSGRLPSPTLSQERWETPAFLRMKRLRSGSPVPTSAYDILAEEDGYIPGI GRKRPRFSFPSSEWRLLDESDDQSEDLIGNNENWFDSDEELLDRDADGNINAASADPA YESEDPTGTLPHTSLVEDRVGDNLQLAESDTAVQGVQIVCDNVEEVEVETPSLEQTPV EPNLPDARLSFALPRLDHIASSTFPTPSPLVHTPADSPNLSDVLLNNIPNPRPQSSQE FTESADQSNHVNVSSDVYSNHFSAAAEWHELDAQNRMNKQGLQKNLQSVYVEVNSHEL FNDGNTVQGAHEHYLSSEPTHAGECSAENGDGPTVFDSNGPEITNETEADGDGKDHES IQGDRIEQSGGEITNAYDSISEDGKDGDLAGSESDDVDDDASSHAEIISVGGFSPVGY RRESGQVEEEEDNESDSEDGGFSDDAEGDEDDIMSKQSEESGPGTGYDEGSADDAYRE KSPLRSPHPTVHPEVIVLDSDSEDEPRLMTAPSAKDSNDATNDTGLSPQIDVADDQNS IQSIDDMEEDRDAYNDGEDEKSDGDMVPSEIDTQEHEDANDEHLLRHTVDSLAATGYA QAEEDCEHKAPASDMDQILSKPGDSDSVTGQLDATKVRPKPSATSTIDNNTTHEEQEH GSVLDVDNMPVDHGDNEDQCPASEGQIAVQEQFTSSTQRLAYRNVSPMPVPTPPSAVK GDSQNSHLGPTALLSVGHGLSPPSEMVESEVVDDNGHCRWIDGSDERPSQISDRQLST EEYPVHHSVDEGGNVSEKLDEVVLVENHTLRPTETEDYEVDEMRQRGFQEDHTSFIDE TTKSQIYLPLATLVGRLHKTVDVIAVVVDASPVEFLTTTTKGYNMQLRVTDMSMAGTT IVVNIVQPTETSLPRVTEGDAVVLRAFEVQTYNSSIELLSSGDSGWVVISPQADLPHI THSNVVFEENEHVYVESLQKWFCEAGAAMAADHMLQLSVSQDQEQFSPFSAASSDAGS LESSRSGPVSRPRPRRRKSHRRVTIHELRDGRRYTEFGWLDSDSIHELRDGTVYTHSF ERDR TSTA_117060 MAHYDRLREEEQELCEAQTYPEKICEASDVVFSISRAQHDGSDI QPLHNFFDRKYMPVYLYVFGKFSSRWLFHITASLLCHAPHVVREVVNPTKNRKVIRSC TRHQIDPVQFTRALIDWVSAGDRSGKTDNFWHFLSTGYGSISRMLYPLLPPVYGMVYM YAALRTRTIKKIGRDAEDNGKTAIVAGHFIFRSEGKEKDVVCNEADLDTYTHILYLDV QPEVIAQRRLEDQTRNRANDSVKNLQE TSTA_117070 MLVLDADRTLTAEDTVKLFWEAVLVTAFPLDIPMLKKANKFTVD VFIRGQRKQNIDVIDPTDRTSAKLLKTAMRDARNNSLILYEAHRRGHFTDGYRLFHED RTLIVSLMRVGGPMAHGAWDMFPSAIYFQAKNPTDVKLGHLDGQINILLVDLVINSGK SIAEFVQHICNLHATIRIVVVASVV TSTA_117080 MATTYDFIVVGSGPSGSAVAASLAQASSRPKVLLLEAGSNNEDR NLRVDGQRWLTFQNKEMNWGYKTTSQDHCNDREIDYSRGKGIGGSSAINFGVYSVGAR GDYDEWARIVGDDAYRWDRMQARYKSLETFHNDIPAGIDKKYANPKASDHGTSGPLHV GFASEWERDVPAMIDDFERAGFPLNPDHNSGNPIGMSMLINSSYKGLRSTAADLLVPR PDNLTVIVDAPVQRLILKGKNAVGVESKGNQYFAAKEVVLSAGALDTPKILMHSGIGP ANQLEAHNIPVVLPVPAIGRGLRDHCFVPLVYTRVKGDTDRAAFYGDQKKMDEALERW KHDSTGPWTKFACQLGVGWFKLDQLVSSKEFQALPDDEKKYLLHETVPHYEILTHFPV HWFIPQFPESALNYSCLLVFLYNAQTRGEVLLQSSDPDVPLRFDPKFLAHPFDRRAAI ESLRDALRFANSDSYKKNNLADVAAPKSDSEEDLLEYWTQNVSSSWHMTGTVKMGKPG DLDAAVDAQFKLIGINGLRIADLSVVPVLASCHTQAVAYVTGLTCADKLIEEYKL TSTA_117090 METRPDPTIRQGFESSRRFDDIPGSTPKEKLQNAHARLRAPASV APTSVAESATPSSAGDIEPVVPVSVPQTTLPLSTRAHENPENLAASVEEQGDATDVIV VSPALLFPEQASVQTIQPSAIFEKTEHCLPGSLRLGPSEFAVTMPMDSVGKDNYERAL AEHSESVKLLLSGFKSGDGTVPSQSEQAQVISDIRHLIEKLDNVAIHTDLNIAEHVKQ SKWDSHKEASWAEYTSSKFLFLGHLIEIAGAQDLHILFMVGKKETAELVERYFQGKGF APVRPRAEMHGHVELSLVNGSLSVGILSTEHDGTVETYRPPAVIIALDSSFNTSSPVV EHLRTTYTRNGNLLPVVHLMIANSSEHIQRCLPDLPEPQRLRLLVHIVKSLVDVLGDL QDDALGVQDDAEEIFTCLMSEDFNVSWNLPPIEPLHILVSNDLQTQEPLGAQAPDPMT TGSSVNKRIFDTLDAVAPDSKRQRLHLSQDLTQTTTQSTAPPSQTLDLTAKLQALEAR LVEMKTDHAAEVDRLQETITDYETRSKERIKGWEDLQHRYETRNKELHQLRRERDGLT VDKAKLEQKVVKQQEEITKLKDERTQLKHDLESARKDLKAEGGLSGELETLRQKIREL TDDHTKLTKTLEYEKKQAEYAREQYQNASSRAAELANEKQALQSQQMILQSQVDAEAV KLKELKLQNGEAKHLARVKELEQTLESRESLLRKKEEELRTIRNNRPATRATSTQPRS PKSWGNGSRPTSPGINNNGLGNRGSGLRFSSEMSF TSTA_117100 MADCSALCIIIITLFIPPLGVFLISGCSADLLINILLTILGYFP GHIHAYYLEYVYYHRRGLAAGGATLAKPATGVYSERIQSGGHHQQTQYGTIRT TSTA_117110 MHSASNRVQGVFGFFTTVAAFVAGFAALSVLLHPATDVSSSIGL TNVQVVRGRPHYYSSKREEYARIEFDLDADLSPLFNWNTKQLFVYVLASYPASSAASE NPHNSEAIIWDMIIPAPESPYSFSNLKERFFPSTTKSVGKNSKKTNNKKTQPKKTEQQ QSGILHLRNQKSKYQITDISGKIAERENVTLTVGWNVQPWVGALLWSEGTGAWPRTEG QVGRSKSFKFPAIKTKSSTGTTSQATYV TSTA_117120 MIRSRVSTCYQPHSRIFLNSASYSTESHKPPPWRPASILDEWVE KQVRPISLRQLTFFGRALTEPRLLSSANYVRTELPTRLAHRLRDMQRLPYVVVANPHI STVYELYYKAFEKFRTIPEIKSLADNNRYCDVLREMLKEHLTVIPNLAMGVLECQGLV KPDEIDRFLNTMLRARISRRVIAEQHLALTESFNTSQESIKSDPRTDQNSDFVGEVFL KCNAKDVVESCGKFAQELMRQSSGSNKIPEINIKGHLDATFPYILGHLEYIIGELLRN SIQAVMEKYRESPTDPPPIEVLICEASQYVTMRISDRGGGVPREIFPTLWSFSKGPRT QDRLENLGQVPTLAATMQELEVPRKMEAGLKRTYREGSLSTLSSRPPNLRLGIGLPMS RVYAEYWAGSLELHSLEGYGVDAFLQISKLGNKNEQVTTRASVDAV TSTA_117130 MLYDGYDQYNNDRTDVIVVSRSGSEDEPESEPLANDFPAMMTRV LPKDPELETEAEAYHTWHIKDWRKLKKKEHGPVFECGGAPWRILFFPYGNQVDHASFY LEQAWEKEPPENWYACVQFSLVLWNVNDPSIYVSHVATHRFNAEEADWGFTRFAELRK LFNLQWDGRGIPLVQNDEAMVTAYVRIVKDPTGVLWHSFQNYDSKKETGMVGLRNQGA TCYLNSLLQSLYFTNAFRKAVYQIPTEEEATRENSAWTLQRLFFNLQTNENAVSTTEL TASFGWESRQIFEQQDVQELCRKLMERLEEKMKGTPAEKALPDLFVGKTKTYLSCINV NYESSRVEEFWDIQLNVRGNKTLDDSFKDYIQVETLEGENKYDAGPPYGLQDAKKGVI FESFPPVLHLHLKRFEYDINRDAMMKINDRHTFPMDIDLSPYLSEDADKSESWEYQLH GVLVHSGDFNAGHYYAFLKPTKDGHFYRFDDDKVIRASDKEVLEENFGGEYDLSNGSI AMRQQYARGLSTKRSMNAYMLVYIRKTRLDDVLLPITTEDVPSHIERRLVEERAELAR RRKEREEAHLYMNVGVLDDTTFQAHHGFDLTSSDLPSGDPALPKSYRILRAKKVGEFA QELAEERGLDPKRVRLWVMVNRQNKTIRPDQVIPNPDITMEEAWSRLSTKNNPLKVWM EVGEPGSDGVVSWPESSSSVLVFLKHFDVPAQTLTGVGSVYVRKNQKVADLAPIILEK MGWAPGTEFLLFEEIKHTMVDPMKPKQTFHQSEIQDGDIITFQRTYKEAELPATVLYT ETRQYYDYLLNRMKVKFAPLKRQGDEFVLTLSRKMTYEQFAKKVGEHLGVDYSHLRFS PVLANNGKPKQPIKRTINQTLFQILNGQYGSYGYSMHLPDALYYEVLDTSLSEYETKK TLKVTWLIDGINKETTYELLVPRTGTVNDVLAALQKKADIDDESMQAIRIYEVQNGKL HKELRHDLTITGLNEYVSLYAEKIPEEELNMKGDERLITAFNFDREPTKSHGIPFKFV VKPGEIFKQTKERLSKRTGIKGKQFEKIKFAVVPQGIYQNTRYLEDDDILSDVATEAN DSLGLDHVNKNRSFWRGETFSIK TSTA_117140 MPLVKIDVVRGTRSPDELKVLADVIQQAMRDHFNAPERDRYQII TQHEDYELICEDTNLGFSRSKDLVIIQIFQQGRNDQQKQEVFKALHESLFQKCGLSGE DLIVCCAQNTPADWSFGMGVAQFLTGQL TSTA_117150 MSEITLPDYYHISGKRERGQRFAPGLLSNAFHGFEAPGQIVQVS HPRGHFFLSSVRKSSLNVLIADGVVSRSSCILNEASYSPGLRHENHGGAGLLQEITEA SRIDLQRLNTHRILNDPRTEYYICRLSPFLKTVKSSLLIQAVGPSQIRMEVFGPGGFV ETASRPRSQL TSTA_117160 MYSPGNLPNHIGADYPWVVSPLIVSAPMAKVAMPKLAVAVSKAG GLGFIAAGYHSDHLEDLLEEAEDLLQEGETDAPVGTETKSPKFLPVGVGFITWSASLE TALPSFSRYCPAAVWLFAPPTGFQDLIPWATKIREATAFGTNIWVQIGSVKDAVEVVE AIDPDVIVVQGLDAGGHSLAKAASIVSLVPEINDKLRDLRPYPRKRAHILAAGGISDY RGVAASIALGAQGCVLGTRFLVSPESMIARGYQKAILDSSDGGISTVRTKIYDKVRDI QGWPEGYDGRGLINKTFTDHLCGLSEVKNRELYREELNQGDKGYGPNGRLTTYAGTGV GLIREVIPAGDIVRSLREAGLSQTSPQISTTLSGKNLIEAMREFLVENFTSDVQDSWT AACGQLAGLMIQKKNSLYGQYEE TSTA_117170 MACYKEELFGPVLVCLSVDTLEKAVQLLNANEYGNGVSIFTESG SSAAYFQKNVEAGQVGINVVILVRLPMFSFTGNKKIIAGWHFTRRKRQSQAFDAEKIL TLEIRLSCRLICKSTYYKRITLTKTEDLSNSYIILERHTLADVTPKFYVESKPDELLC QPLYTVSMSCPAFDLGGVPEPQPTRQLKECGVRATSHNTVFPANYTDWPNLNPCLLNV CCDVWGQCGITSDFCTPSSSVTGAPGTAAPGSNGCISNCGTEIVASQPPAKFALMGYY ENFNNESTPVHSAFANITSDYDVRIEGGTDVFQEFTVTEGFDRIVSFRGWTFSTDPST FPIF TSTA_117180 MAGSIRFINVAQIGGLIAISLLSKLFTALGFSTDPINGAVIRSQ STLFENLPGNVRNETMLVPNSDGKHERGADKHDRPGMGRAPGDKAPKMPGLMYKA TSTA_117190 MRVQNLVAGLLTTLIPSASATALTYKLDANEKACFYADVTQKDV KVAFYFAVQSGGSFDIDYQVAGPLDKVILGGTKERQGDYVFTAQSIGEYSFCFSNEMS TFAEKLVDFEIAVENEQKAELPSRQGASPEQADAVEESITKISHLLSTISRNQKYFRT RENRNFSTVRSTERRIFNFSVIESLMMVSMAGLQVFIVRFFFQGARKGYV TSTA_117200 MYWDMHSLPMAMNPAEGLPTEDISGRTSGIALLETTPGIDPRAS VDDYNRVMLQYTQRQIEAFTQAGDNMLGRRNSGNSRSSGSSGQSNTSSMTNMAGAGSG LPPQQTRRDGSTQSPGSARD TSTA_117210 MVQLDIEKTLSELDLNEKIALTAGADFWHTVPIERLKIPSVRTS DGPNGVRGTRFFNGVPSACLPCSTALGSTWDVNLLEQIGELLGDEARSKGVHVLLGPT INIQRSPLGGRGFESFSEDGLLSGVLAGYYCKGVQSKGVGATLKHFVCNDQEHERMAV DTIVTARALREIYLVPFQQALRICQMACFMTAYNKINGCHVSENASIIGDILRKEWKW NGLVMSDWFGTYSTAEAINAGLDLEMPGPTRWRGSILNHAINSRKVADHVLDERVRNV LNLVNHAGKSDIPFGAEEKGLNRPEDQKLLRRAAAESIVLLRNNNSVLPFDKIKPIAV IGPNSKVAAYCGGGSASLPPYYTVTPFEGITNASKADVKFSQGAYAHQTLPPLGPLMK TLDGKKQGFEFKAYLEPPEERNSDSKPVDELHLVNSTGFLADYKNPRIPGQLFYADME GTFTPAEDGLYDFGVIVIGSGKLFIDGELVVDNATTQRQGTAMFGSATVEEKGSKELK GGTSYKILFQYGSRPTSKLENRSVIDFGLGGFAFGTGKRIDKEESIKQAVDLASKMEQ VILVAGLNGEWETEGNDREHMDLPPGTDELISRVLDVNPNVAVVIQSGTPVTMPWIDK ANVLAQAWFGGNELGNGLADVLYGDVNPAGKLSLTIPARLEDNPSYLNFGSERGRVLY GEDVYVGYRYFEKAGVKPLFPFGYGLSYTTFARSGLSLQVDSEKPILEDGERITASLT VANTGSVAGAETVQLWIQPPAIANIKRPVRELKGFTKVHLQPGEKKDVSITVEKKIAT SFWDEIRESWASEKGEYTVLITGTGEEALSEKFSVEKSRYWIGL TSTA_117220 MFLDPTPLAIALAIILSTSPHVLAAPHRKHHNIVLGRSNDQIQN YDQRYAHGPLQIATPSFQTPSVTVQSVRTITVFPTPVRTLMPSNMQFLPPPGMPMPNG TRKPVEKSSSTSSSSSSTAPTTTSKTTVSSTSQATKAAAASSSSASIIVVALPSSSFT ITVPGATSVTIPSIATPTTTLPSIIAIEDNAASEILSVLATDLAQTTNTDSNSDSGDT NSMQSDAGTETNSSQQSAITAATSTCSRGTTRKCCSAAHNDLKKVVNALDGITGFDLS FLRPDTSVGLDCADINDNDSTEDGAVCWDVTTLSSIYVGCVPVV TSTA_117230 MSYTRKRAALACIFCRSRKRRCDARKPSCSNCLDLDVECHYDDT PSQRIDTSGGSREILNRLRNIEAILQSQSERITALSNEPQRTPLHVHDAVTPRSQQSV AIVDMHSQTWSSSHLNAPSDYSSLPPLTIPVKHKTSSTYLLNLPAVKSLIGEYPTDLF FRFELRSHLPPQLSLEHSHAASPVNIQREITDELILFFFAYVHPNHPVLDREEFQKHY ARFLENGPDHSYESILCMVVLALGAVVSTAPDPEVFKSSPPGMDYMQYAMPTLLSVSA WSFSSSMLAAHALVLASVYFAYIVRPLQSWRLIHSASTMLQINHVGVDSLQKISNGFN EGEHLVRLFWSSFLVECDRLAELELPRSGLEELTDSIYLPNCTNLGLAESAWYLAEIS IRRLLNRIHNSLYPGKKQPLSKPPITSLASDDFSMEEIVSIDGICNELRSQLETWYLS IPEGLRPVLENANEEDTAGRQAILRIRYFAARHIIYRPFLLCVVTHGSKRAPRSMVEK AAICIESCRWYIHHTTKVLGRPSQYTWTFALSSLGAIIILTLGSLNRDLQAFVPDIDE LQTMAIDNFRPWAFSSLEAVVSILEDIRKKRRLLLQV TSTA_117230 MSYTRKRAALACIFCRSRKRRCDARKPSCSNCLDLDVECHYDDT PSQRIDTSGGSREILNRLRNIEAILQSQSERITALSNEPQRTPLHVHDAVTPRSQQSV AIVDMHSQTWSSSHLNAPSDYSSLPPLTIPVKHKTSSTYLLNLPAVKSLIGEYPTDLF FRFELRSHLPPQLSLEHSHAASPVNIQREITDELILFFFAYVHPNHPVLDREEFQKHY ARFLENGPDHSYESILCMVVLALGAVVSTAPDPEVFKSSPPGMDYMQYAMPTLLSVSA WSFSSSMLAAHALVLASVYFAYIVRPLQSWRLIHSASTMLQINHVGVDSLQKISNGFN EGEHLVRLFWSSFLVECDRLAELELPRSGLEELTDSIYLPNCTNLGLAESAWYLAEIS IRRLLNRIHNSLYPGKKQPLSKPPITSLASDDFSMEEIVSIDGICNELRSQLETCNSE DSLFCSQAYHLQAISTLRCYARLETCPAVNG TSTA_117240 MGSVITEHDVTITSFEVVDLRFPTSLDGVGSDAMHKGTNGSHPF IQLKTNHNELIGEGIAFSNGRGSELICMALDIFARRVVGKTMHELTRNMGKTWRYMVS DSQYRWIGPEKSVTHLAVAGVLNAVWDLWGKILGKPVWQIICEMTPEQIVQCIDFRYI TDVITPEECIEMLEKTQKNKDARLKEALDNVAVPAYTTSAGWLAFSGNRMREVLQETI DAGFTVFKFKVGTNVKADRERLAAVRDVLGYDKGYQIMIDANQVWSVPEAIEYMKELV EFKPVFIEEPTNPDDVLGHAAIRKALKPYGVGVATGEAAQNRVTFKQLLQAEATDVCQ IDAVRLGSVNECLAVMLMALKFDVPCVPHNGAMGLTELTSHLSTIDYIAISGRKSMLE NADSHRENLRHPSKIENAHYVTPLAPGYSTGYTDEALEKYTYPTGSFWRSDIGKEIIA QPTGGEL TSTA_117250 MSIKFVLVTGATGFIGAHIVDALLAGGIRVRGATRSLVKGKAML QARSKYEDLLEFVQIDDFQNPGGLVEAVKDVDGIIHTASPFTYDTTDNENELIIPAIN GVRAVFEAASTNPKIRRIVLTSSFASVLDAHRKAPPYFTYTGADWNPLTYEEAADKAT SAIIAYRGSKKFAELEAWNYIRDKKPMFDFVALCPPMTFGPVVHPVSSISKVNESNAM LWQVASGAPLPVARVPFWIDVRDLAAAHLGALLTEEAGGRRYTLTAPETFSYAKAASI IATEFPELKDKVRQDEQSIDESYGLDGETASKELGFAYHTFRDTVRDFVSQGIQMTS TSTA_117260 MGLKLSDRLSGRWLLTFVTIANACSMAWFGYDQGVFSGVLISAD FKAHFPETRHASTSGITTSCFSLGAFFGAICAFTFGDKLGRRKTVAMGLAFNVVGAVL QIVSWHLPQMIVGRIINGFGMGLTSSTCPVYQSECSSPRSRGKLVVVGSLCNTAAFCL ASWMNYGLYFQGSALQWRFPLGFQLIFPVVVGTALLFIPESPRWLLLKDRPDEAIQVI ARLAGPAVAIDDPDVMAQFLSIQSALQEERKDRRPILDILRSRDKTQTLRRLLLSCGT QFMQQFSGVNALGYYLPTLLQQSVGLGEKMSRLLTAVNGTIYLFAAFCCLLIIDRFGR RKMMLYGSLTMGSCYLIASISLKYAESDPSRKQLLGRVTTAMFFLYYFFYGTSFAKVP WVYNSEVNSLAWRTRGAAAATATNWMGGFIVTQFTKVGVNNLHWRFYLIFAIIVWAYF PVVFCLYPETSQRTLEDMDEIFIQNPSLIVCGKRALTQRERPVEFIEAEARRIAEEQN AIGGKVGAITHVEQV TSTA_117270 MAAHQLAIAKASFSAALLRPDPTSLSRDDITTFHTLLDNALSHC SRINVQTCKEWLLRHVVPSPNRVGVLGKYLIALSTSFDSVSAKELPSSTRPSNKKPSG KRKRLHILYLLNDILHHAKYHLNEGVGAFVNFSSSLQPHVIELVGLAASFDRKKNPKH HQKLDMLLDAWYDHGYYAAEYVDKLRELVKNSESVDAIKASVSLLESASDIQSTGSKR DAPYIMPASHGDPSTPFYDLPAGNFVPHIIPDSTTPIRPDTVKPLQFLAGPADQKLVG VLKKFFQDVDHIYGSIESDLPENASLDVDELGQTVVRDEKTGEIIDTDTYYGWSREFC EQMKKRRSKGTSRRSCSYSSGDDERYGKRRHSDNNSRYDSRSRSRNPSRRRYSRSPSS SDSRNASRPRFSAQSRSRSNSYSPKPASPAQPHPTFQQNKQQPAPPPSVPNPSYPFNG AASFPPQFTGNAPPPPPMNYNNAWPTPHGAPPPMYPGGGQHMVPPGIANFPQQYQPPA NQSAQYGRQPPFLGQQMPMGAFPPPWQGGHHGHNQGENR TSTA_117280 MTAIDGQDGWMKSRQKTLPIVSYTFRIGLGAETSPTCYPLSIGL SQTRLVKASREDLYLDFTVVLSQYRRTLSQYNIMAVTIASSGLRSSVRHFSAHRPRPD TRTSRFVASSCLVSMVVLPFVPPALESSRERNTGYPHSK TSTA_117290 MNTIRSTWYGWGALCVAGGGAYYFAKKSVNADRAARYEADMKRK AELAKMEAQDRRRAQEIRKPTDDPSLQRANANSVSYTARDDVASPSREAGQDPAPTRH EPETDEQGLLEKSKYEATQPFRPPRGNRFS TSTA_117300 MAPKRKATDTASARASKRPTPVPDTPQSFDSSDEYSEYDEKEER TQHLVEKFSLESFSRDKKASLDKSDPHYGYKDFSSLPLKPDHANRPLWIEPLKGTITL ESFSPLASQAADFLTTIAEPLSRPVHLHEYRLTGNSLYAAVSVGLEPKDIIHFLDRLS KTPIPEAIRSFIIDFTKSYGKIKLVLNNNKYYVESTDPAMLQMLLQDDIIGTKRVQGS EGIIQQAAPKMAGLVIPGTKDAAGVKQANQASGEENGATNSGANKEDDFLATIRDEDD DEDDAQVHSFQIPNDDVEAVKARCQAMGCPALEEYDFRNDRDNPTLDIDLKPNAQIRS YQEKSLSKMFGNGRAKSGIIVLPCGAGKTLVGITAACTIKKGVIVLCTSSMSVVQWRN EFIRWSNIDPSDIAIFTSDNKEKFKRSTGIIVSTYSMVSQTRARSHDAQKMMDWLTQR EWGLMILDEVHVVPASMFRKVTSSIACQAKLGLTATLLREDDKIKDLNFLIGPKLYEA NWMELAEQGHIAKVQCAEVWCPMTTEFYSEYMRESSRKAALLYIMNPRKFQACQFLID YHERRGDKIIVFSDNVYALQRYALKLGKAYIYGGTPQNERMRILENFQHNEQVNTIFL SKIGDTSLDLPEATCLIQISSHYGSRRQEAQRLGRILRAKRRNDEGFNAFFYSLVSKD TDEMYYSSKRQAFLVDQGYAFKVITHLQGIENLEGLAYATPSERRELLQEVMLQNETS AEVENVVDDLFSERSGAQRGGRAAAKKAVAKRSAATLSGLAGGEDMAYVEYNKSRNKQ LKEKAQHHPLFKKIARDQQKRKEAMKDMGPRGR TSTA_117310 MSLGKTATLNTGAKIPLRGYGTWQAEPGQVGEGVYLALKAGYRH IDLAKIYQNQKEVGEGLRKALKELGIKREDVFITSKLWNSQFHPDVVEAALDDTLQEL GLEYLDLYLIHWPVAFKSNKQINDFFPREAGNEKVVAIDDEISIIDTWTAVTKLPKSK ARAVGVSNYNIEHIEAIIKATGVVPAVNQIERHPYLPNPPLIEYAKEKGIHITGYSGF GNNSIGEPLIITRPEVKSVAEAASKRTGTTVTPAQVVLAWAQVGGHSVIPKSVTASRI VENLTDVELSADEIAAIDALGKENKRFNIPGAYYDPLWPINIFHTPEEEAHPEFHKVV VSK TSTA_117320 MNNYSVVNHVASSFFLDEKVTDGQKEVVELTLQGPQHVLEQVQG EKLVTSIVGVTFDNYADGYRHVLRTSFVAEWENKRAKSRELTPN TSTA_117330 MTRKISSSVWVEEPLATVSRSVIHSLIYSCLPGMTARTNAVFHL VVSKLLGLRHLVLVTGSRFTNRRFVFTLAALAILTAKLIHIYSHAKALTTHDLVKWGY SFFTQDVLLLTIIRFLLDSRHFPPAGSRSVRTIACFISSLFIGFVTTINIINICFFAF NGSEIHWRNVGVAGDAAGRALVLSGLVSSLVVIGVLALFSWSLQDVVFAAVGFTIDII TWIVAYATRGRFYGVQFQSPNDQYYQIAQSDMESAAKTVEGLERKPIKLTWIQLVLYI FIAVALLAQIIESLARPHDRSLTFMSWTPALLPFVDFETTALNLENIYPHYNSGINHE WDNRSALRKPIPLPWLPDYPLGGFEDWYEDGLHYDALADPFKISNMEEALLPQLGDLN DMPIRHVMIVVLESTRKDVFPIKDSGIIAKRLRDSWDDNKLPEDVAERLKTLTPVARF LTGDYDNHFDHTAGEEQKKRGGINFNDAYTASTLTLKSLTASLCGVSPMVADFNVEYK HHVYQPCLPHILGALNTLARKDQGRYEFGGYKWASTFMQSVTLSYDHFGALMEKIGFP SEGLIDKEYLTKKTAKFGKVDLPDINYFGFEETPLEDYIRDEFEKAKKNDGRVFLTHI TSTTHHPYNMPKNETYVPLGKGLSDLSHYINAVGYDDRWLGRILDTLDDLNVANETLL IFVGDHGLSIPENDILASYYNPHIANNHVPLVLSHPKLPPITIDDAVSTQQILPTILD LLIETGSLSESAANAARDLLVNYEGQSLLRPVRKALSKTGIDGEVANWQFTNINPGST MLDVRDARFKSWRIVVPLVGNQEWQFLDTERPDVKAVVGFEFSEFQQNIEESYGQDPA RWVEEAAFVARWFVNENSKRWRYSLYEP TSTA_117340 MQLLRYAWAAAGVACTLFLDPVQGAASCIEAPHVREYFYVGGEY IQTASGHLFKDQMYVEKLSPTNGSNQPYPVVFLHGGGQAGTNFLNKPDGGRGWASWFL THGYEVYIVDRTMTARSPLLPSDGFTQTVFSAEFIAQRFTAVQKYPLWPQATLHTQWP GTGELGDPVFDAYYMSNVQSISNSSEQEITMKAAGEALLDRIGPAVVITHSQGGLYGW AWADSRPNLVKALIQIEPKGPPFQEVIFSSDFSRPWGLTSIPLTYEPAPTNLTAPLTM MTLPADSPDLLPCIIQQEPAAKLSNLAKVPILIDTGEASYHAQYDYCFIKFLKQAGVA AEHLDLGKAGIHGNAHLQFMEKNSDDIAKVLHMWIMNKVKGRE TSTA_117350 MDLKGDTEETLILVGVFGVLTIGLMALRLFMRKFRQQAFTLSDY LTMVCVVFIFARSAFTTVVLLWGNNNMKRPNPGLSSTEIHRREIGSKLTLVNRAVYNT YLWIQKAVVLLLCERILAGLPWPERIVKFYWAVLFGSLVAVQVTTFAECKPFRLYWQV MPDPGTCIKANVQLITLVSLNITTDAMLILLPMPWLLRIKRSWLQRLQLVGLFSIGFL LIAIAIVRLPYYAESTAQVNRNTWGSVEQFFAAFVANVPTLFTLRRKKEDNTTIPSYP SALASGGRSTRSGFRQFDDTILVTDSIQLEYSSQERDRKPDSKFPRQTSDENLIRNDN DLGGWKKSG TSTA_117360 MVAIGKQRQEDVSDPMLARLLEDDRTPWYRKRNLRTLYLILFPA SMGIEITSGFDSQIINTVQIVPSWNKYFGSPTGKIKQGIPEYAIDPALKGFLGAAYSL GAICALPFIPWVNQRFGRRWTIFFGSMISLIGALIQGFSNGVAMYIVARLLLGFGIPY CIVAGSALIGELGYPKERPILTSLFNSSYFIGQIIAASVGLGTVTIAGNWAWRIPSLL QIAPAMIQIATIFFLPESPRYLISKDRYDEAQAILVKYHAEGDANSLFVKAELAQIEK SIKLEMEDSKQSWLDVFKTAGMRRRLFITAFLGLFTQWSGNTLISYYLSDLLNLIGVT DSVTKSKINIGIACWGLVTGTTAALLAPRFKRRPTYLLCACSLLAVYVAWTISMERFM DTKSHAAAILTIFFIFAYSPAYNIGYNALTYTYLIEIFPYFSRSRGIAWFQFYGRGAS FFATYVNPIGLARITWKWLLVYCCWLVFEIIFIYFFFPETAGRTLEELSFLFEGKEKA NEVANAAAKQIYEGPDEKKDVDAAHVEVTDVKRDV TSTA_117370 MTFDVDAVLAKLNQHDKIALLSGTDFWHTHPIPEHNVPSIRVTD GPNGIRGTKFFAGVPAACLPCGTALGATWDKSLLRQAGNLLAQECLAKGAHCWLGPTV NMQRSPLGGRGFESFAEDPHLSGKLAAAMILGCEQDGSGVISTVKHFVGNDQEHERRA VDCLITPRALREVYLRPFQIVARDANPGAMMTSYNKINGKHVADNRELLEGIVRKEWQ WQPMIMSDWYGTYTTIDAMTAGLDLEMPGLSRYRAKYIDSAVQARLIKQSTIDGRARN VLNFVKRGSQARVSPTEKGRDYPEDRKLNRTLCSNSIVLLKNEGSILPLPKTIKKIAL IGSHVKHPQICGGGSASLLPYYSVSLYDAITEVLPKDAKITYEVGAYTHNMLPVIDHM LSGAVMHFYNEPPSIQNRKLLGTEPVTSTAFQLMDYNEIPELNRALFWTSLVGDFTPD ISGTWDFGLSVFGTANLYIDDELVIDNTSKQTRGTAFFGKGTVQVTGSKQLAKDQKYK LRIEFGSANTTTMETIGMVNFGGGAAHLGACLRMDAKQMIENAVRAAANSDCTIICTG LSGEWESEGFDRPHMDLPPGVDEMITKVLDATQGKAVIVNQSGTPVTMAWADKASCIV QAWYGGNETGHGIADVLFGDVNPCAKLPLSWPVHVRDNPAYLSFASVGGRVLYSEDVY VGYKFYEATGRGVLFPFGYGLSYTSFELTSSIIAIEPEIFDPERPSIVSFRLKNTGQR AGAQIIQLYISAPNSPTPRPIKELHGFEKVSLAPGEEKTVSITIDKYATSLWDEIESM WKSEGGEYEVLVGTSSVDILGKAVLKVPETRYWLGL TSTA_117380 METTDLIVEPTSKKRKLSRSGSSVEATRKHTRVLAACDECRISK TRCDSVRPVCAKCLKKRVPCVYPDKDPFSIFESWGERILDAVERQQKVLSEVLAEKSD RRRAPFTNEILELHTSFDEEVDLERISRNDTPKTPITGSDMILRWPIFPRNKPVDTFP AYAYAEKEKNVDSHRQQTMLPSMIGYDSSQRQRILELRDIYMTKIQIKNPIVDADELD EHLTRALEKGFDWSPSSCLVLLVLSLAAIWGNYPQDDRRTTLACIVSPEGFQQKREYV TNAVPEHRMRESLGYFSMAKERMSTAYLDDSLLGVACFCLFGMWYQYNIEPIPGWKMF RTASMLWEAYNLKHRGGKTERSKQEESLEQRLYWTCLKSECEVRYELADLPSCTLWES DFPFSLPTFSTSSYPPTSSITSNRHEQTIEDSPSYYYYLAEISLRRLLNRARNAVVIL NPDIDCATASILTEALSKLENQLEQWLECLPPVLQFNRPLESLPPPNEPELVKLVRER YVEVRELLCRAHLYLCLHCGTTLGREQARLYGAKASEGLALSIYRIRTEIPFFRHPGS WGACRVRFNQSLCLIAAFRGKINGISSAENIVVPPAWADCVRVVIERLEVWSEEGGGI RELAGLLRWLMEDVA TSTA_117390 MGDSLPSPPAAVRAMRDSFGDRKLPDISRKITACVACRKQKVKC NMRDGQAPCSRCKKRGLSCTVNRSLQMLLEDDAAWKETMTQKVQRLEESMEQVAARLE LPALQSQRRAHPVPMTSPSVPLGTIDDTRTASSSSSQQPPQRWQIVLDPQGGPASIPA SCVSEIRTTATSGNMPASQYSDLITMGVLSLQQALSLFDIYHLRLDHFLYKVLGDLTS LDSIRKRSSLLTAAVCTVGALHSQSLGHLYDICYREYKNLVTTNTFSTTLNVDDIRGL CIGAFWLHELSWALIGTAVRVSSDIKLHHGIYRALNGDREGYLQARLYYLVYVCDHHF SIAYGRPPMSREKFIVDSASPFLETEHATEDDARLVSQVKEWSILGQVFDTCGVDVET PVPLGYLLQLRRYSIELDIWYADWNETFMPNRNVGNYPQKGVGMHWNFAKLYLCSHAF RGVPAAQERGHLAPELEEIANTGVLSAMSILNVIISDDEMRSYLHGLPLYFDTMIAFA VVFLLKVATKYGNTIRIDTDKIILLVNQTVAALNEITQYMHPQHLLVAIAEGLQKLLW KCQEQAHITQQPVQQHATTFEHSSTDIAWMENIANFDFLTNVPNVNDWAFHYPIPNGA HTDQFHPMIPH TSTA_117400 MPLQSVELHTRETVKTLVGYMIAQGKSDYLGERVTQLEHSLQCA HLARKDPVYGQDDEIVIAALLHDVGRFIPAAQKMDKMYAADGEYLGRMSHDVVGEYYL RTIGFSDKVAELVGAHVMAKRYLTATDKDYYDWLSEASKRTLGYQGGPFNEAQVREVQ KDPLLEAKLAVRRWDDLAKVPNIEVPDLSAYEETIYHCLLESRTQFTLHSRQYNLPIQ PTVVICIDGFDPEYLESGILPTFNHFVENGFHATAKSCMPSFTNPNNVSIITGAPPSI GIAGNFFLDRETGKETMITDSLLRGSTILEQMSCRGVRVAAVTHKDKLRKILAHGLKG AICFSAECARDCTLEENGIENVEEWIGRQAPSQYSGDLSLFVLDAGIKLLEEGKSDFL YLTLSDYIQHKYPPGPDEADEFMGAIDARLQKLASLAPIVGVTGDHGMSRKSNADGEP NVLFLEDKLHEKFGARSARVICPITDPFVRHHGALGSFVRVYLKDLTTLGSTLAYCKS LPEIEVAITGKEAAEIYNMPLDREGDIVVVSKPEAVIGTRKSEHDLSKVRDYTLRSHG GLSEQDIPIIMNKRFSNEARAASAARTWRNFDVFDLTLNWSRW TSTA_117410 MYSLTTSQVESYHRHGFLVLRVGEHQLVDPADLAKWAEEVKSWP REKGKWMPYDEINIKGERQLMRTENFVGYHDKFKALVCGEELAGILKALTGDDMLLFK DKINYKQSRGNGFQAHLDAPAYDHIGRIEHVTANFAIDPATPENGCLEVVPGSHKMDV PCINGGCIDPTWESAQTWLTVPLEAGDVLIFGSHLAHRSDVNDTDKARASLYATFYGK SDGLDLREKYYVHRRANFPPDHEREPGKDYTQGFKTYGFAAPFSKIEDQAPVTA TSTA_117420 MGRELLILPRQLRLIYKLPTTVKTYRGIYNMATATQVKLSTSDA GVFSHKPREDSAQRASELLQRDMKEHHIFFNEKRFHNHIVHHLLTLFSLGASPEEIQD AYDRGHSYQRKAYPVDNKVVHAIIEKSTFKDYLGKEEHYSNFLAFFQQEISTKGVAET LQEHIFAEDEHADDLLGRLFSGLIHPIIHLGFGIEFNQPAIIAEGLAEAAVHEGWVRP YLQGAEDAAGGIGSKPGKTLTELVHELRQDEEILSSVRHDDANQMRDGVLKRTPEKMK HYAKQYTVSPETLKEQLAEMINALIYIAAASQHPPNVVKYEFILIHAVNCSIFFSAIL DRPWISTRAKVRLLEWKGRMDLLLYASRHCPPLYLSEVTSYSIAKTWDEVIQAGNTHK EDDGHVVKLIRAIAHGEQITDPLEKQGKAKGLKMHGNAWLKAANMAIDSTATSTNRWI FGNGFEETWAGIPKRAQL TSTA_117420 MGRELLILPRQLRLIYKLPTTVKTYRGIYNMATATQVKLSTSDA GVFSHKPREDSAQRASELLQRDMKEHHIFFNEKRFHNHIVHHLLTLFSLGASPEEIQD AYDRGHSYQRKAYPVDNKVVHAIIEKSTFKDYLGKEEHYSNFLAFFQQEISTKGVAET LQEHIFAEDEHADDLLGRLFSGLIHPIIHLGFGIEFNQPAIIAEGLAEAAVHEGWVRP YLQGAEDAAGGIGSKPGKTLTELVHELRQDEEILSSVRHDDANQMRDGVLKRTPEKMK HYAKQYTVSPETLKEQLAEMINALIYIAAASQHPPNVVKYEFILIHAVNCSIFFSAIL DRPWISTRAKVRLLEWKGRMDLLLYASRHCPPLYLSEVTSYSIAKTWDEVIQAGNTHK EDDGHVVKLIRAIAHGEQITDPLEKQGKAKGLKMHGNAWLKAANMAIDSTATSTNRWI FGNGFEETWAGIPKRAQL TSTA_117420 MGRELLILPRQLRLIYKLPTTVKTYRGIYNMATATQVKLSTSDA GVFSHKPREDSAQRASELLQRDMKEHHIFFNEKRFHNHIVHHLLTLFSLGASPEEIQD AYDRGHSYQRKAYPVDNKVVHAIIEKSTFKDYLGKEEHYSNFLAFFQQEISTKGVAET LQEHIFAEDEHADDLLGRLFSGLIHPIIHLGFGIEFNQPAIIAEGLAEAAVHEGWVRP YLQGAEDAAGGIGSKPGKTLTELVHELRQDEEILSSVRHDDANQMRDGVLKRTPEKMK HYAKQYTVSPETLKEQLAEMINALIYIAAASQHPPNVVKYEFILIHAVNCSIFFSAIL DRPWISTRAKVRLLEWKGRMDLLLYASRHCPPLYLSEVTSYSIAKTWDEVIQAGNTHK EDDGHVVKLIRAIAHGEQITDPLEKQGKAKGLKMHGNAWLKAANMAIDSTATSTNRWI FGNGFEETWAGIPKRAQL TSTA_117420 MGRELLILPRQLRLIYKLPTTVKTYRGIYNMATATQVKLSTSDA GVFSHKPREDSAQRASELLQRDMKEHHIFFNEKRFHNHIVHHLLTLFSLGASPEEIQD AYDRGHSYQRKAYPVDNKVVHAIIEKSTFKDYLGKEEHYSNFLAFFQQEISTKGVAET LQEHIFAEDEHADDLLGRLFSGLIHPIIHLGFGIEFNQPAIIAEGLAEAAVHEGWVRP YLQGAEDAAGGIGSKPGKTLTELVHELRQDEEILSSVRHDDANQMRDGVLKRTPEKMK HYAKQYTVSPETLKEQLAEMINALIYIAAASQHPPNVVKYEFILIHAVNCSIFFSAIL DRPWISTRAKVRLLEWKGRMDLLLYASRHCPPLYLSEVTSYSIAKTWDEVIQAGNTHK EDDGHVVKLIRAIAHGEQITDPLEKQGKAKGLKMHGNAWLKAANMGSPIFPHAVDDNH TK TSTA_117430 MANSNDDRSTDTTTNTQNKTTPPPDNKADSENPFIAFRRYADEQ ISSMLQAVMGLPSSAVPPFSDKWLYFQNTDNNDRGADRESDYRSDDHRPCRRWGFHRD DNFFDRWHSHHRFSDRGFNSFFDGFPMNLGSFLFPESMLEGDSQTPYSPLYLERSQGH DSRYGRTSSSLFSSRKPADLDPNEPRWRDAFEDLLRVTNGQEMLDRDTEPERSKQSAE KWIKGLIQRGSLGNNWRLLGSQDSQSGLTLERFQQHDRDRRGQEPPRQQEDFLEDKIE TKDIETELDLYDRFLDDILNAHERYSRAFADSPLMRLLDEERRRHTQRDNYSQSSEDT NISSKDWLEYTFDGNKNLLASQMTTDNNTSEESRVISTMTRSVRRTLPDGSILTKTIK TKRFADGREESDESTEVIPPPTSEKNSEAVRDVDNDKDSQGGWFWTR TSTA_117440 MSSAKYILSGAAVMAGFIGAQAALDLSSSSNIALYWGQNSYNQA SGDLEQHNLAYYCENSDVDVLQLAFVTVINGPGGAPEINFANIGDNCTTFDGTSLLNC PQVGADIKTCQDAGKTILLSIGGATYSEGGFSSKNAATAGAQLIWETFGPDSNISALR PFGDAVVDGFDFDFEATVSNMATFGNELRSLMDADTSKKYYLTAAPQCVYPDAADNQM LDDAVKFDAIWVQFYNNYCGVNNYVSGPTTQNNYNFETWDSWAKNTSANPDVKVFVGV PGNTGAAGTGYLSATALKPVLEYSATFSSFGGVMIWDASQAYANNGFISGVASDLGSS SNGPISRIMRRLVDHPYW TSTA_117450 MSGNPRASSDVEGQQSRTSRRMSRAERRMSRAISIANDPSLDEY ERLVKYVSVYREPGTAEVETEEGEMKRVWYAPWRREFVPATGAAEGKQLFPEEWLLTD IKQGLSEAEVINRRRRAGWNELISQKENPIAKFMSYFQGPILYVMELAVLLAAGLKDW VDFGVIIGILFLNATVGWYQEKQAADVVASLKGDIALRCKVVRDGRECEVLARELVPG DVVIVQEGTVVPADCKVICDYDDPNGFEEFKRMEAEGALEDSSGEEDKDKQGGQEREE DEKQPDGNQNKDKEGKRKGSAGAQSNDDEEEKPSRKGYPILACDHSAITGESHAVDRH MGEPVYYTTNCKRGKAYTVVQTSAKTSFVGRTASMVAGATDKGHFEIVMDTIGTSLLI LVMAWILAAWIGGFWRHIPIASPGKQTLLEYTLVLLIIGVPVGLPVVTTTTMAVGAAY LARRKAIVQKLTAIESLAGVDILCSDKTGTLTANKLSIRNPYVAEGVDVNWMMAVAVL ASSHNIQSLDPIDKVTLMTLKQYPKAKEILRAGWKTEKFTPFDPVSKRIVTVCTCDGV RYICTKGAPKAVLGLAKCSQRTADLYRKKAQEFAHRGFRSLGVAVQKEGGDWQLLGMM PMFDPPREDTAQTISEAQALGISVKMLTGDAIAIAKETCKMLALGTKVYNSERLIHGG LSGAMAHDLVEKADGFAEVFPEHKYQVVQMLQERGHLTAMTGDGVNDAPSLKKADCGI AVEGASEAAQSASDIVFLAPGLSTIIESIKVARQIFHRMKAYIQYRIALCLHLEIYLV TTMIILNETIRVELIVFLALFADLATVAVAYDNASFELRPVEWQLPKIWFISVILGIL LALGTWVIRGTMFLPNGGIIQNWGSIQEVLFLEVALTENWLIFVTRGADTLPSIPLVA AIVGVDILATIFCLFGWFTNENMPIRPADQFHETHNGWTDIVTVVRIWGYSLGVTIVI ALVYFTLNKLEWLDNLGRKSRSKGDIQMENILANLSRVTIEHEQHGKLEGRYSLSTAR EEEEAE TSTA_117460 MGLSPAQAIAIIVVLSFLLLFLHKILSPWVELPRLPEQWLAFRS GLAWTIFKDRVGFSEDMVLDHESGFAIISSDPGRVCWNALWGRSHNPHQQGRLLLYHY GGSGSLREMELVNFPDNFDFHPLGMGLYREMEDSHPRLFVVNQGDDNSSVEIMDIDYE DARAVHVCTVEDNNHTIRSPVSVSPVSYSSFYVTNDQCLIRRRHPVLSFTERVLGLPL GWVTFVDFSIQARPICTIVAGGIPFASGILVTPTGKEVLVASNSTDTVRIYERNPDTN TLSSNYSSVYMTFHPETLSFDKSLDIDDPTVFNSNGYFLRGVVATGSPDAGRLFCMAT NPHGCAAPSVVAEIRRGHGPDLSPFPGSLFNLLSKYYARTLYADDGTNYPSSTSGDMD SKRGRLIVSGVYADGLLDITWDPRENRH TSTA_117460 MGLSPAQAIAIIVVLSFLLLFLHKILSPWVELPRLPEQWLAFRS GLAWTIFKDRVGFSEDMVLDHESGFAIISSDPGRVCWNALWGRSHNPHQQGRLLLYHY GGSGSLREMELVNFPDNFDFHPLGMGLYREMEDSHPRLFVVNQGDDNSSVEIMDIDYE DARAVHVCTVEDNNHTIRSPVSVSPVSYSSFYVTNDQCLIRRRHPVLSFTERVLGLPL GWVTFVDFSIQARPICTIVAGGIPFASGILVTPTGKEVLVASNSTDTVRIYERNPDTN TLSSNYSSVYMTFHPETLSFDKSLDIDDPTVFNSNGYFLRGVVATGSPDAGRLFCMAT NPHGCAAPSVVAEIRRGHGPDLSPFPGSLFNLLSKYYARTLYAGMSMSLSLLNLS TSTA_117470 MPATYSAINTGVVVTLFLLSTVISITLGGIAWSRINSLFLPFPT SLGAISTLYPLLPFLSALMANILASRYQRNQNNSPKNQITSSTTSDENGSHTTSVTAV SGIIPMSGSSRSSFFISLINPIIHFIADQSLTLLPVVIATLTATYVSPSDNNCHLEQA WQEYYHNKDVNSIRTIQDQLQCCGLRSTRDRAWPFKDANHGDNACERTTGYTQSCLQP WSEQERRVAVLVLVAAVLGWGIKLGVTNFGVSRAVNLLARDCFHTAILCLKTPSRRKK LGLRPGMTFLQEKALSLTLMLVILAPLKVGILQAPVHGEKTCDQHTRRGCW TSTA_117470 MPATYSAINTGVVVTLFLLSTVISITLGGIAWSRINSLFLPFPT SLGAISTLYPLLPFLSALMANILASRYQRNQNNSPKNQITSSTTSDENGSHTTSVTAV SGIIPMSGSSRSSFFISLINPIIHFIADQSLTLLPVVIATLTATYVSPSDNNCHLEQA WQEYYHNKDVNSIRTIQDQLQCCGLRSTRDRAWPFKDANHGDNACERTTGYTQSCLQP WSEQERRVAVLVLVAAVLGWGIKLGVTNFGTGRVYAFRARRNGWINDTYARSESRGES TGPRLLPYSDTVFENAESTEEARAASRDDIPAGESPLLNPDARDLSSPEGRDLTGPSP WRENV TSTA_117470 MANILASRYQRNQNNSPKNQITSSTTSDENGSHTTSVTAVSGII PMSGSSRSSFFISLINPIIHFIADQSLTLLPVVIATLTATYVSPSDNNCHLEQAWQEY YHNKDVNSIRTIQDQLQCCGLRSTRDRAWPFKDANHGDNACERTTGYTQSCLQPWSEQ ERRVAVLVLVAAVLGWGIKLGVTNFGTGRVYAFRARRNGWINDTYARSESRGESTGPR LLPYSDTVFENAESTEEARAASRDDIPAGESPLLNPDARDLSSPEGRDLTGPSPWREN V TSTA_117470 MPATYSAINTGVVVTLFLLSTVISITLGGIAWSRINSLFLPFPT SLGAISTLYPLLPFLSALMANILASRYQRNQNNSPKNQITSSTTSDENGSHTTSVTAV SGIIPMSGSSRSSFFISLINPIIHFIADQSLTLLPVVIATLTATYVSPSDNNCHLEQA WQEYYHNKDVNSIRTIQDQLQCCGLRSTRDRAWPFKDANHGDNACERTTGYTQSCLQP WSEQERRVAVLVLVAAVLGWGIKVFFLSPFSHLLYTFLPVALVITNWGLDSLVGRDKF WYRTSLCI TSTA_117480 MATCKLVCASGANPAGLLPATLIATSVNEARPSPVVEITYENVP TLPGGDVIEFTGASGETVQGLNAVIAELRVQFPFLKSRYEAQEEQWLSRVNQYVPLDF KALEAPLQTLDSYLTLRSFLVGYSLSAPDIAIWGALRGNRVAVTAVKKGTLINLTRWF RFLEELCPWTAAAVDAVNAAAKAKKAAASKAGASYEIALKNTEQGVLTRFPPEPSGYL HIGHAKAALLNDYFAHEKYKGTLLLRFDDTNPNKEKEEFTDAIKEDCALMGIKPDKVS YSSDYFQLLHDYCIRFIKEGHAYADDTDKETMAHERMNGIPSKRRDASVEENLARFEE MKTGSEEGQKWCIRAKISFDNKNKAMRDPVIYRCQLTPHPRTGSTWKVYPTYDFTCPI LDSVEGVTHALRTIEYRDRNPQYEWMLNALKLRPVQIWDFARMNFVRTLLSKRKLTKL VDSGAVWGWDDPRFPTIRGIRRRGMTIPALREFILKQGPSRNIVNLDWTIFWATNRRY IDPISPRHTAILKEGVVTAYVKGDSVPLATAPSIVDKPKHDKNPEVGMKKVAFSENII LEQEDAKLFKQDEEITLMKWGNAIVRKIEKSGDLVTNLELELHLEGDVKKTDKKVTWL SSAGLSLIPVELVHFDHLLTKDSLQEEDNFEDFLNPKTEWREQAFADGNVADIKEGDI IQFERKTFYRCDRPFAADGKPAVFFEIPTGKTNN TSTA_117490 MAYAFRALPVTAGHISASCRSTLRQNSAIAPFLYPLGQQQVRTA TSNPQAKGKKNKQKESKKKVKGARDYQQKDLSLIDQYALCDAMRYIRAFEVGRDRERS KYEIHIRLKSRKDGPVIRNMVRFPHAVQTESRICVIAPPGSKHAKDALEAGASIVGEQ EVFENIKKGIIEFERCICHTDSLDALNKAGLGRILGPRGLMPSVKTGTVVDDVGIRVQ MLRGGTVYRERDAVIRMPIGQLGFSPDQLRDNLRAALEQVKKDTTQLSDRVPKEVYEV VLSSTNGPGFSLNGDFRSDSSPEPAYLTGL TSTA_117490 MAYAFRALPVTAGHISASCRSTLRQNSAIAPFLYPLGQQQVRTA TSNPQAKGKKNKQKESKKKVKGARDYQQKDLSLIDQYALCDAMRYIRAFEVGRDRERS KYEIHIRLKSRKDGPVIRNMVRFPHAVQTESRICVIAPPGSKHAKDALEAGASIVGEQ EVFENIKKGIIEFERCICHTDSLDALNKAGLGRILGPRGLMPSVKTGTVVDDVGIRVQ MLRGGTVYRERDAVIRMPIGQLGFSPDQLRDNLRAALEQVKKDTTQLSDRVPKEVYEV VRTRHQISSSRIIVLTRYRY TSTA_117500 MSKKYFPDSDDSGSDFDENTTDLPFPKPLSRSSFLAPEFDPAQF LSTLTNRHQTLEDLRLELRELSQGLNKELLDVVNENYQDFLSLGGALQGGEEKVEEIS VGLLGFQREVTAIHAKVAARKTEMERLLQDKKAYRIKANVGRSLLDIAERIEELEQRL MIANAKNSNALTTASEDIESDQEGFISDNTESESDSEDEEDESTIISIKKLEGHLQKY LYIISEAERIGSDHPFLIGQQPRLEKIRSTLLLDLDTALKQSRKGGNKDEARTVKVLR FYDSLKAESNAVSALKQLSI TSTA_117510 MEARSTMISTDRNDGSPSQPVLVRAYTPETNNARSSTKMSSNPT AQQIDLPPVEEFGIDAILRAIEPDIRSTLDSIAEICGRSKLSLANEYGSHIAPFGEVN APPGNLLAIQETAADNAESSTDVDGHIVIVDDDNHLYDSPLGLLDDLRQTALATGYQQ TSRAVHEGDVSDPRTGAARHGKNPEHRTQASAFPATRMNEFAVTSKSASTALLGYTAS KTKATKSSTTTSPALLSEIRLYAQGNRSSWPSVPPDTPKGSPVLHASSFTGNASRTQN TVADRISFLAEVQDWLNWLKSVVQRETANQVACSHSYSAENSLRALLVRDQDHGVPVP TA TSTA_117520 MPLDGVKNIVLVLSGKGGVGKSSVTLQLALALTLQGKSVGILDI DLTGPSIPRLVGKEDAKITQSPRGWIPVEVYPSEITQENGNANEVTTTRGSLRCMSVG FLLRDRGDAVIWRGPKKTAMVRQFLTDVYWGDTDYLLVDTPPGTSDEHIAIGESLLTM SSTSRTAATASGIPFLAGAVLVTTPQAVAISDVRKEINFCVKTQIPTLGVIENMSGYT CPCCGEVSNLFSRGGGEVMAAEMSIPFLGRVPVDVKFGQLVESQKIGDDGIDSDVDDE EADAAEDVQQNPFEDDDRLLVDKYKDCWSHPIFEEFTKQLLSKIEGELN TSTA_117530 MASNLNQDELLSQFCSMTGATPSEARPFLETHQWDLDAAVTEFF ADQEEEELLQDTEPRGGRRLGTDDSSEEAEATSRSSSAYNSKSSSSQRGPAKKFATLG DLASGGRDSGNEDDDNQDFFAGGEKSGLAVQNPDDIKQKILEKAMRAKPPRPDDSETH KSHFTGTARTLGGDDTPSQVIQDPNENRPTPPPRVHRTLHFWADGFSVDDGDLYRSDD PQNASILEGIRRGRAPLSIMNVAPGQEVDVEIKQHDEKYVRPKPKYKPFSGSGQRLGS PTPAIRTSQPEPAAVAPSPSEAAKPDIDESQPVVTLQIRLGDGTRLTSRFNTTHTIGD VYSFVAAASPASQSRPWILMTTFPSKELTDKAAVLGELPDFKRGGVVVQKWQ TSTA_117540 MAEDRTSERIELYDSGITVPSDSENYSANNELSSSPPSTSSSPV ILYKPPTFWSILRGTAINVLLPFVNGLMLGLGELLAHEAAFRLGWTNTKILPTYRRTT PIGPGIELREIRDRRTDPHANLRDATSLE TSTA_117550 MPNLEIHSSANVLLFPSIITANMIGSRSLSRATVGGTTRNISLI KPASPARSLSSIASRPVLSSSRNDRLRLKASSYLPSASVSGLSFARFNSTSTLGEETS QAAENVTSLGESQLPAIDGIPEKIGYLKELGLDYGWGPSAFMEWLIEHIHIWSGIPWW ASIAAAALVTRIALFHPSLKAADNAAKTGPIKDEAMELRKQRMQMLSQGRQLEAAKAK LAMDELYQKHDIKLWRNFVPLLQIPLGFGTFRVVRGMATLPVPALAMEHCGWVHDLTS YDPLYILPALATGAMYFTMKKGVDSGLSGTGSTALGRGLTIGLPAISLLFIAWQPAGL QLYFAASGLFSLGQAYLFNTPITRKLLGIAPIYKPPANGEKQDSLRMIQQEFLSQMQK RVDEQRGEVSGASTKSGNISLVDKMVNNAKKEFSTMKKEMSDKVNSYSQPDEKNYDGT PAAPPRLSAAEKRSAESYKAQREIEDAHEMAERNRRRVQEYEAFIAQQQANAAQSWRQ KGKEVATSKKPVKKSKARK TSTA_117560 MEFQTTAEASRSGVRPSNAPIRGRPQLSCTPCKRRKLKCDRARP CDNCIKRREADTCVYPTNGSTARDQTRESKKTKKRIERLESLVMELLDRGSLNHEGLS EGPSTTRDYESHAHHHLPHHASETGHETDSSPAQGEVPPVRRVPNLAASSSSSSLWET VLQDIGEIKLYFEEHESEFEKQLDKVEDARNPSAEPYVLEGSPGKWDIDTFAHDLPPR PVMDLLVANYFGASSLIRPILHPKKFLRQYEKFWQDQYSVSKSWLGTLFVIMRTAIHT ARQAGLDLSATLGDMDEAQTLFRMRTKQILMTELHAKPTLERLTLLAMHLDAEFIQCQ DTSIAVWINVATAVRMALKLGLHREPSSYGDLSPFECEMRRRLWLAISQTDVLLSWQV GLPSMIPQGQCDTMLPRNLHEDDFDEDCTALPAPRPWNEMTKISPFLVKAGLLRVFTK IASHIQDIHPKDSDVPILERELYAERDALPAMFKVKSMQESLLDHPAMIMRRISIDQT LHSGLCVLHRRLMPLARSNPQYSHSRKVAIDAALTLLNYQAICHYESGPTGRLAGHKW LSTSVIRHDYLLAAMLICLDLKQGVDETTHPSSSDIILWGHDRREEMMAALETSYHVW RASKDTSIDAFRASEAVAVLLKKIRSAESTVQSSETTVSSMPSVESLEISPEQFVPIE TDPYNLTLPFSEMVASPGAIDWVEFDRYVLGGNVPMNT TSTA_117570 MPVQPLTVQDGVANAIRRVGQKRLHIFTACKHTVEDNHCMYPPE HTERAIRQNPRTETIVRFRGLCSTCEERALIPNAIVEETKKVVTRHLHGIIDSSAGPL TEGPVKWAKDRLVVEFKYKKTKQIETDADEWDVCPVVVWPSVEDPSSDLGARQWEDVS KLNSFEHKWNEVVPGSRVARNRSSDADITQAFPPFPELLPQGARVLEDHYRYDDPDLF EILPYATPEDFNHGM TSTA_117580 MSSSSQSFPYLGKYTVSSTWRLLQTTIYLLIINIILSFIQAVMA RRPAAPPSSPIHTTVDETILAYNNTPEERDMHTFEDLCESRIDILHAQYNLVKDTLHK AMGVADMWWAAEKINDLELQETLGSKLIDEIILPYFTKVRALVDEHEDRFSEDGVLLE FSEYCADAVSQCFTPIEGYDYKQVFVEKEVAEICTALTHYRKEWGRKIFLEKGVRNRR WERILKKPAEALTVTTWSLLWARQEKKEDQKLWVITPLEDIEWHQRDAFNRIKNERLA VHQRKMELAEDYWDWKLDLEDKENLLDNAADDDDWAAVFDNSSVKSFELVIRNTKLHL MKDFNIFNFRFLGTLTDKIAFEEYLYSKRPLDMRKTSWPGFVRYRLKKLEVEKGRDEK LEFVPVNDTGE TSTA_117590 MSSPPPTTPSALLPRKRPSISSTASGQAKRPRMHPLRQTSFPTG MGLEYDNSRSRAPSDAGSVTGSFTGSLGGTSSVFDGPKKRGRKKKADKEREDASIARG GAADGRSTRVGSADIDGGSVRGGAGGGNEEAAEEEEEQDMEGDMLGGTEGFLDVATEK KNLAVLTGSFTPLQADRYEKWNRTRLRKETLRRIVNHALSQSVPQSVVTVVNGFTKVF IGEIIEKARTVQEEWAEAFDLSARVTWEREQAELETAAAAKAAAEAEAKAREEAKAKE DAKAREEAQTNSGSASAESKESDKTTTAMKSEPQSPPGPNNIPALPSAAGFPTTHLTP YASTPNAGQLANGMKMETHRTFIPPVNPHRGQLLPDHIREALRRYKRDGEGGGTGMSG LSQPMMGVRGAFSWQAGPGGRRLFR TSTA_117600 MVFIGIPKNYTTSPSSFIGTPSLTINHEAVVDLDSSNAFEGPEK LLEVWFAPAADNLLGGTDPRGLKAVPAEIWKDMLDLVNCKVLSIVESDDVDAYLLSES SMFVWRHKLILKTCGTTTLLYGLPRILEIAALFAGFPKSTAPPCRNISVAAAPYRVFY SRKNFLFPDRQRGPHRSWRDEVKNLDKLFANGSAYMIGKMNGEHWYLYLTEPYTSLTP PASPPRETETRVLNIPADLESKRANAGSHEEEDETLEILMTDLEEENAEQFYLDHASA VADIRYRKIEKEKDELVDVFSNTSSDMSDPDSDGEQQHTLPPELTTEGHALGTVVSES CGLADVYPTSKYPDSRIDAYLFTPCGFSANGVVPAPDGKSGTHYFTVHVTPEPHCSYA SFETNVPHAQSGRETADIVKHVVDIFKPGRFTVTLFEAKPSDEFLSEKKYDRSAILRA QKMENIPGYRRVDRIVHDLDGYDLVFRYYERNDWKGGAPRIGEL TSTA_117610 MSVWNPDNIRDVAESVGISNLSKDVTENLARDVEYRIAQVLEEA LKFMRHGRRTVLTTQDISHALRVLNVEPLYGYESTRPLRFGEASLGPGQPLFYVEDEE VDFEKLINAPLPKVPREVTFTAHWLAVEGVQPLIPQNPTSNDSRNLELVAKGPNTNPN LAALSGNQNTAVKPLVKHILSKELQLYFEKVCNAFLDQASEEYRTSAYSSLREDPGLH QLVPYFVQFIAEKVTHSLNNIFVLTQVMRMAEAMIQNQSLYIDPYISALVPPVLTCLV GRQFGGSNNELSEQFALRDLAAALLGMIAKKYSHASHTLKPRIARSCLKNFLDPAKPF GTHYGAIMGLHAIGGADVVRELILPNLKPYEKLLRDALTEEGPRRPEAERVLGLLLSV LSSLQEDRAHLTNGHLAVVSDELREQLSDKIGQLLATRIADAGEVRLAHAILAQQ TSTA_117620 MSSETAAEPTTQSVPETVKAVEPTTAAVETKTAELQPEKKVELA TDKPTEAVTAPAEAPAEQTAAAAPVAEQKNETTEKRQEPEVPAQPEYLTKVPGLSKFF DSLPGILEKTGHSEMWGVPLKDSKDIPTVNVLIKFLRANEGNIKQAEEQLTKALQWRK EINPVELAKNAKFSAKKFEGLGYITSYLDPTYGETIFTWNIYGGAKDLPNTFGDLDEF IRWRTALMERGVQELKLNEATEVIEYDGEDRYQMLQVHDYKGVSFLRLDPAVKAASKK TIEVFSTAYPELLREKFFVNVPAIMGWMFGAMKIFLSKNTIRKFHPISNGANLGREFA FVEDLPKSYGGQGAELKDAGRSVPLIDDIPAGEPAKAATEAAKETATEKKVESGAVPA PAPTATEVPKEEALQEEPPREEAPKAEPSKPEEPSAAEPAAEESK TSTA_117620 MSSETAAEPTTQSVPETVKAVEPTTAAVETKTAELQPEKKVELA TDKPTEAVTAPAEAPAEQTAAAAPVAEQKNETTEKRQEPEVPAQPEYLTKVPGLSKFF DSLPGILEKTGHSEMWGVPLKDSKDIPTVNVLIKFLRANEGNIKQAEEQLTKALQWRK EINPVELAKNAKFSAKKFEGLGYITSYLDPTYGETIFTWNIYGGAKDLPNTFGDLDEF IRWRTALMERGVQELKLNEATEVIEYDGEDRYQMLQVHDYKGVSFLRLDPAVKAASKK TIEVFSTAYPELLREKFFVNVPAIMGWMFGAMKIFLSKNTIRKFHPISNGANLGREFA FVEDLPKSYGGQGAELKDAGRSVPLIDDIPAGEPAKAATEAAKETATEKKVESGAVPA PAPTATEVPKEEALQEEPPREEAPKAEPSKPEEPSAAEPAAEESK TSTA_117630 MAENEQSKPPTVVQRLRRASTSVTGVVMNYNPQPGMWAATGTAI AYAPTLGELREPVTGGRNIVYNAHGHSARSAPSTNAGSTTITTTEIKVPRALERTDTI PKSSASLYEPTTSTGEAGVAAEEKHNWKETTQHALAVGWKFVKTPTGFLMTIYGLNIV AWGAMLFFLLLDAAPAMDHPNKDSDDSARKKWIEIDSQILNALFCVTGFGLAPWRFRD LYWMVQARFHHNQHAMMRLCKQNESWFRPPRWYHGVDLESGQQERRVTFTGEVAPPTR LWKLSFVVWMMVYNTLFQCALSGFMWGYNRIDRPSWAAGTFIGLGCGVSLAAGLMMWW EGRKVKKIEGPPVVHVAKGVAGACVPNDREYETLVYLNNICHFARDSQTYDLTNRK TSTA_117640 MDKNGGLQPPTVNELLATKGSRRSVELDNVGNVFISKEGLNVSA EVNHEGLVDIHLIDKVHKFTKHLQKDRKPLWSIPTHTNHLNHNEDYEKKFKHILTKYT GVTRLNIAIHIVGSRGDVQPFIAIGQILTKPPYGHRVRICTHPVFKDFVEENGLEFFS IGGDPSSLMAYMVKNPGLLPGKESWKSGDVGKRRAEFSVILEGCWRSCIESGNGMEED KEKTSDNGTDTQSEDAQNAEADRAFIADVIIANPPSYGHIHCAEKLGIPLHIMFTMPW SPTQYFPHPLASIQGNKADPKLANYMSYTIMELLAWQGLGDIINGFRMKTLHLDAISP LWGHMLLSRMKIPFTYTWSSALIPKPVDWGSHINITGFPFLKIGSDYTSPKDLADFLA GGPPPVYIGFGSIVVDNPEELTRIIFGAVKRAGVRALVSQGWGGLGGKDVPENIFLLG NCPHDWLFQHVSCVVHHGGAGTTAIGIAMGRPTIVIPFFGDQPFWGSMIHRANAGPEP VPFKSLTEEKLAESIIKALQPDIQASVLKLSAKIAGESGNEAAAASFHNSISYDSMRC LLRPEDVAIWRVKKTNIRLGFLAATTLVDNKIISLRDLTMHRHQEWHIDEGAAGPVMG FLAFVSDTVVKTWDSVHSYARDISRTIHRKSEPQGPIIPMTERRSFEQDYPENGTVFS QPVTAALSYSPNHLERVAYRMVSGTLPDFSNSSKYRKTPRITRTNTFRSLLKKNKGKH RSKVEEFGSETAHFAYSVLRTGLHGLAPVALFYNLANGFHNAPNFILKDETVRKRGKI TGLRSGIKVAGKSSFLNLYDAVTGLVIHPYRDTREYGVEGIGKGIGRGIGGLFFKSIA AAFGLPGYCLKGLEKQIEKRDDRDLRAQILQVRIIQGLAAYRRASEEDKQEILRRWNE AVAAESG TSTA_117650 MAMTMREIVLCAALIFVIGVSVLNQTVNSTSSLSNQLLQNDQSA LSSTSPSMIHLQQQQKQHDQDQKLRLNNLQVIGTHNSYHREVSLPERIHFPYLMADVD QHVEGYYYSHASVHDQLEHQQVRSFEFDVYVDTHGGLFADPLIRRIANMSESDNAHYG LPEDVMRQPGTKVLHIADADVGTICHTLVECLTQVKAWSESKKGLHVPIPILIEFKKT EPGLELQGGVVAEDWTVDALDRVDAEIRSVFSDDQLITPDDLRKHAMDNGVVSRNSNK NITLEEAILAFEHGGGWPTLSSSRGKFFFVMDNEPTHPIQIRDPYRSGGRTNLEGRVI FTNSLPGEPDAAFIKRNNPITGNNQHQIQELVSKGYFIRTRADEPIQMLLTDEVPAMR DAALASGAQIVSSDWVGAGVSARYNSDYFVALPLGGAARCNPVNAPKGCVDEYLELL TSTA_117660 MTLSHETTGNAHSPENAPKRPKGILKNSSSFVHQATSPEKVAIS PPLPADPVENKEITLQNTLQNAGRRSSSTRRTSSGRRLSGTGSAHGDHDDRSTHLKWD EANLYLAEQEKTAKMKIDEPKTPWAPSYDPSQDEREEMELEAEDRTLDAHDLVVDELD QAKSARQKKATGAVKDNEIPDFELGEPEEDLSGQQNLARAGESRITRERSLSQNSNRS DKHVDVIVDDDGESTGHGEGLMTSEEAKEKHRQFEEHRKKHYEMKNIKDLLAHPEELD ELDEDDDAQPPAVPQIPKNLS TSTA_117660 MTLSHETTGNAHSPENAPKRPKGILKNSSSFVHQATSPEKVAIS PPLPADPVENKEITLQNTLQNAGRRSSSTRRTSSGRRLSGTGSAHGDHDDRSTHLKWD EANLYLAEQEKTAKMKIDEPKTPWAPSYDPSQDEREEMELEAEDRTLDAHDLVVDELD QAKSARQKKATGAVKDNEIPDFELGEPEEDLSGQQNLARAGESRITRERSLSQNSNRS DKHVDVIVDDDGESTGHGEGLMTSEEAKEKHRQFEEHRKKHYEMKNIKDLLAYAIPFL RCLNTE TSTA_117670 MIDAQHAYSPPLGLEFTIPLPPSPVASPVGCSSSFELYEAECSP FKAPLAPTMRTPTPAGEPVPIPMPEPRPIDVSEPEIPVVSSETCTTPEPKDQEPFTTS PASTVHIPLSITPESTVSFEQFATQYRRCQQVEIEKQVLEHRLISTKISICLSSRLLR TGATVQRGLVDAFKHGDKAGFISIYNTIYDIQEACELGSSRSINRPQSFPEESTFSLQ SPRTRTASFMHQLSCQSRKDLLEILALVRTDAQFLVDCITGLLPSQLSSLTTPLGFSA SGETISPHSTARSRNSATYAKRATPNSIPFKEHAWALERSDPLSALLYNVFAVPLDWH PEESELRLNVWSSVCAKLLSSGDNKYLNFVGQVLSYWSTASDWRARQKVELYLMDVLQ KGAFLLENIESPVAHSLGVEPPDPLRTDAAEEFFESAVQTLFEVLDDSDAGLPSAALE FCNAVLNKLADAEIRDRFLEFIFVQWFFSRYLYYALTFPEGQSLLLDFHISKEAREKL LSQIALRAQSQFYRVLHSVPEFSTVNTQVRRHVDSMIKRCLYSSHPAPVAGSNKYDEQ ASDSSSFLMLCAADVVTLLNVLFPRTTNSPSGSLSSNSTHPALSFTQYSGPRRAALPA HWSGRIETVLERPTKPDSIKQENSRRSRFHQRADVIRFELSDLGETDARPVLDHPSTE DWTLLAISADMKSLNWKTSGGNYSDGIFEGLSDNGDRSGTVQPEEDYEALHGAIQKLV NEFETLSRSRTSRTSLVRQSRFSSLKERFDAARSVCESRSDFLGAHYWWTASNQLMQS LSKSINPPPNDSWILGPMLHSSHDSFSKCNTVIHECEEGLFTLSPLVERLKEYSRKSI TGMTKLRNKMWYMTDVKNSMRYEDAKHVALALKTMVYPNLHRDTTNEHRSRNGSRYLG GSFLQKPEMHVMNVMKASTSQGGPNKLSDEQVELTRKWISHHGIDNFCRGEERIHRFC YEVKSSINKLVGDSMAETPVLWASELFQRERAKFEGPNNRSYSHISPTPGARPSSISS EEALSSMPFSSLNFRGAESLRPSEPSSSIYQNLSSETWKYSRKLELDTLSSSGGSSSR AASSSTTESYTTFWSPSQTQAQSAASASSFQSRPPSMFNDAPAPRRTERTIHGKTTFL DDLRQTLTSLLLSDLGSPVWSCGSETDAWFIGFLNQTRVQRQMDKQARIQKFLAESEK AIHQRRGRVMNGERYTSHRRSVSADPILMTVHDTPKVTTEGPFGNTLGVQTADESAFS YKSAFSQLLDVFSRNANPYVKLGALRDLRALVVASLDRVGDASPTPTQNLVNSQGVSA ENPRVSRRSFTEELLEQDDSFRMHTSPAPESIDFDSHPSYDLLNTSESRIITAIKNIL RELQPKTLFRDLQFVSAFVPSETLNKTDSGTAFLQFGLAALSLKEDVCASMVELADRI VSQELNRRHTQQAFDFISRTQDPIEDAAKMWIITAKEGYPVAQRELAILYLTHPEILP RVTLPLTLPRDTFKAEMMYRRDRDSKSDPQSMCLALHWMQLSASGGDELARNRLRERE EFESLV TSTA_117680 MDLQHPLNQHLPMSSPTSPQNRKRTFNEVEGGHNILNPDTIKPQ RHGDDEQENQNPLQYVNAIDIDKVPLTAANMTETQATQIPANAPNPPSNGTFTLTTPT VPSTPPQPQQHATTSSNTANFVNKKLKLSPGSKEAKRKEKEERDRLRAEEKAKKEAEK KAKEEERKKKEAEKEEERKKRETEREEKKKQKEEERQAKEEGKRKKEEEREKKERSQM RLKAFFSKPSGTAAERTSLVPSSPRKDTMQEYMIVESAVKSHNPPQSDYRKEFPVFFL QSHTHLSPPHQFQRDSEALAHIQQKLDGYLKEPTALPAYRGSELFNMIPYRRRKGLNV VSVKSLLAKAQDMDLTPSTTALRDELKKVTMKSLKFGEDVRPPYYGTFTRPLSKAQAR KVSRAPYSRVLPEVNYDYDSEVEWEEPEEGEDLDSEGEEDASDDGDDDMDGFLDDEDE QLDSRRRLIVGDQEPVCTGVRWEDGGNADPDMEVYRIEALSETIRFPINPFSTAYWPK PKVVEHVAPKVSSSLPPVRATLHAYAINPSTSTYTPSPLAPPTVPSATLDNSTTSKAK KPFPPEHIEEFKQTVEGCDLTKAGLIEVLKKRFPKVSKDTLKDTVNAVAVRVGQKEAD KKWTCK TSTA_117690 MAARVQMQQGNNPTDYRMYQVPSRRPVGATHHAGLQFQQPPETP SPQQRVAPNFDHLQSHSRTRTTSSTVLPYVGNSQHIRHQHQQHHIFHRQPHQRAQPAS TAGPVPTRSSSSATTSTSSTSGNHQRLTHTMSTMSSSDIHRSSSSRSTTAPLSYVALM RRQKGTVWCDRAQPEDPRLQAQKRAAKQRAGKAAPGYSPSTLVGAIVPPRLSANEIGD DEEDDRSSEGAPYHRRTGSARSSLGSMHRYPSGYQRPQGKTPPNEQANIPEVVENSAS AAHPDKAQIPQDTINTNSSSSSQMDLPTEDHEGENDFGSLGEMGAPAAANITMNKAKK ADDLRRRGSVDDRTTSLTGVRLFVANPDADD TSTA_117700 MWSSHPLHGVKVVELAGLAPGPFAGLLLADYGASVLRVDRPPNT SVAPAGDHLTRHKSSICMDLKDARSRELLLSILLRADVLIDPFRPGVLERLGLSPTEV LLKHNPRLIIARMTGFRRAGKYKDMAGHDINYLAVSGVLSMLGRSGEPPLAPGNLLGD FAGGGAMCFLGILLALISRSATGKGQVVEANMVDGAAYLATMPRLARKTPVWSEPRGQ NLLDGGCPYYDTYETKDKGKYFAVGALEPHFYAALLKGLGFAKNDVPASEDRDNWPAI RQIFTKRFKEKTRAEWEAIFDGTDACATPVLEQSELEENGYQQRLPVALVSTPGKTFK ADEAGWEGGGLLPGDGGEMRLKEWFGWTKGKEYLEQDGILGSIKALSKL TSTA_117710 MEFVQNVVESFSSGGGRREEVVEERQEYYSSGPGGPGGPPPVPR PWVARWAEQERAWFYINEETGERRWEAPYGGGNGGGYYGEGQRGYEQSTYVQEDTYVR EEPRKDHTFAYGAAGVAAGVLGGAVLGYEGEKIHNAWDREEDRIEERVEDFPENAAEW TGEKVGEVEYEADRIKNDVEDFPENAAEWVGDKVGRVERFGDEVDYAYDEGKAEGRDG W TSTA_117720 MNLPASIPVRTFISGCHILHRHHVLDAYGHLSVRNPERSDTFFM SRDLAPGLISSSVDLVEYFVHDASPVNPASPAGYIERFIHSEIYQKYPEVQSVVHSHA STVLPYTITGVNLRPCVHMSGFLGASVPNFDVAKFYKEDDKCDLLIRNKDLGAHLAEC FSAPESDSESTRSVVLMRGHGFTAVGGSIPESVYRAIYTVENAKIQTVSMTLSAAAAK GDGPHSGIYFLPEHEIRGTKELTQRSVMRSWKLWVREVETGGLYTNLA TSTA_117730 MAYGSQLTLLSGGQSIIILLIVSFLAWRFRVWFRLRHIPGPLGA SLTNFVRMSWVFTKKAHLIHQELHQKYGDVVRFGPNMVSISDPASIHIIYPMRKGLVK NDFYVPLRPYTRNRGAIPNVFTALDEDLHMKLKYPVASLFSLSNVSKFEVLVDEVLSV IDEQLDRRFASHGEIFDLTEWLQFFAFDVMGTMTFSKRYGFLEEGKDVGGMLNAIGQF MKQAAPVMQNPWLDRVLYKNRIADSLKRTPGSDIMKFVVAAINERQKSASEDEDFTKA RKGKNDFLDEYIITQKKDSNIPPWFVTAWTISNVLAGSDSVGTVMKTTMYNLLTNPRT LEKLHAELVAANVSRPRPRWSEVHNLPYLDAVVQEALRVHPPFALPFERIVPEGGLHI SGQYIPANTVIGASPYVVNRHKPTYGDDAELWRPERWLEGGPEVRKKRDDGLLTFGAG RRICLGKHIGIFEVKKLIPFLVLNYDISIVKPETFLAENEWFFRQSNLLAQIRRRPLE SVEPNV TSTA_117730 MKLKYPVASLFSLSNVSKFEVLVDEVLSVIDEQLDRRFASHGEI FDLTEWLQFFAFDVMGTMTFSKRYGFLEEGKDVGGMLNAIGQFMKQAAPVMQNPWLDR VLYKNRIADSLKRTPGSDIMKFVVAAINERQKSASEDEDFTKARKGKNDFLDEYIITQ KKDSNIPPWFVTAWTISNVLAGSDSVGTVMKTTMYNLLTNPRTLEKLHAELVAANVSR PRPRWSEVHNLPYLDAVVQEALRVHPPFALPFERIVPEGGLHISGQYIPANTVIGASP YVVNRHKPTYGDDAELWRPERWLEGGPEVRKKRDDGLLTFGAGRRICLGKHIGIFEVK KLIPFLVLNYDISIVKPETFLAENEWFFRQSNLLAQIRRRPLESVEPNV TSTA_117740 MPGSLIDTRQQPLSVGIVGGGIIGVILAAGLVRRGIDVKVFEQA RGFREIGAGMAFTANAVRCMEMLDPAIVWALRSSGAVPISIGDHQAEARDYLRWVDGY HESSKRLYQLDAGIRGFEACRRDQFLEALVKVLPEGIVECQKRLQKIHEKNETEKVTL EFADGTFAHVDCVIGADGIRSRVRQHLFGEDSPYSHPHYSHKFAFRGLITMENAISAL GEDKARTLNMHVGPNAHLIHYPVANETMVNIAAFVSDPEEWPDKLSLVGPATREEAMG YFANWNPGLRAVLGFMPENIDRWAMFDTYDYPAPFFSRGKICLVGDAAHAAVPHHGAG ACIGIEDALCATVLLAEVFVSTRGKSSIVRNRAIAAAFGSFNAVRRVRAQWFVDSSRR VCDLYQQPEWADPQKRIKAENCFEEIKDRSHKIWHFDYNSMLQEAIEKYRHNMGS TSTA_117750 MDPLASNFRGETVLLFGSQSLSFDANTFNAIRSSLEKEEYLRWI RHTVADLPSALNTALQHVPHLKGSEEWAFSAVQELNDWLDSGHQPNSLDPSALPNTIL TPLVVILHLSQYMKYLISANDYQDDTLLSKRQQETCETLGLCTGLLSSLAVSSSRTRL QLERYGSVAIRLAMLIGLIVDARDRSTSHGPSQSTAALWHSEEQKEKLLEILAANPEA YISVYYDQNRATITIPTAQTATIRKDLASAGLTTTEIGLRGRFHWSGHEAEVDQLIKL CDIDKRFQFTQKTALVLPNRSFDSEPHVHQGPLHAMALWSILVNPPEWQKTVSAVYAS TLVSTTAKVVSFGQERCVPPTILQNLDSRVFYMGDLEKTSSPRPRGDDIAIVGASIKV AGADDLEEFWEILSKGISQHKEVPPERFTFDTVYRDRDPKTKWYGNFLNDPDKFDHKF FKKSPREAESMDPQQRLLLQIAYQALEKGGYFHNAGPDQRIGCYMGVCAVDYENNLAC YAPNAFTATSHLRGFIAGKVSHYFGWTGPALTIDTACSSSAVAVHLACQAILKGECTA ALAGGTQILTSPLWFQNLAGASFLSKTGQCKPFDSKADGYCRGEAVGAVFLKKMSAAL ADGDQILGVISGTAVQQNENCTPIVVPNKPSLSDMFQSVIEKARLQPDHITVVEAHGT GTAVGDPVEYASVRDTLGGSKRTKKLFLGSAKGLVGHCESASGIISLVKVLLMIQKGM IPPQASFNTLNPATKATPADGIEISRQLTEWNAPFRAALINNYGASGSNASMVITQAP RATTTIPGGNEMERVPFWFSALNEKSLQAYAAEFLKYLKANHGQLSLPDLGFNVSRQS NRSLPRRLLFTCQSTNELQQRLEEYVKGDSKTSSSECPATRPLVLCFGGQVSTFIGLS RQVYEDVALLRGHLNSCNRRCLALGLRGIFPAIFQKGPIEDIVTLQLSLFAMQYSCAK SWIDAGAQPVAVLGHSFGELTALCVSGVLSLDDALRMIAARAQIIRDSWGSDGGSMMA LEADLDVVQKLLATSNANLPENEVAVIACYNGPRSFTIAGPRRAIDALDTSRQASPEF ASIKAKRLDVTNAFHSTLVEPLRNKLTEATKELNFRERGTIHLERSTETRSEKLIDNP GSYVADHMRNPVFFHHALQRLDNQFPNAIYLEAGSSSTITNMASRALGGSGGRHFQAM SITTDKGLDNLIDATMSLWNAGLNVRFWKQAFVETENYKPLLLPPYQFEKSRHWLELK EPPKPEIITMSAGGQRTDKAPDTILSFVGYQDSNKSHARFRLNTENREYQELMKAHLI VYTAPICPATVQMDIAIEGLKTLVPGIGSEVQPQIHNVDNQTPICADKSQSIWLDMKL ADEPSKISWRFRFFGTSLDNDKMPSNKQADAGVTFTLGTLVVVPMEDEQTKLDLTRYD LLTGHKRCVELLHSTEAEEILQGRTIYKTFADIVDYGEQYRGLQRLIGHGNNSVGRVV RAYNPKTWFDAHLSDAYAQVVGIWLNCMTEHDAEELYVARGFEKWIRSPDIQPTSRPD SYDVLAYHKGPSRNSCLSDIFVFHPKTGQLIEAILGFQFVRIPRKGLAKLLTRLTRDE TALATNAQSRAIPPPSTNTTQSSSQQTPIPKAAAPKKEKKRPGNPKLDVLPKLITILA DLVGLEPEEITINSELADIGVDSLMAMEVVTEIERVFSCSVPLDDVADVTTMSQLVRV VESIVGMEGSETSNLSSDDDDENGTPSTPETDLSDASVDAVVDNAELIAYFAESLGMD ASEISANVQLKELGVDSLLSMELGGELVEKFGLNLNESTVLEDMTINDLRQTAPGAAA PKVAESTITSAPQVTTSKAVPLTNGTSFNIPVETILSAFKETKAAGDSFITATGCRGY VEQVLPEQTLLCALHTLEAFEKMGSSIRTLKAGDTMTLFTPRPEYVSLIERLTEMLET QIGLIKVIGGPGLTIERTQTPYPTASSTVLMQEMRQKYPQYQNVNELIFYVGSNLDRA LRGETDGIKLIFGCAQGRELVSGLYGDWIMNICYYRQMEDFLIRLIAKLPSNEPLRIL EMGAGTGGTSKWLLPLLARLGCPVEYTFTDLAPSLVAGARKTFKQYASFMKFRAHDIE QEPAEDLLGTQHMVVASNAVHATVSLVESAKKLRKVLRPNGILMMLEMIEPLYWIDMI FGLFEGWWLFADGRKHALTPPARWKTDLQAAGFGRVDWSDGNLPENSINKVIIAVACE PEKPEELDRKSVVDEFVHKYTQGVDLLSPAIRVNRKSLGHAVLVTGGTGSVGAHVVAH LAQQPFVTKVICLNRRGKLDARQRQLESLAQKGLQLSDESLAKIQVYETDLSKPQLGL SPEMYLSLLESTTDIIHNAWPMSIKRQVQGFEAQFRIMRNLIEFARDISLGGGDPLGF QFISSIATVGHYPLWKQEIRVPEDRLPLDAVLPIGYGDAKYICELMLDKTLHTYPHRF RVSTVRLGQVGGSKISGYWNPVEHLSFLFKSAQTIQQLPDLHGPLSWTPVDDVAKSLV DLLFTEKPYPVYHIENPITQPWQEMIPILADALGIPRGNRLSLKDWVARVREFPEDPT DKDKNPATALVDFFEQDFERMSVGGLLLDTTKSREHSPSLRAVGPITPDLVRKFISYW RSISFVA TSTA_117760 MASLPSQSCCYQGFRHSGAPKGTLSMVKDIEVYTSYPPDKSTEY GVLILTDIVGHRFSNAQIIADQFAENGYFVMMPDLFLGDAVPLNKPGEFDMGKWRSGA YHPQGKNHLPETVDPIVEVCLSEMRSKYQCKKIGAVGYCFGGKYVVRHLIPGKMDVGY TAHPSHIDESELKGIKGPLAIAAAAKDNIFPAEKRHVSEEILQEVGFPYQINLYSGVS HGFGVRGDMNAGEVRYAMRSAFVQAVEWFNEYMKEK TSTA_117760 MMPDLFLGDAVPLNKPGEFDMGKWRSGAYHPQGKNHLPETVDPI VEVCLSEMRSKYQCKKIGAVGYCFGGKYVVRHLIPGKMDVGYTAHPSHIDESELKGIK GPLAIAAAAKDNIFPAEKRHVSEEILQEVGFPYQINLYSGVSHGFGVRGDMNAGEVRY AMRSAFVQAVEWFNEYMKEK TSTA_117770 MSKDLEAGEPAPKQELRQSLISRLRLRQPGQTATFTHPDGDRKS DNSCIVDFDGPDDPYRPMNWSFKKKALTTVLYGMTTMGATWASSVYSPAIPGIQKEFD IGEVTATLGTSLLLFGFGLGPILFAPLSEFYGRKRVVLTPYFISIMFSFGTAVAKDTQ TIMITRFFSGFFGGAPITNSGGVLADIWSPKQRGGAMVAYAMALLGGPMLGPIVGGAI VDSYLGWRWTEYITGILQITTFTLAVIFIDETYAPTLLVYKAHRLRIATGNWALHARH EEIDVQFSDIANKYLLRPFRLLVTPICFFVALYASFVYGILYLSLASYPVEFQEGRGW NSLVGNLPFLGMLVGIVLGALTNILNQKFYIKKYIENGNRAVPEARLPPMMLGSVSFA AGLFILGWTSPKHVFWLCPIIGAVLQGLGFFTIFQAALNYLVDTFTRFSASAIAVNTF MRSVLAGTFPLFAAIMYRKLGVPWASSLLGFIAIALIPIPFVLYAYGARIRARGKWSR PSIEGHGH TSTA_117780 MLHGMSPECALSKLLSSLEFHTLYRYTMTQKHNFPSNNKDTLHL PRILCLHGGGTNARIFRAQCRALEKALRTSFRLCYAEALFPSQPGPDVTAVYRDFGPF RAWIDSPDANPVTMTEALQISICKAIMEDDQRGATGPVVGLLGFSQGAKVCASLILEQ QLLGRTFGDHSHLPFRLPQWRFAVLLAGRGPLVTLSHLSVGADIMQKMAHLCENDDSS GLDSQENLGNVILTRELIQVPTIHVHGRKDPALDLHRKLYYDDFDPRYSKVMEWDGAH RVPLKSKDVATLVKEINVLWASVSHSNVQG TSTA_117790 MAPNFPPIEEALPLHHDLFYDGKWQTPITDSRRETLNPSTGQVI GKIADASTTDVDKAVEAAHKAFLSWKKTTMAERQGYMRRAAAILREHAAELALVESYN TGNPVAAMVIDAERAANALDYFAGLIPMLRGEVLPGPFPTEDYLHYTVREPMGVVARF VASNHPFMFAGARMASVIAGGNTVIIKPPEQAPLSCLRLAELLENVFPPGVVNILPGG AECGQALTCHPLVRKVSLIGSVATGKIIMRNAGSLMKQTSMELGGKNALIAFPDADID HLVRSVAAGMNFTWAGQSCGSTSRVFLHDSIHDEVLARVVEVVRKGFRPGLATDPTTT MGSLISKAAQDRVLNYIASAREEGARLVTGGGMFDDLAGTPVEGGFFVQPTIFADVTP DMKIAREEIFGPVMSVLRWSDESELIRIVNSTNYGLTGSIFTKDLATAQRMIRQVEAG FVWVNDVCKHFLNVPYGGIKDSGIGRDECIDELFAYTNIKSVNINLGGATHLGSRLSQ AGQISNT TSTA_117800 MSIGDEVIPTVDISAWLSSTASPESKNKVVEEVRSACNKYGFFN LVGHGIPAEAREKIFGCTKKFFDLPLEEKMKISVDKSLGKSFRGYEPSLIQTHQDGLL PDTKECFITGAEIPADHPDAGKFSTGPNLWPEGLSDKEFRQPVMEYRALMLDLVSTIV RILGQGIHKAFGHPSDVLNDILINPSIPMRLLHYAPQENPDPRQFGVGDHTDFGCVSI LLQQKGTKGLEVWYPPKETWIPVPVIEDAFVINMGDTMHRWTGGYYRSARHRVYITGE RRYSVAFFLNGNLNLKIKPLDGSGGEASVGEHINSRLAHTLGDNAKYLR TSTA_117810 MSVAIKMSTNTVGGQSTRQPPGSACLHCRNKKMKCDALQPRCKN CFNAGVECIRSNNYSRKRSAQRDHIEGPQDRVETSDAEVYDVQPKFNPGLFNLDFHMD SFYDMDYNFLENITPESFPILSPLDSLPSLIPSETVECSQPQTVIETAGILAPPLNDP INDLMHEDLDQLYLDRVHRLIPILHRRRYFSWTRSPNRTDAQTCLQFAMWTLATSLST QLQHLRESFYQRTCSLLDKFTAQDTAAPQIEYAQACILIVNYDLMKENFRRGWTSAGR CIRVIQLMRLFEIDRSKGRNDREDWIQREEKRRAFWMAYSLDLFISLRGEWPLSLTGT DFVRLPALDKDFDNSHYVEMPFLGTVLSGASSSVLSPWAESIVFATIIRRITALTSEL EGLSPGSSSTNVWSKIDLLRNILKSRLASLSFKHQDSHFPFSDDPMETFMIMIAQSSV LYLYNTQKSFSRATESSQNISMALQYEAQMAAQEIANLSTSILHMSRFKIHPFTPLIL AKCMEFYKSNQNLDEITQAAVKQIYELLRHISKVNNIAVEYLS TSTA_117810 MSVAIKMSTNTVGGQSTRQPPGSACLHCRNKKMKCDALQPRCKN CFNAGVECIRSNNYSRKRSAQRDHIEGPQDRVETSDAEVYDVQPKFNPGLFNLDFHMD SFYDMDYNFLENITPESFPILSPLDSLPSLIPSETVECSQPQTVIETAGILAPPLNDP INDLMHEDLDQLYLDRVHRLIPILHRRRYFSWTRSPNRTDAQTCLQFAMWTLATSLST QLQHLRESFYQRTCSLLDKFTAQDTAAPQIEYAQACILIVNYDLMKENFRRGWTSAGR CIRVIQLMRLFEIDRSKGRNDREDWIQREEKRRAFWMAYSLDLFISLRGEWPLSLTGT DFVRLPALDKDFDNSHYVEMPFLGTVLSGASSSVLSPWAESIVFATIIRRITALTSEL EGLSPGSSSTNVWSKIDLLRNILKSRLASLSFKHQDSHFPFSDDPMETFMIMIAQSSV LYLYNTQKSFSRATESSQNISMALQYEAQMAAQEIANLSTSILHMSRFKVQHFSLLSS EFNFMKVHTLIFAQIHPFTPLILAKCMEFYKSNQNLDEITQAAVKQIYELLRHISKVN NIAVEYLS TSTA_117820 MVLSDKKFVLVTGGSSGLGFETIKALIQTNQPYHVFLGCLFPDE GQSAVLSLQRDVPETPSTLESILVDVTDDESIDRCFHTVQSKIGHLDVLVNNAGISLQ ASEIGMREAWNRCYDVNVTGAQIMTHTFVPLLLRSNDPRLIFITSGLSSLTPMSNVYT PTRMTVPAGWPKPEVHPYRAYRATKTALNMVMLEWHWQLREDGVKTWGVSPGFLATNL GASFAGMDGAPPVLPASVGGRLVASVVEGDRDTDVGKIVLKDGEVQPF TSTA_117830 MSYITENTIWPTAATVLPEVQELIRQFYVLADIPDPETGDQYAT DIFTPTGMMKGPFGPSDVFVGEAEIRKSKLNAWKAFSSRRHRVKQVFVADKNGYDLML IGEVELGLKNGKSYTNEYTCRMLVDQASVNDGKPRLALSSVWSDTSGVMAALK TSTA_117840 MLLVANQNAGSIDTYAKNHFATLQADYLSPKDWKQLHIIKSFLQ PFYRATLETQGNRATIDCVLFTMDILIQYFENYLLNFIADKEFSLRIQKGWDTFDKYY SKIDESPLYAAARILHLNRRTKYICANWKTKWQKPMLKKVRDLWISH TSTA_117850 MSAPEVRQVKRPRISLSCSVCRSRKVRCGREQPACTNCIRLNKT CVYKAVVLEKSANRAEQLYPGFREEYLIGDDLPSSLSQSQGNAVSQTKENHPNQSNPN RDGGNSISNNNNNNNRGASSHPHSREYHMLPDLAHSAVYPWEQSMQVLSNHEIAGSGV RPGSFPNQRLSTQNHQPSLLSRDYLSLRRGSRARFISKTFWGLVAGQERLSDDFFDEN RHTPLELPPPHIAAVGLFKLLTSLPAKPVCDVLLQSFFIAVWPLVPFLETSRIQADYD DFWEFCRNSDNAIPPLKFQDDPTFICLLFAVLLCGASAAPISMWESVKLKTLRKDTIV DQLRSAYTTSLSMCAYTEHPTLNSLVSTLLTGPFIDDPLKLMHNIISISTTVRIAQTM GLHSELAYSALDPVAREMRRRVWWHIVWMDVQSSISAGLPLCCGSAMLGAVGMVAFTR DRDTGNISSSPVEPLRSGESIAMIYTTGRYETARLELEILNSLQSAQGLNHEGFRELV TATKQFHQKIDALMTRIPTQGIPEEGFISSRLANATMLTHPALYKDDTSQHTVFGTWT RITLTLFKLEVSVLLQKPFLKTPEYGSLPAQKLWTRHKKGKTLFQIQGVKV TSTA_117860 MVKFFQPKISPLPDGIDLKGSTAVVTGASAGMGLELTRQLLQLN ISTVILAVRNVAKGENVVKQLRGDPHIRTYNGNATLKVMELDMDKYDSVQRFAKHLRD EIPIVNFLILNAGIGLLKHDRSPSGHDRTLQVNYYSNALLIAELLPYLKASAERTTIP TRITWVGSRAFETTSLQKTPLQPNERVLEHMDKKEFFAPFQRYGDSKLLCLLFMCSLA RQIDPKKVIINMLCPGMVNTNMSDVLPVYLRAVINVVKAIRARPVEVGVWIILNAALV AGPDSHGKFLIDKDIASESQYISSPAGQEVQKKIWEETIEELSRLTTLPPEVN TSTA_117870 MHSNEQPPSYTSDTYNTPTSPAANGTLYRNDNSDNSNTRFKEPS SFPRHESGSSNSNLNLGRQSANNDNNNTQTTPPRSESWLDSVFRVNSHADAIIGNLTF VCLIIQILAIVILVFVSGIAMGLYRNSRDRPQVINGATLSYGLAGTVLGFATGILTLA CFPFTGFLGQTGFLVSLLVTNIMYATIGALTGYGSYITRYNEVCHHSYAGLHNCYNIR LSDGWRCGIVTVVMCAVIM TSTA_117880 MASKKGIYIPIKPISATSPSQQPFYAPCLNLSYFESPGIAGLGW IGPMRSEDGGDFHEYKRAGAAGQMINPSRRKGFGAEALKMVVDYGLNVLELAKVKIGT TLSNMTMARLVEARFKMEAEAEEIGDRFGSDLLSRIGKERWGHYLEEE TSTA_117890 MQDRRFLEDNAFTMHDNAALEMVSIIYNSVHPLIEDVLDEVLVQ YAKKKVDYLRLAKRMLVCLEKKCRSREVEDASNERHEGFMVHIEPEESRAF TSTA_117900 MSQLSTAGVTFAGLTSVATYYGQGAYQPRLSTFCAETSLDIINI GFVNYFPDAGQAGWPETNFGNQCDGTVYLHNGEPTGLLKNCHQIVEDIPLCQAAGKKI LISLGGSYPAAGQYIANEDSAVAFAEWLWGAFGPTDSEWAIEDVPRPFDDVVVDGFDF DIEWGLGSYYGSLANRLHQLYDSYNAERSTPRKFLLSAAPQCVIPDAHLADAIHNAPF DYIWVQYYNDWACSAANYVNGLSGFNFDEWVSVIEKSANPNAKLFVGLPAEESEAKPG FYVSPNSVAPLVDEYMNKYPSTFGGIMLWEATGSFNNTTPNGNTYADNIKSVLVNYAT TIAPSPTPTPTPTPTPTPPSSSLSSSSAVIRSSTPPVVGSSSVAVTSSPSASSSAIRS ATTTSCTKKTPLPSSSAVISTPSVSLSTSSTKKPCSTGSSSHVVVPSSSAVVSTTGTR SCSSKPSSTAVPPPNGGSNPGTSTSPGTSSPSGTGSAGTTTTGSVGGSTSSTGSTTLT TTQTSVASVGPTGASSVSPSASGSISSGISSTTSPITATSAIATSTGTEAWTTTVVTT SYVYVCPTGLATSTITYTESYCPCTATAKPSTAAPTGWVTTTAVCTVCGPQPTTVTLT LPPQVSATSTALPGSNPGSIPGSGSSSPNGNGSNPNTGTESSSGSGPAPGSGSGSSGS SGKPGSGSGSGSGSGSGPAPGSSIVPGSGVVGNSGPYPSTSTALTMTVRPSASSFPTS PSHTQAGVSPAYTGAAGRANFSPFIGGFILVVSLFALL TSTA_117910 MFGPPTTSNRSRQVWIRAVIFVGLYLILLLSFTQCALVLYLYGT SQVDGLMTPSLIIGLIASFLSVPFVVIHTILSWQYRRAPGLNMPRNALHMACSHLPRI MVAMWLAASVAGLFVVSKQAVCVASTTTQKYWKAGLSCQIHRGTVILEILAFISASAL FFCFQVCERPFGASLLGLYAPQRPPQDGSIFSESSWESETLKNEILYLCRHPDAGPGN GELYWSPNDSSLFETPVRPPSIRYPGPTRVRPQLHVNTHTNSIRPSIVGATSVATDTS PKVSPVEATAGARSFSLRSDISPLSRNPSLTSTLQPGTESALTRPPPPPVPKIPEIPE ILAKAKTKRTRQKSSVSSRKFLPKDWLSEPLSEDPQIRALASPPLPPEFDAVFQSSGS EDEEKEKEEEKRDTALPSPPAPVAPPEGRKSSDDAQSDSSNGSSIQTRHRSMTAPGNP PLVLPPVPLMVRKSQTSYINQTPRSIHHPHHPNYVPPAVTPKTSTEGINKQQAPRNNQ GPISNINNKQAIQRDKSISKSTRLPPRRQLSTNHHPNPRLPPSQTALPSHRSLKDMQR GLRTSSSFRNSHNQFPRRTPSHNTLHPHQLHYQCQYHHQYNMLHHPPRVSTTALRPAY TRRFHSNDGRGFDPARTLPPIPRSDDTATLYPSTRRPRISTYGGFPRTTSTTSGTLSS AENTSTTGTISARRTSLSLAGGGVALDGDREIVERMNTYRGAERTSICAPPQGGLMRM SKMDV TSTA_117920 MPIHTSPFPTTHDTIGTSTLSYPVQVPSSPPTLQLHRDSDNDRT SPSGENNQLTAAGSNGIATLDIDKRLSQYTIDWNKFPGGSKDKIEDVFEDEDQGPEFG GPEDFTLNLEKYLNGMTSPVDRKHREDDPDSEEEVLPDYSPSPSPRPRHHHSQVEGEE SEFGPPVDMSTPSHLMRRKNYGSGKEETRLEDIEESVFSSPEKGDSPSKHDKEGGMEE SRTFTTVLREIEDLHEQRRDRDEKIRENEKQLASAREEIENLRAELQLKDSLLSEANN RRAEEDALREQLKILKQKADEEAASNEDDAKEIMKLQEELKDAREEISKRDEALEDSN SELQLRQKNTEIDDLKAQIDEKELQSADADEELADMQRQYDTLKDRIESLETRNSPLE EKNILLEKELAKVRSEVALQRNAVLTLAVDLSIEIEGKDYAEVIRILQQQLKEMKKSS QDKGKSTQIEEELQSKLSEAQSVLQRSATEKEVAETEWKRSKDLLAETRSLITTIEGE NIRLIARIQELNSNLTKAREEIDRLKEQHRHELASINSVRQLPVQASITSSETQALRE AHQLEIQNLREINNNSINDLRTSYNDSIQHLRSMLSTSEKRATELHNQLSTSQSTIST QSNEIAALKSKVQQLQSTLSLKEETSAEMDKMLAKSIEKREREWERRTELLLRERDKM GKALMWAWGEKEVGKTSSDRADRAKKPEGSASAAAATGDMSATEEKSTGNPRQGYRYK YVVRL TSTA_117940 MSASRQIYKRCAQRLPLWRVTQSISSWPLNTIRISRSTDFKDYA TSTPTQQTHHPNPGNFANRPKEELREISKRGGQKGGRATGVGGFHDMDPQKQREIAAK GGRASHGSTAEGKVAGEGGRKRGRPRKGSGFSEEYEDIVV TSTA_117950 MPPLPRAGIPSQRRIVLYHQTIVPRERGYVPMLPLVENYTAAFH INGQPGNVTLNDDPPDSPIYNPLWEEVPLIQRSGIKVMGMLGGAAKGTFQRLDGLQEE FEAYYTPLLAIIRRYGLDGLDLDVEEAMSLQGIIRLIDRLKTDMGDGFIITLAPVATA LVDLGNLSGFNYRELERSRGSKISWYNTQFYNGWGQAEDPRIYAAIISTGWPASKVLL GMLTNPGNGSQGWVASEDIGPVIAFLTLQFPDFGGVMGWEYFNSLPGGLEQPWQWAAE MSLSMGMSQVLSTAQMVAGMGALREGLMGFLNNIQNQQNQNRGQR TSTA_117960 MSSWLTVVTALSSALIRIASFIFLRWIPGHVFPPVILTCSGLYL SSTFLILGTDAGAEVQKTTTVDKSKIQTRKHDTGETGSTFKSLLSGVPSKKTVFSTTL NILINLALTALTLDFLYRGRILHPTDDLAFSRIGYVSPTTANLLVREPHVSNFPMTIK YQEAAQVDSDYWVEEGKIYQLNAVKDFTFPVTIKNLKPSTQYRYSLSNNQTGTFITAP QSGTQSASRLSFLTSSCIKSNFPYNPLSHPLRILGVEELSHAIKKLPSLKRPSFMLFL GDFIYIDVPLRYGSSLEEYRAEYRRVYSSPSWHEPADEPPIDLPWIHTLDDHEIANDW DKGNLTHPYPEAVDPYHLYHVSVNPPIPPLPYATPENTTYFSFIHGPASIFMLDTRTY RTTANLYNSTMLGSAQLKSLLAFIARPEAAEVKWKIVASSVPFTKNWHVGTQDTWGGF LGERQVVLKAMWRAERELGVRIVLLSGDRHEFGATRFPDPSYGLSSNELSSGTADAYG IHEFSVGPLNMFYLPIRSYRQTDSEDIMVKYVPDGNIKFGQIDIELYDGTGGESDVVY DHLEGPHSVLTYSLHVDDKIIWKYQLSIPLLPDEIGTGAQARLPPGKVLLDDAQLRGW GLQEGIGYIEEKAKIAWDDLKERIAPFLSWERKEMRVD TSTA_117970 MVLQDLGRRINAAVSDLTRSNTVDEKAFDDMLKEICSALLSADV NVRLVQSLRKSIKHNVNFSSLPSAVNKKRLIQKAVFDELVALVNPHAEPFKPKKGRVN VIMFVGLQGAGKTTTCTKLARHYQMRGFKTALVCADTFRAGAFDQLKQNAIKAKIPYY GSLTQTDPVVVAAEGVAQFKKERFDIIIVDTSGRHKQEEDLFEEMTQIQNAVRPDQTI LVLDSTIGQAAEAQSSAFKATADFGAIIITKTDGHAAGGGAISAVAATHTPIIFLGTG EHMMDLERFEPKAFIQKLLGMGDMAGLVEHVQAITKDSAGAKETYKHISAGIYTLRDF RENITSIMKMGPLSKISGMIPGLSNITAGLDDEDGTMKLRRMIYLFDSMTTAELDSDG KIFVEQPSRIVRIACGSGTTVREVEDLLSQHRMMAGMAKRVGGQRKQMQRAQNMLKGG NKEQQMAAMQKRMQSMGGAGGAGGMPGMGDMAKMMQMLQGQGGAGGAGGMPNFGGMDL QSMMSQMSGLMGGGGMGGGGGRGRGR TSTA_117980 MALTSASKKRKTEYHSSSEEEDENDNDAGTFAPVNLMDSDEDEH TKEVAKPKSKKDKSSLSAAPKKKSNRKEEVAEDDDIEEDDEPDVDAFSGDSGDNEDDD DQEDSNSIADDDNEEGGVRVKTVTKRHDPTAFSTSISKILSTKLPTSARADPVLSRSK SAAQVSSELANERLEQRARSKLRAEKKEEQERGRVRDVLGTERGEAGETAEEEKRLRK IAQRGVVKLFNAVRAAQVRGEEAARAEQKKGTVGIEERKKAANEISKQSFLELINGKK GKALNIEEA TSTA_117990 MTLWREAGLQLRRAVSRTASIDRRYISGLNGARKQFLQSTKIGQ TVHRDSHLQNIALSRRQFSATSVAAHGHITPPKPGEEINVSFIDKDGEKYEFQVSEGD NLLDIAQANDLEMEGACGGSCACSTCHVIVEDPELYDRMPEPDDDENDMLDLAFGLTE TSRLGCQVKMTKDLDGLVVRLPAMTRNLQASDFK TSTA_118000 MASREGSNPLRPYYIPPPIGLSPTESVNASSTAHIVSHASSRTT IGGSARDLLSDLDYSDYLDASPTVSEWFRDLLDRAVWKYSSALLAQPFDVAKTLLQIY VVPGEEENPDLYDTRRRQSQHFRNDTSDQDSQSSDDESSYFTTTGPTTPSPSTPRSRK SRPQITDRAGYIQSPPTSKHRLQIKNPSSLMEVISRLWTTSGPTSVWKATNATFMYSL LLPTLNTFLRSLLSAIIGLPEIGESSSLTEDILTVSSPTATLIITFIASALSSIILAP LDTARTFLIATPLTHGPRSLFRALRLLPTSNYTIPAHLVPITVLHSSLPSLLSMSTPL FLKNYFSIDPVLNPSAWSLFSFVSSGLELAVRFPLETVLRRAQIATFTSPALRQRVQP TVKPSQSSKALAKTDAESTEIETIVPVPKTYRGIIGTMWSIVYEEGVSPDADEDVSRI VGTQGRQRRKRPGQGIQGLYRGWRMGMWGLAGIWGAGFLGAAMGNGEEIVTSTPGFEY RKGGVARPF TSTA_118010 MPFNTALTRKLGIAVPVVQGGMQWVGYAELAAAVSNAGGLGILT ALTQPTPEDLRKEIRKTKALTKKPFGVNITLLPALVPPDYAAYARVVIEEGIKIVETA GNSPGPVIAQLKKAGIIILHKCTTIRHAKAAERMGVDFLSIDGFECAGHVGEHDITNF ILLSRARQELKVPFIASGGFADGQGLAAALSLGAEGINMGTRFMCTVEAPIHNNIKEA IVKAQESDTALVLRRWKNTTRLFKNKVSLEAIKIEKESKTGEFTEVAPFVSGQRGRQV FINGDPDFGVWTAGQVIGLIHDIPTCQVLLARIEKEAVEALNKTQSFYTGETLQSKL TSTA_118010 MPFNTALTRKLGIAVPVVQGGMQWVGYAELAAAVSNAGGLGILT ALTQPTPEDLRKEIRKTKALTKKPFGVNITLLPALVPPDYAAYARVVIEEGIKIVETA GNSPGPVIAQLKKAGIIILHKCTTIRHAKAAERMGVDFLSIDGFECAGHVGEHDITNF ILLSRARQELKVPFIASGGFADGQGLAAALSLGAEGINMGTRFMCTVEAPIHNNIKEA IVKAQESDTALVLRRWKNTTRLFKNKVSLEAIKIEKESKTGEFTEVAPFVSGQRGRQV FINGDPDFGVWTAGQVIGLIHDIPTCQVLLARIEKEAVEALNKTQSFYTGETLQSKL TSTA_118020 MQFTTALLALAATAVALPNVGPAPGKGAEVGKQDAFWPVSDNVT VEEAKAACGTDNQIACCDDTTFTGDQVEVASGPLAGALKDLLGGKNGAKGLGLFDKCS KLNIDVLIGISDLINSQCKQNIACCQGNTADSSGDLIGLNVPCVALGSLL TSTA_118030 MGPHGLFGPIKKPPVNLLRVGYNNNTFQNFNMVAGYPNMPITKE AFDDCLCWRPNATPFIPFTIDWGRALKRRRKLIGKGRQDVVIIAVWSKGLHNVYDAYE AAKTLRYRDGNVFDGHPQNQGIAADEYRVLAIFDGQNELEGVPLSVPGLIGPVTVPSF IPHTPRGTMKEMLEEQLQECLRIQIYSRTGIRGDSEQLLHLKRYMMGTFAPLSHHSLS SVMTFRV TSTA_118040 MAENDRLAVADTTEKSSTSASNTDQPGDDSSRTEHREEVEAEES DGVETTKQRRPEAGEEKGEDGSEEKREVEETGEVNTEEAPPLPDEEVPPLPDEAPPGQ EEQQGDDGWEPVWDANAQAYYFYNRITGVSQWENPRVPDAASVSAPRAQKVEEQVIRG GYNPAIHGDYDPTAPYAQQYEADLQLASAVNAADQSQSYTATGAFNRFTGRWQDSSLT PENFNDENKSRRQMNAYFDVDAAANSHNGRSLKAERSHKKLTKAEVKAFREKRRERKE EKRRAWLRD TSTA_118050 MEKSHSSNPPAPVALSFEAIDELIYDARLGDLDALKEELSKWSR EHDVPAAAIVRSALDTEDESEGGTGACLLHWPAANGNVELLNYLLQILTDSDAATTLQ EFTSFINYRNYTGNTPLHWAALNTHLDCVKALVEAGADIGLKNDAGHDALFLAERADW SGTYDDEDEGKNNDNNEKQEEGNGTTEIEIELNAEQEGKKSDVPPTKARQVVEWLLSC DKGAGFERPVDKQESDAQMEDAQ TSTA_118060 MATPRLEDLLAEAIPKGVQINVRHVSTTPTRCEAIFSAPPGQVP EITFCESHFLIVTINKNQDGEKDVVDDEIVILGVEVYIYTTDRLTTLFVSKADSTGYV HLSKASAEATTPRYSLLRLLTTTFISFLAQSYQRPGVRLVLSLFARAQNQYLFPGSAD NERKHVLDDRGLIKWWCRAADPILREHAPESRQQQQQGNEKNIIENNAESTKSSATAY LLVPGCDEYETRAFFPPTARTDDNQLHPRWRVSYPLSQICGHPDAPPRCLVPRFPDDP KARFLSDLDDELPKNSHGDSETNNQPGHWRSVRSLDQFWEMMSFRQECSAGRLVGFLW LVINPPGLLSSDKLVNQGEASETVEKKEIQTGDKDLAPATTATEAKFDNRSLSALQHE DTQPSTTKSSTSGDVTGRSAFFWPEIGRGETILSETDYKVANDILLEQDFETEELAMR GTMAWIRKVASVSDVLWWGIKVTGKKKLIEPTQPSVQTQTITPGLIVRKRKKDAQEAT STTTTTSVGAERVDADKPLLETSNETGVNVLNASFAGKGRTEASEITSSAESETPKII PGFLPSGPRDPLLTNGTASNPLSSSVVFQRATTGSSTTIGFDVPWLIEAFGWVSIGWY LLVVVVCALGYLQIWRLYSNAPPKSQSSRSSDAPHVTTIRPVKGIEPYLYECLASTLR QDYPRNKLTTCICVSTKADPAFPILEKVVEDFSDQCDVRIYVEEEDPLLQEESIYPMG PNPKIRNMSRAYREAKGDIIWIIDCNVWVGRGVCGRMVDKLCGYGPGNGGKRYKFVHH LPLAVNVDPEDALMGETKPLLSNVNGVYNTSSTSRTQSILNEGGGRLEELFLSSSHAK MYTAINTVSIAPCIVGKSNMFRKSHLNDLTESPDEAPVRGPVRNPGIDWVSDQLCEDH IIGDWLWRNKVREEKEQMKRLSKHGMVFGDYAFQPVANMSVKAYIARRVRWLRVRKYT VLAATLVEPGTESIVCSLYGAFGMSTVVAMLLENRGFEIGTYLQQWPTFFLLWATSIF IWCMVDWTLYLKLHSGATVEIDENTPPFATPLKSSKSPSRRPFLHWLAAWIIRESLAF PIWFWAVYGGSTVTWRNRSFQVSLWDTKAREVDGSARPGLLPSVHDSSYSNGNTAPVR SSSSSSSSSITERNKIRKD TSTA_118070 MGASESKLVFKQGIFRLYEDQNISADDPFWIKFWELPESTEDVF SLFTPTDIRRVRDSSLANLETLLLAICSRLIVLKNHPSFPDPEFAPDTNALNCIRILT RILPFVYEADHLEEWEEQFFWAPRKKKTRQAQVASEVLFDEAQGETDNAQTQPRVDGR EDAKPLAEELIDTLTDLLFYTGFTIPKLASSEGKVSYAIWQSGVGCNTSMVSTKEHEN NRMEILRLLLTLTGKSMYMSPTLLPVKGVKAITYLATCSDKQIVLTLLCSLLNTTIKY NPAIWRVPYDHVVWKDSKQILVIYCLQLLLVILLYPIPEDGRGAPPKNYYRHYFGRLH RPQDFQFLVEGMTRILNQPMQVTSSYLPGSQKSVKWAPEMLMLFWEALQCNKRFRAFI IESNRSHDFVILCIFYATEYRNDPSKQGMVKMCIFILQTLSVEVSFGKRLNMKFEAQD TLPTSIRIAGFRGTYADYLIISIHTLMTSSKGKLDAVYPALMAILKNIGPYVERLSST ACSKILQLFASMSSPSFLLANETNNYLLSALLEFMNVVLEHQFTRNPFLVYGILKTRK KFESLRTFTLESGQQEIERQNQLKKAASSTDGLTGSASQSMEDLRRSSGARSSLTHIP EENSPFAIGGDDSEDEGEIPTTPSQSSPSLRNSRAPSISSSLDDSVPLQVRGMSEKAR GKRPAGQMGFSRQNSLTSLSSYSATIHSTAASFIPTAAWIESWVVELPLHTILTIISS ILPHIPDAALQSSSNPEARTLINNLPTFAEEPNIRALLAEPSAIKVHSFEWSALALGW YESLLWGFIFSSEMLVGSASNSTPGSVGVWNGTGIKLFKVQETAAQGPSLLAPKGAVD AVGSNLVNRIGNLRLPGRSNTQDSSNGVHSPSTREV TSTA_118080 MASITTPSTPRASSSPDSQSPGRWRHPRLREIVRRQNATTFDSR HVKKIAMNSGAIMATLFAEKPLNSLLAAIAGITAKADYLLVVFRFIFILNILTALYPL VRRRDDLSDIPLTPSQRSLLGLNPNTGAPVTPGTQYITPPRYRTVSGSRAGGPSSRGS SPLSTSASPVSRQPSYSPTTNSPLFQKALVSGNRDGVRRQSFGSSTLSTSWRESSTLA PLPSTPTPAGKGNRLGLSNKWLYEKSRRHSAGNSLI TSTA_118090 MIQELCTELNEISADNLSCVLPALKDRSFLKMAAETTGLAKLDT FLANIFAKWDIYSTIIATIIVLFVTYSLLSSRDPDVHPYLLARQSTEAPVRQPGQSAA FRNLEVPHGFPLKHGLNVKDPEAPKWTGGRNGDLRDIWKLAISGSNGEIGTTPGQRGK LFSVLGKKAIERNLDDITAEINVIGRYVQESEAKVVAVSLSDSVELLASLFASAFYSF QVVLIPHNLDPKQLGSYLKKNQAELLIAEAGAVDLTVVTVGNKQLKNVIWIAKEGSRH MDWNEVPEKLAGSVKVAVWHELVQEKKTLKDTEVPGYDPKATTPSIFAFWPTTQEFIE YKPQNLVSGVGALLSSLPRNQRLDSNDVVLTIDSLMHPYALCWILAALYSNSSIALNS VAGEGVDFALATLGISPTVIVSSARTISDYHKKFMKPHTGLVSSISRLTQARALDAGR MPSQNILSRLANIGPTAELSLSKLRLLAVSYRVDGSPDDLLSSELLTDLRIFTGARIV YALTAPGIAGAIAQTHLFDYRRHEGPAHFGAPLSSVEIVLSGHIEDTGIERAVEGQLT VTGPAVVTNTITIPVRARFRDDNTLQLLK TSTA_118100 MAQNAFGKRLFGSGSRLQQTLSPLVRRRCQSTKAVPSFTQSSSV DLDQKLNRIRQELFVPMYFAEHQKRLIFRDRYRERLEQEQVKITIGNEEFTLRPRNRQ SLPDKREVNQAVEAMKNTQDWKNFVPLLIGSLHSKYKPKTDNIEKWVRLAGKSDTLAF ILEAAKQSSRTGFSFANRNVAFRFAFELHQKAKRAEFKGEQTAAALRYAEQAAQLMEW AEHTNKDIAQDPKRQPFFIGVLTELSAACALDQAGGEDVNGKVLSYVQKLLGTWDLAA LDRELGSWYETDTLLQEVTLIYSALEMVRQVKSVAQDQNLTKSIEQRLKQLKTVASKA VDPAPEERKKQPTLGLREAQAIL TSTA_118110 MASFLQGLRPLALLRPKNGLLSQSRTAVRSITTKYTPKPKPVPL PENLPEQYYSQLPLHIRPQPEKKKWRIHPSPPSEMNICKDPVAAVSNRQMSILDPTGE RKALFDYRRSARSIKPGDIVRVTFKSGDPFSGVCLAIRLRGVDTSFLLRNQLTRVGVE MWIKAYSPNVEGVEIVQRTEKRKRRARLYYMRQPKHDMGSVENIVRNYLRQKSAMAGR S TSTA_118120 MAFSQPANLTEKVLPHEQQPITEHVSNYSAEEYGDHSQLMKALV WNGKYNVKVVDTPKPKIIDDRDVIIKVTGSTICGSDLHMYHGVIPEMQKGDILGHEFC GVVESVGPKATKYKPGDRVVASFQIACGECYYCKKGLSSVCEKTNSNELANKLYGRRT AGIFGYSHLTGGFAGGQAEWVRVPYGDVNLLKLPDDVPDEKGLYLSDVLGTSWNAVVD TGVEEGDTVAIWGAGPIGQMVAEFSFFNGAKRVILIDGGSGAWRLEFVKKLLPNLETI NFTDLPKGESVTSQLKKMCHGRGPDVAIECAAGEYAQGWQHYFETLLGLETDTSELLN EMITSVRAYGRCGITGVYAGYCNHFNIGSLMQTGVRLIGNGQAPIQKYWEHLLKLIQE DKIKPLDMVTHRFDVTDMDKVYGLFDKRQPGIQKVFIQTRHSAPPASGAPQLTKL TSTA_118130 MSAAIAPSPTTTTTISNGVPLTPALAPAMQPKPAMSANDANMSP SPGPGITGSLTSKEWVIPPRPKPGRKPATDTPPTKRKAQNRAAQRAFRERRAARVGEL EEQIKKIEEDHDSLEQELRDQITRLTKDLDQAQSDVAWWKNRCRSLEQELAQERSQTA NATADTVPINIRRKTNSGKRTDTHIAEQRALHKDTTNQSELAATGGEGEVTNASSAPE PAVKYDPDQMEIDFTSRFTAPRTTAAPLAETRTTTSTPPAERCGFCGDGTACICAEMA DQESSSRHENLFEQNRLAPLQNYSQFTPPPSDGDAREVTLPSISQATNPCANGPGTCA QCRADPRSTLFCKTLAASRTAGGLPGGCCGGKGADGGCCQSRPATRPSVSSNPSPLTL TCADAFTTLSRHPNFSQATDELTSWLPKLHTLPKPRKPSLTDNLGSAISERPAMEVEA ASVMGVLRYFDRRFASK TSTA_118140 MISQKVAQQSLRRLAIQSPSGLTLSMAKFATPAAIATGRYMQMR PTSTTPNTTDPTKILAQQRLKRPVSPHLSIYRPQITWYASGLHRITGSIASGGLYVFA TAYLVAPVFGWHLESASIAAAFGALPFAAKFLVKLGLALPVTFHSFNGVRHLLWDTGR FLTNKQVIQTGWTVVGLSTISALALALL TSTA_118150 MTTNIPRQTIRVLSSRARSSLRPSSLSSSQRAFSVSASCAGEKN HEYVDKPRWSYTPPGMKAPFSLRQIDSKRQPYQVNEDPAVLDRFYISLLGPGGDKKLS EEIKWLAVTHKSFDQGRRGFNDRLALLGKRIVQLQASLNLVQNPENYKTNVPPLSRAD KGKVFNHPALEGLENLTLDNRGWFTHKINLAKIAEKYELLKVLRWAPVKPDDLEGSGL NVVLTHTLYAIVGAIALERGGVEANEFARQRILAPQGLGSA TSTA_118150 MTTNIPRQTIRVLSSRARSSLRPSSLSSSQRAFSVSASCAGEKN HEYVDKPRWSYTPPGMKAPFSLRQIDSKRQPYQVNEDPAVLDRFYISLLGPGGDKKLS EEIKWLAVTHKSFDQGRRGFNDRLALLGKRIVQLQASLNLVQNPENYKTNVPPLSRAD KGKVFNHPALEGLENLTLDNRGWFTHKINLAKIAEKYELLKVLRWAPVKVS TSTA_118150 MKAPFSLRQIDSKRQPYQVNEDPAVLDRFYISLLGPGGDKKLSE EIKWLAVTHKSFDQGRRGFNDRLALLGKRIVQLQASLNLVQNPENYKTNVPPLSRADK GKVFNHPALEGLENLTLDNRGWFTHKINLAKIAEKYELLKVLRWAPVKPDDLEGSGLN VVLTHTLYAIVGAIALERGGVEANEFARQRILAPQGLGSA TSTA_118160 MASAVFFLDLKGKALLARNYRGDIPMSAVEKFPILLNEAEEESS AVPPCFSHEGINYLYIRHNNLYLLALTKRNTNATEILLFLHKIVEVFTEYFKELEEES IRDNFVVIYELLDEMMDFGYPQTTESKILQEYITQESHKLEVQARPPIAVTNAVSWRS EGIRYRKNEVFLDVIESLNLLVSANGNVLRSEILGAIKMKCYLSGMPELRLGLNDKAM FETTGRATRGKAVEMEDVKFHQCVRLSRFENDRTISFIPPDGEFELMSYRLNTQVKPL IWVECVVESHSGSRIEYMLKAKAQFKRRSTANNVEILVPVPEDADSPRFRTNIGSVHY APEKSAIIWKIKQFGGGKEFLMRAELGLPSVKGDDEHGGGMTGGFGGSMGGAGQTGKG KRPINVKFEIPYFTTSGIQVRYLKIIEPKLQYPSLPWVRYITQSGDIAVRLPDEGGFD LDSSSTINMLFLQPSPFDSLSAWDKVEMALTYHEALQILQKEATDHRNTFHAAPETVP LAHAANRITSKQYCSLRNTPQWDTSAMDGYAVNAQVTQTASEDTPVICQVKDTIATGA KEVIIKAAEANQKKIPICVEIMTGARFPSADFTHPLDSLDCCVRVEDTKPISSDLNGA ESSQRLIQILKPARPNQNKRLAGEDFRIGDVIVDRHLVIRPNHVMALASVSYDSVEVL RRARIALFSTGAEIVTPSIADDTSARQQVSDVNGPYIMSVLQNAFSCEVDFLGILEDN ARIAAGTISDRIQGKHYDTVITTGAVSMGRFDFVPKALEMLDARVVFHKVAMKPGHPA LFGKIPSMTGEHDSTIPFFGLPGNPVAAAACLRFLLFPYLKCVLYQGLEKPVQAVITS VGLNDQRKASKIHDLERNVIPNFPPDRDVFRAGIVTHQSRHSLQVQMIQDHSPGKISP FLAADCWIHIPREKTTLHDGDLVDIFLI TSTA_118170 MEKGKSNVMRQSRYYHPAVDVSTPANCSLRFHGNFTGPIFFSIG ESLTEYELSQEKQSFRYFITKASIQIPSVLDLRIWTEAIPLLSTAFPAIRYTLLALSA QHEVTIRSSHGNNNETLVHALRLYSYRQYGKAIQRLNFILIDAVQKHDIFLETSFACL LLVVCEVLRGVDVAAQYHLDGAINLISIPSVAKSQLVLAENRCATFPDSSELLRALGL IFQQLDLQAAAFAGSRLPIGPDNVDSITEYSTLRSPGNNFNGGSTIEELRQSLLSTQV EISRFVASETVIRWKYSAESKRGKDQKNEFRAVKKERDILIQQLQQWKIDFDTISLEL SNIIIDYGTNRNMLPERQLDYHQQCARIVMLLSYFTYYILLSTSLSYDETTYDSYTLI FAHIIDLSETVLQLEQPSFFSDGGSEAFSSSAFCIDMKLIYPLYITALKCREFIIRHR AVCLLSMCGREGVWDGRMMASMAQSVISYEEEYAIIFHEYGQKTPVPMADSVKDCIIS IPEKARIHGVGIVDMDRTKRQLRIACYNKNETTTTDNEVIWERILLDLTFSLFLRENE HRNDSTSLILAGGQSSRMGSRKELLNHPSGLQMYQYLINTISQACPQLDTIYMSVKNE NLVPPWELHRTQSTGNKVSIRFIYDDSDHDKTTHTAKDIGPAAGLLVAYHTNPKTNWL IVACDFPLLQIRTLQRLIESSTSSPVTCYRNSKGFCEPFLAIWSPEGLEKLAENVRQG RTGPRFVIEELADAQIISPENEMELFNVNTVKELQEACHLLKDIK TSTA_118180 MKVIHILSYLSAMLVATAAAKKSCAPFPDSMEIFTSGFEEPAPP LVKSSYNTSFLQHKWNANLSHITSGYIYNLPKQNLVISNEAYDGNLAASTFNYANISK DGLVDNTIIYIPIDGNDTNSESYRGYVNPGFPLIKDDFLVSNGASYGGLVRRELLPDK VASWKIMYQGSIPVTVYVDSCDVVVGYDFFSPYLRTYVITMYFNTQTA TSTA_118190 MENTTPCSNVYDVCDGGDSIADIWGQRTPYKHEWPTRVDERLID EPEKWVQSACVLCSNGCGLDIGVKDGKVVGVRGRATDRVNKGRLGPKGLNGWTAISHP DRLTYPLVRKNGKLERVSWDEAMACIVQKVKQTQARLSNHGIEFYTSGQLLLEEYYVL AMIGKAGLNTLHMDGNTRLCTATAAASMRESFGSDGQPGSYADIDYTDCVFLVGHNVS HTQTVLWSRMLDRLDGPNPPNLIVVDPRRSDTAERATVHLAPKIGTNVALLNGIQHLL FANDGWIDEEFVSKHVVGLEQLRTIVSKYTPEYVEEITGVPPVQLKEAARIIGTTKSL LSTALQGINNINLLRGLIGKPGSGVLQMNGQPTAQNDREAGCDGEYPGFRNFQNPKHV QEIADAWNIGYMHVPHWAQPTHIETMLKHIEHGSIDIMWISGTNPLVSLPHLQLVRDL FTKPELEGEKTGCFTNADRTVHISYKAVEPPGEAKPDMNIFLDFAKRIGFKDKDGNDL CPWTEPEEVFNAWKKLSKGRPCDYSELTYEELTGGSGIQWPCNAENPQGTERLFTDGK FFTDIDYCESYGHDLETGAPMTKSQSQALNPAGRAILKASDYRPPLETPDDEYPFRLS TGRNVYHFHTRTKTGRKDRLQRAFPEAVIQISHEDAQDLQLKEKDIVVVRSRRGAVEL PVTIGNIEKGQVFIPFHFGYFDAKNERARAANELTIGPNLQTTNFQVRRRQNRKSPRR PENC TSTA_118200 MDLRKKKRKVLLMGKSGSGKSSMRSIIFSNYVAKDVRRLGATID VEHSHVKFMGNLTLNLWDCGGQDAFTETYLGGQRSNIFSDVAVLIYVFDIESREVERD LDTYNAIIEALHQHSPSAHVFCLIHKLDLIQAEHRQRIYEERSNLIRHRSNHFDIDTF GSSIWDQSLYKAWAGIVHKLIPNLYVIERFLSAFATKIDAEEVILFERSTFLTVTSFA SEVGSLNPIYDRHERLSNIMKAFKHCAARNTHTTPASAGFLVMHTKTPQFNVFLGRFT DNTYIFVVVPPGEAAYNCAVLNTMLAREGFARAAQSGHGGDGFPLPMSTSATSHSKGH NASSTAQYGSLRE TSTA_118210 MGLFKRKDSKHSIHSEEEQDSLSAHSTRNSTASLRSSAVKSSGN LPVSIPEVPISKPPDPNLDPAAYLRSIHAVRERSKIVLQKARADKLNHFDVDMSKFEA TASYVVSIIKRDYSPDYSSIPPHGRWQHFDVGGRPRIDQLLQSWPSTIDPTERTRRLI DLFLVSVLLDAGAGNKWSYKSKESGKVFSRSEGLAVASLEMFKTGLFSSDPTEPCQVD GAGLKKVTVEALAKGMQHSEQNPLAGIEGRAGLLVRLSEALNNQDLFGVDARPGNMLD YLLSHPTTLASSVPIVSVPTLWSVLMDGLAAIWPPSRTQIDGVSIGDAWTCKALPQTP PAKPWEAIAPFHKLTQWLCYSIMVPMTRLMKIHFAGAELLTGLPEYRNGGLLIDLGLL TLKEPDVQRGLVTYKENSRKEGQPSMEVVPMFSADDDVIVEWRAVTVGFLDDLLEEVN HQLGLRGDDALSLAQLLEAGTWKGGREIAEVSRPNTKEPPIMIISDGTVF TSTA_118220 MDDLAGLSWTPKSNESNNKPPPMSSGSMNTMRIPPIQARPTPPP SSNGHSSNPPSKPATPANDSFANLVSFGSSNSNNKNLSLLEQQKKLQEEKAKQEAEKR SQYEAQYGGQNAQFWDNLAQNRRVASPAPAPAPAPARAASPDEDDILAAFNSAAPVDA STNFPIPSRSTTQSPLDQAINQPASKAGFDDDDDPFGLGTMKQSRPTAVQISTQDNDD DDFLGLLGKPVSEAAPARAKSKSPAPSSQPHEEDPRDRAVAELVDMGFPPGKAAEALQ NTESGADVQAAVGWLLNQAHAESRQKSRSRAGFPHEEHPPRNREDQRPRKQNVPSWMR ESGSERHRGDSRSPQPHERDVGELAASFGNSLFKTANSLWKQGSKKVQQAVQEFNHDA DPNQPRWMREAVSARVTEDRGARPKVPQKDVPRGGAAPEQAANITDEALLLESDASRP ARTAPRRPGSVEPRHPQPAPFTEAPNERRENKNPFLRQPQAQPQQPQPPQQPRRQEFV RDVKSQLTRTALEEQSAQAYVSPARRRRPQQQQTSPPPTEDNSIDLLDSSKPKTPVPT KPPAQAPIPRASKPSTPIPSRTKAPARTIPPVSQSALLLSYKDRESGNEAYKRGDYGA AHEAFTRALSHLPKDHPVTILVLSNRAMTALKIGEPKVAISDADTILAFIGPAKGEGE SIEPGNGQASKPMKDFFGKALMRKAEALEHLERWSDAAQTWKQAVEAGHGGSTSIQGR NRCEKAAGISKPAPAAARPTAPRKPQSTPRTSALSDLSGQRAAPTAEAVTRLRAANEA AERADEEKLALTDSVDAKIMAWRDGKQDNLRALLGSLENVLWPESGWKRINMSELILA NKVKIQYMKGIAKVHPDKIPTNATTEQRMIAGAVFSTLNEAWDKFRQENNL TSTA_118230 MPSPFFNPGTTSHADQLAILHLRRDALVPTILRTHDDENLGYDE GKVTNTRFGSFPHSTLIDQPWGSQIVASEVNTGRRSNKRGGKRKAAALNDGDDTATST AATTASPKTASSGFIHLLPPTPESWTSALPHRTQVVYTPDYSYILHRLRASPGKTIIE AGAGSGSFTHAAARSVFNGYESLRPAHKKQKTRLGKVCSFEFHEARAGKVQEELVQHG LEDVVRVTHRDVYNDGFLLGDEPSERSSPKANAIFLDLPAPWLALKHLVRNPEDGSKS PLDPSSAVRICTFSPCMEQVQKTISVLRQYGWLSISMVEVMHKRIEVRREVVGLDNEG VRRAIVYPKSVEEAVGKLRVVENRLKEFKTIQREAATAAANGEAVVKVEESNDSSSQK QPPRNDQKMAQVPSYELGRLVHRSEPDIKTHTSYLVFAVLPRAWSEEDEQKARELYPS RPT TSTA_118240 MKLQISLFSIRLRLKPQSKSTFIPILLSRTMATARQQPAWQQPP SHPDTKLPTLKIYNSLTKSKVPFVPIDPNGRKVTWYACGPTVYDDSHLGHARNYVTTD IIRRILRDYFKFDVHFVMNITDVDDKIILRGRQQYLFNKFVSAHPTIDATVLDTAKAA FKTYLTKNLRLLNPELSPLKFQSEVEKVYATLLNGGPLPGNEKPGDEEAKAKMHIKTL SSAAKVIAEAEVVPPALSETFYTEAQDIFLPYLDQLEGSTIDADDHSIFTKLTKKYEG RFMKDLRDLNVLDPDELTRVTEYGPQIAQFVERIVENKFAYVTSDGSVYFDIKAFEEA GFPYARLEPWSKSDGKLIAEGEGTLTSKTTEKHSLSDFALWKASKPGEPSWSSQWGKG RPGWHIECSAMASDRLGKTIDIHSGGIDLAFPHHDNELAQSEAYWNTHTHDQWINYFL HMGHLSIQGSKMSKSLKNFTTIREALERKDWTSRSLRIVFLLGHWNGGIEITEDLVNT GNAWEDKLNNFFLKMKELDILKKSSSGTDQSLGEALESTKALVNEHLSDSFNTPAAMA AISDLVTKFNSVDKATVNPEHVEQMGKWVTSIVNIFGLNGSASPDTTEIGWSGIDVPE EAKPYLYPLSALRDSLREKALSKEGISVEDIKNIIGSQAEPETVASESAKPYAKVLSD FKDTVSSLDKPDAIGKEILGLCDRLRDVDLFDLGVYLEDRENLPALVRPVSKELLQLR EEKAAKFRQKQLEKEKREKEALEKAEKGRLSHLEMFRTNEYSAWDDEGLPTRDAAGEE ITKSRTKKLKKDWERQKKLHEAWLVANGAK TSTA_118250 MNAATQGDKAASLSDWPSAITHYTNALIELPRAPSYYIKRSTAY SRRKTVDGGPDLQAALRDVELALALARERGKRELIIEAQLRRAIVFFQLERYGDAGFL FDLLEENVGKKDGAGGDKSVQVKAAMSAQKGSQQKLENELSIWRLKIKGKIGKLEGND EKLAVTVREYPDIKIPSEEELRKRLKDQFSSTNTEVASAANRTTESTLAVSGSTSAAP PFTAGPGAPTTTPAPVSAPAKIRHEWYQSQDSVVVTIYAKNVDKSKLETELQENILSL EFPLPSGSTYSFTLDPLYAPIDTTQSKVNVLSTKIEITLCKRTPGQKWGALECSATAP VLSNPANTVTVNSTAAVPITQTPTQINNNTGGPAYPTSSKHGVKNWDKLADDLTAKKK KKDEKKKSGEAPNGEEDDDDDTASIDSDFGGGDAVDSFFKKLYAGSDPDTRRAMVKSF YESQGTALSTNWDEVGKEKVPVHPPSDN TSTA_118260 MQKTCRSIFLQFTIGNSYSLGEIMFPPQASNLDIEALSGILGSI SIACWVVVFSPQIIENFRRQSADGLSLLFIVVWLAGDVFNILGAVLQGVLPTMIILAV YYTLADIVLLGQCLYYRGIWFSGQKILKENIQNGELEEGDVEEPTERTALLNQENGNR HAHTNGSNTQQPHRTVQVDITHLSPATPFIDVVPPSENETSQGASRPSTSSLQAALLN TFAIILVCAAGVLGWYVSSRVSNKPANDDNDPSPEPLTFDLLGQIFGYFCAVLYLGSR IPQLLLNYQRKSTEGVSLLFFLFACIGNLTYVLSIFAYSPVCRSEVCEPGEVGAIYGR YILVNLSWLIGSLGTLLLDMAIFVQFFLYQKDDDGY TSTA_118270 MAVTSNSALSYLRTRSVVDCDTLDAKVAQALGPFQDCTSNQAIA FFELSKPEHKELLAESHLRAGSLLKSMAETKDPRFAGIELDELAIEIATVKVAIQVTT HLQGKMHIQTNPYYAYSTEKTIANAFRIVYLFKEFAPNWESSRICIKIPSTWEGMMAC RTLQLAGVHTLATTLFSMPQAILAAEVGCTYVAPYVNQLKVHFEPGFVDRNKLFSLCV AIQKYYKSIGAVTQVLPASLTSTDEVLALAGVDHITVAPPLLELLSLPDCPITQSFFD NDIAGLLALPKTSYLKDEAAYRIAFTRDLAGASEEKLTQAINIFCDMQDKLIALIKSK SE TSTA_118280 MAKEPKIVPIANWRTNANGHLTTDTKGDDKTDYARWRLHDNEGR LTWRYLESDEGNEKWPQTFYDKYHLGLLTGAPELPKAKTPLDAAANGLEFFSKLQMPS GHWACEYGGPMFLLPGVVITWYVTNTPIPPEYAVEIKRYLFARQNPDDGGWGLHIEGH SSAFGTVMTYVTLRLLGTSEEDPRMIKARGLAHKLGGALYAPHWGKFWLSILGVMDWS CVNPVPPELWLLPDWVPIAPWRWWIHMRMVFLPMSFLWSKRWSFPENKMTKQLKSEIY TQPYESINFASHRNSIAKEDNYYPKTWFLNMINAFLVNVWMPVFRLPSIVKKAEDWAW ELIRMEDENTDYAGLAPVSNPMNFVACYVHDGEGSESVRKHRETLHEYLWMKNEGMLC NGTNGAQVWDTAFITQAISVAGFADVPKWRPMLTKALEFLDNHQLRENVPNQDKCYRQ HRKGAWPFSNKLQGYTVSDCTAEGLRSTLQLQEIYGYPRLISADRLKDSVDCLLLLQN DTGGFSEYESRRGSPHLEWLNAAEVFGGIMISYDHVECTTASITALSLFSRFYPDYRV EEIKAAKHKAVEYIKRVQKKDGSWYGAWGICFTYAAMFALESLSSIGETYSTSEYSRR GCEFLLSKQKEDGGWGESYLSSEKHVYIQHEMSQVVQTAWVCLALLEADYPDPEPIRR GIKLLMSRQQANGEWLQEAIEGVFNMSCMISYPNYKFYWPIRALGLYSQKFGNEALF TSTA_118290 MDPSLEDIVPTSIENPLVRQFFDRLQLSFLDEFDKDVAQPAITL KKRPSHFQLATHDNDPEERMLSKEVDRSERLITYTWPGRSPYEAWKFTCILRVLSLIT EAVQDNLLITKRSIYYDNPGLFGTQAVVNTIVDDIAYTIGVDRAVLHIISVGKGLAVG AVRIRTKSDSMLDLTAEDALIPRLIDVSSVDISEVKWVCVVEKEAADKRQAVFRRLVH NRYHLESAAGKGILITGKGYPDICTREFVHLISDITFRRHNATLQNIDIVPSPTPLRF FALVDGDCDGIAIMSTYKYGSMAHAHASAMLNAPKLQWLGTRIEDVIIKQNGAEVEDE DWVMISLSNRDVKKIRSMLMKNPVFGENGPQLDWRVELQIMMMLNTKVETEVLYGTEG SLEGWLDRKMESLSRSN TSTA_118300 MGSNVAQKRLFHEYRNLSTNPPEGITAGPITEDDMFHWEALIQG PEGTPFEGGVFAAELKFPKDYPLSPPTMKFLGGVWHPNVYPNGTVCISILHPPGDDPN HYEHASERWSPIQSVEKILISVMSMLAEPNDESPANVEAAKMWRERRGDYEKRVREEV RKSLGL TSTA_118310 MLAKPLTAIWTLFLLISTAQAQFQFFEQMFGGGGAQQGHPHEQE ASSDSAWYQRTWEGAHCTRYLCPGTLSCVHFPHHCPCPHPNVEDKVELGEGSAVCVSR GGFAPGEAARKIELARKGLL TSTA_118320 MADPGTLLDSIQSFLVNTQNETYHLLIPTRPSISNYIFPTALQV RYASHATQGRANAKARDPAGKRLGAKKSGEQYVVPGNIIFKQRGTKWFPGENCGMGRD HTIYATEAGYVKYYRDPELHPKRRYIGVCFERDGTLPTPRNAPTRRRLNMIAVPRVEE PVAVEQDLAADVEGDRPKVFDVESAVRAGLPDVANSLPLRPGYMYREANWQIGRAGDK AGITAEKFDRKNRWLAWRKRQARAQRAAQMKSLKGKKKAGKKGKGR TSTA_118330 MDSQQDSLDIAKLKRFALGFDETDVSQALVSEAESQISSSLNLQ PPLTADRRINVEERVSLRQSPSRAKIVESVVAVHIVPSTNHDVHELTERVLNSQEELL SSVPESNTPKMSAGDSGTGDTQPISQSIYENIISRGKSFQPSQARELGSSDAHSAGQE SFQMTVREGETGHIDLLADFEPNKQAAAAQHYSSDDEVDSSKDGQSSPSQFPSLNVFP ESQRFLTTPITAEKSRDVPNMAATTPSLPRNPLAADFSSSGGILPLSQLFKATQTPVS PFAARLTSGPLSDRPSPDLPIQARPLNGYTSSPSRTPRANFTRTHTDPFSYVSMDESQ KRRNQRREERRTRSAENIDSEEPFDKDFEEESSLVQRSNRRRNIDHETSLRLASITHG RFSSDSGVKSSTSLTKAASREVSVEADETQEPSGPLNGEDVSEVETDREDVAVVPHSQ TRHAPSSSEEDKENHVGLYTDTAHATAAAHDRLSQVLDMHNDSTMIETPPAESHEPAG ETCVRNLQQVINVRDSQPSSSQGALKHKRTTSLLSQSESVHIRSSYDDSSPPTKRQRR QRIAEQKPPLSPSKQSPQQNARPTASVQSPTRPAQHQASSNDQGSSTRNGTEKPSSIP SLVANTPVNKAGCDTIPETSIPGSSPHRSQPPVSTLGPLNQLPVMQETEEDDDDDLPP QRHLRQRNSGLLATRISNTEKPKFFLGSSPDKGSIKTLSELSADMSPQSAHGDTIDVN DFFDNDDDEGFSRILEGRNRTPRKRLQKITDYLSPHYGSSPLKNVESTRTLYVEQSVP PDQYLQEPESPSVTPMRAVARRQHARPSRLGEDMYEMEGSPRVRKRTSAPSVSESFEH LPPQESASEPHPLQENESPTCNSQQLAKGTASNDVQPFQTAPEKSAASSTKLSVSVSQ AENLTSVIQSPEPEEPIIAPNQVLAFWNGRKRAYYPATFMHAGGPQRCVVAFADSGPV DIALGSVKKLQLRVGDAIKIDFPTVPKVTHIIRGFSQQLKPEELTQRNESGYLPVTDI YGYSTVIVSPKQRKSIPGGGQLENEQTIEVPIYSIYLDMILWNQMKGRSFTISEKAEP VPSILQTPSRGVSTPISPASRLSRTHLTIRLGLFSGMVFAISLGDQDAHKNRIVKLIS ANGGQILQDGFEELFEYPSSPPAVTQAEALSQSEVAEEAIDFRVASKYENTGFACLIA DKHSRRAKYMQALALNLPCLSRRWIEDCVEQNRILSWDHYLLPAGESSFLYGAIKSRV LTPVAADKALFSQTFDGRAKLLDGQSVLLVLGRGKTEERHKAYIFLMYALGAARVARV LDLKAAKVLLRKHAQKQGEQPRWDWLYVDDTDEAAAEVLVGGDPYEVSFGSKKRKRSA LFLESNETLPQVMSNDLVCQSLILGKICK TSTA_118340 MASNTVNSPARPLQAGGKDKHPRPAKPNYKHIHRFPLPVAVHPL PPLIPHNPLSVISVLLSYLTTYLVSPPKKEIYSAYFDASTSSIQVTDPKTMRALWEMG FFGRGNLSRSEPSWLEREKKRRGLLATKTNEEVTGQRRVERREMKLERARKEQEAIAQ QLKEEAARLQAGASRSSDQLATSAGEHMNGFPNGSADRLALASEVVTVEEHTNGPSNG SVEKITVSSGETSSTTKTVRFSPVVEEKKFTPEPQESIYDSTKLAENVLINEEHLQLT HEEALFLVYGLGALQVFDQDTNTIIPTPSLIKLFTQNSTFPARSDTEPISPDDPFLIS YVAYHHFRSLGWVVRSGVKFGTDYLLYNRGPVFAHAEFAVLVLPSYENPYWESTPELR EYSTKKQSRSWWWLHGVNRVQAQVKKTLVLCFVDVPPPLPSSWENLGGILRQYKVREI LLRRWLPNRSRD TSTA_118350 MHLMYTLDKDGKRIYTLKKVLHGEVTKSAHPARFSPDDKYSRHR VTLKKRYSLLLTQQPDKVAAKM TSTA_118350 MASVSTNVENDTDTLKKVLHGEVTKSAHPARFSPDDKYSRHRVT LKKRYSLLLTQQPDKVAAKM TSTA_118360 MAEQPAPTKPVHTIVLDAGPILKNVPPLSTLLAQAEDLVTTPAV VGEIRDPVARSRFETLYLPFLKQRSPSPKSVQVISEFARKTGDRAVLSKVDIEVLALA YEIECEKNGGDWRLRSVPGQKRVNGKPPVKEGESAAENTTAQEGVDKATEETKEKEEI NGETSTADVSKVAEELAETTIKDDTTGSNEGTEAVPETTTPIVGTTVEDGTEDISVEI VEDEEEGEDSDGGGEWITPSNIKKKQARDEEAGLSATPEPKVMQVATMTTDFACQNVL LQMNLNLLSTTTLQRIRHLRTFVKRCHACFSITKEMNKQFCPRCGKDTLTRVSCSTDA NGQFKLHLKKNMQWNNRGNVFSVPKPIHGSANGKWKGGGGQGGWGTGLILAEDQKEYV RAVTDEKRQQRRERDLMDEDYLPGILTGERHRSGGRVKVGAGRNVNSRRR TSTA_118370 MSTPDTQVLSMGHESTHSIIAGLVLMGVATLLVAVRFYTRRHII KAVQWSDWTVLVALMLSMAFVGIFITAVLYGMGLQEAEITTTDFNRQKKLFWVSVPFY NAAMVTAKASIIIQYFHVFPTKQMRIVCWMVSTILTIYGVWSVLSAFLNCIPVASFWD SSTEGHCLDYKGLWYSNTALNIVTDVVILIIPVPALAALDLSLRQKVGLCCVFAVGGF VCITSVFRLLSVIALVSSNGRSYDCLAVMMWSAIECNTGIICACLPTLRPAIVRLWPA LSGLLVSRRQRSSSDSITSFYGGSENSAALFGRDVHITTRGVESNRGSVVKAKSGTDS DRGSGMQQAPNVRMVPMPGNGFAQDLARKGSFFEQQQQRPSSYV TSTA_118380 MTKQRISSLHSSVSRLQLSEPVAVEEPSPKASPQQPQQSATSFS PEAYTQPFIDFISKNPTIFHAVNHFSKQLEAQGYTKLSERDTWTSELKRGGNSLIAFV VGTGYKSGNGIGLVAGHIDALTAKLKPVPTLPTKVGFKQLAVAPYAGALNKTWWDRDL GIGGRVLVKGTDGVIKTKLVKLDWPIARIPTLAPHFGTASTAANPETNMVPIIGIDNS DLFGSPSGSDEKLDGIKPGTFAATQPPKLVQIIAGELGVTDYSSIINWELELFDSQPA QLGGLEKDFIFAGRIDDKLCCFAAQEALLASPDSTSPGLVKLVGMFDDEEVGSLLRQG ARSTYLSSVIERIVEAFADGNYGPNLYNQTIANSFLISSDVIHAVNPNYLNAYLENHM PRLNVGVTVSADPNGHMATDAVSHAILQQVAEKSGSTLQIFQIRNDSRSGGTIGPMTS AQIGLRTIDAGIPQLSMHSIRATTGSLDPGLGVKLFKGFFDHFEEIDKNFPSL TSTA_118390 MVLYQLTFLLFALIDHATAAMPKFVFAHFIGGNAASLTQDQWES EIRLAKHSLIDGFALNIAQQDTNTENILQKAYAAAENVGDFSLFLSFDYLSGGPWPVD RVVDTINKYKESPAQFYYNGRPLVSTFEGVANINDWPGIRNKTGCFAIPDWTSLGPQR FAEVRHNVDGFFSWDAWPAGANNKSLYSDRVWKNATHGRPYMMPVSPWFYTNLSQWNK NWLWKGAQLWTERWEQIYRFQPDLVQIISWNDYGESHYIGPVHADGIPQGAARYVENN HHDAWRELLPYFIASYKFGDRSRIRIARDTLVYWYRPNPNQSGSNGGTTGNCPQQGQQ AMDPAALAEDKIYIAVLVKEPSWISVQIGSNNITSLYADRAGLSTFEVPFDGQTGNTK FWIMRNRTEVAYTTGPAITADCVDGIVNWNAIVGST TSTA_118400 MPAFTLYGSRGSTNTDRVRLTLAEGGFTDYELVLLNLSKGEQRS KEHLKRHPWGKIPAVTSDEGFTLYESRAICKYLAKTYSFRLLPPNSDVEATALFDEAQ SVEMLYFAEPAGRIAFEKFAKRFMGLPPNEAVIADALRSVEMFFDVADRLLHNRDYMA GNEFTLVDIYYIPLIQRLFACGYGDVIDSREAVRAWWDRCVKRPAIQRVLVADKEAAV AASE TSTA_118410 MAGSVVTRRRDMKCKTKMKTGCSTCRPLCQKCVKTGRTCDGYDS PFRIFTGEPIKNAYASSIKSVVSSQPTSIRVTFQDIDLLSRHFSTKTLFNVNLGCDEE ARQILQTSLTDPLVRHALLSLRALREDLETVGDGDASFAHQTSRHRYGLRQYTIALGG LASNLSSSGSSELKSALLCCQIFISIEQVRKNYSAMAQHIIKGLRIMHEYRARPYYDA ANNLLPALHDQLPFLDVFIIKLFVAPCKFTDPPVTAEISDTPLSACPLASQQQPVGSR TIAPDMRTELTRIAALTLGFLDKVSRVETVETALRLVPEKATLLDSLGSWLNDLELVQ TEYGPSGPEPISVSFLRIFHIILKIVLLGALDSPPDLYADLRDESDRLQALANEVDGR VRTYITCSGSNGNREEISTMSD TSTA_118420 MHFLLLGASGRTGQHVVSELLSQGYTAVALVRTSSSLTPRPGLT IVTGSPLSKSDIRNALFAVPSLSPSAAIITLNTVPQVSPPRFLADSCANVCEVLEHAG IRRIVVMSTAGVGDSWDNLPWLSKAFLSWTNVKYALEDHSLVDREIRLTKMDWTLVRA VRLQFDDQKLDATKREVKMLGSNGVGMSLSDSVSVTSVASFLVKVAVEGLLVKSAVVI TN TSTA_118430 MGKSTETTGADTPPSSGDIAAAAATHREHAMEVEDPIQVKKWNT KDGDAALALFENSDDLHEPVSYEDEKKVLRKIDFMILPYLAVCYAFFYIDKTTLSYAA IFGIREDLNLVGTKYNWLSSLFYFGFLAWAFPTNFLLQRLPIGKYLGFNIFMWGVFLM LQAACHNFATLGVLRTLAGAAEACSDPGFMLVTSMWYTRREQPVRIGLWYTANGFGIA LGGLLGYGIGNIRGALPSWKYEFLIIGALCSVWGIVMFIYLPDSPVTAKGLTLRERRI AVERLRENQTGIENKHLKPYQIREAFTDYKLYMFFLLGVVCNVPNGGISNFGTIIIKG FGFSTLVTTLMQIPYGVIIALSILLCVFLNDRFENRRCVFVLLFLIPNIAGAFGLRFV PLDRKVGRLICYYLTGPYNAAFVLVLSMQIANTAGHTKKVVTNAVLFLGYCTGNIAGP FFYKTDQAPTYSLGIWSMIGSHLIEVVLISTLGLLLRWENKRRDKIQSEMEGGLEGRD LDATAFLDLTDRENLNFRYIY TSTA_118440 MSSPAIHTESWTKEDESVRTEVTYEDGREQVRTSNPTGLSRIQS GVDVERAERDFAELNRQFSNISQQTRRLSKQASRPSKRASVHDLEKTGSSNASSDDPW DLETSLHGSKAAEVEAGIKPKHIGVVWDGLTVRGFGGVKTFVQTFPDAVIGFFNVYAT IKTLLGFQKQGAEVDILHNFRGVLKPGEMVLVLGRPGSGCTTFLKVITNQRYGYTSFD GEVSYGPFDSNTFAKRFRGEAVYNQEDDVHHPTLTVGQTLSFALDTKTPGKRPAGVSK KEFKEKVIQLLLKMFNIEHTVNTVVGNAFVRGVSGGERKRVSIAEMMITSGTVLAWDN TTRGLDASTALDFAKSLRIMTNIYKTTTFVSLYQASENIYEQFDKVMVIDEGRQVFFG PTTEARAYFEGLGFMPKPRQTTPDYLTGCTDPFEREYQDGRNSDNVPSTPDALVKAFD ESKYRTLLDQEIAAYRTQIQEEKHVYEEFELAHQEAKRKHTAKSSVYSIPFYLQIWAL MKRQFLVKWQDKFTLTVSWATSIITAIVLGTVWYKLPTNSSGAFTRGGLLFISLLFNA FQAFAELGSTMLGRPIVNKHKAYTFHRPSALWIAQILVDTAFAAVQILVFSIIVYFMC GLVLDAGAFFTFVLIIITGYLSMTLFFRTIGCLCPDFDYAMKFAAVIITLYVLTAGYL IQYQSEQVWLRWIFYINALGLGFAALMVNEFKRITLTCSTSSLVPSYGDIAHQTCTLQ GSSPGSDIIPGSAYLSAGFSYETGDLWRNFGIIVALIAFFLFTNAYLGESVNWGAGGR TITFYQKENAERKKLNEELMAKKQRRQNKEAVDSSSNLNITSKAVLTWEDVNYDVPVP SGTRRLLNSVYGYVQPGKLTALMGASGAGKTTLLDVLAARKSIGVITGDILVDGHRPG ASFQRGTSYAEQLDVHEPTQTVREALRFSAELRQPYHVPLEEKHAYVEEIISLLELET LADAVIGFPEIGLSVEERKRVTIGVELAAKPELLLFLDEPTSGLDSQSAFNIVRFLRK LAAAGQAILCTIHQPNSALFSSFDRLLLLQKGGNCVYFGDIGEDSRVLIDYFRRNGAE CPPNANPAEWMLDAIGAGQTPRIGDRDWGDIWRESPELAQIKEDITKMKNERSAQNSS SGSSSQEVEYATPTWYQIKTVVRRTNLSFWRSPNYGFTRLFVHAVIALLTGLMFLQLD DSRSSLQYRVFVLFQITVIPAIIIQQVEPKYEFSRLISYRESASKTYKSLAFAIAMVV AEVPYSLLCTVAFFLPIYYIPGFQSASDRAGYQFLMVLITEFFAVTLGQMVAAITPSS YISAQLNPPLIITFALFCGVAIPKPQIPKFWRAWLYQLDPFTRLIGGMLVTELHDREV VCKNAELNTFSAPDGQTCGEYMAPYFAAGAPGYLVNNATSACQYCAYKVGDQFYEAFD LSYNNRWRDLGIFACFIVSNIVILFLGARYLNFNKR TSTA_118450 MVQSAVLGFPRMGVLRDLKKATEAYWADKISQEDLIAEGKRLRL AHWKIQKDAGVDIIPSNDFSFYDQVLDHIQLFSATPERYASQKLNILDEYFAMARGRQ RAGVDVPSMEMVKWFDSNYHYTKPSFSDSQTFSLAKDAKPVREFLEAKEAGFATRPVI LGPVSFLALGKTERGHSVEPISLLSKLVPVYVELLKALKAAGADSVQIDEPVLVFDLK PEIKAAFKPAYEALATAGPEITIATYFGDIVHNLDTVSAFSGLHALHVDLVRNPEQLE TVIKALGPKQTLSLGVVDGRNIWKNDFSKSLKIIDAAASALGKDRIVIATSSSLLHVP HTLASEKKLPEEVYQWFSFAVEKVREVAILAKAATEGPASVQAELDANAAAQKSRAES KRTNNPQVKERLSKVTPDLYKRKSGFETRYAQQKTHLSLPLFPTTTIGSFPQTQEIRV QRNKFTKGEITEEQYDAFIRKEIDYAIQVQDELGLDVYVHGEPERNDMVQYFGERLEG YVFTTHAWVQSYGSRCVRPPIIVGDISRPAPMTVKESKYAASISKKPMKGMLTGPVTC LRWSFPRDDVHQSVQAFQLALALRDEVVDLEKNGIYVIQVDEPALREGLPLRSGKERE AYLDWAVNSFKLSTAGVEDSTQIHSHFCYSEFQDFFHAIAALDADVLSIENSRSDAKL LKVFIDEAYPRHIGPGVYDIHTSRIPPEEEIKQRISEMLQYLRPEQLWINPDCGLKTR TWEQVKPALTHLVNAAKHFREQYKA TSTA_118460 MKFSALAAAGAFLAGTVLADVDEIVIKGSKFFYKTNGTQFFIRG VAYQEPATSSNSFIDPLADINACNRDIPYLTAIRTNTIRVYGVDPTKDHSACMNAFAA SDIYMIIDLGSPTDSINRASPSWEVPLYQRYTAVIDAFANYTNVIGFFAGNEVTNNAS YTEASAFVKAAVRDSKAYVKQKGYNKGVGYATADAQDIRLQVADYFNCHSADESVDFY GDNVYEWCGTTATFQTSGYNEIVKNYSSYSVPYFFAEYGCNTVKPRTFTNIESMYGPD MQDMLNGGFVYEYFNEANDYGLVSLQGSSVSTRSDYNSFSTEIAKATPSGVVSASYTP TNTALQACPTVDSVWKASSSLPPTPNEALCNCMVASLSCVVSDNVDSKNYGQLFDLIY GFGDTSYTAGISANATSGKYGAYSMCAVKDQLSWALNAYYQVQSAKGNGNNACDFSSS ATTQAATTSGSSCSTMLAAVGTAGTGSASGASATGTGSSHTSSGAAYPGASPQAVFVG TWQLSAYILAALLSGGAMLLILYYIGMLVKL TSTA_118470 MATKVLLIGATGETGRSIANGLLNAGGFEVYAFTRAASVHKPQL LDLEKKGVIIRQCDLTAPKEELAEALKGIDIVVSSVGPSDQHIQHNIATAAKVAGVKR FIPCGFITICAPGGIMWLRDEKEKVYNHIKQIKLPYTIIDIGWWYQIATPRLPSGKID YAMTTSNDELIGDGRTPSSFTDLRDIGKYVAKIIVDPRTENKMVFAYNVVMSPAEIFD TVERLSGEKVERRYIPEETVHKRVAETRASSETYPFEPTKFTARFVAEYQLSWGIRGD NVPEYAKYLGYLDAKELYPDFKPILFEEYVQELLHGTATGVYTDRISRIY TSTA_118470 MATKVLLIGATGETGRSIANGLLNAGGFEVYAFTRAASVHKPQL LDLEKKGVIIRQCDLTAPKEELAEALKGIDIVVSSVGPSDQHIQHNIATAAKVAGVKR FIPCGFITICAPGGIMWLRDEKEKVYNHIKQIKLPYTIIDIGWWYQIATPRLPSGKID YAMTTSNDELIGDGRTPSSFTDLRDIGKYVAKIIVDPRTENKMVFAYNVVMSPAEIFD TVERLSGEKVERRYIPEETVHKRVAETRASSETYPFEPTKFTARFVAEYQLSWGIRGD NVPEYAKYLGYLDAKELYPDFKPILFEEYVQELLHGTATGVYTDRISRIY TSTA_118470 MATKVLLIGATGETGRSIANGLLNAGGFEVYAFTRAASVHKPQL LDLEKKGVIIRQCDLTAPKEELAEALKGIDIVVSSVGPSDQHIQHNIATAAKVAGVKR FIPCGFITICAPGGIMWLRDEKEKVYNHIKQIKLPYTIIDIGWWYQIATPRLPSGKID YAMTTSNDELIGDGRTPSSFTDLRDIGKYVAKIIVDPRTENKMVFAYNVVMSPAEIFD TVERLSGEKVERRYVRPFPPSLAKGGGERRKEKRKKNLSY TSTA_118480 MGFFGWDESQASHEQVYGGQPHEGKLSHELIAGAASFEAFKAFE DHRRAEGEPVSHAFAKEALVGLVGAEVDKLVETKGLDEYDRIQAKRHAEENVQGLYDQ HYGGQDQWHPDYDRPQRW TSTA_118490 MAGQEEHTSHNTMLHRGGARWASDQSDGDTCHQLINNQAIVNSL DKSALHQPPPLTWKPLTILRRQKKKKMPPKLPIADDFPPNATITISYPGSPDTTPPPT STSAHNILILLHGLGDTATKFASFGRALNLPETICITVQGPTPLPSSFIDIPGGGFHW GDDVVFNNDNDSRTEGALAMDAGFDRARRLLVKDVITDTLVRKCGYKLRDIMLLGFGQ GGMAALDAARELGLHPPVEDEMTVAASIESSTESTWTPSSASAREGRGKIGDKSYYPS LSGVVSFGAAYPLSASTLGPKDRTPVLLLAGRDDGFSAVTDTALKRTRDIFEFVEIHR WNRRGDGMPRSREDMLPVMQFFARRLQSRKGVPDGAIELT TSTA_118500 MPTKLRTAVRRSLSPLAGKNTGVGITTEGKVFYCPSCSTWRRRT VSTVTNSYRARNPAGFRHVFHDNNTPKINAATRRYLTVSAPTRLNSSASLVVDKSVPA RFRELYAALKELEDVAADHISLSRLQLVQRGLESEEPVVRVAVLGVNNARTTRRLVRL LLADPLTERQSWEDLIDSYGIEGSNGLLIRYGEESGIVENNLCPTISINSPLLKQGRI ELFVSTLGPGQEPDTGPITDEVFFVPTITVQSMESGGHELIRYPVHKTIIFGTGTEGL LAYSGLLGRAAWKSSEKLVHGVIELGVEGDRQKDGAVTFVNTEVAETALDKFRESVRF ASEYERGWTAGGVQSVADWLPTAFTKSGLSSELQTIIESLLDAAEEGVTVEEVRRGKE LALTDTSAETRRSLDQSVSIWAERAHTELRNALDEGFASQRWNGLSWWKLFWRVDDVG SVTSEILQTRYLPRSEKEMIWTAGRVEQTGLLDGASDTPNLAEPSPVKDTEQPESDTP PWPLQIATSRLHMLKTTVPSLQALSQGLVLFSASTAGLTSALSALLYTSASTGLYEAC TIAAVGLMYSLRRQQVKWENARAVWQAEVREQGRTALIETEQVLRRLIRDGPSLPRDE SAERRAKMVIARARKALEDVREK TSTA_118510 MMDKQIEHAVTHKKPVPEIDFTLHRMEDGTQVSTMERVCKEVQA PALTVPSDESFWSPDDPSKPNLPFLKQHFYREGRLTEEQALSIINAGTEILRSEPNLL EMDAPITVCGDVHGQYYDLMKLFEVGGDPSETRYLFLGDYVDRGYFSIECVLYLWALK IWYPNSLWLLRGNHECRHLTDYFTFKLECKHKYSERVYDACMESFCALPLAAVMNKQF LCIHGGLSPELHTLEDIKAIDRFREPPTHGLMCDILWADPLEEFGQEKTGEYFVHNNV RGCSYFFSYPAACAFLEKNNLLSIIRAHEAQDAGYRMYRKTRTTGFPSVMTIFSAPNY LDVYNNKAAVLKYENNVMNIRQFNCTPHPYWLPNFMDVFTWSLPFVGEKITDMLIAIL NTCSKEELEDDTPSSVSPTLPSPPSATDKDDSEFKRRAIKNKILAIGRLSRVFQVLRE ESERVTELKTASGGRLPAGTLMLGAEGIKQAIHNFEDARKVDLQNERLPPSQEEVARK SEEDRRIALERAQREAENDTGLATVARRISMSGNSRRRREAANREASS TSTA_118520 MAANRRRLRLVAEAQGEIRVPWTNSSAILLKIPMAFLPDPPRQL LTQHCPTWTRRLKVLQSKTLRVMISFLAKLAFSANAFASLLPANADLLKKVLQDIRNI RLEATLKTLLQHNASKGIDVKSISIPLYDGAPDNLKVTIVDAPAIVETNDGLTKKDFK RRWLENADTGHLENTDKLKEVLQYIGMDCS TSTA_118530 MAENNIIDRLRSSAFDLGEVRDALRGKAVPTVLEHRITRLCVIR GIRYHEQFAEDSELEQFRQYVPEVSRALTARAVMSNKIPSIPEARDRPYCISHPQVAS QDTYRKLCQLCGAVSRDGSVAEEARASGSMEIFESIMRKPVRYRVTNDYTREITLQDP TTACLNDDNAIVFTLDIDQGINKPRDEERDPVIEGATVEESQDASDFDGMDYLYPFSG FDEKMFNITEDINVGEVDSEEPHLNYLPELLFQPLPADLPQGNKDLLILIAAYYGNSE RYARLHRPRMIKGEPGCVVRGIYHNSMFSLWCATQTIPDWPASSHVTMAIHARMVVFL RM TSTA_118540 MESPPSRNEFQIGWICALPIEAAAAIQMLDENFGILQEQERTDT NTYNLGRIDRHYVVIACLPDGQYGATSATTVANNMMRTFSDSLRIGLMVGIGGGAPSA NYDIRLGDIVVSRPEGSHGGVIQHDMGKIGKYGEIQRVGSLNSPPKSLLNALAQMRAA ELYDDPRYPVYLQEAIGKNTRTRKTFSRPDIKLDRLFKIEHTHPESATSCDQCLAEWE ENRTSREESYPQIYYGTIASGNTLIKDGKTREAIRKETGALCFEMEAAGLMADFPCLV VRGICDYADSHKNKQWQGYAALAAAAFTKELLGYVPKGVSQESLAADICPLLEGIKED QKKAFDQRESHHREKMERVLTKINVAVIKHSRRQLIKISKIATQIERKERVSGYSTAP STCVGGTPQAMISYGSRLTQDAVNPYSQNH TSTA_118550 MALGIATSPDAETATEAGLSPNGLDEKIRQLCGLFVFIKESKIY LIHQTARESSSTHWQRKTEIQMTKICVKYLLMNDVVSNEGVLIRSLLDYSAENWANHF RDVLSPEDEIVDWVWKLYDVRTERFHLWVPKFWVAAMPYHRDPKMKALHLAAFNGHPN ILCRVDVNETGAIDWVDGLGITSLQWASERGHPEIVKLLLEKGADFNAQGGQYGNALQ AAAQGGHLEIVQLLLEKGADVNAQGGYYGNALQAAAQRGHSKIVQLLVEKGAVVNALQ VVTPNRSQETAQAQGGRV TSTA_118560 MSEYITQVCMECVQVLSTVPPSVLLLSLAAGAVGVVALAYILLF AVAFRPRPPFPEEKTYRTLAEDGTPTQPQRLPCWQDSWEHQRQNISDKHAVLDIEKPE LFMSVVVPAYNEEERLVGMLEETVEYLEHAYGTLAGQANEQAKVGKSKNDSVRQRKIG NGQVSDNDLSKGWEILLVSDGSTDRTEEVAFEFARDHQLSLHPRAHTGPWSPDEHEGV HIPPGSIRIVTLTQNRGKGGAVTHGMRHVRGKYVVFADADGASKFSDLGKLVSACQEA EDSEGRAVAVGSRAHMVGSEAVVKRSKLRNFLMHSFHLILWLLTPPATAKVKDTQCGF KLFSRASLPYIVPYMHSEGWIFDVEMLMLAEFAGIPVAEVAVGWREVKGSKLNVIWDS IGMAWGLFVLRAAWGMRVYRRT TSTA_118570 MATDVPKVVPLTCHGHSRPVPHISFSSIVEEDQYYLISACKDNN PMLRDGITGDWIGTFLGHKGAVWQARLSADANIAATAAADFSAKVWDTHTGECLHTLQ HNHIVRAVAFPVQTNPQVLATGGMEKKLRIFDLTRSNTATNNNDGGSPPLTNDTNNTT SSSPNPNGSTVTSYEIGPGVHSGTIKSIIWNIDYNILTTAAEDRKIRWWDLRSRHPVV EYSVEGTIGSCELNVLATRPNEAGILSVAAGKSVYLFDGAMPGRLLKKVDFRYEVASV AVNNETGRFVTGGAGEDTWARVYDLHTDEELEVQKGHHGPIWSVSYSPDGKLYGTGSE DGTIKLWKACREPYGLWR TSTA_118580 MPTKFYHGRKLNVKCPNALAYGLHKKSDKHRLNPKTLMRELYKQ LSSNDLQLDMGIVSLHIHGIRDALFEVTLWPHGYTFVGKGVPVESVGCSKHEENVQGI CVRVLLGGFDLRTFSYDGIADMIHMIFMSCTGLTLARRRLEIESAQLIEKAERSLQAT HELGVLHNGPIAGNMTWNEEGYVH TSTA_118590 MDRSLEEIISERPAKQQNRRGRGSGNNSNAPRDGVKKSYRDRVD LDRDWVHDRFDDIGDSRSSRRDNRSRRDRESPDSDQPARLRIDNLHWDLTEADLEGLF SKIGPVQRVRINFDRAGRSEGTATVTYQYVEDARQAIREFDGANARGQPIRLTLLPGG GGRGGPKTEKSKSLFDRIERPHDRTERSLSPDEEGATGGGRRRRGGRGRAAGAPGRRS DTSKPAPDHIDRYIPGRQQSPSRRNATGRRPGERRDDRRNNRRNANGGSGPRPKKTQE ELDAEMDDYWGNTTAATGTTTEENTVAAPATVAATGETGGAASAGNDDDIDMIE TSTA_118600 MLMVEKHWILVQQKTFTKWLNNKLKVRNLAINDLRCDLSDGVML IHLLEILGDESLGRYASKPKLRVQKFENVNKGLDFIKLRGIQMTNIGAEDIVDGNQKI ILGLIWTLISKFTISDISSEGMSAKEGLLLWCQRKTACYPEVEVRDFSASWNDGLAFC ALLDIHRPDLIDFDSLDKNDHRGNMQLAFDIAANEIGIPDLLDVEDVCDVDKPDERSL MTYIAYWFHAFSQLEKVENAGRRVEKFVSNMQGAWEMQSSYEKRMKELLAQIANQRAE WKGASFEGTYTDAKEQLAEFSHYKRNQKRKWVAEKSDLAALLGNIKTKLSTYRLRPYE PPAELALDRCDQVWEELMKEEQERSQLINETIRDIKNKLRRSFADKANDFALTLKTLT LAISGLEGDVEDQLTHVKRLNDNLPPLDAFLETIADLEEQCAEANIEENDYTTYTLDE LSYELGLVKSSVAKKLAFLENQMVARNMTNLTPIQLEEFESVFRHFDRDGSNTLQEIE FSAALASLGLVYDEDEMHEVFLETCGRNSTVSFEQFIRFMVSVTEDQNTAEQVFQSFR EVADGKPYVTELDLRHSLIPDELIDNLLESMPKHEGPDLLEDRDLPKYDYITFMERMM DNQGSSEDNKHPVNGGH TSTA_118610 MSRKLFFTTFTTTAPSTSTSIEYTMPPNLSITDHLPLPESTVQI PRLGFGVYRAHAEECIQSTLTALKTGYRHIDTAQFYGNEKEVGEAFRRSGLRREDVFI TTKIMSPGGSPQATYEKIIESVEKINAGDKDGYVDLFLIHSSKSGLAGRKELWQALER VLEEGRTRSIGVSNYGVGHIEEMKSYAKVWPPHVNQIELHPWCQQRQTVRYCQEHGIV IEAYCPLVRGNKSNDPTLVSLAQKYGKTTAQVLVRYSLQKNWVPLPKSSNPERIASNA DVYDFEISQEDMDVLDGLDQGAAGAVVESVDE TSTA_118620 MSSDGRTAYPVSLSEIEFPSAAVSVSQLLTSLRRSALSVTNRLR SIEADARFVSEAADHYGGLPLIANERCGSWYINPDQKAGSVYFKSTDGHTGVWEFSFR RLNLQVLEIARQNGGCIIVDSTRRGKLMPDALSKTVPIWSAVLNRALFPSEPAYHPVQ FPPSFLGASEEAQIEKRIDGFVRTFKELKLDLDKLRKDLGYPIRLAWANQTYFYPTTL QKGEGYNLFVLCSASRRVHGAEASEGGYIQGAGDDSEKWSHGLTAPVFWANKDLLFKT DESQLPHVIHDLISRTDDNSGSGAGQNKKQGILIRPSSNLYISQSRPNSDIQGEEYDL VIACNDKPGLSSSKRLNLGCGSHKLGSRDLRTALEKVKVFAEKHLTSNPSQSLLVTCK TGKDLSVGTLLAIICLLYDDEGNCTGPQADTYIDKQYIRQRLTWIVTSNPDANPSRAT LQSVNAFLLQRPD TSTA_118620 MSSDGRTAYPVSLSEIEFPSAAVSVSQLLTSLRRSALSVTNRLR SIEADARFVSEAADHYGGLPLIANERCGSWYINPDQKAGSVYFKSTDGHTGVWEFSFR RLNLQVLEIARQNGGCIIVDSTRRGKLMPDALSKTVPIWSAVLNRALFPSEPAYHPVQ FPPSFLGASEEAQIEKRIDGFVRTFKELKLDLDKLRKDLGYPIRLAWANQTYFYPTTL QKGEGYNLFVLCSASRRVHGAEASEGGYIQGAGDDSEKWSHGLTAPVFWANKDLLFKT DESQLPHVIHDLISRTDDNSGSGAGQNKKQGILIRPSSNLYISQSRPNSDIQGEEYDL VIACNDKPGLSSSKRLNLGCGSHKLGSRDLRTALEKVKVFAEKHLTSNPSQSLLVTCK TGKDLSVGTLLAIICLLYDDEGMQVLPA TSTA_118630 MAKITQLLFDCDNTLVMSEELAFEACADIANEILAKRDITDRYT GEELIKDFVGQNFRGMMVSLQAKYGFEMDKDEIEHYVKEEENQVIAKLEAKAVPCIGV MEELEKLHESGKYDMAVVSSSALRRVRASIKKVNQDKYFKPDHIFSAATSLPQPTSKP DPAIYLHAINVLGKKAEECVAIEDSRSGALSAIRAGIPVIGYVGSYHGDDKRGEMAAK LTELGAKIVMKDWAEFESCLKHIEEPADTSMASL TSTA_118640 MRLFLIDKNPTLNDHQFFTYRHSVLPSQVILHLHLHLQMAQSDT WSEQASKVLETGPLDPAEAKWIRLKKITYTDPLGVKRVWETAERQTRPKNSTIDGVGI IAIVDTSHGPEILLQKQFRPPINKISIEVPAGLIDEGETAEQCAVRELKEETGYIGVV EKTSTIMFHDPGLTNANSSLVHIRIDMNLPENQNPKPELEENEFIECFTVPLATLWEE TKRLEEEGYGIDARVGTLAEAIELSKKFRF TSTA_118650 MKPILRLQSLSRSIYSPPRRGISTMTTTFTIPFDNPFNNTTSIP VILAETTSISKGQLLSFPAFKTWLSSLHKSLAEQSSSTHEFHKSPYMLRKIDIQAVDY FGGGRLGFSKMKVDVSNDIGESLPGSILLRGGSVAMLLILQADDVPSTSEKDKYVIMT IQPRIPAGTLKFAEIPAGMLDDSGTFAGGAAKEIHEETGLSIPQDELIDMTALASATE SEGNLLQKAVYPSPGGSDEFIPVFLCQKRMSRKDIEGMQGRLTGNRNEKEKITLKIVP LEELWREGFRDAKTLAAWALYEGLRREGKDLSCR TSTA_118660 MYDSNRPHPLLAQVSLTVSPFISLPSAVTLPYTYKSISSTLPPS ITATADNQSDPAGKTRYVVSPTGGHVAHPDEILASCQALETHLRKTITDAERTIKEWE EGIRQRELAEKRRLAPGWLDRDEKLLQPEAVHASVNASKDGYAHGGDTGMSILDSSTR EQEAQSVPAIAPRDEGEELDRVFGGLDMK TSTA_118670 MMNSPNVVTRLKESRIRNSRSRTSQDNDISSNVAQRSLRDNDNG SPTPSNERINIFGQTRNAQQQDYDGDASSGSHTLSVASSHRQRRKSAVATHQPIEQTN GMFLQPETHPITEEQLVNEVRAIYAGLVMVEKKCIDIVKQQSENPEELTVLQWQALTA LHRTLLHEHFDFFLASNHPAANKSLKALAKTYSMPARMWRYGIHSFLELLRKKLPSSL DHMHTFIYMAYANITLLLESVPDYKETWIECLGDLARYRMAIAEVDMYDREIYTSVAR YWYTKAADLNPDVGRVQHHLAVLARPNLLQQLFYYSKALVSVQPFTNARDSILLLFGP LLDPAKAAAKYSKYYPRALTVFVEAHGVLFTRQDVSTFLRLAEEFLSELDKHVGLVGP LFREQGVYITASNYAAIFDYGHDGAEIPSMFDQAGLIQTRTFEILEQAYRSWQNPSCV QVGIEHRTVGINSSEQVVSIASHFAFTTLDVILDRLGDRNTLPSVHVSLAFLWCMAMV PESMARIQADVPWERLATYLNTLINPDTDMAEIENGAFPAQESGASRQLPEDFLIHGL SWSRMYYPPNFFSDMAEDDERSIELPSVIVPRTRRCLWLASKIAKFNCWLVYDTESCK FCATQFAHELAALSGQYQILCQASNGKPGTDTVMTES TSTA_118680 MGSSSDSSDQIDDQLYTPSTGGGVFSARRPTHDDRSLRRRSTAK DADVETASVNEKDDGVDERDFHKKQVFTGWQLAWLSYQSLGVIYGDIGTSPLYVFSST FSDNPSQEDVLGAISLIIWALTIMVTIKYALIVLNADDEGEGGTFALYSLISRYANLI QRDPRQRKLVRIERYRDEDMPKPNRLIRSWLEKSVVVGVLFKVVGVFGVALLLADGVL TPAQSLLGAIQGITVVNSSLSSSTVVGVSCGIIVLVFLIQPFGTGKIANTFAPIVIIW MFFNLSFGIYNLVHYDASVFKAFSPYFAGSFLVRNRHGGWLQLGGILLAFTGVETLFA DLGAFSKRAVQISWLFFVYPCLLISYIGQAAHMMVDPTVYANPFYLTVPPGMLYPSLI VAILACIVASQAVITGSFQLLSQIMKLSYFPQVEVVHTSKKFHGQVYIPLANWIMMIG TVIVTAVYTNTTALGEAYGSCVILVSFLTTCMVAIVAIIVWRLPLYIVFPVFIVFALW DGMFLSSALSKVPHGAWVTLMIAAVLTLLFVLWRYGKEQQWGAENSDNVPLSQTTVLK KGQLALQPKFGSSTIVPINGLGIFFDKAGLSSTTPPVFLHFLQKFGAAPDVSVFFHLR ALNVPTVPPNERYTISRCFTYSAEDGSKHAIPNTFRLIVRHGYTDEVITPDLGILVLD LIREFLNNESPKSSSPSSSDNTMAIESAALQRAFTSQVIYIVGKEHLRIAPGTNIVKK LLLMLFLYAREVTSNKVQHLNVQADRVVEVGFVKDI TSTA_118690 MSQPSLKSPSSQQPGHFFKEVRFSHPLVPVRRARSVASGNPYLD SAYHAARVAKKASTEPTYKDFAVYIDQSQSVLESQRVHFERERLLFAEERKLWEIERS LLKSRIAELEKAAGGNNAQPYMSQFRPSFSLKGGGPNKMFNAGRDASPGQHHVWEGPL TGSRRPTRVFPGEDDSDMNTTLYLAPTEANGFGFCTSLDAALSPQLFDRTGHISMPVP IEKVDSELDGITLKSTALPPDVVAKVMTPPTSISPTSSPPKDEPDTSKSALEGKSPLK LSLSDLGPLDVRLTRDAGHTPMAILGTETEASYQSPNEVTLHQTEMEEEEAPLAPVVT KQPVESGDSYFPVIVDEDPALKGPLTLENDENADSAFLLQLDQKLLDEAKKAIGGSFA LDEEKVDSEDDTAGGNNDDEPDPELKLKPSTNFGTAFGQC TSTA_118700 MKYTTALTVLLAFGPATTILAAPVSQDPTSALTGAPSGQNEGAK QPAAGPAPGSSSQGLSGSSSGNEGLLDKVEKIVKDVLGGLRKRGLVEEVGEVVQMTVD STSKLLNGESSGSQSSYNTQSTDPDALSQGAPQGGKGDDDKDGDDKKAHPAPAPTPAP APAPSKDSSSSSPLSKLIGGRSNAPLSDQTDPVNTSGTTAPISNEGTQPTESHKVPTE QSSPIEGVTKPSTPIPVPVDTKKQEGEKKEKEGPNIGTPTSDAFQLSPEEIEVLKKVL QSQSKDGQGEGLSKA TSTA_118710 MSKNLIHQTWSTWRDWITPVTHTSTFRETGKITPEEFVAAGDYL VFKFPSWQWADASTPAQRVPFLPPGKQYLVTRGVPCHRRLNDNFAGDLQEDVIIKDML RAGPGSGGGDGEDDGWLQTGGKREYADTQEAKRKDVRTVDEAGNVSEQGEDEDEIPDM EDDDDDEEAIIRDPSGASGTTAPLRTYNLYITYANYYRTPRLYLSGYLSPSEPLPPHL MMEDIVGDYKDKTVTLEDFPWFDTSVPMATVHPCQHASVMKILLDRADAALKIRRQKL KKARSAEDASNIKFDSGLEGLVDDTNNLSLTERQRKLQQQDGGSSANNNNMMGDEWEV LQNDGNQSEEDEFQSAIRVDQYLVVFLKFISSVTPGIEHDFTMGV TSTA_118720 MTSALTLDSLSNALATPAQLSSSSSSLDGIPSDLESSIRFAGAL LTQAAGVLLRLPQDVIAQAIVIFTRFWIGPDGGSFAIHSAKDVSAASIYLIAKLSFHP TSPRSVLNTYNYLLSKQASPLWFINPSGVVGKPKPETYYLSEGGYQSQRMVLLKTETI ILRTLGFNTHIVIPHTIALTYLQTINAASSALGKRVFEHLNASLLSPQLLYVTHQPNA LAVAAIYLAAREVGVKLAEDEWWEVFDVDREELGFLVVAMNSMEEFAKAEKETWKGKS IPVVVEDVEKELQQRQSQEGE TSTA_118730 MPQFPNTPESILPRSDSRNPASTCRGITVNGKPCRRSLAASQSA SASKGVVARLKGQNQQLDPSAFYCWQHKNQAPEPGDISTENKQAQAAAFARKTSIDSL IERLGILEVNDRQRQHRRPTERDARYQRMHSDKNPKPGRGQQPRQSPFCCFTIVEDSE NLPAAKRVQSSRREKPVASRPPATPQRPVFVRDPRSGGSPIVQQRSAPVTPRRWSETT PQATLQSADRSQYLGTPTKPSLPSTPNSHSSQNRPLLSLIPQHLSPQTTALLLTELSK PISNADEEGYIYIFWVTPQKHNSEAPPGDIALNLLPPPGRPNHSRRTSDALRAAQAID PRSKGSANQPGTIRLKIGRTSNVHRRLNEYYPYTNSSPSPSPARRPESEHFQGHGRRV PHVHRVERLIHIELADQRVKGKGPCAQCNKEHREWFEFNATKDALKLVDDCVRRWVAW GEQHI TSTA_118740 MSGLRFLDLIKPFTPLLPEVAAPETNKVPFNQKLMWTGLTLLIF LVMSQMPLYGIVSSDTSDPLYWLRMMLASNRGTLMELGITPIISSGMVFQLLAGTHLI DVNLDLKTDRELYQTAQKLFAIILSFGQACVFVLTGLYGQPSDLGAGICVLLIVQLVV AGLVVILLDELLQKGYGLGSGISLFIATNICESIVWKAFSPTTINTGRGPEFEGAIIA LFHLLLTWPDKQRALQEAFYRQNLPNVMNLLATLLIFAAVIYLQGFRVEIPVKSSRQR GMRGSYPIRLFYTSNMPIMLQSALCSNVFLISQMLYSRFSDNLLVRLLGVWEPREGSA QLYAASGIAYYMSPPLNFKEALLDPVHTAVYVTFMLVACALFSKTWIEVSGSAPRDVA KQLKDQGLVMAGHREQSMYRELKRVIPTAAAFGGACIGALSIASDLLGALGSGTGILL AVTIIYGYFEIAARESDFGAGLRGLVPGN TSTA_118750 MMILTKTGPLGPVTNSFIIATTRRGQIRLYNILQDTTIPEPKRK HIPSSGTYPKGFRVSGTHVGVKAANTKYPDLALISSDEPCTAAAVFTTNKFQAAPVQI SKLTLDQRKGKGIQSVVINSGCANAVTGKGGLEDAQSMASKVDECNGISEPSTLVMST GVIGQRLPISKILDKIPTAYSNLANTHNAWLATARAICTTDTFPKLISQTFTLPSSPG ITYSLAGMTKGAGMIHPNMATLLGVLCTDAPVDASAMKPLLLQAVSRSFNSISIDGDT STNDTIAFLANGAAGGQPVTSSSADYTALQKVLTSFAQSLSQLVVRDGEGATKFVTVR IQNSPCYESARRIASTIARSPLVKTALYGRDANWGRILCAVGYADGVTEGTVIPERTS VSFKPVDGSPVLRLLVNGEPEVVDEERASAILQDEDLEIIVDLGGGSKGEKGLGGEEA VYWFCDFSHEYVTINGDYRT TSTA_118760 MRKVADSPNENHDNNNNDLPTASTPKRQKRGPGLSTIHEVNEHG SNGDVTPSKRMRNTPKKVDRNDTLNASGLKTPTHKTKARSLFTTPKKDPTATPSRVRN ADRSAKRKSARILLEQDEEDTWDGADKLAEEILGDDNSTKTNCVIETTEKDGQAAETT APPVKRRAGRPKGAKNKRSPTPEGDIPPHERYFFQNRPGPPNTSNNNLNKVSLLAHDE YFELLGRYNDPFSEEKELLLNIHRRSFPQWNFEFYENFNICLYGYGSKRGLVQKFADW LYVQSESRRPIIIVNGYAPNTSVKAILTAIATTVCGEDVPSKIGGTPSEALEFLQSAL QSRKTPITILINSIDAPPLRRLVHQAQLARLAALPNVNLLATVDTPNFLTMWDVSLRD QFNFVFHDCTTFAPLDVEMNVVDEVNNLLGRKGRRVGGRDGVAFVLKSLPENARSLYR LLLTEILTLQYSDGNGGFSEDEDGQPQMQTQKTGRREEPGIEFKMLYQKAAEEFIASS EMMFRTLLKEFHDHQMVTSRMDASGTETLSVPLSREEMEGVLEDLVLS TSTA_118770 MAFFTSPLRPVLTTTCTLLGTGIGLSLLVPSSPFRSPPLQCQYT APYYSPTASPDSGWSLAGSEAVAKQGRTSSTQPGTGLLNARFMRQVSLGSVLGLATGL GLRVFSKALVFVLGIGIVFIEWAASKGYNIVPVNTLQKYVKKFDLQRATRENVPFKVS FGATMAFAAFASFADYS TSTA_118780 MALERVPADIRAQGGVARMSDPSMIKEIMAAVTIPVMAKARIGH FVECQILESIGVDYIDESEVLTPADDKYHVKKSPFKVPFVCGCRNLGEALRRISEGAA MIRTKGEAGTGDVVEAVKHMRTVNDQIARARGILLGSSDPEIELRAYARELEVPYELL RETAEKGRLPVVNFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDARKRAKAIVQA VTHYNDATVLAQVSEGLGEAMVGINVSQMADKDKLAGRGW TSTA_118790 MDVAGQATVLDPSQSPQQLCEEAEILVYQLNHPTATDSITVVQD KLQQIQKLPQGWDVARYLLDQPDSGTKFFGALTFIVKINQSWPDLSDDTIQQLKSYLV SCYVTLIESQEKQLVTRKLSAALVAVFFNDQSWTHPIQDIAAFFWQRGLDASLKFDYE GTVVPALNEIQISGLLAFSITMAEDSVRNSSLVRKSSGDHPITESIEDAFCLCNYVLG ALLKQYRTEGDVTDKTGFEVLNACQAWFNVRTSIYLRDRSESHHIQSTVNQLLLCMNI PSLSSHAIDILADMLNSEDRLLKEMHLEVILAYMQGDRGKELAQMLKDGDYDDDAMAF WNLIEAYSSPRRIDLITGVLGPSHAVLLTYLDVLFQGPGHPGVDDSISPRLLEWWTET ADTLLEGVEQGLEEARQHLAKAVLNVYSRLKWPAENEFGTWTADERSEFYNFRRDTED FLLSVYSTLGLELFDLFRQKAVSALDVGDWNELEAACFCLAQISEAVDGVEAALDHLN AVFTAEKFTRICFNSDQLPTKTRQTLVDMLGKYQSYFERNPNLLPKVLTFLFSSLNVG SCTNNASRSISFLCKSCRQALVSELPVFLKICSEFQQSQAVTVQSLERVVEGIAAVAE ALPSKEAKAPYIEELLRPFFSQSVSAREDAQRGDIEAAHSRAQLALKCIAGIGRGLRA DDSKVIDLESEEISSDDNSFWNTSPIQEQLRQCLLVYLDGFPLEHEIIEGICEVLKAG FTEKTGPFVFKPSITAHFLMAIPVGAAGASDVVMSTACSFLASYQRTPEKVQEETASL FIHVYWTFSLMLQYPENYDPEVANSGISFLTRSLPKYHEILFSLTSAPSVSTFRIAAP PPNMNMEVPVLQTILNFVSNALSGREPLPLRSAAQFWVGVLTLPSATNSTTNVSRAIQ EYLPSLSHILMTQLSGTCARSDINHLCEVLKKIIFNFQGEARNHLAASLAGPNNTAGG GLSKEQERFLAMVLGARGGSATQEIVRTYWINCRGAGFAYQG TSTA_118800 MLFSCRAPSLLQRTALSSPLRLFAPCRPSFSRTFVTTTVRFSVE METVNTSERLAQLRELMKQNNLDVYIVPSEDSHQSEYIAHCDARREFISGFTGSAGTA VISTTAAALSTDGRYFNQAAKQLDSNWKLLKRGLEGVLTWQEWTAEQAEGGKIVGVDP SVITAASARKLSETLEKGGSKLVGIEQNLVDQIWGTHRPQRPSEKVKIHPIEYAGKPF QEKIADLRKELKTKKRAGFIVSVLDEIAWLFNLRGNDIPYNPVFFSYAVITPDTVDLY IDDEKLSPEVKVHLGSDVVIKPYESIFADAKALSAKAPLTESGAPMKYLTSNKASWAL SLSFGGEKKLDEARSPISDAKAIKNEVELKGMRDCHIRDGAALTEYFAWLENELINKK STLDEVDGADKLEQIRSKHDKFVGLSFDTISSTGPNAAVIHYKPEKGVCSVIDPNAIY LCDSGAQYLDGTTDTTRTFHFSTPTEMEKKAFTLVLKGLIALDTAVFPKGTSGFALDA LARQHLWRQGLDYLHGTGHGVGAYLNVHEGPIGVGTRIQYSEVSLSPGNVISDEPGYY EDGKFGIRIENIIMAREVETPYKFGDKPWLGFEHVTMTPIGQNLIETSLLSKEERQWV DNYHAEVWEKTSGFFKQDELTLNWLKKETQPLK TSTA_118810 MSGRSPYANGYGYPSDSGRSENGGYGSSSSLGLNGYGGGSGGGG NRERERERERRPGGYGGFLNEEPQRPPTSSSTLSYGRERERERDYDRGRGDQDRRPSA ASSSRSRPRDVNTGTRWRPGRDETDFMRTMPTGRPEAIDGGGRGAQSIEEVLQTIQRN WDFMATEDCVPVQVALQLMDNSTLGKADREPEFLQTQKQIQKTLRSIVNEHHQGFNSS IGTYHKIQASIHSSQNRVRNLRSSLEETKSGLLTTKPELQGLATSSQDYDDILQLFNQ IHEVQLLPEKLEQKISEKRFLSAVDILQEGLRRIRRSEFEEIGALSDLRSYFTNQETS LTEILIEELHDHLYLKSPYCQDRWKSAATEGEKASQGSLNGATWERPVYSFLSKLDAS TPIVEDTSRNPEAETFHYIGLIIEALNRMGNLDVAVDRIEQRLPVELFAVVDKTNVEV DARHPNLARTLLSQDNKSGLPTEINEQRGPVLTEFLWNLYAKFEAIAEGHRVVHDVIV NIIDRERLGKSTLANGFRELWALYQSEIRSLLHDYLATDGDDSYRTAPRQADSRRNFA LNQRDRNKKMFKMSDVDQKSSDMKTERDELDEILRHSVPGLVTKTAERSNGDGASKSK QGNSGTGHKLLIQPSVFNMGLLLPPSLSFIQRLKDIVPADSDIVMSTLTSFLDDFLIN VFQPQLDEAITDLCALSFIAPDAFSEDPNWVTVSPKPIFKGTVNFMSLVKAFSKMLDS IPHDQMFTQMVLSQIATYYDKCCGWYKAMVTRISSPVTGGTRLKMPALFADAGEIHET VKKLLVGSGSRKGLIDKETELLLRETSATPLDEFDLISDPKNVVTLSLLHNSLQWLVS QLSKLRYITSSSVDSSRSESKRMTHARRWTLISNIKPRRDSISQPVYLPMNNETVIIF DNTLQSLRNLANNALLTMQIDIRCRVIYVLTKAMAGPQGPQPQPVDTPSTPAPSANTN WHLLLPTSPTAASPQVLELNNELIAIDTNLSSYLAPSEGQFITSGLARFIDQTFIFCT QYIGVLNQNGALRLQLDVLVLQQNLKNIIVESAGLETEITEVVALPRSAKFLDWFLEG PQKALDHAKEEKELFASQGDKALAAGNGEPFTYDELRVLIELCFSEIMRGPRGEQNRE DFMAAKRSNGDAMLRLSEVMWDSK TSTA_118820 MTFIARTAAVFNASVRGLFKMMPARTGLRSLLSLSVFFVLFVFA FAEFEFLDGPPDGRIAWEFHKLDDGELKDQEAHQRPFADVKVNYRTPQERYLEEAATV TTQFKNFRSTFNYIKPPTPPYNNSHILDDPRASVQSANIAKSAKIYRPYPDYNSEEWK RTHRGAFVPCIGPRGKKLDDSLDDSVSVYVGVPENFPEPSFGSYDVIGVDGQLSYDRY TRYAAYGYGEDDTSSDWIRPSKVEWDNVNWGKLQQECVQMNAGRYNTTAASESSGLSS LFGRRSRPANLINPEAAPEKRTAILIRSYTDKQYSENDKQVIRSMVQELSLQSGGEYE VFLLVHVKDDSIPIDQEDAYQQVLRDYIPMEFWNMTILWNVAMVSARYNKLDPAVLNV HQSQWLPIQHFVLEYPEFDFVWNWEIDTRYTGHMYEFTEAIASFGRKQPRRGLWERSE RFYIPAIHGKYDTDFRQFVAEKGGSGVWGRLGYAEQASKLPTPKGPSPPVPLPENDNY QWGVGEDADFIGFLPIFNPYRTNWVLRDDVFGYLGKDTPRRATIITHSRVSRRLVLAM DDENLNGRHVGSEMNPQTVALLHGYKAAYAPHPIWSDKPLAPRRMDRWFNSGVNGRSG SSLDSPFSWGREQRFRDLSWYYRCNVPGRLYWNLLGWEKDTTGGPNYERKYGRVVLPS MFFHPIKDVSPDSDSMSYDLPI TSTA_118820 MTFIARTAAVFNASVRGLFKMMPARTGLRSLLSLSVFFVLFVFA FAEFEFLDGPPDGRIAWEFHKLDDGELKDQEAHQRPFADVKVNYRTPQERYLEEAATV TTQFKNFRSTFNYIKPPTPPYNNSHILDDPRASVQSANIAKSAKIYRPYPDYNSEEWK RTHRGAFVPCIGPRGKKLDDSLDDSVSVYVGVPENFPEPSFGSYDVIGVDGQLSYDRY TRYAAYGYGEDDTSSDWIRPSKVEWDNVNWGKLQQECVQMNAGRYNTTAASESSGLSS LFGRRSRPANLINPEAAPEKRTAILIRSYTDKQYSENDKQVIRSMVQELSLQSGGEYE VFLLVHVKDDSIPIDQEDAYQQVLRDYIPMEFWNMTILWNVAMVSARYNKLDPAVLNV HQSQWLPIQHFVLEYPEFDFVWNWEIDTRYTGHMYEFTEAIASFGRKQPRRGLWERSE RFYIPAIHGKYDTDFRQFVAEKGGSGVWGRLGYAEQASKLPTPKGPSPPVPLPENDNY QWGVGEDADFIGFLPIFNPYRTNWVLRDDVFGYLGKDTPRRATIITHSRVSRRLVLAM DDENLNGRHVGSEMNPQTVALLHGYKAAYAPHPIWSDKPLAPRRMDRWFNSGVNGRSG SSLDSPFSWGREQRFRDLSWYYRCNVPGRLYWNLLGWEKDTTGGPNVSLQFAFVFD TSTA_118830 MHHSYFLKLILPTLLALTTAAPLTQRNTILNEFLTNLLKYLPAI NTTINDATSIITDLDTLLAKLVGAKTTQNQLISASTSGSSCAEWTLIWARGTSEPGNM GVLVGPPLVWALQDIVGTNGLTIQGVNSYSASVAGYLAGGDASGSANMASLITQAHKS CPNTKLIAAGYSQGCQVTHNAISQLDAATAAWIDKVLLFGDPDNGQAIPHVNAANVYT VCHPGDDICLNGDLILVPHLTYAENVAAAAAFATA TSTA_118840 MSEAREEGKIPSTKRPWLSRLNPLKRRRPPPIPDERVESPEYKA NIASLITWQWINHLMFVGYNRPLEENDIPRVNASRSIDVIATKLQANFEKRVKSKSKH ALVGAIYETFKFDIVLGGVCCAIGSVTQIILPYLLKYLIAFATDAYLSRYTHQPEPSV GKGLGWVFGLSAMQILASIGNNQFMYRGMVVGGEIRAALISLIFSKAMTISGRAKAGW KPSKTPPADITPGSDEENAWYAEQLEEAQMSVQGWSNGRIINLMSTDTNRIDKAAGWF HFIWTIPLTVLITIALLLVNLTYSALPGIGLFFLSAPLLALAVKRIFQTRARVNKFTD ERVSLTQEVLQAIRFVKYYAWESDFLNRISAIRHKEIRGVQVMFMIRNLLTAIGTSVP MFASMLAFITYSLTNHSLVPASIFSSLSLFNGLRLPAMLLPMVIALVSDASSAVTRIE EFLLAEDTQVEMQPQTVDSENAVSMVDAAFTWEKAVDDKDHKKGALGKEAKNKNKKNK KGVGKTEKISEKTEKSSETSSTDGDGKAHEDATAKEPFKIHGLNVQVAKREFLGVVGS VGSGKTSFLASLAGEMRKISGDAVIGGSKAYCPQNAWIQNATVQDNITFGQELDEEKF KRVVEACSLRHDLQILPNGRYTQIGERGINLSGGQKARISLARAIYADTDILLLDDPL SAVDAHVGRHIMENALCGLLQDKCRILATHQLHVLHHCDRIIMMENGMIVANDTFDNL FAHNERFKEMMTTVNQDHKDEEVEMPAAPETTVQKIASIAKQPGEDLIQEESNSASGV STGIYFRYYAAAGSVLLLPMIVLLLILSQGGAIVTNLWLAWWTSNKFHFPTGTYIGVY AALGVGQAILLFIYTTSLSITGTRSSRQLLKRAIRRVLHAPVSFFDTTPLGRIMNRFS KDVDTLDNNMTDSMRIATITMAQIIGVFILIIAYYYYFAAALGPLLVMYISLALFYNT SAREIQKHESRLRGRLFSRFNESIYGTATIRAYGRSASFVKSINNDIDQMDSAYFLTF ANQRWLAVRLDVLGVILVFVTEILVVTDRFNVSPSISGLVLSYLLTSVQMLQFTVRQA ADVDNNMDSVERIDYYGRQIEQEAPAHTIPVPEEWPSRGEVIFQNAHLRYRPRLPFAL EEFNLHIQPGERVGIVGRTGAGKSTIIMALFRMVELAEGCIIMDGIDISAIGLNDLRS RMSIIPQDPTLFRGTIRSNLDPFNTRTDEELWAALRQSHLIDDTTSTETTTNKATNNN KTQPSQITLDSIVEEGGNNFSLGQRQLLALARALVRNSKITICDEATSSIDFETDLKI QRAMSEGFKGRTLLCIAHRLKTIIGYDRICVMDRGRVAEVATPLELFDKGGIFRSMCE QSSITREIIVASKLESAVDGDKGGM TSTA_118850 MSDNGLTNADINGNNKRKREDEDSAGPDPQRLATSHGNTNGSIP GLASPTDTQAFTHSALGHYDGTSSDLNIDEQLLTHVAQSNGMPDDNTLTANAKAALAA NSQNKYPTGPDGGFDGQITHGLPFADQLTHATGGLTSHGPHESTAAAVYAAREAQSMT TKPTVGSPEWHALRKNNHKEVERRRRETINEGINQIAQLVPNCDKNKGAILQRAIEYI SQLHEEKKQMNTRWEHTNLTTQQALAEIQTQNAKWKAEANRRGEVAMKWIQRCREANL KFDDYDDEKDLGQLDTDHTQNV TSTA_118850 MSDNGLTNADINGNNKRKREDEDSAGPDPQRLATSHGNTNGSIP GLASPTDTQAFTHSALGHYDGTSSDLNIDEQLLTHVAQSNGMPDDNTLTANAKAALAA NSQNKYPTGPDGGFDGQITHGLPFADQLTHATGGLTSHGPHESTAAAVYAAREAQSMT TKPTVGSPEWHALRKNNHKEVERRRRETINEGINQIAQLVPNCDKNKGAILQRAIEYI SQLHEEKKQMNTRWEHTNLTTQQALAEIQTQNAKWKAEANRRGEVAMKWIQRCREANL KFDDYDDEKDLGQLDTDHTQNV TSTA_118860 MYLSTTYILHRSIANVRFLDGGKYWTSRFQTYRTAQSYTINHRN IQSRTKSTTTTTTSKAEGRINISRNPITNKSEMRIATLQFAPQLGDVEGNIKRADRLL KLIPPNANDGYAFDDEEEPGIEELRPDILVLPEMAFSGYNFPSLEAIRPYLEVQGHGP SAQWARRTAQRLKCKVCVGYPEIYQPDASSADGNNENENGSGLVANSAKMYNSLLVVD EAGEVIHNYRKRFLYYTDESWASEGEAEWSFKFLEFQPVMFDADTTSLLPESSRNPIQ IPTTFGICMDINPYKFEAPFDAWEFAYRVLNSQSHLVIISAAWLVNDATSIMGKPSQL PDMDTFNYWIRRFWPLLEKKINYGDKQLNGFSSSTETKVIIVFANRTGVEDGGPGCLI PIATYAGTSTVVAITQKHESSSLDVKIHCWGIKGSREEGICFADTESEPKMTFVTKRS DDPSD TSTA_118870 MPKLGSVLGATRGGHKDAANSGRGGRTRGTGAIGSKSQSNRGAT RGRGRGQARGGQSTASDAGVSGGKESGFGAPSSNSPFAAIKNDTAAISSPFGGPQNSS GFGKPSPNPGIIASNGFGAPSFAHQPIGNSVQSAKDPRHKVASQRRVPKEETSEPTSA GTSTPVDYQERYEKLKLDRVKQRENAIKQGQMADPNQPTLLIKAITPVGTCTTMCPEF ERVERIVQKMVDRAEKSLDPTTDTFEVKELKMLKRFRRSAAGYDEQLPSDIRTPNTLL QTMNYLIRHVVSGPDPLGLIHKFVWDRTRSIRNDFSVQQLTKVEDIKIAVKCLERIAR FHIVSLHLLSSPDNEEQFDHHQEREQLNNTMLSLMHYYDDNRERMNFPNEPEFRAYYI VLAIHDQRPDVEDRVQKWPKEILQSPKVQIALELLAAANNYWEYSVVLDEMRPNAISQ GFYNRFFNLVDSPSVSYLMGCVAEIYFNNVRQTAIRSIWKAYCRVPTSQQNRNEEWTI TELTRVLYFDDESQAEEFCEEQGLQLLERNDGALYLNWGTSSIDTVDFAPSSQHSYSD KYVEVKRGGRTLAAIILGLNIKQAAARGMIDVSFLPTNDYDTPIEEDENELFVTQEDT PMHQEPPTLFQIPRASLQPTTEVVAPQVTSKGPFEAFQPPAAPVTTQATTAPNIFSSS GPAKPLFAPSSLFAPFSDTSIEKPSSPFHTPTPTSIPFQGTASTNPPKPLFSWPASTQ STSTTSSTLSTTTTEPPKTNFSWPAASQSSGPVFKAPEPAAPITEPKPSPLFRQSPFS TQEAAPEVVPAVTSSEAPNLLFSFPPSSQPSKIEVKAPGISTTTQASESLLNKTPSPF AFQPPSFSTTSNIFKPAQESIFSKLPPQKSPITITPTEIPPPTNTSKPLFSSQPDKQS PSAEAELATSPKPQVASQSLFPFGPPAADADLAANGQQEQATEVDQETEVQSEQEQAP VDEFVAKSPLPKAEAFSKSESFIDESSASRRSWIETLRQSAIQNRASKAGKKRPLEIE KDEALQAREEISPLIDEPQVHGQHDEETPKPKRAAHQKQKKTSLALASIAPLPTLPIL ERVKELTKAKPPRDDETVSSRTSQIDEDEMILSAARIAAEQLKNGPRLFDGSSDYTSY THPFRSSTFGRSVNSDSHLLSSLSSSVSSSSPYARVNGYDVALAPETPLGLGRTLSRT EQRLRLTGGKGLAYKPLQLTPEKATSSKQSNKKRSLT TSTA_118880 MALPFRQKLILVLTFGVAIFVILLHVIHIVVRERTAISAIKQNT ATTIGDIKTGDYTWSSAGSFIWATVEVNTTLVCACVPSLKPLAARFSPSLLRNAREKS QMENGEFKTSPQPSGRENVAGEMMDVITSRPTEGAETAQIPEGESGDSFEEEPPIINV LNKRPASMPKLTKKESFPPNVLISAIFFLWGFSYGLINILDLGFKAEVQQNPWELRGL HAAYYSGYLLGGVLLARLFLKRLGFAGAIIGALYIYACGVLTFWPSAVLASLPTFIVS NIVVGTGLAVLEAAANLFAAICGPLEYSEIRLCITQAIQAIGSVSAKKFAQRVLFKDP NNATDIIRVQWTYLAIAFLTVLLSVLFYYLPLPEAPNDDLRQLAAQRPENTTKLWTMP TCYVTMGIGIWSQLFYVAGQEAHNVNFNDYLVFSRPRSQLTPTDFQSIGNVLFVLGRL LVP TSTA_118890 MALALQLPFQPEANTNDEPTPYLGSSPTKPCSPRVFWKENENNF PVLARLVRDVLTVPATGSGVERLFNSARDICHYCRGSLKSRTIQDLVIFMCTSRLEIE SEQLALLEEYISTQEIQTRTEENAANKAAPMVDMEEEEDEDEEGNEEDEIPLPDNTRL HDQNSTQRRKSGRVTKRSKQDDSQWEYLMPQIEQIDN TSTA_118900 MKLLDFATFALAIGVSLASPTSHGHVRHEKRIDMPQWLKRSRVS PDMTLPVRVAIAQKDVHVGHDLLMEISSPKSENYGKYLSAKEVGDMFRPSSKSISYVR EWLHNSGIDLDRHSVSAGHSWLKFNATVQELESLLLTEYHVYQHHETREEHIGCNEYH VPHAVQQHIDFITPAVSTVRVSRKSENRIMRRATPSATQNGTDKLPWAGAACHEAVKP ECIRSLYDIPISNSSISGNEFGLFEDGDYYDQEDLDLTFAAIAPYIKNGTHPILEGVD GGTAPLPSEGGVESNLDMAVIFPLIHPQDVILFQVDDLKAIETYQGFANTFLDAIDAV SFVNYPDTGPNPPNETWVAPGTWDKPEMCGAYKPTNVISISYGIAEGAYSYFYINRQC QEYMKLGLQGVSIIYASQDSGVASGGCIHPDNVNKTTLAANPGAFSPGWPAACPYVTS VGATKINPGESLSESAASIPGGDFYSGGGFSNHWPAPDYQKATLDSYFTNTPPPYDNL TIYGTPYYNRTGRGYPDVSAVGVNIPVYEAGKPVLEYGTSASAPIFASIINLINEHRI AAGKGPVGFLNPVLYQHPEAFTDILTGNNPGCGTQGFSAVKGWDPVTGLGTPNFLKLL DVFMALP TSTA_118910 MDIMRRLVQLDLGTPAKTYDLLFDNGSATLWVLSGNCTDQCPNA SGHSRTTYNLTSTGEAYYNTTDSIVYDGGKVFGYLVSDIAEVPGTNVPFCQKFASITS STWAALGADGFLGLASSTIAFPNTTAPFENAIQLGLLDQPRFAIYQGTGIPTVANPSP ENNGVLTMGGSHEDVYADGEVEFVPINTPFQVYKAQFQGVSGSNHFANQNEQHGSLKW DGDVVFDTGSGLINLPESHIAAVYNMAPWSYGQLMTGYRPLCSDFNNTWSLSFTFGTD DTHKTFTLSGDQLATPGYVDDDHCFPPFNSWGSNNTILGARWMSNFYSVFDFGSFEPL GYDLRIGFAPLKEYQPIV TSTA_118920 MPSITSDGRHTVPIWDQFDGSSSESEIVDPMDYPAVSIPGYSEK PLNEQLEPIAVVGMGCRLPGDISSPSQFWDLMINKRTGQTPKVPASRFNIDAHFHENN ERPGSFNVLGGYFLNSSLQEMDPVLFGISPIEAMWMDPQQRKLLEVVYETFESGGLTL DTLRGSKTAVFIGSFTSDYQQMSFKEPDFRHSYAATGVDPGLISNRISHVFDLNGPSI VVNTACSSSVYAMHNACNALRNNEAEAAIVGGVNLVLTVDQHMNTAKLGVLSPTSTCH TFDETADGYGRADGVGAVYLKRLGDAVRDGDPIRGVLRSSAVNSNGKVPGVGITHPNL AGQEAVIRAAYSRGGNLDPKLTGYFECHGTGTPIGDPLEVHAVAKAMNTNRSADEPPL PIGAVKTNIGHSEAASGLSAVIKAILTVEKGIIPPTRGVVKKNPKIDWRNWKVDVVTE PTPFPAHLPVRRVSVNSFGYGGTNGHVIVESADSFLPRGPTYVSGSSTMKPPRGAFSR NRPFLLPFSAHDRPTLDANIKALSRVASHYNLLDLSYTLSNRRSRLASRGYVVASYAT LDSVLDNAAKDFSFAEKKKTPTLGFVFTGQGAQWARMGSELMSYYPSFIRSIRVLDRA LEDLPDGPEWTLEDTLLQDASNSRVNEAEFSQPLCTAIQVSLVQLLSLWGIKPVVTVG HSSGEIAAAYAAGLISANEAIIAAYYRGKVVRDVNTDGAMLAVGLGAEGVSPYISDLG QQVVIACHNSPLSVTLSGDSPALELVKTRLDAESIFARIVKTGGKAYHSRHMEPVAAV YEELLRNGRRYVAQDEAISTSAKMVSSVTNSIISSDTIIDETYWSANLRSPVLFNQAV QTMVTSPDFEKVDMLVEIGPHSALSGPVKQIKAECKLEKLNYLPTLLRGEDCASQLLK LAGELFLRDYSFDIARATSIEESLPSGKIQVTKGSLIVDLPTYQWQYNKELWAEPRHS KEHRNPTHMRHDILGARLPGAGEMIWRNVLRMKDVPWLKSHSLGGEAVFPAAGYFSMA MEAITQVNEISSSPVHITSYVLRDVSIKAALITPDHDDGIEVIFTMRPDFQSEKDNRT GWWHFSASSFPAGGQRKDHITGRIGINARDRSQKPRPVPHLPHRASGKSWNQALRKVG FDYGPTFQDMENIRTNGTTYVAACDTEIRQKSGIMQGESRHVIHPGIVDSCLQLIIVS IFAGRVADMKCGAIPIGVDEVAIFPPTEKQIQEKSAKALSWTDQRGLRSLVSSSQLTA SDGELLMEISDMRTTYYEAAVPQGVELTIESQPYQKMEWKLDIDNIKNTPETSSIDII SAIGLSFHKNPSLKVIDIGATQMAAVLSKMGSINYTGTGVNHEEVSDISKIADAYKNA QSIALDISVPLEGQGITIESYDLVVAPRSLMDSSVTTKAVRKLLAPHGRVFWSLEGRL TPKNLHGAQLTAFDVILGPNTQPSLAISTALNETTNGYHTNETTRLAHLIYRKKQGDV FVRVKNALEANGWDTVASPVEKPVNMKGANVVFLADLEGPFLDIMTEKEFLSLRNITD NASFLLWVTPGGLLKGLHPEYAMTAGLARSISSEQASIKITTLDFDTTTTPLSKIGDL VAQVTEIQYGNNSTTRESEYCVSNGLLYISRMVPNDELNALYAPRAKEPEPTSYDPTL HLIGKVDSGKIIFEADEREKLGLREDEVEIQVLATGITKEGTLVVGGTDYPTTFSHEI GGVITKLGSNVKGLALGDRVVGFSFDKFATFQRVSSHFVQKLGPDDSMNDAVSLLMSY GSALYGLNTLGNLQAGETVLILDGTGLFGAAAIKITQIMKGVPFVAVQNEKEIDTIKL VYDLSDKNIIKPWIASTTAQLKHATGKDGADIVFSSGFSNDDVAHEAWRCILPFGRFV DSGRKSIVKRTTMDTAPLHRGASYLSFDLLDLYRYKPAIISQIADQVISLFRQGTISP PRPVIVKNITELDEAISSFSDTISSPKTIIVYEPSDKTLNVIAPRLKLSFNENATYLL VGCLGGLGRSLTSWMMKHGARRFTFLSRSGADSSQAAHLVKDIESAGAIVQVVRGDAT IRKDVDRAIAGVPASNPIRGVFQAAMVLRDGIFSNMSYNDWKTCTSPKVNGTRHLHEA LAGKQLDFFVMTSSVSGTLGTPGQANYAAANAYLDALAHHRRSLGSAATAAVLPMVLG VGVVAENFQIEEALKSKGMYGIEEESLLETFEASVGLVPSPPEQTSSADHVIGGLDPL KLHKAAAESEATDIFWMDDARFSPLVRTINSVGAGNTSGSAESIINTIKALSSPAEAE AAIGAHFTDKLSRMLLIDLDEFEPDTRSIGDYGLDSMIGSTLRNWIFKEYELDIPFQQ LVAPSLTITKFAKQVCAKHGIVDN TSTA_118930 MRATYSIFIALSAISIPLLWFPMLLNGTLDDISEAIENNVLPDG TPLKTKYSGVSFFDNILSILVAFFYALANGSYLGARLMLADLGATLHVALGWMVVESI RNGKKPWYLSLPGLLGVLWNVAGAGIVLPLYCLIHIQTPMNDYKISVSHSISLIPAML VSSIPFCLMIIPPKDGNYSADQHQNTIAVFQFAPLIWMAGQYTFSFLLSPFSKLAKLG LQNRSRTEISHARPRRSEIYIQAAQLFFAIYSALVHLLCVSISFFSSDPSVTILRVFI PNPIGVNRESPQRLTQAAILFLQYDWLVICLTAVLYSYLLLEPEILSTSKQARWKSTK SLLVRLLTFLPISNSLSSIIGLVAFTILLGPSTVVSLALWQCEGRLFPGPGVVEPEGR SIIKRD TSTA_118940 MDEEKFDVVIVGAGWYGLIAAATYLQLVPDINLLIVDNSASIGG VWSKEKIYPNLFAQVGHGLFEYSFYRMKKEGLSPDRYISGQTIHDYLNDFARDYDLVR RIRLNVQVTKVEHLDGSGWRLYCHDGHTIRGDKIIYASGVSSDPYTPTIPNKDFTKPV IHSSQIPSSLEALQGPETKRATVVGAAKSSYDTVFLLLKAGKSVDWIIREEGAGPLAI MPPRLLGVLNTVDVMATRALAAFSPAICNTSGLWYKFLHRTRVGRAMTKFFWRNVTRA AEHHAGYSKNANAAKLRPEPHGYGIFWCNAGLGLASVPNFWKVFHAGDCTVHRTEIAE LSDEKFITLANGVKIESDYLILCTGWTANLGSFDASLRAKIGLPSQADFSKAWQKLDM IGEENVNRLLPMLKTPPDTTRRTTERRPWRLYRRLISPNLTAQGDRSIFFPGQIHSVY TPLVAELQALWGIAFMLGMIDVPEKEDMEVEIATWNAWTRKRYLEQGRKHAYSIYDYL AYIDTLCRDLGINTRRKSNPISEMFTPYRPSDYNGLIKEFLQAQKQKGALKDAYHD TSTA_118950 MNNDDHKSNAIKVQKSNKKNVICVVEKPKNIKIPCDCATVPLLE TSKGTQSLIDAMQSSSSHSQLSGLANHSNNYGTLVDDDNCLESLPEDDCVLINGILER GTYNLLPSMNIQLCNCLNSERLMNEKAGVVAGFEIWPLLLVTGLFTGLMGYVIAVTDP LLFDLKGGYCQKCMSWIQWSDAFHISGLRSRTLFNWVSWTLLGAMLATNSVMVTLSRH SKNNGVCSGVAELKLRSKGIRLPNYFDLSTILCKIIGIIFSASSGLCLGKEGPYVHIA AGIGSIVGDLFHLCRSQCELLYKAGAAAGFSVAFGAPISGLIFVIEELSSLGFSPGKL TPTLFCCITATAFLKYLDPYGTKTIVMFHVHNMMMWKTFEIPIYTGIGLSGGVLGAVF VKSARFRLLTVRKISILSRNPMMETAVLAMLTGLLTSANRYTKLSVAETLAKLTTPCQ HFHTNQQLHDDCPSLDEIPAHIRSLTIAFVIKGLFTVLTFGLKVPAGIYVPTMVLGAL FGKIVGHIFQLFVDTFSSKGFPILAAQCANEMGSHGSSCISPGTYALIGAGSLMCGVT RLPVTLVVVLVEITGRFDYLGPFMLAIVLSNWSAQLVEPDSIYDIVASANGYPLLEEK DETMPSIRPGAFFVS TSTA_118960 MASTTSEAVNHTDTIPAVEALTEGGKSNHPAVAVETKELSPRSV HGFSWVIAVSAILSSTFLFALDNTVVADVQPAILNTFGQIEDLPWLGVAFALGGIAIL PWGKAYSIFNIKWLYITTVILFEAGSALCGGAPNMNALIVGRAIAGLGGSGMYSGCLT YLSVTTNNRERPLYMALVLLAWGLGTVLGPIIGGAFADSSATWRWSFYINLVIGAIFS PAYFLTLPNIDFGASLSLVQKLAKMDWIGMVVFFAGATCLTMAISFGGTTYAWNSGSE IAFWVVSGVLLIVMAVITVRPIFVSKADRLYPGHFVRKLELVNLQIQLFLVTGVMMGT AYYIPLYFQFAREDSALRAAVRLLPFITMAVCFCVISGALMPIFGYYMPWYTWGSALV LIGSALMYTVDANTSPSHVYGYTVLLGIGAGSYLQAGYAIVQMLVPPEEVGNAVGFMS VSQDLGIVFILALAGTVYQNLALKRLTPLLAGRSAADVSQVIAGTSNSVFKSLDPNLQ TKVVDEITKSMSVVWAILIGVGALCLILSLFLKRQRAWPKTA TSTA_118970 MNVQILNCGYTGVARASKPVLDMFEQDPNAKTFPFGISSTVHTF ETGDPKYKHLENKTFVGNGRFIVTQNPFSITVESRISEVIPSCDMD TSTA_118980 MAAPVLTNLSPLSTEPEIKNLSGFPRLKPAYILKVSPQLELSSW FLWAFVRRLDINMPQIHVTTGRPVGTIVSGSNLIHWETGSGTLTTAEGYEQLVEANVE YGSDWLLFDPDNGHARPQLKLLAR TSTA_118990 MPAITDEIYILSRDQTESARLEEQHAFFVEVTGGKPIHPSIEQG NLFAVADIATGTGAWLRDVSQLLSTIPDDPQRYYHGFDISSAQFPSTPFQSNMEFSVH DILQPFPQEHMGRYDLVNVRFLLGAIKEADYFTAINNAATLLKPNGYLQWAELDTDLF SQNKPREFPRFSEIIRLVFALNQNGMCSHASDAVYYAALFSSCLTCVTKHVYSSLDNA KLNEKARAWCLNGFSALLPGGLVRSKTLAATSSPEEVQDLTKRLLQEVKELFGRGVVP DGRFGVVVAQKRPA TSTA_119000 MPIDETTRLLRRSSELGTPQVENGYIHNKASSNLKGIFGVLRPG FTKKYNFWLFSIFGFFMAFFCFARLKYLSTSVLEAELTPGDWFWFHDGILHIGFMLHL ATMLPAGLLMILQFVPRIRYKFMLFHRLNGYVVIILALIGNVTAMIIARRAFGGDMGS QTCTGFLALASTVAMALAWWNIRCLQIDLHRAWMLRAMFWMGSIVSTRAIMPLMSIVQ TALGGYFIAWPCDEIIFILGNREDLVMSQFPQCLIPNGTTSGWVAVEGDLDFSNPVGL GAIFNTNFGAGLWLALILHMIGVEIYLALTPIESERLRKVSYERQLAAGYENPGNCGT TVSKWGDIGSNATA TSTA_119010 MKSTNPGLSSTFANNDEDGRTSQSRRTACDVCRERKVRCDRSYP QCGRCLRLRHKCHYTPSTKSNSIDVPQALMKLDSRIAQAEARLALGQSSMMAYSSPFM DPASYASLNWLDASQVMAQYPEISYDEIPGMNVSLDPSSFESIDVSCEMMKQTLDQFQ VGSDHDRDHVFHPDAIPSPDMAMSSPENIQYGSPNVSLVNLLSCPIHPERHLPIARET LIGPHRQYFECLHPLLPMIDRSRSIAALEDGSSQSPEVNSLIYAIAMAGAGINKESDE LVSACHHHARRCLEDAEREYPGVRFLSIHALQAMLLITYHEFKKQDFSRGWMSLGRAL RLTRLMGLHEMDMEASPNNSTTDPALQLPLQKATTLAEIEERRRTFWVAYILDTWACS RTNSSISFGIDDIFTSLPSTATSPEFHTVEKVIRLNEAVCCGDDRPLPPVSAFAGLAI VCGLAAICNRHGRISQRQQKQQHRRSSSATSSPLESEEGGGYPFWVQHFAIDKKLQVV SHCLFQQLQARQQQQLQLTHQQQQPQQNNTVAPCDASVLIVYLNFYAVSIYLHKIAAE RSVSTSVPDSLREETDRRCEAAAVQMVSVLHRMHSRDTYNMNILKQANSFVLWSLTCA GRILSQLLDSSIPGKNQRRLHGTLEILYETMNELDDDSGSWDETITSIGEQLQQISLS WRSTPQACHHNDSQFIL TSTA_119020 MGLQRLYIKGQLSEIGTSLKDIKRLIQDEKLPQGVKLSIALDYW TSLFRQSFMAVTGYFLDIDWNYREILLGFEPLSSSHTGAYLSTVLQQVLEEHQVETRI LTVTTDNAANNSILIDSLSKSLQSLEIPNQIPYIAHVIQLSLNELLGWIERIRRLFKP LRRFDGLQSSSTEALNAMIIFLIFRAKNKSLSQFKTFAHARIQHS TSTA_119030 MAEILQTILEELNIEHKVFTIIADNAANNETLMSELYYNLKEKL DTKEKFQDFKDWIAICAV TSTA_119040 MPWRRSPLWLLIQVVLQLISQRLRAKLWVTEDFYKHFMVYYMSM ILKDYIMTAKIAHRPRKLDLADAPAWFPAVQDTLKSANNAIQRAWNNIMFQNRLKIDL HRLKSIDKLHPTLKEYNACISMNIFESLVLPHQSQMDRLAQAEVYMKQRQSRVRYYGS GIFNDIGTSSYFPVRFFKQSQEHQNLLAAVEERARRERVAKHLELERKQQEYHDLYAL FSGMGCTYNDYIIDMHFNIHESRHSPSCRKCVYEKQAESISIEVHEWSLSSNHLHAKS TVFELNKPPPFACGLSPFFTPIKGARRINLLLQNKPHLGTHRRDRKIINVTRNNVCLD NRMHFQYYDETACCFVVDFQTTNEMTVIPCTYQLPQLSSLLQQFLLQPANRSDGPPPN TVIASLHAYPEDMKLEEYRALCMMPLGIHIQWKYILRQLAIPSVIFKKVQTCIFLLQI INQAGPTGGSILRTGHTILKDDRFVAALLAEIKNSADRIKENWESVAIVREKADNTTE QQHRTNLMAQSVHVALIRVCMFDAERPSLAYRGYLEFRPAKEPWWLSDSHWKLKRCTQ RGWCLVKDGIMLVSLRSETAKLISTILNPIERASKLHCKLDVLSSVLEIEIPYLRIGF TLQLGHSSIRSHQYPDMVIDADQSLETLVGLRNKLIMLQVNSHARVVLVPEGNVIWKK KSDHVAVEIGWQAVANLHAYSVDSQLGRLVDNGSLQSKLLLCYFFLCSRRPHLENGN TSTA_119050 MAFNTLISQQNDGEPRVIRDQPMTDGVFYGTIKSISFIMGLLNV FFYHQFRYGTGKLLDKTDKCRPRFLDDIERAFVKGEPKFQEAISELELLQDNYERNQV TELVRRKFGPKQAVIDINGQLDDTILQEDDAAKEDLPHDDMPLQQVRPMKGLTTVPTV WTLEGEWPRRNEGGPLRGRQKRKRNASNDDTHKKAVKRFNNMGNDKLFIAKKKHEQDQ KHIKTAKKPLICF TSTA_119060 TLLNLIIIQRLPFSCVEWPESHAFVKALNRESPSFIPIYDSIMT EWIAEHFIQSRDTMRKVLQSAKTNIHLTVDIWTSPSHSLLLEICASFADIQDKYQNPL IVLRI TSTA_119070 MTRLIMVGVCFVDTILSVDHYPGEDEKMRASSVYRRRGGNCPNS LEVIQQLIDVAQSKLPLNLISVLPAIESASSRFIRQSLGPNVNCDACIYRHDSDEPAS AYVISSQRTGSRTIVSYNGLPEMTLDEFSSSVEKLGYMEKTYFHFEGTMPEANLQCIL HIRHKYPSAVISVEVENPRRRGLEKLVAEADIVFYAKGWAQGSGYTSMKECLEAQTHV ATKAKYLCCTWGKDGASLLTKPDNIYITVPALEVQETKIVDSIGAGDTFLAGMLFGIL CHEDDWDQERKLRFATELAGLKVCQEGFQNLGIGITHLLK TSTA_119080 MIDGTQLAIGSDGGSTVPFYTAANPLHASATSRPPFCRDSEGWG PINSDITDLTPCFVDVPISITAIWGVVMGAGALWFLLKRREPQHVPKNWHFYAKLVVL SLLMALTAAEASLQLDSNPRLYIGDFRFWANILTLASLAVIFAVQYHEHWRSRQPNGV VLFYWVFFIIARGIKLRSLVARAVFSDNPPYFIIFTIGLGFAVVEFVLEYLVPKKQSA YDTLGKEDECPFEYADIFSVLTFGWMTPMMKYGYKNFLTQDDMWNLRDRDTTKTTAAH LEDSWGIELEKKSPSLWIALFRAFGGPYVRGAIIKCGSDVLAFVQPQLLRYLISFIDS YRTPQPQPVARGVAIALSMFAVSVCQTACLHQYFQRAFETGMRVKSALTGLIYSKALR LSNEGRSSKTTGDIVNHMAIDQQRLVDLTQFGTQLWSAPFQITLCMVSLYQLVGNSMW AGIGVMILMIPINGIIARMMKTLQIVQMKNKDSRTRLMTEILNNMKSIKLYAWNKAFM AKLSHIRNDLELNTLRKIGATQAVANFTWSSTPFLVSCTTFAVFVLIDERPLTTDIVF PALTLFNLLTFPLSILPMVITSIIEASVAVKRLTDYLTSDELQEDAVLFQEPVTHNGD ESVRIRDASFSWNKYQPNNVLENINLSARKGELTCVVGRVGAGKSSLLQAILGDLWKS QGEVVVRGRIAYVAQQAWVMNASVRENIVFGHRWDPHFYELTVEACALVDDFKTLPDG DQTEVGERGISLSGGQKARLTLARAVYARADIYLLDDVLSAVDSHVGRHIINRVLGPT GILNGKTRILATNAIAVLREADFITLLRDRTFLEKGTYEQLMAMKGEVANLIRTISTE DDDGNDSEASKSDTKSPTSFESTTADESDLSEIEEADDGLGALAPIKPGGVRRTSMAT LRRASTASWHGPRRETTDEENGLKSKQTKEKAEQGKVKWSVYGEYAKESNLYAVAIYL FFLLASQTAQVAGGFWLKRWSEVNEISGRNPDVGKYIGVYFAFGLGSSALVVLQTFIL WIFCSIEASRKFHERMAYAIFRSPMSFFETTPSGRILNRFSSDIYRVDEVLARTFNML FANAARAMFTMGVITFASPAFLIVILPLGFLYMSYQQYYLRTSRELKRLDSVSRSPIF AHFQESLGGISTIRAYRQAKRFALENEWRMDANNRAYFPSISANRWLAVRLEFIGSIV ILAAAVFFIVSVATGTGLTAGMVGLAMSYALQITQSLNWIVRQTVEVETNIVSVERVL EYANLPSEAPDVIFKNRPTIGWPAHGGVSFEDYSTRYRPGLDLVLKNINLDIKPREKI GVVGRTGAGKSSLTLALFRIIEAAEGKICIDDLDISTIGLTDLRGRLAIIPQDPAMFE GTVRDNLDPRHVHDDTELWSVLSHARLKEHVASMEGQLDAVIQEGGSNLSQGQRQLVS LARALLTPSNILVLDEATAAVDVETDALLQQTLRSSIFKDRTIITIAHRINTIIDSDR IVVLDRGTVAEFDTPTELLRRGGKFYDLVKEANLLDSDAVASMLGKK TSTA_119090 MTIRGSPPTRKGKKLAEAGVTPESENYRPFKTLELDVVFNGPMP SHWAMIYVDRSGNIREMSNLNTPIFDTRARDAFAHAQGLLPSRHNSLAALTEYSGPSL RAGRPKRRRTGHREERLTVNVTEAFEDSGDQISLEIGNRKKVSAFYGSAFRRLQQVNC RILAKSFIKVIEPRKQVKHPYNGGKGALPGQKGDPEKTKPDWWPRHVIHREPDHIKKD FRLMLLVHLVQNLLPMGITAEMLEDAALDCRRQIGPEERREERLGVIEEIFRVRKIEE RYERNEIDGTTQVFVSDHAGARRGEPESEDEGESEVITPPETVASSPQAQPLDSSQQQ SPLDVASIPQHISPLETTSSFQIPTELSFSNSEHQTPEFGSSQPELGHRSLVSTPIAG PLLTPTHNQFMDHSPFAEPSPTSQLHAVGQDPAHVQANPSTSFTSWSPAYQQNMFSPV DYSNGASRQMPPHMAYTSYAQYSPPQDAPPIFAMPELARPRDYDVNMYNLPFRTGSLS HPHILHRRGSGLDPGI TSTA_119100 MFHQWQQHDPEQRPRVARTRTGCLTCRRRKVRCNEVHPKCGHCS RLHLSCNWPPDSSFIIPGTIKVSKTKSKSRQDSREKRLSQQQPQAKSKSQSPLQKQQR LSLVQEQVEQPSSFRQQLLEHSDTQTQLLPEADFSEIFNYASFLWDNDSPTISFPTGS SPLDSLHTPHDQLQLVMPLADSDAMRRTTSTAGPPLFVSDRWVSDNGNFIPGASGVNV NESELSDFFARSTAPPILAPLETNSRWSRMRKMLIYMGKKSQMVKNAVMAFAALQFES PRSGCRTIHTQYYSYSRDMLTKILADVSIDQKLLAAELRHILATVFLLTYIDLLDDDV LKAHGNLRDAFHVIQRVRYEDLSLTEKCLLSWLRLLDGRAVSAGGEGLFLDEDDNNIP PDMESPPDTVDGGLENPETILEEVLTRPASSFFQKVQSFMGRISRIDPWHRSRGTVDD ETEVMIIAQKISKDIKALWHQRPALMDHAIACKLVPPLLSPSLTNTLSRALMVCYANY HACFVHLHRVAYKTLPRTPDLETALCAIQQVTENLMRTPIDTTGAATTNSIEAVSNFT SDPSPLPINMLWPLLMLGVESDDAHQRAWVIAAMKSMESIVSNAGITADVLEEVIRRQ DEAGQRMDIRQVMYDTFTRAFAIV TSTA_119110 MGSTSKTGTPYPPGIHVPSLTWFKSDETQTIDWDVQRKHLEFLI GSGLPGVVICGTNGEAAAVTPQEKSKLISLTRLVAQELGRADITITCGTYGGCTQAII EDTKLAAEAGADFVLVLVPSFFHFALDQDAIVGFYQEVASASPIPIVIYNFPGVVSGL NVNSEMLQVLGDHSNIVAVKLTCGTIASVARTVANFGPGLDLKNNKYAGQPRFVALAG QSDWLVPCLSVGGTGCVTGMANLYPKTCIEIFNLYVAGKKTEAEELQIKLASVEWGFG KGGINGTKWVVGKFLGYPEESTWCRRPYPKFLDNGKREWIETIVKPLQEIETALDKRG TSTA_119120 MADSGSQTEALLKGPLYVYDLPSKILENLTLKSHAQPIATPPTD TVAATAHSSDRETVIATSNSCTLCQISFQNVQEHRDHARSDHHRYNVKAQLRGNQLLN EAEFTKAIGEIDESISGSESSESDEDEPEPHASDSNLVALLKKQAKISQEESTVPQER NALNKQPIVWFSNPDLPQNTALGVYKAIFSNKEQDNLEHIVDALRRKQLEPIKRVNNT DKASSNQGPHIFMCMIGGGHFAAMIIGLAPEIQKRQGGIEERQARVLAHKTFHRYTTR RKQGGAQSANDAAKGAAHSAGSSLRRYNEAALENDIRTLLKDWQAMIDTAELIFVRAT GNTNRKTLFGSYEGQVLRNNDARLRSFPFSTRRATQAELMRCFKELTRVKVSQIDETA LAAAQAKPRETSTKPVKPAPQPKAPKLSEEEEAAMLHTSQIQALIRRSKAPALLSYVS NNAIPPSFRFFPADAPQNHHAPTPLHLAANSDSPALVISLLSKAKFDPTMKNSEGKTP FDLARDRATRDAFRVARHELGESAWDWEAAHVPSPISQAEVSQRQEKEREEATKEEAD RRKAEIERLKAEEAANVAAVEKRKNVGGRKLASLDKTATEKREDEMRGMTPEMRMRLE RERRARAAEERIRRMQGS TSTA_119130 MTMLECVGKICRWDPLTKQATNPHSISAFQPLIVGRNRKTCQYV LHDACVSNQHLRIYTVIYDLENPLNIPPLVYAQDISTNGSFWNGQRIDKTNGGAVLLS DGDIIRLSSKSFLEYRSEYQSERPLDIVQQKEAKEFANEYLITDRLLGEGSFGQVRMA VNLCTTSQVACKVVNLRAVKEAVTGRNDLSGTDLLELQKREVSILEKLSHPNIIGVEK VFMMESSLYIFEDLITAGDLFTFVDSKSGNITDFDAASITQQILIAVDYLHDNNIVHR DLKPENILMTSHGFPRRVVLADFGCAQVVSSGIKRMSTVVGTWDYTAPEVYKEVSTCG YTKSVDLWSVGCITVVLLIGTPPFPFSASGNVEYNEPGDLNDVFMNTKWNEASTMAQN FVLSLLVLDERKRLTAKQALQHNWFSDAQYQSRLKECYEEAIRDWRPRSAIVPPGHKS RLQSVHKSSKTAPTSRLQHSQRELTNSPPGRRSVIESSREGNAGRASASGYKQSLYER AVHDKLCASPNSTSSQSFRRSFSPQAWKMTSESSSFTRSSNRRNFYIGRLRVPKSDIL QARGLSMNTSAKLNDKIYGPTDNLEPAFRGLAGSKRSLGEALSQSPEIGEVYEEFENG ITGKVQRILYTEK TSTA_119140 MLQSAPEDYLLQRPEQRAFSPKFPLHLSCKESSTYRKNHNTAKW GDYPALIDGKQGEVVTGYACIVQTKEEAQKLSYYETSAYEVVSCRIYFKDEEVSKELL WYAGDTQALLEQRFDRKLWKLQMGDKLR TSTA_119150 MMNTPGTAKLASKCATPRACDQCKLRKTKCSLSQPCAACTALGF ECTFLNPQKKRGPAGHRLSQIRKQQNQARNLAGGSSTNSSSGPLPRTRSTHGTDVSDF QLSPAATMTNTEVIPQMELPNFPQQLGQLDNTQVGFMAMPDERDRTAWTEDPDLESWL PNSFTSQAPSFGFSGSNIFVRTALPPVIDPKISQSSGNMQPGNSPDISMPEVTLTSSS YPQVPNFWPSYISETNLIHWIDLYFDRLHPTLPVLNRSSLFIKILQQEHRQNPQFGAM ILSLCAFSLTQPIDISERPTSSSRADQARMMMDEATKMRSSSDFGENPTLEAVLTSFF LFGCLFGSNQHNAARLRLREAVDLASTLGLNDPNTYVDFSGEEKGQRLRTYLVLSVTE RAYALQRRHSISFTGRPGYAMRPTDDFLHHATHSLISGIVVHNEKDAAGMMGLALLME IFDSIDEEILTCWNSRCDASNGKCQILTEAKVLSIYHNLARVSNSSRYNSNDWFDPDH TNLTDLEDNATKTLGSFLTETQCADVLISQKWVQDRLWNLCLSHGLLLPESEHLELRF SYAFHNAERTLELCKTLRISAMEAHGIGIIEKLYNIASSAVMTPYNTGNTGTNIRISD TQMQLLGGHYLKLMETLRGGSHPYTEQYRAQLRSL TSTA_119160 MASTTIEKEDVAVVNDKAIQIQHVDAVKPHSEPIATGKGAVNAL LILACIAFGSASFLFGYDDKVISPIAALNAFVEDFQGPDLAKGALALTARNQDLVFSV PLVGSIIGGLAASPLNNRLGRKWTLIGAYVFSLGGGFLQLFARNLATFVIGRFWNAAV IGIANATAPLYMSEVVPPSMRGRSVTSINILSLLSGVVSTVIVNGTHTLDGRKQYMIP LAVQCALPVLLLLLTIGLPESPQWLVSKGRMEEARHNLRKLRGFSDWEVDDELRVMRM CEENERALTSDVRFWEIFNRQNLKRTLTAGSFYSLNQISGIILSTTYTTVFLTQLGVG NPFTFTVIASCCTLAGTMTAPLVIDRVGRRPTAFVGMSILLIIDIAAGALAFNTSNKN SALTIAALGFVFNFFWGAGFYSLSALMPSEIATPKLRNHTMAYTIACAQTTAVITTFA VPQLTSADAANLGAKTYLVFAGCMACVLVIVYFFMPETKGRTFAEVDEMYDAGIPMWK WRNYQTTTAAKQMKVVDVISKV TSTA_119170 MAVLVKNQPFDIIPKIETAERIEELENTTDNIFHHTNFVTRPDF TRWRPILHLLAPHGWLNDPCGPGYDPSTGKYHLAFQWNPNGNDWGDISWGHATSYDMI KWETSSKPCLSPSTWYDCRGIFTGCFQPSNMNGEVDGTLMYVYTSANHLPIHYTQPYV NGSESLSIAVSCDGGKTWKKKSCNPILPGPSQGCKVTGWRDPFLVSSWPSTPEGIRRI HRGENVLYGFISGGLVDHTPTVFVYTVQKNDLTDWKYVGILLDTGLNFRPSRWSGDFG VNWEVANLVMLTNDEGISRDFVIMGTEGCLPRDNQSPQDNNGPTARDNRIHRSQLWMC IKSNESTSSAALMQYSFGGIFDSGLYYAANSFWDPISKQQVVFGWITEEDLPDTLRHR QGWSGLISLPRVLKLKTMHRVVRARSTADLGLISSIEVTPDDYGTYTVRTLGIGPDRR LEKLRDRALEKTICDRRLRSLDDFSCGRNLLNFVSLNTLQWELDVEIEVSNNCGRVGL VIGDPEYSNKTVLFWDPISESFIIERPSLHVNLDDNTNTKINQGREVSPHTLFTYTTE SRENHDNLVNKSTEVEESLYIRAIFDVSVLEVFVNERTVLSTRIYHTSNSEEPHPKDA GYFGMYFFADKSDDGCKGDDDEHSPARLVRATVWDGLSLH TSTA_119180 MLMQSALSCDTRKFLGQQYYRTLSPTPPPSSDSISGSLLGVSRK LQNLLNVSPGATPSTPPRSVRLASPFHQEMKANKSKPKTRSRKTTPKTTPKPSTPRAI TPTVSRAQTPTAPPRTRKRSRSVYEDGESETEIEMAGPRRLRDEYTTPKRLRHFPYHM PRGLGIADFESLNGHSAEQNTSHQETESEALPQIILPSIEIEDTEVSNTNDKADWTAE EDEQLVDMVLEKFRLTKRDWQECARRIGKDDASVGKRWQALLGEGNIGLRRGSGQFVR GRLDGYQEIVYNWKDTLGKRCLEEEV TSTA_119190 MISGILIFNQKGENLIFRAFRNDCRPRLADIFRIQVISNPQVRS PILTLGSTTFSHVKHENIYLVVVTKSNANAALVFEFLYRFIVLGRGYFGKFDEEAVKN NFVLVYELLDEIIDFGYPQNTETDTLKMYITTEGVKSAIANSAQDSSKITMQATGALS WRRADIKYRKNEAFVDVIEDVNLLMSATGTVLRADVHGQIIMRAYLSGTPECKFGLND RLLLDGDDSSSAGNRNGRTKATRAAAGSVTLEDCQFHQCVKLGRFDADRTISFVPPDG EFELMRYRATENINLPFKVHPIVREIGTTKVEYSVAIKANYGAKLFASNVVVRIPTPL NTAKITERTTQGKAKYEPEHNNIVWKIARFTGQSEYVLTAEATLTSMTHQKAWSRPPL SLSFNLLMFTSSGLLVRYLKVFEKGNYSSVKWVRYMTRAGSYEIRF TSTA_119200 MGVKRLLQTLTKTSPFHTLAQSSSAQPLLSLRSSAPHPRLANII FNSVSELCSRSPSFSQLSATTASPVGHQSSHNLSPLAAKDDYYYSTDPNSRNILQNNN TTQSSLALPPLLHHPPETHSRSRHEDLPPISPYRHDNTSGKGPGIRVNPSHSGIRLWN PINHVPRVTIVPNRRGLANDEDVGFAIPERDAYPLLSIPSERPQRVAASRNSLVVEPS TGEGAESGRTSIALPSSRHSQQLERSVEQPNMPTTENATKGGHIRPDDGITHPPRHAH SNNSLRASTFASYPSNGGNNTTSAEADGPEEIAWGPAHPCYPHMNPHVPIQSEEFTTT RIIRIRRDWMVKGDLAPTFSNLYPEILDPLLPEQEFRRIIAKVNGELVKAFNPYSLRN WFDSAMGLLTGWLWDEMGFTAIKTHLRQVEDWLEKWNREVGSKDGVRIWSLRRTAYMT LDIQIPDPKVGLVNPEDSSMPGTRPHTGFDAETPTAPAPSHLR TSTA_119210 MTQPALPDVESKPAEGISYFTPAQNPIPGSAANPQSTGKPLPKL FQPLTVRGVTFQNRLALSPMCQYSAQDGHMTDWHMAHLGGYAQRGPGFLMIEGTAILP EGRITPQDVGLWKDSQIEPMRRIIGIQLFHSGPKGSNNAPWLQSSVIATEKVGGWPDN VKSASDIPFAPNYCQPKAMTKQDIEEFKSAWVAAVKRAIKAGVDFVEVHTAHGFLLNS FLTPYYNNRTDEYGGSFENGIRLSLEIAQLTRDTVGPDVPVFLRVSASDWVQESSEST WTIDDTVKFAEALAKQGAIDVIDVSSGGAHPAQKINSFPGFQVPFAVAIKKAVGDKLA VAAVGMLDTAELANKVLEEDGLDFALVGRGYLKNPNLVWKFAEELGVDFSIAHQIRWP FTGRGETAYIRPSTKNDAIF TSTA_119220 MNYIEPFVLDDWGTCVDPLSLDELFALSAEGTTTSLDTSTPISY GSVTGQPQLRERIIEYYQSQLSKNVTDLHVAITQGTISANYLVLDTFLRPGDHIIVQY PTYQQLFSVPRRRGIGISLWKADPNNDWSLDPEQLKQLVKKGRTKMIVINKPNNPTGA PIPSAVLGELVKIAAENNIIILADEVFRPLYHGVNPDIGNSPSIIAWAGCYRNIISTG SLSKGFALPGLRIGWVLSPNLQIIDEINLARDYITLSVSGIDQELATFALLPDIRTKI LSRSEKICASNLALLTDFIEKWKSKGRLSWVKPVVGASAFIQVFDSTGHPVNDKEYCE RMIRDIGLLIVPGGYTFGNGEVGNGERWDFKGYLRIGFVCEKELLTEALRVWGEYLGK D TSTA_119230 MQELVQHIKNICDQIAEEIESDITEACNKATPTAAKLATETVSR WGAPVNRSNRAEGGYYWATYKALCRRDGVYANAQGQHDWNAELIEPIIKAVAPGWEKI FSRRIFTIFSNAGSEGANFLKKFHDNVYKKITQTTGPLGSIHMLSQQLRVYQQSVKEL FNQQALDISAQSKDINRMFEPVIVESMIPAYTSCVEERGPGSFMRMKATMSSHVSDNR DSMFEKSVDVVEAALNRMLESVEEALLASTDTTLMSLKRDYRSAVVGSQSAAGGILPR EKRAALQELLKHIMESETSYKELIQPEIKKDVSSEAVADVQDQETTPELKENPVLVKK EGATETLLSSSAAASPIKQEPTDAVSFENHEIKIKPDPDDDDMANSQLAAGET TSTA_119240 MDSFHLFRQKAKTEGLKQLGSNAPPDEYCVGVLYDDKTPVNANA KKDLMWFKCKLDTTAASILKAYESGVALPQKLALRCDSYILQPTDTIAKLNPNLDKVI LIEAVTLTGSKPSNNTSQRGPLQTRSENDVMIKTETGTPVKQASPSLNSIAHALPYQL LPPPGIDRRRLVTVMDLICKYEGTSKNALTEHKLIYGELMLLFTMVDFIHEKLAQLRD NQGASFTSFNVADRQSILDQWLALTPEVCQWYQKRAVLFIDSPSIENGSATQEMIRFK NENAGFYAFFFSTKDKYNAHISTYGTDEAFLMRHTEWREMSHRKIAFWVRNGRNLRYT PIAIQANGQQAMDNQVAAAAFTEHKTSRSSNQSVSKPNDLEPEPSEQFSLQSLFTDCT PELLEAGVKHGVDLLERLKEPMRRVVPDLDAAEWLKSIENLQKQAAQPKTIVGVVGNT GAGKSSVINAMLDEERLVPTNCMRACTAVVTEISYNHEEIPYRAEIEFISEKDWEKEL EVLFSDLLDSNGNISREASNQDSEAGVAYAKIKAVYPKYTHEMLQKTTAEKLLKHPAV QDVLGGKRAIAESNPAEFYKHLQSYVDSKEKTTSAHEKNKSTIEYWPLIKVVRIYVKA AALSTGAVIVDLPGVHDSNAARARVAEGYMKQCTGLWIVAPITRAVDDKAAKSLLGET FKRQLKMDGGFDSVTFICSKTDDISILEAQESLGLEQEMGGLWAKSDEYNSEIKKHKK RLDELKETKAEYTTVVDEIDEQIEKWEALKDDIDDGKTVYAPRDKSSKKRKRGGNSNA SGTPSGTPQKKQRSSDSDLDSDYTNRDNEDEAYSEEDESETQGEPLSIDAIEEKLSEL KTTKKEGRRQRQEIEAEMKVVRKQITELNEVDAKVQAEMRHIAISGRNEYSRGAIQQD FASGIRELDHELAEETDASSFNPDVDVRDYDKVAQSLPVFCVSSRAYQKLQGRFQKEA NVPGFQTIEETEVPQLQAHCKKLTEASREANSRRFLNNLDQLLNSLRLLASSDSLQVT DKQKATRAAIVESTYNQLDKASSRILLQPMLL TSTA_119250 MDWHRNITEDPRSDPVHSSYSQDIPSSPPSIVSEAGTRRKPKRP PPVTPRSFKRFFTPRSSLNAAAVSLMPATRQALRELTTTSLNRRGPAFTKAKSLNAPN TASHGILKSPFTETARTPSRKRKLSFSSPGSSPQSSPIRRVRLAPPIEDAHLVEKTVN EIEIPPGPETIHSPQKSIQKQLFVEKPPVEAVRRSRVLGTSGEYCLRSVSGRVNRLTM RYNYGTDWRDQTSTFYSRPTDVHQNTSVNEDRPALPFCIAPCKTNSLVAIGDEEGGIR LLDSAKEDKVGFSKAHVSFRAHGNSIMNLEFSSDDLLLATAAGDQTTLIFDMVAQKPI HCLSNHTSSVKHVQFQPASNNKMLATCSRDGTVNIWDLRCKGHENPSMQIHCALDSDG DSSASPPTVNYPQPLRTIHDAHAFMSRSSKLAAVQKQDSHFSRENVTVTSLSFLPAGR ENLFVTASEANACIRLWDMRTSYNIRRGSPAPLSTTREPDSHVNHRSYGVTSLVLNGD GSRLYSLCRDLAVYAYSTSHLVLGSSPDLALNNDRPRRTGGPDKEGLGPLYCFRHPRL QVSTFYVKAALRSAKDDKSEMLAVGSSDNCAILFPTDERFLSLSQPAVQTQTDLPPTV RPPLVRSGLRRTNSDMSFSGRPESSIPTYRSGTPLVGGHMKEVSAVAWTYDGELVTVS DDYSARCWREGPDARDLRTGGETDGRRWGCGWADTPDSYDDDEE TSTA_119260 MAGIFEQPRNAGTLFLGGTKISGADIRDQNVLATQAIANVVKSS FGPSGLDKMMVDDIGDVTVTNDGATILSLLDIEHPAGKILVDLAQQQDKEVGDGTTSV VLIASELLRRANELMKNRIHPTTIITGYRLALREAVKYMNENISTKVENLGKDSLLNI ARTSMSSKIIGADGDFFANMVVEAMLLVKSTNQKNEIKYPVKAVNVLKAHGKSATESI LVKGYALNCTVASQAMKTRITDAKIACLDMNLQKERMKLGVHITVDDPQQLEKIRERE SGIVLDRVEMILKSGANVILTTKGIDDMVLKTFVEKGAMAVRRCKKEDLRRIAKATGA TLISTLSDLNGDEKFETSYLGYAEEVVQERISDDECILVKGTKAHSSASIILRGPNDF QLDEMERSVHDSLSAVKRTLESGSVVPGGGAVETALHIYLEEFAVTVSSREQLAIGEF AQSLLVIPKTLAVNAAKDSSELVAQLRVRHAISQRIQEGDANEEEKAIAKKKTYRNYG LDLTKGRVQDSVKAGVLEPSMSKVKQLKSAVEACIAIMRIDTMIKLDPEQPTEDDGHG H TSTA_119270 MSSQNDESATQALPDRTQQNPAEDKGEEVSKNAAKKAAKAAKLA EQKADKAKNKGIGKSEAKMPTSKTPKKKIDGAALIGIDVSKEEDFPHWYQQVLTKGDM LDYYDVSGCFILKPASYFVWETIQNWFNDKIKKMGVRNCSFPLFVSEDVLQREKDHIE GFAAEVAWVTHAGSTPLERKIAIRPTSETVMYPYYAKWIRSHRDLPLKLNQWNSVVRW EFKHPQPFLRTREFLWQEGHTAHLTEESAAEEVLQILDHYAHVYEDLLAVPVVRGKKT EKEKFAGGYYTTTVEGYIPATGRGIQGGTSHCLGQNFSKMFGITVEDPNAKGEDKGAS LYVWQNSWGLSTRTIGVMVMIHSDNRGLVLPPRVAEIQTIIVPVGITKNTTDEERAQI YKDCSELASKLAAVGVRTETDLRDGYSPGYKFNDWELRGVPLRLEFGPGEAKGGFITA SRRDIPGKDGKTTIPLNSLTTAVPELLDTIHNDLYKRADDQFREHRKQITKWDDFTPL LNQKNVLLIPHCLTEECEDQIKELSARKAEEDSGVAQDARAPSMGAKSLCIPFEQPEG IEHGVTKCLNPQCTRLAEKWCMFGRSY TSTA_119280 MASFKKLLLPSSPRSGEVQGLSNQQHANQYPSSPTHEPRTPASP YAYPPQSRERQFEQLHETFYSRTLASLRQFRYNGSNESSNQKNRYIDVVEALFSNHRY QISSIRSLSPITPYNEDIADRNIIAQHFPHMNPQYSRLMSTLYQEDVADRNISRSKSN PIYRGPRSSRLSVRSDDHEQRESSSRSKSQGRSREPRTSYPPANLIKARGRDKPEKVS LPYRDRLLLRTQASAPNLSMTEIKTPDLASFPRPPTLGADTPGEMPAEEPEKIPEKVS QIVPVKVEKVPEKTTTEEETVAEDSPVSSQRLSPDSSRPSDAKSSASCRTSKKNVRDL SINTNITAMKKPSIRIEHCAIQPPTPLNPASIAQNASIDEIVHTPLLTVNPNNIAPQP SPAALDIDEILKILKQAYDHPSQKASPLYPTFETLQEIIIREINSHDAFRKVPVPNDV FFTPPSSDDSADDDILLPPPGPSRVKPLPERESPLSRLIRRRSNNGLQSRSPPLPNTS PVNTPTPQNSPPTYANHSNYSIHGTETDRYLSPAKKTVPRDFQPIIPRRRRHTYGQPS TPSTTTTTPIPQQQQKSQHQPQQRPQSRPSLKAHNSSASVSSSSSFSLFPVTRPLPQP RYKSHFKRKSDPVPPSPHFTEFIPTDAATPPIFPSYVRQH TSTA_119290 MADRRRNNGPPSGTRPPVYASLLRNAAGEKAERPRRARKPTELR KIFLKTGLIPSASGSSYLEIEPARRPTNTRESLIAPATSLKIACAVHGPRPLPRSANY SPNLLLTTHVKYAPFAHRRRKGHIRDASERDLGVHLETAIRGAIIAERWPKSALDVTI TVLEAEDDRWWGDASGSSDASWGMMNVLAGCITAAAAAIADARIDCLDLVAGGVAALV TEDEDETDSTNSGSRPPRLLLDPDPAEHQNIVAACVVGYLPSRDEITEIWLKGDTSEV ASTTPGSKKVPGHEVLLDGAIDAARAARSVLAAAVRESGERFIAQSAGVPETTKAGTD VAMKT TSTA_119300 MDSVSASGAQRQDEGLRERIRSSQAVDVNAVDQALATAGYGVTT DSRKNDNKKTFGRTPDGTVFTVPQTHDMVSQLLSPTEPKTVSDFVVLAILATHILIFV ALAPEARISVFAAIYMFWRTSYNGGIGWLLHNQSHHNTMVRWATKSKIFVNPSTGENP HPTLYRLLKRDMETMIPEDYSFDDAPLEYNTWLVFRRIVDVILMCDFASYCLFALACG SRPENETALMTTLRWAAGWVLVLFNLWVKLDAHRVVKDFAWYWGDFFFLIDQELTFDG VFEMAPHPMYSVGYAGYYGISLMAASYKVLFISIIAHAAQFAFLVLVENPHIDRVYNP PPPRKRSIQHDSKDLHALNNSQTSPISDSFPATPDDKAAMRQPAPSSVHNLLGLHNLD LHRVTDSSVILIQLLVFALTVLTPSTPFYQYLFVANAAIWRCWYSIGIGYILHRQSKY KSWTRHFVKYGENTDEAWRQWKGSYHLSMTMCYASFIAATWKMYTMPSDWNHGLALLK HTLGFSLVALQIWTSVSIYDSLGEFGWFFGDFFFDAQSKLTYSGIYRFLNNPERVLGL AGLWGAALITSKGAIIFLALLSHVLTLAFIQLVERPHMQKLYGRSLRQDAGLVKSLKR SLPPPLQQLGGSVDRMMDESFEFVEELLDSARPKLATGVNTFMKDAKSLFRQYPARIT ISRLDDEVAAGYDLEDYSLEIEGTESSPSAQVERSSGKEGVHARAPPERRGDLKSLIF EYGAPIRVKWTAPLNHSKRDWVGLYMVTDNTRREVTRVSSQGRWIATNEGAYDSLTCE KGLISSDIVISASRRRDGENRDLASGEMVFSGDKLFWTTGVFEFRYHHNGKHNVMAIS RPFEIRIGRFDEDDVLNMDNNTTSSNSDAIIQAAIEEALLPVVRNCFDRDPEIAPETY DEHFGSLVDRDGKYAKRVVFAVHQMFGIELAPEVVRADGNVRNMAWRICNAKKVLAPY SMSRSRGTSTPTTQEKELS TSTA_119310 MAPALTDPTAAYLAAPTKTPNLVAPEPEHCPGPESEQAGQGDAC AGCPNQTICASAPKGPDPDIPLITARLSQVKHKILVLSGKGGVGKSTFSTLLAHAFAT NPESTVYVCDTDISGPSIPKMMGVEAETIHVSNAGWSPVWVTDNLGAMSIQFMLPNRD DAVIWRGPKKNGLIKQFLKDVEWGEADYLIVDTPPGTSDEHLSVNSLLKESGVDGAVV VTTPQEVSLLDVRKEIDFCKKAGIKILGLVENMSGYVCGNCQTTSHVFRPTTGGGRRL ARTMGIPFLGSVPLDPRVGMACDYGESFLESYPNSPASKAIQSVVRAVSEQIGEDPEK VIPSEPLV TSTA_119320 MRVEEKRKAGALTRYYRWVFSTSLAAKNQQGHTVFDPKKSKTFK DLKGASFSISYGDGSNAAGTVGTDTVDIGGATVQNQAVELANQVSQSFVQDTNSNGLV GLAFSKLNTVKPNQQKTFFDNIASQLEEPVMTASLKSNSNAGSYQFGVIDNTKFTGSL ANVSIDPTNGFWEFNSAAFMVGDNATMQAITTSPTAIADTGTTLMLVSPEVADAYYAQ VQGAQLNNQVGGFIFPCDATLPTLSIAVGDNNLATVPASVINFSKVSNTMCFGGIQSN QGSQFQIFGDVFLKSMFVVFDQRGPSLGLASPA TSTA_119330 MARASNSTSACKKLHESSINSQIVPISPAYLSSEVIGLTSRPHW PNFFGGPQEPRVSFINFKNFKFHPRLFVHRRTPLIFTNNMATAALPESSLLSLLYRSY PTAISADATELDLAQATPKIFSHYTYTDEEKAAIKQWLDTIHGLTTAFTKSDTNVIET ILKQINTHLATHTTLLGVKPSVADIAAYAVLAPLVEKWSIEERTGEKGYHYIVRHVDF VQNGSLFSLRIPDEEKVVIDLDDVRFFPKAADPKEEKERKKKEKAEAQAAARESTVVT GLTKERVHEAPETTQENPAASKREKEKKEKKEKKEKQPRQPKAPAAPAAPPSPSLIDL RVGHILRAINHPNADSLYVSTIDCGDAPGSDNTSLDEATGKTVRTVCSGLNGLVPLEE MQGRKVVVVCNLKPVTMRGIKSAAMVLAASPRVAEGEDSHAGPVELVNPPADAPAGER VFFEGWSVGEPEKQLNPKKKIWETFQPGFTTTDNLEVGFDSTQVPSLASAETAATAAT FGKLVTKSGGVCTVKSLKGATVR TSTA_119340 MNRQFAYPKGYSAYPRGGGGTFSISPHRFQPRSQPALLRRRQLL IKLCAVAGLSLLVLFFLVPSWRAVILPTVSLGLFSTTHDDLSLETVRYYDLSDVQGTA MGWHREERVLMCTPLRDAQAHLPMFFSHLRNLTYPHHLIDLAFLVSDSKDNTLSLLSS LLTELQNDEDPKMPFGEISVIEKDFGQQVNQDVESRHGFAAQASRRKLMAQARNWLLS ATLRPTHSWVYWRDADVETAPFTILEDLMRHNKDVIVPNVWRPLPDWLGGEQPYDLNS WQESETALALADSLDEDAVIVEGYAEYATWRPHLAYLRDPYGDPDMELEIDGVGGVSI LAKAKVFRSGVHFPAFSFEKHAETEAFGKMAKRMKYSVVGLPHYTIWHLYEPSVDDLR HMEEMEQERKQREAKEREEKAEAERQQKLKDRFKDSKDEWEKDGAEVKKNIDKTEETQ EKKSGKGAAVAARREEDIGAGKPAVPAKGENEAAAAPPQKETKPASQKEKTRQNSSSG KNEKLQ TSTA_119350 MSTQSARSIRLTHSSPQQAFRLLELTPEILELISSPNAPTLYLK SPGTDDPSITTTGPENNAYVNLCTPTKTFRIRQVQSSNSVHVIKPSDGQNEVIPLLKR HGDTNKAMNTPETVTAIAKCGSTLELHGLADDESFASAKNMLARMLTVWGGETSFNND DEGDVDMDDSELSTKRMMTVKKSVIDDLPFADTQCRKAWIEICAFVPDNYNGEDRPVF RPSATVRLDIWKRILEGCILQNIDMEKQFLVHDVWKAILRDVDDESEERIPRSLFDAI VRRLAEQSGDLGDENDSRLKWSNIDKEVCIKWIGETYLEATAPTEKRAIGRSEFLNAW KDLLPETWRDETLLSNLPEASYKHPDPVSIHFVAEPERENVKKEGQDSAGSGAKAKNS RNWHERFKSQRK TSTA_119360 MELSLEASLEPYICACFNDSIRLVRYLTRVSILAISILRIDTST MGSVAPPKNEDLPQADSPYLELVPATPEEHLETLRLNSKAWKGQITLDQYIERETRLS KQNLTKDGSLTCWILVDRRQEPNSRLILSSCETYRKPAYLAYKGKVEDIICHGVGSVF ARSEFRGRGYAGRMMEELAKKLDTWQSENQPRKQGIFSVLFSDIGKNYYSKYGWKPFP SSHISLPPLSDSQFQTSLETAKLPKAKMLAAEDVRESMCSEINEKKNRNFLKVVSEKS PSSAKISIAPDYDHMVWHWAREAFYEDVGVFEKADPPVRGAGVDHHHIYCSWVRSVGD SPTSHTLYILRLIYDEPSTPAEELATIEAIAAVLRQAQYAAYKLKMKSVDFWNPSPLV EKAAKLMDQNVQVVHREDSSISSLKWNGASQGLGDEVEWVWNEKYSWC TSTA_119370 MSAEPIASRQPSALSRTPSRLSQDSLHQLEQNASEPVAASRASR VRSWIQELSINTAATGSLNAMSYQNDHYLQAQGATPQTGQVGGRSNAAFQGLQGIPRT IMRTAFPLPGPHLPVIDLTEPSTKRLKVSDHNHPSSQSRDTKPQPSFNSTAPQSVPRA QLSNRNISTSRESQPSQFSQSAAAATVAANKPSKSVTAKQFSPQKDTVIDLTGQEAKT PEKKDVFKSKIKDFFPDICEDYIQTLYTKHDIENCSGQTFLLSVQNALDEVLAKSSYP KKQASKKRKEIDETIDNENLQRIRGDKIYYYPVACKLLQRHFTSVPEKTVKNLLAQHK TFLKTYIALHYYGWPESDASEYWKRLHNAKENHPSLFAAILEHELREAKRVIAEKEGA EKKKAEEEWEKAIEEEHRLAGTLAECQCCFTEVLPDHTVPCNGDKIHLFCNSCIKQHA ETQVGLMQYELKCFDTSGCHAGFDRNLIKKIVGDKLMKRLEHLQQQDEIAKASIDGLE ECPFCEFKAICPPVEEDKEFACLNSECEIVSCRLCKQETHIPKTCEEAKKERGLEERH AVEEAMTAALIRKCTRCGLAIIKEDGCNKLTCRCGALICDVCKKDISVEGYNHFHRGT CNLHERDTGVRRRTYEVMQAEKAAVAKVVAQDGKINPEMLRVTTGSQEDLNPENQVLP MDDGPQDPIPLDILQVPQMPPGEEYVMPAIDPVARMHRIAQLQQRAQFLQAHLGPPHQ LPQVPRVPEYFWMGEQFYGRPNPAMRPPQAVPQTQTRPASSPRVATDQRQNNPLTTTQ PQTTATAAELRPAQLRQGYLMGQATEAMPTRAQQREYQREVQRRILQQNNNTQALQER DRQQREVLRQRRRSDDR TSTA_119380 MGRVIRNQRKGRGSIFTAHTRLNKAPAQFRTLDYAERHGYVRGV VKEIIHDPGRGAPLAKVSFRHPYRFKKITETFIANEGMYTGQFIYAGKNASLTVGNVL PLGSVPEGTVVTNVEEKIGDRGALGRTSGNYVTVIGHNPEEGKTRVKLPSGAKKVVSS KARGMIGIVAGGGRTDKPLLKASRAKHKFAVKRNSWPKTRGVAMNPVDHPHGGGNHQH IGKASTISRYAAQGQKAGLIAARRTGLLRGTQKTKE TSTA_119380 MGRVIRNQRKGRGSIFTAHTRLNKAPAQFRTLDYAERHGYVRGV VKEIIHDPGRGAPLAKVSFRHPYRFKKITETFIANEGMYTGQFIYAGKNASLTVGNVL PLGSVPEGTVVTNVEEKIGDRGALGRTSGNYVTVIGHNPEEGKTRVKLPSGAKKVVSS KARGMIGIVAGGGRTDKPLLKASRAKHKFAVKRNSWPKTRGVAMNPVDHPHGGGNHQH IGKASTISRYAAQGQKAGLIAARRTGLLRGTQKTKE TSTA_119390 MAALTPEVTWAQRSSETDETRNYLYVFINVPDVPPKSADLKLTE NNVSFTGTNLKGAKYSVSLDLYAEIDTENSKVHHSPRGVEMVLRKKKLGLEYWPRLLK EAKRVHFVKTDFDKWVDEDEQDEAQEDDYAANFGGFGEDGGLGGIDFSKLGGGAGLDM AGGEAAGEEEEDDEDLPELEGEEKGDKKIQEVS TSTA_119390 MAALTPEVTWAQRSSETDETRNYLYVFINVPDVPPKSADLKLTE NNVSFTGTNLKGAKYSVSLDLYAEIDTENSKVHHSPRGVEMVLRKKKLGLEYWPRLLK EAKRVHFVKTDFDKWVDEDEQDEAQEDDYAANFGGFGEDGGLGGIDFSKLGGGAGLDM AGGEAAGEEEEDVCNVPSAE TSTA_119400 MALGSFRSSPTTAFVFVFLLMLIALPGKAEAFGAGNIASISTVE GKNWRHGDIEDTIQALAFIHGHKWTSAMIKRVYFGNWLRDYSQAMDVGTLKNLQADTI RVLVWILSFMTFGYATGEFEVTADRLGVYRPEEHIDNPKDYADNQDARQYDKRLRPPV RQIELDIDPETGMKNYIANERGDWATSAAYIKYSISRCIHYGRLYTSGGQSKGKEEDL FEALRCLGQGLHTLEDFGAHSNYCELVLREMGFHNVFPHVGAATEIHLRGKRVFPLVT GTFGMVDFFHSVLGEANDHFTQSELNEADNTLLGAESNQQSPAINTLTSLLGKIPGTK ELVDEAEELQQRSNRQAEANRNRHVNTGYATSRGVDDYPIPSYDQTRVPADHYQSSHY GQSRGPAGGYGSNEYDQSRGPSDFQSNQQTSKVSGLPDIDPQKTVEQIYPILEFRDKV VRRISSIVEKIPGLEKLIDKITETVTLFVFSLLAPFIRPLINVASKSLQTGSMGVIES SGQHQYEVWDNPHCTDPTHSMLSKDHFANMLNEPAGQVAAAILRYVVPRVLYAWQHID VNVDQVTHDCLQVFHHPACRDMHNEAHTAMFKAVESWVQSRPDRGHNLNDLLSAQSVR AGKNLTKNSSSTGGGHGHSHGGGGFPAVSGGLYGAGAASSHGQQQQSSSSSSVPWEQL QKLNIPGVSEVTNVANKLGGFGKLLSGAGLTRDAPEETLQPSHSSGYVQQQQSSYNYE QPPQHQQYQHQQNQYSQYQPQYSAPPPASIGNERYELYGQGGGYYQPPESGAYGHDQG YREHREHREHEHRRDQDNDHHQHEHGHGQGHGHSHSHHHNY TSTA_119410 MTDKRKANMTDASPYLSSLQRDGFVVIPSLLSSPEITTLRDAAT KATTLTRTGQWPYFRTVPKQFPPWPKDVPPASEGGIWGVQHLLHPDMPGRREFAKFYF SEKVLGIVEELLGLKATNNIQKGSGEEEEPLVMELLNLLVAPETRDFELRWHRDDIPP TVTPEEELQLLKSKSPQGRQSHAQYNLALCPDTSLIVIPGSHRRVRTETERNADPYEA ELPGQLTVRLEPGDAVFYDSNILHRGVYKPKPEGGEETRLTLHGSVGLNQTSITKDGD TEAAADKAKVRATAVLQHGVGIWIDRDDADLSYTSDRAERMRRNLIAMGRGENVGFSL EG TSTA_119420 MIAYNNHVRNAEPEKSRNCDRKNPCTACLLRGSEDECEYARTDE DRYHISQAKEIENLRKELNRLKRKLEDGEQKSQSQSQAKSQSYGSTAEDYGYGGVLVV DERPNKRRFGEEGNVTNLWNLGAPYATSASAATGMAYSTQPEMAQHNAYIPMEHHPQH QQYYQQNTAAIDTVVSTNPLQYGMHQLQQHRQPDFWRGKQEQLETIYKIVCDCDEYWV PSIIDIVRNSLSPEDAIVSIRSLLRSGSSRYSYSNSNSNNSNDGGTVMAASTITTTSD SQSPDSASSMIPVNMDSVSYVHVDCVLGVDGNLPPTDQYRSSGEG TSTA_119430 MEQELLQLLAATQSPAAPTRQSAELQLLQLYSNEAFPLSLAAIA AHESVDAALRQSAISVLRTFIVASWSPLLDEFKGRVWVNDANKATLRNALLNLATTTE TNRKIKAAASYAVSKIAAADFPEEWPELLPSLLHIINDPNSSDGALHGALKVLLDLVD TGFSEEQFFGIARDLVSTLFAVATNESRKTIIRALAISVFRSCFDTLEMVLEQHKAAV KQFMDEALSGWMPYFLSVMKLSLPQRPAEEEESKDTEVSSQWRGIIALKLQAVKTVMK IRMVFPTLLTTQSPQLFTMLWEELSSIQSHYFEMYVQDERQGRLEDSDGLPYTLDFLV LEEIDLMQALIKAPPVKAELQAQLQNANEAATTTGWLPEIIKLAAIYAQITTEEEGIW EIDVNLFLSEETSVTANYTPRTCGGDLIIKLGEWLKVTVVQALVVYINNLFADAASTW KNQEAALFILNQLLRDFNEVEQQIPVDVASQFTNSIQFALQNEQDYLRARGYLVAGVL AQTAGAEFQPIAASYLDATMKAISQDASEVVQVACIRVLQDLLPALPSSTTQPMQIPI ISSINEFIGSHDLREGTDNDDLKVTLAETLRDTIMIEPKVVLDSAALDVLFNVASNGA ENFHLVMVVTETFEDIVQYVSQQGADAYVRLCEKVLPSLTGAIDVGNITQEGALTVLA ADLLRALADHGSEPLPNGFVATVMPKLNRLLLESNDSELVRAATLAMYHILSHDFTQF LAWREPQSGKDAIETVLLIIDHLLSPNIDDVAAAEVGSLAAVLVEKAGSEKLGPYLPQ LLQAVAQRLATAQQAQFIQSLILVFARLTLISAREVIDFLAQVDLGGQSGLNVVLSKW LENSVNFAGYDEIKQNIIALCKLYNLEDPRVAQVQVKGDLIIQETGRIKTRSQARQNP DQFTTVSANLKIIKVLVEELAGASGNKEIDAATAAALEEADSEDDEWEDLPSNTLDLG LGVTKQELMSFGEGGTEGSFAVRKRDDETQALLLQFFQEASAKPGFQELFAALTPAEQ DKLRSLG TSTA_119440 MAMASESSTAVNTVNTISTMNTLNTMATGTATAPISTSKNVPNT QAAIAASFTNFLGVAMHQILFLRSVYPRATFLPVRAYNYPVRQSRHPKVCDYISDVAL AVESEILKGTITAVTPMERYAFDLSGFPHVPVGEIYTTFEERNKEEATRPPSTAQTID LEAQFRACLARLASACARLTPLPRDDEFGFTVCIEVREDALPPAGTTKEEQTWIVAEP GQVHVRSCTAPFSVSKLGSHSQQPAIPKINGRAKTVPVRRVEAGELRLELWVEEARQK FDERLL TSTA_119450 MSKRSHEDSVFSKTARFLEERTPSTPGNSISINELLSNSEEPEA DHSETHNSTRKPRNFIAAVACENCRLKKTRCDESRPKCGLCKALNLECVYSERKLSKK DQSIGMIISTLHRIETKLENLPSVISNDIRPITGHVLQAVEAIQVSAATAGTPSARTS SHKLSFSQNTSPAAMGQIEVEAQASVDGKEVISFSQHGVVVWPGIISSLPERFLVVYD QLGKNYVLDVELERSALPMWVNTPLGLPSTGSWLEGLPMALVKGLCEAFFSLFHPFTP FMDKHFFFSLTLGAVINHGFSTTIETCLVLNVMALGCLAVRAYEEADFPLPGTLGDRF ERPAWYEVVMEDPPGLSFFNKARKRMGFLMEKNNLSSCQYYLLSAMYYTQIIRPLDSG AMLNRAAACLCFMLANRDINYNEWEGDMKSRVFWNTVMYETILVQELDLPPSGLLSLE DNVPIPKFIPFKISSEITPLPDPDDSYYHQCHFLAQIANRIILTRIRHSLYLFSESGT LPRPAVSQELHNQVEEWRKNLPPVIQFSAKDSTTTSNDDISPSITPNAPRTPTSLAML VADSMLRARYRICKFHIGRPYLYKALRTPNLLTEDDFEQIRSGLRFAMDWPMIRGVFR QMRSCVPIRFAFCSQFFGQLLIFHCISNSPDPRLRATLPSGWERWYREMVEFLEYCAA FSPAISRDVGLIQLL TSTA_119460 MDCFKDKFVEGQLLDGRFRTVAPLNHGSFGMVFLAKDNWTGQDV AVKCLTKPTSADASTLAVDMSSEELECHKKLSHHPNIVNLIHSFETTTHTYLVLEYCS MGDLYEAIRVNRGPLETEHVRDFMLQLLDAVEFMHSNGLYHRDIKPENIFLSQDGSMK LGDLGLATRNAICHEACVGSDRYMAPEQYEPPQGGYSPAKADIWAIGICLLNILFARN PFVTPTESDVLFADYVRDRQSLFDIFPNMSQDTFEILRNALALDPEKRTLSGVREAIM RTVSFTTDDETLDEFCTEDRDVVAASANREPLRTPSIQSPHISAGDSFPWAKALQASP QQPVRQLSAIPDTEYYSEDLFPPSETAGTSWYSVHPETPSTASILESAMGASYNSLAA RPIQSLHSARIPMSGSLPTHVAKPLPAMSLVFGNKGKRDQISKSWSDLWDEEEEESEN EDLTLQQRRENNARSWSQDSKEDVKEASSPIQPVGVFEQQLPSPIARPAVEKSETLSK SVPLPISPKPQAVTPVSQTRHRNARFTGTPKRSVVDKWAALGDRRRNYRSDEETVPRL KKQRSMNLSWRRESPPSSPQSWRNPFLDKEWRPKAKLSSDYHGSVDEDLDLVGGWHDL HL TSTA_119470 MTGACIIQVLRWMQVWVLLASDFLAHATASQLQVQSNDNQFLIC HAPSFYDVIFPICSIYDESGRGSDYVSIVPTEPSATTTSTTGAAQSSPPPVQDTDVNE LDADSALDTADFLSFEDWKKRNLAKIGQSADNVGGKRQGGDIGQERRTQARAINNALD ALGDDAEIELNFDGFGSESAQATPWESGSGNEKVNSDGETSVDNDSADGVSAVGRRKD AGTTCKERFNYASFDCAATVLKTNPECSGSSSILIENKDSYMLNECRAKNKFLILELC DDILVDTIVLANYEFFSSIFRTFRVSVSDRYPVKADKWKELGIFEAKNTRAVQAFAVE NPLIWARYVKIEFLTHYGNEFYCPLSLVRVHGTTMLEEYKNEGDASRSDEEVMETAEE VGRPVEDEQEISVQGQGALDNSTVPISNPILDIWESTSPLNGSVLEMAALEFSTLKTA TCAADYSAIETPDTLNQTAVAASTGSANITIVTPSDSKASPEQTINGDMNMASRVGSQ NATTRGSAQDSSTVRTSVASGEDSTSAVEPTKVIPSSPPSPNPTTQESFFKSVNKRLQ MLESNSTLSLLYIEEQSRMLRDAFSKVEKRQMAKMNTFLEDLNNTVIDEIRNLHMVYQ SLRTIVLDDFEHQQREVSTAASQLAILTNELVFQKRMTALSSVLIMILFALILFPRGS GIVGGIDFQSMITWSPRPKMSRSSRIPSTGPSSPSLESETQTPPTVNPSKKKAHRRQC SNALRHESIKDLRECANSLAHSSEEDLQFSGYEDNGRFRSMSDFSDSDISKIPYTPFS SDIQHMLGYDAVRMRPQINVVPDTTASSPSPSPLPSVPQDRPVSSPPVLKAAPSVDHP TNGDHVDDIQRDDSDAESDTSTEPFPPFPTD TSTA_119480 MDSSTQNQTQPLTTRSTNTHLSQSSTATMQSTDAKKFIDMDSKP TTDALKVSMEHHRKALQEKLQNGGGADDQSNRANYVSPSDDIMSPCTKKLSDLKGKRF KAGKPTSLFAKLGKKNYEQSSASASPSHGAPAPEAE TSTA_119490 MPASANIPAIAQAHVSERAKKTLDLVEEFVEKECIPADPTYLKQ IGEGAQQRWNAHPPIIEELKTKAQKLGLWNMFLPKNHFSQGAGFSNLEYGLMAEILGK SRVASEATNNAAPDTGNMEVLAKYANEAQKKAWLTPLLEGKIRSAFLMTEPNVASSDA TNIQLNIRREGDEYVLTGQKWWSSGAGDPRCKLYLVMGKSDPHNPDPYRQQSVIIVPA DTPGITVHRMMHVFGYDDAPHGHGHISFKNVRVPVSNLVLGEGRGFEIIQGRLGPGRI HHAMRAIGSAEKALEWLITRINDERKTTFGKPLSSHGVILEWLARSRIEIDAARLLVL NAAIKMDQGDARSALKEIAEAKVFVPTVTLAVIDRAIQAFGAAGVSQDTPLANMWANA RTLRIVDGPDEVHLQQLAKRENKARKDELMTKLRWQAERADQLLLANGFAAKAKM TSTA_119500 MDSYDFANSMDFLSTSPSTTADDSSCDDSTGPTTPESISPLLGA ARVEDVLETPLAQYLNKMKPPVNALAKKLPFHAAPNQRDALFSKLVRHIAVVGAGYVG GPTAAVIALHNPHIRVDVLDKDPRRVRRWNSPHLPIYEPGLVDIVRVSRDGASARLRD ASVADTPVDRIPNLFFTTDSQTSLAKADVVMLAVNTPTKTFGVGGGRATNMTTFDAAA KEVALYARPGTIIVEKSTVPCGTAQRVRKMLDEVRPGVPFEIVSNPEFLSEGTAVRNL MQPDRVLIGSDKTPSGRRAAEALANVYAAWVPRPRILEVNAWSSELAKLVSNAMLAQR ISSINSISAICDKTGADIDEIAKSAGIDPRIGSQFLKAGLGFGGSCFRKDISSLTYLA ESLGLPEVAHYWSQVNSMNEWQRDRFAYKIIRRLEENLVGKKVALLGFAFKKNTGDTR ESLAVDVIRVLLQERPGEIAIFDPCCLSEDIIRELEPILDSATRERVHVYSDAYQACQ QAHAVVVINDSDPFRQSPAKQRNSNVDGGTRTYYEPSPHVHAVSEDIVIEGSRFSYLP GQSPICAEDCPDCQSTKLRGAMSSEPVEWARIAYGMKDPKWIFDGRGVLDVPEMEKLG YRVETLGRQTKSR TSTA_119510 MASQASSTNARGDEFPPALKGKRILLATESLGPVNGVSRTTGML IEYLRANGVDLAVVAPRYEVKDQLHTKPPTAPSHSRSGSEVRLHGYPLPYNPDLTVVY PFRFDRVCSRTFQPDIVYLASPASVGYQFLWQIRQLQKPPVVLLNYQTDLAAYSSILL PGAMGRFGTWLVNRVQGFLFNHPSVETIFYPCSDVRAYLEEAGAPSNRLVQLGRGVDT VTFNPRHRDESYRRQLAPNGEIILAYTCRLAPEKGFEFLADAAVRLAKEGLAYKLLIV GGNRNPAVEADVRTLFEPVRDRVIFTGFLGGESLARAYAAADVFLHCSITETFGLVVL ESMASGVPVIARNQGGPSDIVKHGKTGYLVAPDDLDEFVRLTLEVSRDSELRHNLAVN ARAFADETTWEKINRRVAWHIVDGLENHSRRLEMKKAQRPIRNWISAQYSEFEAHILW PLIEQVRVNAAIAFVFFMWMIAVIPLILHGSRMFHFVQTIPLMFQQAQSNQLANSNIV CTHATRLKEALTLQNQEKLTFTS TSTA_119520 MVLAKSKNTVGLGNSLMNDRFGRGKASSQKKVSHNASIARKNQS GETYITNAAKEASWVKMRSITEQAALDEFLSTAELADTDFTAEKINNVKIIHADQKNP FLLSAAEERFAVRKQQKNKERLTIPRRPKWDSTTTPEKLDAMERESLLEWRRGLAELQ ESNDLLMTPFERNVEVWRQLWRVIERSDLVVQIVDARNPLLFRSEDLEKYVKEIDSKK RNLLLVNKADMLTPEQRALWADYFEEHGISYRFFSAHLAKERNEARLLEEDSSSGEDV DDAEDLAAETQRKMNLREKQLVDEEWSSEEDIDDEAQKDLQSEKTESDLRTRILDVEE LEELFLANAPQPEEGSDNPQKDKTIIGLVGYPNVGKSSTINALLGAKKVSVSATPGKT KHFQTLYLSPNLLLCDCPGLVFPNFASTKAELVVNGVLPIDQLREFTGPAGLVAHRIP KHFLEAVYGMKIYTRPIEEGGTGIPNANELLRAYARARGFATQGLGQPDESRAARYIL KDYVNGKLLYCHPPPASKTDEEDSEGGPVIDPAEFNRDLYNLAHLPEKRRAQLLKAAA ATGENVDILDDAASLVSEFTGGATSQTSSAATPVENGPRSRRLDKGFFGPGSGGSRGH QTMPFNYKYSEQGKAKQLTGRKERAMIALEKNIDPSEVKGLIGSSKKHFKGGKRTHNK SGKGKAPLGVGADDYDGY TSTA_119530 MPPRRWIDKKNATTYQLFHRSQQDPLIHDPTADDRVLHPVYGPK QGAPALSTTASSASHKTSRNLKELESEFSTENVRKNEGEAANYGIYYDDSKYDYMQHL RELGTAGGNSYFVEAAPDKAKGKGKGMKLEDALRDFSIDDSRSEIGGSASVYGSEYAR STASSYVRKPTYQDQQDVPDVIAGFQPNMDPRLREALEALDDEAFVDDEDDHDIFGEL TRNAEEVDPEEFQDTLYEDEDDGWESDATEKAYPQTSDTKTAGQNDNEATVAQQHDPS EMPDHDKPVPDLAPENADWMREFAKFKKEGKTLNNTPAANPDAGTEKHTVASTLFTVG GTPIRKKKRKGALTNPSAYSMTSSALARTEGLRLLDDRFERVEALYALDEEGEYDDAS CADGASMISGMTGATGMTGMSAASSQAPSLVSRGGDYSNAPLPSNFDNVMDDFLSGWQ DRSKQAKRKGAKGKRGKNGNEVLGIAMLDEIRQGLGPARVPARGKA TSTA_119540 MFKRVGEHAVHRSCRRTLVRRQVATRKFSVYTNPNASVASTASP LGGLTTELDRIAPRFEISASKVTILDSPSSFYEALKHKIRNARRRIYLSTLYIGQTEH ELVDTLNQALRDNPNLHVSILTDCLRGTRGTPNPSTASLLAPLVEEYGDRVEIRMFHT PNLTGLRKKYIPQRINEGWGLQHMKLYGIDDEIILSGANLSQDYFTNRVDRYHVFNSK GLADYYGKIHDAVCRLSFQVLPDAKSAAGYQLIWPSSNNANSPLENPKEFINKSSTAL HSLIQPIAQEKATSMRATEKTYVYPVAQLTPLLQPDTSTEFPAVTSILHLLTNVSAYK DSRWLFTAGYFNIHPTLSSLLIESTSQIRSTQEPATKTQGTVLTASPWANGFYGSPGI SGMLPAAYTHLSARFLDRVAEAQRTNSIQLREWRRGTVGEPNGWTYHAKGLWITLPND KYPSLTFVGSSNYTKRSYSLDLEVGALVVTSDEKLKKRLYEETEWLQENSKPVSREDL RRTERRVGWNVRLAMWIVEKVGGAL TSTA_119550 MSTLTRTFRNLWRIGLKEYGHQMQYIGDTKAGTLIATDRFGNKY YENLEEELPLRTRWVDYKEKEFDAAQVEPGWHAWLAYMVDAPPTTDKILQTGVRHWEL PEHRANLTLSRGAYKTYSTTRPKYSAWQPVAAPR TSTA_119560 MSANSVCANCVIPWHRQPNADGVFQSRDADKAQGYIIALDNARS EDKWSEVPELVRKVTKHASHRKCLIQTANAEIQVVAHITKTLPATAKGQSTPNHITNL SELIPELIAAIEAADGTPQDIFQAQVCLGWIHWTLSEPGLAVVRLPKEFDDTTIASLS EGERGLTKWTEVCIVKAGYIKSAAQALISNSDAALQTASTVLPRLAIPNPVLVSCPQA LHWSELFLSRVAMLASEEVLSNDGEDNDFAIETALKAFRLWSGHPHTKGRDIAASQRN TQNSSSQLAESQLSIWMTYYKILSLILQYGLSYFPLSSGSPRRQLATEIRRVESVCES VLLRETKFPLASDSNPQVEAWTEDIISNWEVLCGPSWRNDDLGEGGQDAISRNVLDIL YRASTKTYHSHSILRRLFHVHAALAEFDLAMKALDTYVEIVVSAKDRAEKAAEIGHLE SDATLLQTVSEGVLLLCCFGSEEEARKARDLIAILEKYIEKDIADNLSLADNKEESAS RVPSQIIALAYRAIGIGLGNWSRWTPKTEARDDIRAEAIENLERSIAPEFESEYDLST RYALALLLAESRDLDGAISQVKAALTPRDGSALSGSGIPELHQSEERDKIPLWHLLAL LLSAKQEFDIAGRTCEAAFDQLPSSVTIPGHNERRNNRESNRKTADLLEKKKDFIQQL QGREKERIIETRMTQLALLEITDGPEVAVNHSDNLLALFATLFEDLELDITKEKASQV QQQQHLGPPKSSAGTVKSFRGSIFGRKRGSKIPDRSSNPAEPVPPLPDAASAIVSPDA PVIRVTPEAVNKTRQGQQQESQPEKENGFINDLDASQNEKDDMEPEAIGIAISEPQLS PTSPTNPKESAKQSLPAVAHNLNHKHEPRPAGHTDQSPEQDVRLPTPHRFSSPTKSLT KFPVLQAQKHAISLLVKVWLLISGMYRRASLFDDALEACEEASKQVAAFEALVAAEES SARAFVSPVWGVAKSTEELWADVHAEKGALSEAQSQIHEAMQYYEEAVSCFPDHPKAT IALSNLLLDAWEEKIPLEPVEPRLDSDIVDSSTDVSTSLNCNSEILPDKAVTRTSQES KPTPKTSNNSDEPEYLSRLAARDRAYGLVSMLTKTGAAWDNSEAWYTLSRAYEAGSQI EKAKEILWWCIELEDRKPIRHWWNLGAGGYVL TSTA_119570 MASSTTLRTITNRLTTTPVNELPYVAAFLASSLSDCTEFNAQPE PIKKSNADGNNGSQVNKLKARLTSLLQDRSMEGRWTAVVLIKALLEAGGWEILRDCGP WVRGLLAILSKSDPSSTKKLSIITLTRIFHLTYQYPTLVREITTPNLPGFITTSLNLI HAKKALESARTSKESSLLETVLAAFAELIGRHPTIFRPFVNQLQKFLLPILCSSTVNS SLSSRAIHLAQEVFVALHNCAPKNTSGEQWTNDCKNTISSIHQAADHVFRSVIEQWES SDPNLKPRKMSKYTSDLPRDDEQDALGLPAWQGLPAGATKIAALLNLLAQFISIRTAS AINYPIGAILNLTSRLTSVTVPKTGEGQANPDFTRDERASLYMELPRIHAACIDLFRA IMSTFGSGVTPVIHTILEQTLWVFEAESFNNGIRESSYLLIGDILSLVGRSLVKQNVF ALSSLVRQCCRDLVPVDTARATQDQQNTTSKGGKSKNSQLNMNVDVILNPALKESNTN KESNIGRQDSAAMALLPLLYNYLPTEFIPMPIRTEMERVTILTSNRSAMVASVMNPIP PVKGRRAIPSILPFLATRYAKELEVECLIRPRMPVLLGNTGEQYDAEDYEEQEGSMDT DVPAVPVSSVDIQQLSSNFANNVQPATVVQPPSTATQNKRILPEDSDKSGPSQAISEQ SIPPQAKKARFGDGEVQVASTTPVVPVTLFEASASPAIIPPTATPVTSSIMDSSAPRY SSVSTDRKKPDGGAAAGNIDDDDSDDEMPTLNIEPDTDEDEDDE TSTA_119580 MANIPYETHLDLITEREAKFDELFRQGEFSPLLLQQVVGTFLLL LVPLVIHHRSTYQSRTLRYGFHAVITAFCIYTIFEVRFLGPANGYGVGLITTWFYIWS TTLLLANDVQKDLKRIVKESIEGNYGDEQEGSPEAVNNDTSKLRRRKTQQSPDVSRQP QKIYRWQPFPRKLSDRLDWVTDLIFNFRGPNWNWRLRSLPPLPEPVVRDIGENTNSTK ETKPTKQTDYKSPSVKLRYALRSFLRDYIALDLLKLLLMRDPYFWGYVDSSISPPWPF DVLVWSPVLVRSYRIILSLASVHFALNIVTSLNPLFFLGLSYLFPSFARSITHQPVDT FGSASSVLDGGLVGAWNTWWHQLFRFGFSECSRFITELVFPIGSQKNGYKLARRILQI ILAFSLSGLVHAMGSYTTFSNSRPWNAFLFFVLQGAGALIQYFFETVLIPAILPKAFT PLPRWFVRTTNLILVVAWFYFTGPLIADDFARAGIWMFEPIPLSLLRGGLGLGVKGDQ WWCWKGSWFEWHSGNAWWQSGIRLV TSTA_119590 MPPRAKRPAAAVVDLTREDQENAHRPTKINRKDRVNNSTPLGNV TNGQRFGEETDYISLSQLDDDDFNSIVPSTQSNEEVDMNSYQLYGCINTKIVGIRFYK GYASLGERVILQREPYNQYDQNAIKVLNVMGTQIGHIPRTVASGLAKYMDSKDLVIEG MLTGNIGTYDCPIALNLFGPADPIKKNQVRTQMRADRLPLVELIRTEQDKARKEWQRQ RALKEAEKQRLAAMSNNWGRGGYPTLQRPRQPVESTSLEDLVQQSSSINAHRMGQTVE RFGNTEADLENMPMAETPFAMKTQLLSYQRQGLAWMLDKESPKLPDAGSNKDVQLWKN EHGRYKHIATNYATSTPPPLASGGILADDMGLGKTIQTISLIMANSNADGNGITAPTL IISPVGVMSNWKQQIEAHVKEEFLPKILVYHGPGKKEVSKLKDYGVVITSYGAIATEY DPDKKTAKSTRSGLYSLQWHRIVLDEGHTLRNPRSKGALAACHLNADSRWSLTGTPII NSLKDLYSQIRFLRLSGGLEDLAMFNSVLIRPLKDGDPMGAAILQALMGAICLRRRKD MAFVNLRLPDMKMHVLRVKFEEHELKKYEMFQAEARGMLDKYKHQVGGANGGTTYSHV LEIFLRLRQVCNHWCLCKNRVDKLMALLGESEKKVVELTPENIRALQDVLQLQIESQE TCAVCLDNLSQPVITACAHAFDRSCIEQVIERQHKCPLCRAELKDTGALVSPATELGE DAGVDEAETDASAPSSKIKALIQILTAKGQVEQTKTVVFSQWTSFLDIIEPHLTANDI CFTRIDGKLSSNKRDQAISEFTNDPKCTVLLASLNVCSVGLNLVAANQVVLCDSWWAP AIEDQAIDRVYRLGQKRETTVWRLVMEGSVEDRVLDIQAAKRELSSTALSEKTDKKKG ESTSSRLADLEKLLRRVDEPSTQQ TSTA_119600 MVNITDKIKEIEDEMRRTQKYHLGLLKGKLARLRAQLLEPTGGA GSSGGSGFDVSKSGDARVALVGFPSVGKSTFLSKITKTRSEVAAYSFTTLTAIPGVLE YGGAEIQILDLPGIIEGAAEGKGRGRQVISAAKTSDLILMVLDATKRAEQRALLEAEL EAVGIRLNREPPNIYLKAKKSGGMKITFQSPPKNLDEKMVYNILRDYKILNCEVLVRD EDATVDDLIDVIMKDHRKYIRCLYVYNKIDSISVDFLDKLAHEPHTAVMSCELDLGVQ DVIDRIWKELRLIRIYTKRKGVDPDFSEALIVRSNSSIEDVCDQVHRTLKETFKYALV WGASARHVPQRVGLGHMVADEDVVSIVAK TSTA_119610 MAETAVSEPLETTNIAPENTELSTEQSETPSNPQTALAQTSSTD QENALVPTGDGEVSQKKKKIIRRKKRPARPQVDPAMIKSEPPPQTGTIFNIWYNKWSG GDREDKYLSKTAAAGRCNVAKDSGYTLADKVAGSYFCLFFARGICPKGQECEYLHRLP TIHDIFNPNVDCFGRDKFSDYRDDMGGVGSFMRQNRTLYVGRIHVSDDIEEIVARHFQ EWGQIERIRVLTARGVAFVTYSNEANAQFAKEAMAHQSLDHNEILNVRWATVDPNPLA QKREAHRLEEQAAEAIRRALPADFVAELEGRDPEARKRKKIEGSFGLDGYEPSDDIWY ARTREIENAGETPLQLESSGQRHLIENAPESSLDLASTIGDQQQQSGGILSSSTLAAL KGFAPAAQPTVANSGGPLVAYGSDDDSD TSTA_119620 MPHKKQKKPSNALQNGSPKTETKPDSPESSAVKGPRLGATNYRE IHQDEAEALRSIYGEDFQDVELRQAAWKQSSEVAFKLRLRASSDPNVSILLFVELPTT YPKTVPNLIIEESADIRQGPRSRIENVINHKPKELLGSEMIYELAVNIQEVLEDAAVA RAEDKDMPSLEEERIEQEAAAIQQAEQHKMEELRKQEAATAEEERALQRLLQDKMRQR ERTKARDSRRKSRSAALDIGGNPEDTSGYVTFDPPLVMNDIDDRPFTFQAISSKTLLY SSPNSETFTARPFVSENRIRAPLVVLKEIYLEEKCLDSLAFRQQIRTSEDKLEVLKRL RHTNIVDLIGFKISPPLQAPDSSNSGWQICVLFEYANKGSLSELLDMIGSIAVDSIRS WMIQLLEALDFYHRNGVVHGDIHSGRVMLFLHATGSTTIKLQGNIEATLPRSNNRQET LATSRSPFWMPPELAQNDARSTVKTDIWDLGILLLQMGFGKDVLQRYTSANAVMNSLD LSMPLHDLLREIFKPDPKKRPTAFQLQPSEFFRVGAPLLESSTTSHSHSIPFVRRPRM DSQVGMPNFSRYAHDFDEAGRLGRGGFGQVVKARNKLDGRFYAIKKISQNSASALKDT LSEIMLLSRLNHPYVVRYYTAWLEEDHDTVDSDVLSIADDESATDIDLGASTSGLDYI SSSGYPKVEFGYDSDEGSTSSDRENGASKDLIGSSTDVGSVIGRVQSGSQGRPVTNTL YIQMEYCEKHTLRDLIHDGLYDDIDRCWRLFRQILDGLSHIHSHGIIHRDLKPDNIFI DVANNPRIGDFGLAMSGHFTAEVRYSAATDVAEDFTRSIGTTYYVAPEIKSSSTAQYN EKVDMFSLGIIFFEMNCPLRTGMERDHTLRAIREKSHALPPIFQQAEKAVQGEIIESL LSHRPSERPSASELLQSGKIPLQVEEETFRRAIIGLLSDPSSPDYKKILSAIFSQSPK KFEDLTWDMDSRGNPGANEMLIRGLVKEKLIQIFRRHGAVETTRQPVFPRSGHYQNGV VKLLDTSGNMLQLPFDLTLPNARAIPRQDPSLEKTFSFGRVYRESPHGGEPRSHREAD FDIVSYNTLDLALKEAEVIKVLDEIIETFPVLRSVPMCFHINHSDLLQLIMEFCRITA AQMPVTKEILSRLNVGKWTMQKIRSELRSPTIGVASTSLDDLARFDFRDTPEKVQQKL QIIMEGTEFADRLPPIFARIKSLVSYINGFGIKRKVYVNPLASLNDKFFRGSILFQCI FDGKRRDVFAAGGRYDRLIQEFSPKLLYSRSQAHAVGFNLSWDRLSSSMIDYITNSAK TFTKHNEADVEGFWRTRRCDVLVASFDPTVLRTLGVRIVQELWAHDIISELAVDASSF EELVSHYKEDNHSWVVLVKADSNERGLKIRSLVKKEEFDVRASDLVAWLRAEIRAQNH REILVDHPKLMRNPSQPDTVGPSGERGNDVRILIAQHRSKKTNRRNIIESAILRSREL VDKALNGPIAAVDTRDDLLDAIRDTRLCDPDSWRAVIQNAPLTERKYLGQVHELLRDL ASEYVPGGGKEHESNNAFIYNFRTGMCIYYDLGRST TSTA_119630 MLGWITGQSEEQRRATDDSVVMEPPQTPGPVFAMRAFRSAIWGT PGGEDNDNDRPTSTESPGKPMLRKRNTIHQTIPAAPIQQPKFDKPTSDSTNQLSMSPT KSILVTPGTVSNRRKTVSFGETAIHGDSERNMALSRSNSATVSPAGSVTSQWMSSQSD GRSRPRSRLTQSLLDAKGQAAEEPTKQNKTTRTEESLESKDLASNPKTSPTEKHDDTI DLENPQSQSGQYWKTEFENYRKRTNLEISRLIQYRSSARSFARKKDIEAMRLRDELRK EEEKVTNMERRVTELASNMMNEKADAERERLVQDLTRQTALAVQYKHKVDTLRKTLER HGVIGSPDEQTDSEESSRDTQTEIKRLKDALEEANKRLEENNQDDEIKKLRDLAKSSE RKVSELERENAALKNNITRVKEEMGKFNERRIEKETKLKQRVAKLDVRCEGYKEKMKQ YRAAVDEERAMYRQEIEALKEELAGLTPARRRVSLNDAPRRPEGKPYAGVHIQDFGGD GHTQNPVRDDEEMLQIIESIEESDLLGAGD TSTA_119640 MASSVPEAANALKLKGNAAFAKHDWPTAIDFYTQAIDQYDKEPS FFSNRAQAHIKMEAYGYAIADATKALELDPTNVKAYWRRALANTAILNPRAALKDYKS VIKREPNNQTAKLRLTECEKLVRRMDFEKAIEVAEPASAFEDLDIDAIVVEDAYDGVR LEGEMTQKFIDDMIERFKNGKKIHRKYAFQIVKNVLDIVRAEPTMVEIGVPEGTKLTI CGDTHGQYFDLLEIFRLNGFPSDTHAYLFNGDFVDRGSWSTEIALLLYAYKWLRPDKF FLNRGNHETDDMNKVYGFEGECKAKYNEHVFKVFSESFSALPLATLVGGKYFVLHGGL FSDDSTTLDDIRKLNRHNQRQPGQSGLMMEMLWTDPQTTPGRGPSKRGVGLQFGPDVT KRFCEKNGLEAVIRSHEVRMGGYEIEHDGRCITVFSAPKYCDTTENKGAYINVGPELK LEYHVFEAVPHPDIKPMVSQYLTSDKTSFASVSAHERWPVILTSAIDDLHRTVADVPA TNDEKRVEGKTIIEELAKLKYEIQHNRQLTPLLDDGEPDIAEYNDELEKRGSPKWFNV AWLYSECYLYRRIATLFNRSKFWKGYDVFSRQKMSTFKSSRPAVLELAARYKALALEA EKENKKKGTDGLSEEKIEEAERIIFTEMCEICLWGNATDLSLLTSLTYEDIQKLQGSE ARKASEKNILVNDMAEAYNVLRDARKTKKIGEERRVDIILDNAGFELFVDLILAGYLL SSGLATTVVLRPKVIPWFVSDVIPKDFADLITALANPQGFYTASDDSGREYPPLSEEE LSNVQFLFSQWSSFHAEGKLVLRPHRFWTAAGSFWRLPNTAKDLYEDLKESELVLFKG DLNYRKLSSDVAWDPTTPFTEAIGPLGPKSGIRILSFRTCKADVVVGLKPGEDERLRQ LPDGGGDSGARKWAWSGKWAVVSFSDGKI TSTA_119650 MTSFVVPPGQIRTLRACMVCSVVMPQSKFAREGCPNCEHVLQLR GNNDAIQECTSQVFEGLISIIDERQSWVARWQRLEGYVPGTYAIKVTGTLPTETIASL EDAGIKYIPRDGSANEEES TSTA_119660 MSSALRSTLNMRPSVARLNAGRLFSTAAPRHSAQPFFANEPKEP SVQTAVPGPKNQAAAKDLSEVFDTRSLNLLADYEKSIGNYIVDLDGNEYLDVYAQIAS IPVGYNNPHLAAAVNTPEMVRAIIDRPALGNFPSADWSHILRTGLLRAAPKGMTQVFT ALAGSDANELAYKAAFIYRRSQERGFDADFSEEELKSTMVNQGPGSPQMSILSFKSAF HGRLFGSLSTTRSKPIHKLDIPAFDWPAAPFPNLKYPLEEHAKENAEEEQRCLQETER LIKEWHNPVAAVIVEPIQSEGGDNHASPAFFQGLRDITKRTNVLFIVDEVQTGVGATG KFWAHDHWNLSTPPDMVTFSKKAQAAGFYYNNPALRPNKPYRQFNTWLGDPVRAILFR AIYEEIENKGLVENTAITGEYLYNGLETLAQKYPHAIKNLRGKGQGTFIAWDTPNRDE FLAKAKTVGVNIGGSGASAVRLRPMLIFQKHHADILLEKLEKLLS TSTA_119660 MSSALRSTLNMRPSVARLNAGRLFSTAAPRHSAQPFFANEPKEP SVQTAVPGPKNQAAAKDLSEVFDTRSLNLLADYEKSIGNYIVDLDGNEYLDVYAQIAS IPVGYNNPHLAAAVNTPEMVRAIIDRPALGNFPSADWSHILRTGLLRAAPKGMTQVFT ALAGSDANELAYKAAFIYRRSQERGFDADFSEEELKSTMVNQGPGSPQMSILSFKSAF HGRLFGSLSTTRSKPIHKLDIPAFDWPAAPFPNLKYPLEEHAKENAEEEQRCLQETER LIKEWHNPVAAVIVEPIQSEGGDNHASPAFFQGLRDITKRTNVLFIVDEVQTGVGATG KFWAHDHWNLSTPPDMVTFSKKAQAAGFYYNNPALRPNKPYRQFNTWLGDPVRAILFR AIYEEIENKGLVENTAITGEYLYNGLETLAQKYPHAIKNLRGKGQGTFIAWDTPNRDE FLAKAKTVGVNIGGSGASAVRLRPMLIFQKHHGMSLPFPYHFSFSHFRIYANSQTADI LLEKLEKLLS TSTA_119670 MSNQLIPPASVIATWPAPNFVDPELRGPGLLVVNVVFSSLAFIL TVLRVYTRGFITSTIGLDDVLAVLALSFAIAMCTATSIAAVKFGWDRHIWDVPFTWMP AMLKYRMVFEMTFCLSSILTKISLLWFCRRLLGSSAKSKFRYLNLSLIAAMVLLFILG LLFIFITLFTCIPLKASFDLLPDYPYHCIDGNAVVIAASVINVCTDFMTTVVPMPLIW KLQLPRRQRLAVIAIFGIGITVTIASSVRTYYAWMNTFGSYDATWYGWATGLSASVEI NMGLICASAPALRPLIKTVWPRLLGGSGHGHGDSYQRFNGAKPWPPSNRSDNPHIRMG SNESTMKGPGILSRFDSTNEPGIVRTVELETFYEERKEVIYGRPVAANSVNARPLMEA RNAHGGGTFFMNRRRDSFPSARHLKELYSDDEALFAVERMV TSTA_119680 MEGGGVASSGEASAAATSSAAAHTAGEGAAPIHSNHHHTDDQAH SQQAFVYHREQDSPQPKSRPISLSDLQEARKHYLMPTQPTASDLEHYFTGPRDMSRHT KWPTFLRMDGSILPRLILPLIFIAGWSTAITCIHIIVHPLTVSNILLTVLGFVVGLAL SFRSTTAYERYADGRKYWASIIQTSRNMARTIWVHVKERPGEEGKQDVLGKVTAMNLL LAFSVALKHSLRFEPAIAYKDLVGLVGHLDTFAKEAHDPEFLNPPRKSFWKSAGMYLG VTFAESNPRKLIKRAKKPLGHLPLEILNHLSAYIEHCEKEELLKSALHQGQLINSVAS LNEALTGSERVRDTPLPEAYSIAISQISWIYILVLPFQLVSLMNWIAIPGSIVAAYII LSFVAIGRELENPFGDDVNDLPLDSYCKQIASEVDIITATPAPKMMDFMNSSDNFVFY PLSLDGFDKWQQRSMDEIRAALRTKVIAQKQVIDDEGEITTLAPSMKE TSTA_119680 MEGGGVASSGEASAAATSSAAAHTAGEGAAPIHSNHHHTDDQAH SQQAFVYHREQDSPQPKSRPISLSDLQEARKHYLMPTQPTASDLEHYFTGPRDMSRHT KWPTFLRMDGSILPRLILPLIFIAGWSTAITCIHIIVHPLTVSNILLTVLGFVVGLAL SFRSTTAYERYADGRKYWASIIQTSRNMARTIWVHVKERPGEEGKQDVLGKVTAMNLL LAFSVALKHSLRFEPAIAYKDLVGLVGHLDTFAKEAHDPEFLNPPRKSFWKSAGMYLG VTFAESNPRKLIKRAKKPLGHLPLEILNHLSAYIEHCEKEELLKSALHQGQLINSVAS LNEALTGSERVRDTPLPEAYSIAISQISWIYILVLPFQLVSLMNWIAIPGSIGKTFPA PFLLSSNA TSTA_119690 MASQTEDVIMTAEHTTTTNNKSTQRRITSRNPQWTYFKLQLIPH SNHPIKNFTIDPLTARAHLSSALSQFLGLMGTSIGIDILKIENPSSSSTVQQQQSAKR PIIEFPNVWIRVPKDDGAAVLAALSSWVGSLSSSAAAAAAAAGDVSEDGDGGVAWRVC AKGNYLNAIVHGSGKEVFDP TSTA_119700 MAEGAGFQPAPAGHSSAYADSRLSQQRLNGLTENTTGEIKNPLV GIPKSQLMVDVEAYADHYQLNGILPLLKKGALVAQNPRGIENIEELDEADRQALRQEV THRWKQPKTLYFTILLNSIAAAIQGWDQEGSNGANLTFQESLGISEKSPGPCDLDGTC DRNLWLVGVINSTPYLIIAILIAWIADPLNHWLGRRGVIFLGAIFSVVSPIGSALVKT WPQLVICRCLLGTGMGLKEVTVPVFSAENAPTSIRGGLIMSWQLWTAFGIFCGVGANL ALVNTGDIAWRLQLGSAFIPAVPLMLGVWFCPESPRWLMTKKRHDKAYKSLLSLRNTP LQAARDLYFIHAQLVQEGLMLEAGGFSKNASMFTRFIELWTIPRNRRAAQASGVVMVA QQMCGINIIAFYSTSIFAQAGASNLGALLASFGYGSINFIFAWPAVWTIDTFGRRALL LFTFPNMCWTLLAAGFCFWIPTESKAHIAMIALFVYVFAMFYSPGGGPVPFCYSAEVF PLSHREIGMSWAVASNNFWGFWLGVTFPYMLRALQPLGAIGFYAGLNLVALVLIFLFV PETKQRSLEELDYVFAVTTRRHASYQLFTVLPWWIKRYILRRKYLVCPELYKTDLDEY HYSSHTSTVLQDSNKSGTEASAYQTQSV TSTA_119710 MTESIYTTYPPKLSAAQEQFLVSTIKDWTVQHGLTVRPPPTFIS QETVSKGVLATNAPVTLFPSPFPKACFEEARAVQKVYNELYARITSDEKWLGEVIEEL IDVDDFVAGLWKVHQAVSQEGYVQDLSLGLFRSDYMVHTLDSETASLKQVEFNTISSS FGGLSSRVAALHTELLQSPPGHSLSYPSHPLFDGRVPPENTAVETLSAGLAAAHKAYG LSKSSPSLPLAILFVVQDGERNVFDQLALSTRLTQFHKIPVFRLVTGEILDRTSIPDG NPSRPILYTPPHAPDSLFEVTTIYLRSFYAPTDYITDRDWSARLQLERSAAIKCPTIL NQLSGCKKVQQVLADPNKPENLARFIAATDVEGTQEKLRKTFAPQYDLSPNGRGRELA LNPKTASRHVLKPQREGGGNNVYKEAIPGFLKSIPESDWKGYVLMELIQPPSEATNVV LRSDGEVLGGNVVGELGIFGTILWKSNGEILHNQEGGWLMRTKGKESNEGGVAAGFSS LDSIILY TSTA_119720 MDQDQEDPIDWSVDQVVQYLCHNNSTPWSVSKHPSPLPDRQSLE TAIREHGITGEVLLDGRLENFLRNSLKLKEGPFYTVNKAIQYAQRQSRKYQAQQNQER SQFASAMFPMMVNNDRAWTHPFNPPWFFNTATASIPQLTGLNNAPKLSNNGDRLPDQQ LLSSLQATQPPSSPQVAELDDVELPDVPVEASRRRSNSVLQIDTPVSLRLRPNEAAHT DERGQKRRRLDLTAIESPTPLPRERSERSTEEHPPRYWYIGPGKIDAASVFYPTPSDS NEDNWFIFSSKHSAGQRLFVKRKMHRFFRKEVIELPSQNGACRYAMLPYDEDDVNRGK PRYYTLYTSHKGRVEVNVKSTADTTEDLPFILDQASRAKEENPYDYLLKKYPPKDDSD SYPIYGESGSEGDYDSDTWREMDDEREEAALKPSKYLSSNEVDAIIDQRIAHYEGEWR TKQLPKLELGAHKIWFDAFKKKCRNLKIKIALDDIERLKLRLGKIREALHEDEWLQPS QLIAQCEVMEPTIFEIESQKRRVAILELPQCPPKPHSVPRPAAKPKGKANHPLSDEES LGFDSDSSLRNFIEDDMDVGVRSGSGSILPRTDFDSKIRDTLDVTGTHTESGTPIKTR SSPAQDLIHQEEVLHDGGIEVNPGSEAVPQPRCGFYYSSDSASESDYEPPDAVSGVGR RKTEIIDLTGSPPRSNGSSTPITRFANTRNQQNHISDDILVVIPSQKPRLSSKPRSAQ RSKTSSAKPDGSRDTKRGASSQADREDPRQILERMVEKLSESDRTEMLNHFLNLSDRK INHLLKIGLRVILKEKPSIKGVSPEESHLGIRATVFYIAWVNRRIVDRAGIRIGYIQR AIDAIERSKDAPGDDLLNYLSHFRHLLKKSAADSTPSIEDSDDDGPITFLDTPRNKRR RRLKEDQAVKSGQVAARQRVENQERQRKILEERFERIGVSNSDPEHQAVSFEQPTIFL HPQIGGRVKPHQLAGIQFMWRELIQNEKRDGCLLAHTMGLGKTMQVISLLVTIAAAAN SPDPAIRKQVPEFFHRSQTLVLCPPSLIDNWYEEFNMWAPRGDHKLGQIRKVAQSDPL EQRMSTIEEWDTEGGVLILSYHLFRNWVAPELKKSTNTNTEMQFPTRLKDQLLKGPRI IVADEAHQMKNKSSQLARAAAMLESRSRIALTGSPLANNLMDYYAMVNWISPKYLDEL AVFRAKYLEPIEQGLFSDSTYQEQRRSLKKLQVLKQILTPKIHRADISVLEGSLPSKT EFVITVPMTEVQKRTYNHYVTSLMEGKNAIAVSSATFLTWMAVLGLCCNHPACFYDKV AKRAEEHAPKPANEEPIDPETFPAEVPLSSLGFNEAMWASQKQLLSDVPDLDDPKHSH RADIFKKIVEESVRLEEKILCFSQSIPTLDYLERLLRSSGVRFYRLDGSTAVKNRQKD VKSFNQGEISVYLISTRAGGLGLNITGANRVIIFDFSFNPTWEEQAVGRAYRLGQEKP VYVYRFLAGGTYEEVVHNKSIFKTQLAMRVVDKKNVERSATKSLGEYLFPVKDVKQED ISEYIGRDKVLDKILLNNDSASPSILNIELTETFRRENNEMLTEDEKKEMEQELELEL LRMSDPAAYERKMMEAARRPEPTLVYPTTNLPTYYMSSGPPLSQPAPPAPPAYPAHAQ AQVQRPIAPPHVERSFFKPPPWAGPAAPVPKSMLSSGPPPTHLPPRPDFISAPPVTRD TSESAAQAILKSFSSQSGVNNTQYNNVRPSPPSTTSSPISDQPSGLPDRVTVESLAAR LGPD TSTA_119730 MKRRITYITAADAEFDPSKQAILSKDTLSIRGLDAAKEERFTFN SAELPTKLLDNLHHTRELHIRWSTERNYDSVAPFLARISPGLQVYHISSNESELREDT DRICPLVQNLFGDDIKCISQKKSFSEAPVLVERLSSLSAQHTQFHHNLPSIDRFVQFI RHSVCDLTDVQCADIISSLSSADSIAIDYDATADTITLSAFWSQPLSDVARWTEDINV VGSSGKVEVGLLSNEKSIDAEDLTLGGYLAVVGQDSKLKHATYVSTFETPTGLHPTMK ISISPSALVQPSAPEDTTCALHTYLTLPSTIFADKYQLSTKDHLFLNSHHLKGLRAVS GETDLEAPDWVLPSWGSNLLIEIATPIDNQSINDSDSWDVTIPLHLRYLKPSESGYRP TSIPWPIVFWACSAEDTEMGINPFDRVDLGYDKLFPPKTYFYHLHPGIDTAERVLMQE IQVPVLRAATEGGRLFGDAGYQVELGTVAAILIGFTWVLWKLVSSLRSGPRNSIDAKK RK TSTA_119740 MFAQLFRSTTLRASPVSSVKASLPQMRSLATVDRRFASTRRFEP ATFTIRNGPVFHGKSFGANTNISGEAVFTTSLVGYPESLTDPSYRGQILVFTQPLIGN YGVPSAEKDTNGLLKYFESPNLQAAGVVVADVAEQYSHWTAVESLGEWCAREGVPAIS GVDTRAIVTFLREQGSSLARITVGEEYDADEDEAFIDPEQINLVRQVSTKAPFHVSAA NPECHVALIDCGVKENILRSLVGRGASVTVFPFDYPIHKVAHHFDGVFISNGPGDPTH CQDTVYHLRKLMETSQVPIMGICLGHQLLALAAGARTIKLKYGNRAHNIPALDLTTGR CHITSQNHGYAVDASTLPSDWKPYFVNLNDSSNEGMIHKSRPIFSTQFHPEAKGGPLD SSYLFDIYLDSVAKYKKNQSVFQPTRESRPSPLLVDLLPKERVGVAPTTGALNNARTP TAPSPVSQQAPAAAVAAA TSTA_119740 MFAQLFRSTTLRASPVSSVKASLPQMRSLATVDRRFASTRRFEP ATFTIRNGPVFHGKSFGANTNISGEAVFTTSLVGYPESLTDPSYRGQILVFTQPLIGN YGVPSAEKDTNGLLKYFESPNLQAAGVVVADVAEQYSHWTAVESLGEWCAREGVPAIS GVDTRAIVTFLREQGSSLARITVGEEYDADEDEAFIDPEQINLVRQVSTKAPFHVSAA NPECHVALIDCGVKENILRSLVGRGASVTVFPFDYPIHKVAHHFDGVFISNGPGDPTH CQDTVYHLRKLMETSQVPIMGICLGHQLLALAAGARTIKLKYGNRAHNIPALDLTTGR CHITSQNHGYAVDASTLPSDWKPYFVNLNDSSNEGMIHKSRPIFSTQFHPEAKGGPLD SSYLFDIYLDSVAKYKKNQSVFQPTRESRPSPLLVDLLPKERVGVAPTTGALNNARTP TAPSPVSQQAPAAAVAAA TSTA_119750 MVAETKLYDSLSVKPDATQDEIKKAYRKAALKYHPDKNKDNPKA VEKFKECSQAYEVLSDPEKRKIYDQFGLEYLLRGGPPPSPGGGGAGPGGMPGGFNFTN MGGGPGGGGGTRTFRFSTGPGGGASFNFSNPEDIFRNFAKSGGGGGMGGGLDDHDFLA DILGAGLGGGGIPRGGARTSAGGPGGASFSTRREPTPEPQVVEKPLNLTLEELFNGTT KKVVTKSKTFDANGRRNVQDITLEAKIKPGLRSGSKLKYKGVGDQEEGGRQDVHLVVT EKEHPTFKRSGDHLITTVDLSLKEALTGWERIVKTIDGKSIRVAKPGPTQPGYEERFP GLGMPISKKPTERGDMVVKVNVKFPTTLTAEQKELLKDVLP TSTA_119760 MASGLRILVPVKRVIDYAMKPRINKTQTGVETAGVKHSLNPFDE IGVEEAVRLRERKGPLKVENILALSAGGAKCVDTLRTAMAMGADKALLIDVPESADGG PEPLTIAKLLKSVVQKENINMVLLGKQAIDGDQGQTGQMLAGLLGWSQATQASKVDIK DESGTVEVTREVDGGVETLRAKLPIVITTDLRLNEPRYTSLPNIMKAKKKPLEKKTLA DFGVEDKRRLKTVKVTEPPPRQGGGKVEDVDGLVSKLKELGAL TSTA_119760 MASGLRILVPVKRVIDYAMKPRINKTQTGVETAGVKHSLNPFDE IGVEEAVRLRERKGPLKVENILALSAGGAKCVDTLRTAMAMGADKALLIDVPESADGG PEPLTIAKLLKSVVQKENINMVLLGKQAIDGDQGQTGQMLAGLLGWSQATQASKVDIK DESGTVEVTREVDGGVETLRAKLPIVITTDLRLNEPRYTSLPNIMKAKKKPLEKKTLA DFGVEDKRRLKTVKVTGKL TSTA_119760 MKPRINKTQTGVETAGVKHSLNPFDEIGVEEAVRLRERKGPLKV ENILALSAGGAKCVDTLRTAMAMGADKALLIDVPESADGGPEPLTIAKLLKSVVQKEN INMVLLGKQAIDGDQGQTGQMLAGLLGWSQATQASKVDIKDESGTVEVTREVDGGVET LRAKLPIVITTDLRLNEPRYTSLPNIMKAKKKPLEKKTLADFGVEDKRRLKTVKVTEP PPRQGGGKVEDVDGLVSKLKELGAL TSTA_119770 MSQRNSWKDYGKKGFGFGKKGFDKAWQALDKLGAPVNRLSNRVG AEAFWPTTLDKESDKAARILRSFCKDGFYDQIDADAAAKAAQEKEVIEGKQKIDKPIG KQRVLVKIPTEVIKKAKGIAIFTTMRTGLWFSGAGGSGVLLARVPETGEWSPPSGILL HTAAIGFLVGVDIYDCVVIINTYEALEGFKKLRATLGGELSATAGPVGAGGILDSEVH KRQAPIWTYMKSRGLYAGVQVDGTIIVERTDENARFYGRKISAHEILAGKITHPPDSI RTLMRTLKAAQGDQDVSESELPPAGEAPADHIIIHENESGFGVPAADDPDPYGVHALE EQGMFIREAGTHNRPSQEVFEFRPSPSSPIYNSFVRQSIDSSPRQSWRAKDTPPAQPP RSASVASSRSLRDTAESPIENPWDTPDTSEDHDVQSDSNDFEIHDASNATVAKSERPQ SVLSNPSDESSSAANFSRPRLVTIPKRIPPALPPRNPVRRRGSQSTQGSVVAGPTSPS NSTHGEAPAAIDSSANGDKHDLKEVVREDSKEVHVSPEPAVDEEIPAVEKDEFHSTST SPTEELKSLEFNEAKHEIKDQVKEDAKEEAKESNAEAEKTT TSTA_119780 MVPTPFKGSVIAVSGTFRTIPPMTQDKLKKIIEEGGGTFSPKVS NDCTHLVTSLKNATGSNAKFKQASALNNCHIVTIDWLLESQGKKKKLDEKKYLISNID DQKDSTDDLAFPTPPAESDKKRPAQAVADGDEPPSKKKRDDETKEEKKIHVPVDEGCK DGAGYEVLIDEDGVIWDASLNLTSSSNNNNKFYRIQLLKSKKKDTYCSWTRWGRVGEM GAGAMFGPSDFESAKKSFEKKFKDKSGLAWKDRLNDPKSGKYSFLERDYEEDDPDEEE AKAKNQQQKKPAGDERPPPESKLPEPIQEVVSLIFNQEYAMKALADLNFDANKLPLGK LSKRTLQTGFQALKDLSELLNDPSLATTRYQQPLGVALETLSNRYFTIIPHAFGRARP PVISHSDLLRKEVELLDNLTDMEIANQIFSDSLTTDSEIHFLDKQFEGLRLDEMTPLS HSSAEFEEIAKYLVGAAGPTHHFNLKPQDIFRIERNGEHDRFEQAGMTKLPNDNRRLL WHGSRSTNFGGILSQGLRIAPPEAPVNGYMFGKGVYFADISTKSAGFCASYASRGIGL MLLCEVQLGDPMHELVHSSYTAGDDAKAGGKLATLGMGSTIPKGWKDAGCVHPTLKGV VMPDVSHGLESLDQMSRILYYNEYIVYDVAQIRQRYLLKVQM TSTA_119790 MIRSFLTAANLAATVSAQYFPPPREGLTVIKSNVTEGVTISYRE PGICETTPNVKSFSGYVHLPPHTLQEVGLDQNYSINTFFWFFESRHDPANAPLSIWMN GGPGSSSMIGLFQENGPCRVNPDSNSTALNPYSWNNYANVIYIDQPNQVGFSYDEPQN GTLNLLTGELKPLEDENVIPEQNNTFLVGTFPTIEGTRSTANNTENAARSLWEFAQVW FTEFPEYKPDDDRVSLWTESYGGRYGPSFTAFFQQQNEKIADGSLQGHYSIHMDTLGI INGCVDLLTQDLSYAEFAYNNTYGIETINQTVYEAAVDAWSKEGGCRDQILECRALAA VGDPLSLGNNETVNGICANASDYCSNALEGPYIEFGGRGYYDIAHDVLDPFPPNYFMG YLSQSWVLGAIGAAVNWTESVESVFTAFQNTGDYARSDVLGYLEDIAYVLDQGIKVAL VYGDRDYACNWVGGEEVSLAVEYSDADNFRSAGYADLYANDTFIGGKVRQYGNFSFTR VYQAGHEVPAYQPETSFAIFNRSLSNLDIATGRISTVANATYGTEGPSSTWDVKNEVP PMPEPTCYVLALGATCTDDEINAIANGTALIEDYILIKPDNHSSDPLPSLSTTAPKED SEKPSRWFQMLLSLSEFFDILL TSTA_119800 MTASKNDREFVCSKSETDVEEPSAINDFPQLTTTTERKLMAKVD LHILPFLCVLYLMAFLDRVNISNAVLFGLEEDLHITSGTKYNTALTIFFVPYIIFEIP SNILLKRLKPHVWLSFCMGMFGFVMVMQGLVQNWGGLMATRFFLGLFETGMFPGCFYL MSCWYTRGEAQKRFSFFFASTTLAGAFGGVLAYGIGKMNGIRGYKGWRWLFILEGLLT IVIAVIWFWTLPDFPETVSWMNEEERAFIKAKLEKDTGKSAHGQSITWRNALAVFKDY KIFVGGLAYFGLIVPAYGYAYFANSIIRSYGYSPLTTQLYSVPPWAAAFGFSQLIAYF SDRLRHRFLFTVVPICITLVGLAMLLNIHHKRHVEYGALFLVTAGTYSAMPVVVCWFA MNLGGHTRRSVGTAWQVGFGNIGGIIATYSFLSKDAPNYRNGFIICISFTCLSAAACI VYWLALWHANRQRDRNSASGQVTEDEDKTEELGDLAPSYRYIY TSTA_119800 MTASKNDREFVCSKSETDVEEPSAINDFPQLTTTTERKLMAKVD LHILPFLCVLYLMAFLDRVNISNAVLFGLEEDLHITSGTKYNTALTIFFVPYIIFEIP SNILLKRLKPHVWLSFCMGMFGFVMVMQGLVQNWGGLMATRFFLGLFETGMFPGCFYL MSCWYTRGEAQKRFSFFFASTTLAGAFGGVLAYGIGKMNGIRGYKGWRWLFILEGLLT IVIAVIWFWTLPDFPETVSWMNEEERAFIKAKLEKDTGKSAHGQSITWRNALAVFKDC ESAPILFWGHIYLNILQTRSLLVGWHILGLLFRLMVMPTLPTPSSEATAIVLSRRNYI PSLPGLLLLDSPN TSTA_119800 MISTENDREFVCSKSETDVEEPSAINDFPQLTTTTERKLMAKVD LHILPFLCVLYLMAFLDRVNISNAVLFGLEEDLHITSGTKYNTALTIFFVPYIIFEIP SNILLKRLKPHVWLSFCMGMFGFVMVMQGLVQNWGGLMATRFFLGLFETGMFPGCFYL MSCWYTRGEAQKRFSFFFASTTLAGAFGGVLAYGIGKMNGIRGYKGWRWLFILEGLLT IVIAVIWFWTLPDFPETVSWMNEEERAFIKAKLEKDTGKSAHGQSITWRNALAVFKDY KIFVGGLAYFGLIVPAYGYAYFANSIIRSYGYSPLTTQLYSVPPWAAAFGFSQLIAYF SDRLRHRFLFTVVPICITLVGLAMLLNIHHKRHVEYGALFLVTAGTYSAMPVVVCWFA MNLGGHTRRSVGTAWQVGFGNIGGIIATYSFLSKDAPNYRNGFIICISFTCLSAAACI VYWLALWHANRQRDRNSASGQVTEDEDKTEELGDLAPSYRYIY TSTA_119810 MASDKVEKKRKRDSDRHDRPSKKPAIEAQNLPPLTASVLKDDNE LAPVLINAPGLQFPKKVHGFKPYTKESSTSSKTASSSGRNKGIVSTELLLQSSEHPKL DFVGTEATEDADSQLKHYIAIVDPEKKTWQFVEVRKMTLRSTVKKRNPSVAADEDDSD GADVSYRDQRNALTEAFGTKASRKAAQSEAENSMLAPAGGSSAIESAILSSMPLQGIA TANLKAQELQAQVQANKPIPTANLSAMHPSEVYTIESLVAGGMSTLNQLPIQEWQDAV NAGEAVMSTSRYVAHRVDAVVKSTNVTHLQLLRYILALIELTKNIKRGKGSDPPGSKR IPLRADLKRLLSGGSSATTQLPEPVVEAIRRKFAPGGIMIRSNITLLYTTLCALTLHI PPTPTKDGGTSSLGGNTPTELATDPSDLRDDLRLDTATITQYFKELGCRVEKPRESEF AKFGIKSKAEAANKRVCKLRIPLDFPKVSRGGGPRR TSTA_119820 MIGAARRWLRRNRRGLAIGAGIIGLGYVAGQYVLSKFSEARERM NSDRIAKENLRRRFEQNQADCTFTVLALLPTATENILEALPVEELTQELQRKRAERLA RASGSDVLTSEPSSSTAPSVVEEDGKSLTSFQADSYIHASQVADSTTSDPERPRRTKA QLWNDVKIYSITRSIVLIYTLSLLTILTRIQLNLLGRRSYLSSVLALASPEGSSIRLE DHDDMQAFGNDFETNRRYLTFSWWLLNRGWKDLMENVRAAVEEVFGPLNPREDITLNK LSELILDVRKRVEGATEEDRRAKQWLPYLLPPKEDEDTVLQESGVLSSDTPSSPQTAA NLRQLLDETSDLIESPSFSRILTSLNNEGFAKLIEQKCANSLFNKTPTSEPTPITTFS SAATIVPSSSPKAKLATVLALITRESHIIGNGTNPPNEYLVAMEQGVQELEAFAAVIY SSNFHLGLLESENAVAAAAKIQEENQPGLRSADVASAEQSIASKQEQETSSVTDDNAF EKVWGKAVERSGSGDEA TSTA_119830 MEPRRNPPEYFLEIFADSNSVKDVLKGVLNLIFFHRYFPSIRPG TVDILDLTLPTVNDVELETLIDSRVNALVRQHLSTSSNSYSAGNGVRGRIAVEFFEKK RKKSGMWFGSLAGKGEEEVCWEIWTLDVTIATPRTESERAKVRKAMENMLQKAALKIL TNVNRDKDHIPPITTSNSNPFPYRIVLNPRTDSWGNRISLY TSTA_119840 MASFQDRAQHQIAQLDKELSKYPLLNNLERQTSVPKVYAILGVV GIYFFLVFFNIAGEFLVNFAGFLIPGYYSLNALFTAGTADDTQWLTYWVVYAFLTVIE SAINASYWFPFYYIFKFVLVLWMSLPQFNGAQVVFHSFIQPLFARFFSSGSTSSNLKA QAEAASKTQ TSTA_119850 MESLLNQSRAMCPFLKRTSPAALRSLSMATKPSTGPRGGTMSNL QVIARRCPVMSKALAVQSSRLASKNFTTAAAGIGATSKFKTFEKVSRCPLHTTAGNSA SVEVAGTYEKSSRPNPHVPLEAGKAATRKPDVSFAGPHPRAPAAPKFDYEAFYQNELE KKHKDKSYRYFNNINRLAKEFPRAHMAATEEKVTVWCSNDYLGMGRNRQVLKSMHETL ENYGAGAGGTRNISGHNKHAVQLEGTLAKLHHKEAALVFSSCYVANDATLATLGSKLP DCVILSDSLNHASMIQGIRHSGAKKMVFKHNDMVDLETKLASLPADVPKIIAFESVYS MCGSIAPIEKICDLADKYGAITFLDEVHAVGMYGPHGAGVAEHLDYDIYVSQDTANPL STKGTIMDRIDIITGTLGKAYGCVGGYIAGSSKLVDTIRSLAPGFIFTTSLPPAVMAG ANAAIEYQSEYRRDRTLQQLHTMAVKDAFKEIDIPVIPNPSHIVPLLVGDAELAKQAS DMLLENHGIYVQAINYPTVPRGEERLRITPTPGHTKQYRDELVQAVNSVWNELGLKRT SEWKAQGGFVGVGVEGAEQQNMPIWEDAQLGLMENESVEDAVNRELKEHALEEQASLA KAVQALRTGGAVNTTTSAGFASVGTPVGVAA TSTA_119870 MTGSAIVYHLCKDLQYEKIYTLSRKNPVNYNPNVQHATLDLQGS AEELAKNLKDVPAESSSGSNIISGECDDAVKLYPSVGNHRCNQKTEAVCSACGLKYYG VHLGNCKQLAVKDDPTRENHSWPPNLYYDQQRILKDAAARSEWEWIVTLPEDILGYAR GNFMNEATALALPGPELPFPGCKANYFAFNCWTSANLHAKFCLWASNGAQHREPYLQR NEWGDTQSFHDLWPQLVARYGCKISNSMFPQGGTPDTNGVAQYEAITVRMSNRNPIAA HAQRIGVSVDGSLTLFLQIDPEKWEKRKDVNEALGKLHDRYNLDQKSWESATGDFLTF ILGKDWSCVSAMSKARGLG TSTA_119880 MVSGTAFASPAPADNLFSPSSSIVTDITDDYGWETPVNGAAISL RENDNILEAPQYEDKGELERTEEISEQDPVLDHHFQSIALGRTKSITSWRLRTIISST ETKNIDVDLSDKRNGVPDIPIDSNSVILDRRETLEGITALARAITPKDLLPTNLYSLE GGKYNNLRFLAEKTWILNERAVSDADSGMKPFSKPVISCAKNQELNQSPIPPSQDIPN ITISPPQDTQTGSSSKLGDKSTLCSKSISLADDTIQNVLSLLSIQALSESVKDKNASQ LSLSKIIPIEIRGRLKEDNQHCPAWTNKGERCKQPHKTNFSHVRQCLDNMIIIKTSQL LEYLNDLISVTLCSQTHQRVARKEIENWMADIENLDRVHEGIQGTVSSNHRLLALADW ISALSGTQSLSQTENLVPSSRPAELKENNSSDVPQRQRLLQIFEPYLPKRLACLAVSE ALEKLLLKPLTKKTEIEKVGIVYVYWQPGNFGHLKIGYTDDLEKRMKGWNRQCNKTME IYYPSRNDAEELIPVSHVFRVEKLVHTELKNLRRIEKDCSGCGKNHIEWFEAPLDLAV AVVRKWMAWMRESPYEERSSSGGGNAEWVLKEEQKSKLSALSQPLKEVSITAQPMEKE RHSYTRRVKRLSYRK TSTA_119890 MSGAPPYSLRSPPPAQQSTYNSYSSSPPKGRPYYPNDDRYQQHP PETPQAYGSSYSRSPHFVHASSPLANTLPPLNGGPHSDTSPSYPGQHPASGPSGFSLP RIHTAHGMSDTRPSSYGQPGHSHPPSRLDSQLLSPKKDPDTSFMSARENGSSRYIAHS PKPTRTADPMSFASILSEPTEDTPPPRRASPPPPPPPSHPLILNKEPEPTPVLPLPRL EKKPSVEKRPLTFDREEKIPLPTRENGSKKQQEKPAPVVQARPMPGPRLTQKDLDAIE RKMAEIDRAEKSDVEDDTGFEAEFKLYIAKSKKRAMEADNLESHKIKRRRNEFLMKLS ANFASGAEAGAERFRRVNEREVISEVQRKEVQDEKERKKDMQRKRRRENTVRIETAKK LEAERRAKRTVDPIEKEKLLREAEKAQKKIKGVKRALERGNQPAEISEVTPLAPNMEG GTTSTFHIGKEKSPSAKKRPSRPTPSARPKKSKEKKQAEKDAAEMAYLAAERDELIAI LPKDELRAATTKKDAKGARSEEATPTPATSFETKGYNQIYEQIWRDIARKDIPKVYRI KTMSLSTRQENLRKTAQLASKQSRKWQERTNKSTKDTQARAKRTMREMMSFWKRNERE ERDLRRMAEKQELEMAKKAEADREANRQKRKLNFLISQTELYSHFIGRKIKTDEAEAT SDPSVQATGETVQPGKPNVHTINLPDSVADTKTKVTAFEDLDFDAEDETELRRAAMAN AQNAVEEAQARARAFNNEENPMAALDEGEMNFQNPTSLGDIQISQPKMLTAQLKEYQL KGLNWLVNLYEQGINGILADEMGLGKTVQSISVMAYLAEVHNIWGPFLVIAPASTLHN WQQEITKFVPDIKVLPYWGSAKDRKILRKFWDRKHLTYTRESEFHVLVTSYQLVVLDA QYFQKVKWQYMILDEAQAIKSSQSSRWKNLLGFSCRNRLLLTGTPIQNNMQELWALLH FIMPTLFDSHDEFSEWFSKDIESHAQSNTKLNEDQLRRLHMILKPFMLRRVKKHVQQE LGDKVEKDVFCDLTYRQRAYYSNLRNRVSIMDLIEKAAVGDETDSTTLMNLVMQFRKV CNHPDLFERADTHSPFSMAHFAETGSFLREGHFVDVRYSTRNFIKYDLPRLLFSDDGR LDIPGSNNPKAGFRNKYLSHLMNIWSPENIKKSITDDQAFSFLRFVDVSPQEAYETSR VGLFERAVRRRGVPNRFSRFNVAYDKSDGDNINSILTHSLFNVVERNDSTALYDSSTE GYMRELLTVSQRTFEKEGLAVIEPAARPAAAAPPITISCSGQAAAKETNDVLFNVPAR QALFATYSRQLDEQIVEKGLDPAPYSHAPMLPEPISYKARYTKIEIPSMRRFVTDSGK LAKLDELLRELKNGGHRVLLYFQMTRMIDLMEEYLTYRNYKYCRLDGSTKLEDRRDTV ADFQHRPEIFVFLLSTRAGGLGINLTAADTVIFYDSDWNPTIDSQAMDRAHRLGQTRQ VTVYRLITRGTIEERIRKRALQKEEVQRVVISGGAAGGVDFNTRHRENRTKDIAMWLA DDEQAELIEQKEREAIERGEDPAAKKGKKAQYKKKKDLTLDDMYHEGEGHFDDVSAKP SGAATPVSGEIAATSSSLGKKRSKTAKGPSKRAKTTKERLRLIDGDNDPIDE TSTA_119900 MAANRVFHFAGELLRRATGDTDPEDNAPEPEKKEFFSSWALFIL IMLLIGALFTSYILQQRKIQAVHETVLSIFGGMFVGLIIRLTPPSPIQDVVTFDYQFF FNLLLPPIILASGYELHQANFFRNIGTILTFAFAGTFISAIVLGLILYLWTRIPLDGL NISLVEAISVGATLSATDPVTILAIFNLYKVEPKLYTVIFGESILNDAVAIVLFETAQ KYAEDDAAKLTVLNLFEAIGLFLLVFFGSMLVGICVGIGTALLLKYTHVRRLPKIESC LIVLIAYASYFFSNGVYLSGIVSLLFCGITLKHYAYYNMSRRTQLTTKYLFQVMSQLS ENFIFIYLGLDLFVESELRFKPLFIIVAVLGICFARYLSVFPLSKAVNWFIRYRARRR GKDVADELPFSYQAMLFWAGLRGAVGVALAAGMSGPNAPALRATVLVVVVLTVIIFGG TTARMLDILGIRTGVVEEVDSDDEFDIEVTHGGTYYKRANSSGIGYTPRATDRTIPLD TVVDRPGISTTDSYSTGNNRRPSPPSRSRSSARKTKKFSSADRDQSATQGLLGVVSGN RSESDIGSDEDITGGHGGKQVAIDTDRLDEFDLDVDAVSDDDLPPAAPSTSRLRRSPS QLQTRSVNASTDASPARRPTEGSTATPREPITARNALRELFSGGPTGDHAEWFRQLDE DFIKPTLLLDQSNHKGPGAV TSTA_119910 MSNPDHHHHMTDANENSPLVMSPVKPSADLQRQGAAIEADALAR ARRRNELADQESKSTWYLVLLTLSIGGLQVVWSVELSNGSPFLLSLGMSKALLAIVWV AGPLTGTLVQPYIGILSDNCRIPWGKRKPFMIGGGLATIFCLMILAWVREIVTGVLGI FGADAQSNGVKVTTLVFATIMMFCLDFAINTVQAGIRAFIVDCAPAHQQEPANAWASR LTGAGNIIGYILGYMDLPKVFPIFGNTQFKILCLIASFSLGITLLISCLTIKERDPRV DGPPPPVGMGLISFFKGVWKSIRNLPPQIRKVCEVQLAAWIAWFPFLYYSTTYIGQLY VNPIFEKHRDLTDDEINRAWEDATRIGSFALLVNAIVSFTANIVLPLLIVPSYKQIQV STDNIDFMPVAQDIDSAEEEIRRSISELQGHIASEPLLSHEAVSIESDEVDDAKKKRF DFVKKLRIPGLTLRRTWLLSLALLSVCMFSTFFITTAQAATVVIGIVGISWAVTLWAP FALISAEVAQRDAERRLNRLQAEFDALSGHEITHTAEIERNHEEEEEDEDEEEQDIEA AATIPKIDTDEASPTDQAGIVLGLHNVAVSFPQIFSTMISSLIFKALQKPRGEPWDDS VGWVMRFGGCAALVAALLARRLEEKGSNSR TSTA_119920 MYPQHGAVMAPPQKPETFMLSSEAQQSLPQDAQVALQQVDNLKY FLISAPVDWSPDQLIRRFLLPTGDYISCVLWNNLFHISGTDIVRCLSFRFQAFGRPVK NSKKFEEGIFSDLRNLKSGTDASLEEPKSPFLDFLYKNNCIRTQKKQKVFYWYSVPHD RLFLDALERDLKREKMGQEATTVAVAEPALSFEFDSSQSLYEQLTKAQQANSSSFSAH ASTTYGQSTSPVVRSVDAMPPPQMAPSAMAMLPEDTGSAVLYNQMSMKREADYAQMQY ERGASIPINRLHQRHTSMPSYMEYSPAPSFVSSQFEDYSNRGISFEPITPPQQPMLAA EPAYIANEETGLYTAIPDVGSTAYHPMMQLPPSNFSTPLGATSRHFQGNVFSVIEGSP TYKQRRRRSSIPPGITNAIAAAQVSGATTQPTSYAAYRPSDLRRSVSNSVGPVTEATD TNDQSASGNLQNDYTSSVTSQKNMLHEVSRTGTPLPSLEEGVEHSHSMMQQQQQQQQQ QQQDELAGLGGQDSIEMSVPHSLLGRSDRPGPVRRARSATMMELGPYPQKSHSCPIPS CGRLFKRLEHLKRHVRTHTQERPYPCPYCNKAFSRSDNLAQHRRTHETQQDGHNSQQP LSDEYLENEEKEFGSLEEESPVSDTHSFVHPSVVSMTPMSAATTMSMAAMSTMVAPHL ISPQYLQQQI TSTA_119930 MNSRGLISYADNMKEAVVKRRKTSRSSTGTRDANSETEADHSND LLRKFFESRFQPLDMPTNQATTSQIYDDEDGENCGSEDDFEGFSDNESESEEEVMVVE HVDARKEDVLLDKQTRKALLSAKIPTSADLSASTNNKKDTKSKEEVDNETENLQNDLA LQRLLKESHLLDSDSSDLNPTGKNRHKALDLRLLALGAKKSIFEQKKMPKSHREGIQS KAIKTETNRRREARENGIILEKPVFKQSKSSTKRRERGVGAPAVGKFSGGTLRLSKHD LASIQGPSRKAGRGGKKRSR TSTA_119940 MTKFRPCIDLHSGQVKQIVGGTLTTVDSELKTNYVSKLPASHYA NLYRQHDLRGGHVVMLGPGNTGAAKEALKAWPGGLQVAGGITDENALYWIEQGAEKVI ITSFLFPEGKFSLDRLKSVLSALGNDTSKLVLDLSCRKKDNTWYVAMNRWQTITEMEI TQESISMLEPYCSEFLIHAADVEGLQQGVDEELVSKLAEWCSIPVTYAGGARHLQDLE KVHVTSGGKVDLTIGSALDIFGGSGVTFDECIGWNKSH TSTA_119950 MKPIFSALNAWSCVVISFFAVIILSILGSLYNSNHPAFTGSEGT PEDGTVVAGSIFTAVIIYAGFFVFCAFQAYLHVRANRRGVISLN TSTA_119960 MATATMTVKPETLAKRSKLLPENERFLRACSDVANVLIQEYEAS RDPMRPKKDINLNKLRGQIAKKYSLAAQPPLTAIIAAVPEHYKKYILPKLVAKPIRTS SGIAVVAVMCKPHRCPHIAYTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARY DPFEQARGRVDQLKSLGHSVDKVEYIIMGGTFMSLPEDYRDSFISQLHNALSGYQTDN VDEAVQAGEMSNIKCVGITIETRPDYCLDQHLSSMLRYGCTRLEIGVQSLYEDVARDT NRGHTVAAVAETFKLAKDAGFKVVSHMMPDLPNVGMERDLDQFVEYFENPAFRTDGLK IYPTLVIRGTGLYELWRTGRYKNYTPNALIDIVARILALVPPWTRIYRVQRDIPMPLV TSGVENGNLRELALSRMKDFGTTCRDVRTREVGINEVKNKIRPSQIELVRRDYTANGG WETFLAYEDPKQDILIGLLRLRKCSATHTFRPELTGQQTSLVRELHVYGSAVPLHGRD ARKFQHRGFGTLLMEEAERIAREEHGSKKISVISGVGVRSYYARLGYTLDGPYMSKML DDEE TSTA_119970 MQAFRRNTLSALQNATKQRRTYIGASSAYSSTIGNLRINSETRV IFQGFTGKQGTFHAEQAIAYGTKVVGGTNPKKAGTTHLDRPVFATVSDAVKETGATAS AIFVPPPLAAAGIEEAIAAEIPLVVCITEGIPQHDMVRITDILKTQNKTRLVGPNCPG IIAPGQCKIGIMPGFIHKRGRIGIVSRSGTLTYEAVNQTTHAGLGQSLVVGIGGDPFS GTNFIDCLRVFLEDEETDGIIMIGEIGGSAEEDAAEFLKSENKQNKPTVSFIAGISAP PGRRMGHAGAIVSGGKGGADSKISALEAAGVIVERSPAHLGKALRDEFVRRDLL TSTA_119980 MNRAHSQGSIMSHTQPLSRPGTADPLRSRSNTAISRAHRRPRSR GSTASIHSSTTQQTQDQHMGDGFSPFMPSQQAPPHGVFNPNPEEIMMRFNQQMAHSNS QGSLDVSMHEAHGAVMPRPEDFHGIPNSMPEMVHAHGMPSIPVSHYGHIYDPNGMDSQ TPDRAGDDNDNSEAGGRRKRGSSSTIANDNELRKLLRQYEGYTLKQMATEVQKHEGAG GRSEKVKQVFAMVWLKENCRKSSGSVRRDRVYCCYAERCGSEHVSVLNPASFGKLVRI IFPNVQTRRLGVRGESKYHYVDLTVIEEKHQQSIGQSSQDQNTANESINTDGRGLNSS IRARSISISQPPVDTAVFPSPTTSFAPKFPLTPSVNGCGCESQTRSKIDQTITLENIA TQSGRMIRQMLTFPDSTSTHVDNDSLKIPDISPFLPTNTDTKVADALAALYRTHCISV IDSFRFCKERNLFRHFSAFHGTLTVPVQKLLIHPNLAPWIKECDWLMYQKMIEFVAPL TTQVVPQPVLDSFGSISRRLTGHISETFKTHPVHVSLSRLAPAHLFCNLLKHMLDVNQ AANAAAAWLCHPDNRNQMWLDFATFVDPKEMIIKAHIPACSEKATEQILKNDVRALLT PLDNPTSPTVLSFYQQTGDDLQDQKSTVESSTGGEYNFPDKWISFILSLPSLFPNHPA QCIVDKVDRLWDCVLHRLTLAGAPSFSAWWMTKVFFHEMLLWQVEKGGFMKHTPSSMQ SSSAPSQLENSQARISRQGSIAVPAKNESFVANDNPSMESGTMMSTAHCQHSMEKTTN PGNNRSTNDKNSGLLSESYSGPQVPNHDDSGIGLDDDSMLMSVGKYDIMTDTTDAEGD VVVVA TSTA_119990 MVRSPIFSSLSYDFRYSGNYDYPPASNSTSRSSTSSRPQENRQT RLQNLRDLILFDSGRSLPRPRSETARALEALDEIEQLQLQSARRRRIYEQAQAVMNRQ LQQVSSEVSQSGAEDVLSPPQPRERGRFPVIPSRTSSTAMNQESGSSTPGTRAGRRRI RPSETAMRLLASRTNRSNRSDDASSSDRHPILTSVNEDLDRRLATMTSINEGFDRLNA SLRSWLPNPSDLDAAPRQSDSPGSPGSRWRSKRRKLESDDNRDGLTGFSYGHYGQVVP GLLKMEINSCDGGNYYDEDNGESSWPGNVLLDDSSVYCTKRSRCNIILNHRGETPFSL KKIVIRAPKKGFDAPIQEGMIFVSMTSDELLERTAQYQIRYPERSRRCHNSRRQYTNP STEYFNAYRTRNQGTETRASGGSSGDLDAQANETTWSRRSLFNPTYAEPQFRVATEHD GNLDGRSNDDEGTFEFPSGNDNELLDSGEESELFCPVDEEESDNGDTIEFNNRGRLDT RRRGRTQGNILYSLGSNDRLDSPSLIEPVRSSSGAATVGLDGGDVNGISDIMRPHARF FIEREKSMVSINFDPPVSGRYILIKLWSPYSGGNIDIESIMAHGFAGTRYFPALETR TSTA_120000 MASQERNGQSISAGKQIRLWGVKAQEKLRSANILLITFKALANE IAKNLVLAGIGSLTILDHEVVTETDLCAQFFVSQEHVGQNRAQAAAPQVRAMNPRVQL HVDTEDVRSKSPEFFKDFDITIATDLDFDTYSTINAACRISNRRFYAAGLHGFYGYAF ADLISHDFVIEREKSNVAPHMQETPTRSIVSITTKRENDKVIEMVTKREIYSPLLLAN SSPLPEEFTRVARKKRNVSPLLSCLRALWEFQRTSNGKLPSFSRTELETFTRLVNERH LELQLDISTIDSSFLRSFLENLGCELSPVAAFIGGSLAQDVINVLGGREQPLQNLLLF DGEKNVAPIYPLHPIFPPQIEDMAAKISPTATTAVPATSLPILPGTSVPLGNGVPTS TSTA_120010 MSVQRYLLPLVAFIATQAFAHGGHEEVPEGEAVSGEPIDTTLWL HMILMTATFGIMFPFGMVLGIIRSRWHVPVQVVATVVAVLAYFMGHMHKGRQFAKNIH ASFANILMLMLIVQVALGVYLKLHLEKGFQGRIRRYFVIAHGVVGKAMPVVSWAQILF GGITANGFCRDDHLGQCLAHFIMGSAFIAYGIVMTILLLVGQFWLRRSGRSQEFFDSL IIAIWGCINTFTEHRWGQPWVHNDLQHTTMGIVWWCAGLLGMWLSRKRNGRPKRNLIP AIVILLTGYAMSAHPQTLMLSTMVHTVFGYTLMAAGAARIIEISFVLRDRPTLSPDGS DPHSFQYLPPFLLYASGFLFMGATEEQMALLSNAGITHVSYVLILYSVAFILFLFVNI LLHVYAIHAFPDSATVTTNTHAEEDGFMPKLRREDSSSSYTVVNDGFPANGSTINGHP RSRAEAQQIQDAEAFELQGLISEDEHEGSNNPRTRKEVSDDEEESLVGVSR TSTA_120020 MNKQQLSDQAKSIKDSDMERHTTQLNEKMNSLQSTITNLENQIA TLQSQLQEATSKLRSPNAPSQTVQNHIRLLHDYNEIRDIGQGLLSLIAEKRGVRYKDV LEEFGVDAND TSTA_120030 MSWQKTVLTPGNGTDMPAVGANVKIDYTGWLRDPSNPDHEKGKE FDSSKGRGPLATPIGRGRVIKGWDEGVLSMTLGEEAILTIDSSYAYGDRGFPGVIPPK SDLIFQVKLIEINGKRA TSTA_120030 MSWQKTVLTPGNGTDMPAVGANVKIDYTGWLRDPSNPDHEKGKE FDSSKGRGPLATPIGRGRVIKGWDEGVLSMTLGEEAILTIDSSYAYGDRYVY TSTA_120040 MIVACITLGSHGTWRVRPRLLDRLYASEFRSYATSPGIATRISQ PSLFLNVIDCQQSISFRKIHYYCQLFLPSANNRIEKSFFRRVIASKSVDCLSTLSFQI DMPFSHHSHSGQFCPGHAKNLLEEVIQTAIAQKMEVFCLTEHMPRGKEDFYPEEKTDG LSTEEWLIENEAAFYTEGLRLRQKYASQIKLLIGFEIDWIRPESLRLIQTSLSRLPFE LFVGSVHHVHTIPIDYDVSMYHKAREIAGGTDERLFEDYYDSQLDMLQKLKPPVVGHF DLIRLKSDDPNASFAKYPKVWEKILRNLRFIAGYGGMLELNSAALRKGMTEPYPKGEI CKEFLAMGGRFCMSDDSHGIDQVGFGYRQVLEFIEQTGITVIHYLDLSDEDATFDDRF PSVRIRSVSVGALKQKPFYRELV TSTA_120050 MKPVPFPYALNIGTDELQDFHARFGLHNPTPLEQEPSIPVTTEM ARWLAGRFAAKEAARKAAPGGATSVSWKDVLVRAAPASSAGSSRPEIIYLNGHESRLG KLSISHDGDYVVATVLAAGD TSTA_120060 MVNYYNIAGRKIGSHHLAMGILGGLFGGIYLASSGGSKKQVQTP PINAGSKDEEKFIQDFLKSVEGEQKAQH TSTA_120060 MVNYYNIAGRKIGSHHLAMGILGGLFGGIYLASSGGSKKQVQTP PINAGSKDEEKFIQYVYFSIG TSTA_120070 MFWGKDKSDSDSDEVPRVREESRPESLRSQIPRQKLSRDLQKLV DREDDYYDELYSPYSIDSTNTKFRYAAYVNRIRTILLSAHRYVAYTSDIGESFRPVAH PYLVRGAYAISWTYILGDVGHEGYKAYLRNRRAVIPPGEAYKDATDLKANHVMLGMAT GNIGGPLTSESGPRTESEGGDKSDPLVPWATTRIPLIDDYRMVMAKRAVFQSIASMGL PAFTIHSVVRYSGRALKNSKNTLIRTWGPIGLGLSVVPMLPYLFDEPVEHAVEWSFEK LCRAIEGEQAVTPSPASRDLPADQALPLSQVLALQEQKKRHEGDIEPSWEEYKADRQR ERTERKSSGGVSGWFGFGKEKDE TSTA_120080 MATTIEMMDVDTAKPKNTKLPITVTKENPYTFDLGHLLAQDPNP LVISKSESVNNSLKAVARDGAQVLLNQLLTTCPVTSNAKDGVLLSLPPPNTLLPRYKP LPKPKEPTKWELFARKKGIGKYSSKPGAALAEKERRKKLVYDEASGEWVPRWGFKGAN KSGENDWVVEVPDKEWKNEAEGKTNVRTMGRAERKERIKRNERKMRANERRSRKFGA TSTA_120090 MVSQLCWGCLSTTGLRQTSRALLHPQYRQLAAKTTTSLFSTSAI QYANPLQKKSRSMEGSPKFRESKSARMRKPKKATTDRGRPPLVGERKALRKRIVLSNT NALEVPGMQEFSEETMVDSRIRGSVLALPVPMITQLRALQAFKPSQGWSLFRRPGVVL RRETLEMGRVFEGISTEGPEKGKVVKKILTGKKASGKSVHLLQAMAMALLKKWVVISV PEPQDLVIAQTAYAPIPDTNPVQYVQEQATSELLQRTVLANEEVLSKLHVALEHPELK SLIRPKMTLDELARLGIQDVTISWPVFQAFWAELNATAPPTKDTDDKAKSSFEPRPPV LVTVDGLSHWMQDSKYRNADFKPIHAHDLAFVKHFLSLLQPASSNNNNNKPQLPNGGI LLYATSGSNNPSVPSFDIALDQLAARQQGIAESSADFPEPDPYAKIDNRVLNLFKQAS TSKSSSLELQTLGGLSRSEARGFMEYFARSGILQEKITEEWVSEKWSIAGGGIIGEME KLGKRLRTVL TSTA_120100 MVKSELDTRGEQVDSDDDNNGVDGTESIRTSTPSDSTLQPIYEN GRRYCNEIYFMPNDEPEQTRLSIVHQAFLILLDGELTKAPLPPEGPGRVLDVGTGPGD WAVEMGQRYPNTEIIATDISVFDGGPAIISLPNVHFQLDDAEDEWTYHKPFNYIHFRG MSGAFRDWRGVYEQAFKHLQPGGYIEIVESDPAADNLRFAVPNPDSYYNIFVSAMRNA ADSLGYPRGREHLERSLLTSIGFVDVNVQDVIVPVGVWPRDFRQSTLGKMVLIACLEG LEARSLRSLTATGKWKVDEVRDLCEKVKQELMTSEGLTISVRFVTARKPENS TSTA_120110 MTNLSTPIRRVLTASDPKGNGYFASDDLLTPYDPTTAPEFSLPT EKSAFGVIQLHRTRGFPADNQRPTLVPLADTKGPSARIIDLPPHQEGGWMHRTLSLDY CVVLSGSVTFITDGGEEKTLKEHDVIVTRGVNHAWVNRGETVARVFAVVVPALPIITE DRVQLDKTPAGEIFDPEEEDD TSTA_120120 MKTYKANPLALQPDGKKEKKRQKCPLKSTNRQTDSSSSRHLLLD AIQPYLHERVGLSSAGTTAKSSKSIFYSTWKSPSLMNVSGPPVLRQFKTWLADRRKYF DSLSTHSPEQNMQLRLLKSSSESITIDTRGLTQRFRPTLVILHDELEARPGQIKIRRE GPQQASVRGHKGLISIMESLRGAGLLSSSSSASKKSMAILRIGVGIGRPESRERNAVA DYVLSNMGVQEMQALREAVPEVVEVLVQEMYRRDDDEIAI TSTA_120130 MSWSGFKKNVNRATTQVMMKTGHVEKTNDRDYEIEERRYRTMET AVNRLQKEAKGYLDSLRAMTASQMRIAETIDAFYGDAGARDGVSRSYKQAVEDLDAET IKALDGPYRTTVLEPISRFCAYFPDINECIKKRNNKLLDYDSMRAKVKKLVEKPDKDA TKLPRAERETEMAKQAYEQLNEQLFTELPQLIDLRVPYLDPSFEALVKIQLRFCAEAY SRMAQVQQYLDADTRDQYANGDLDNKVEQVLQEIRDLSIAGTV TSTA_120130 METAVNRLQKEAKGYLDSLRAMTASQMRIAETIDAFYGDAGARD GVSRSYKQAVEDLDAETIKALDGPYRTTVLEPISRFCAYFPDINECIKKRNNKLLDYD SMRAKVKKLVEKPDKDATKLPRAERETEMAKQAYEQLNEQLFTELPQLIDLRVPYLDP SFEALVKIQLRFCAEAYSRMAQVQQYLDADTRDQYANGDLDNKVEQVLQEIRDLSIAG TV TSTA_120130 MTASQMRIAETIDAFYGDAGARDGVSRSYKQAVEDLDAETIKAL DGPYRTTVLEPISRFCAYFPDINECIKKRNNKLLDYDSMRAKVKKLVEKPDKDATKLP RAERETEMAKQAYEQLNEQLFTELPQLIDLRVPYLDPSFEALVKIQLRFCAEAYSRMA QVQQYLDADTRDQYANGDLDNKVEQVLQEIRDLSIAGTV TSTA_120140 MNPPAVSPAPSSTVSSAAPSIASTDRNDGDHQVTRALDDMRLSA PSSGEVLPTPQQAKTAKPSMAHRLTRMFSDRKTVNPRAETDGHKDAGSESSSDGAKPS ANGIVRTAPQSLTNQTSLPPDRPADGIVKPKSTKEKDLPVHRRFEMLPDGSHLHHLKG TKRQEKLSGLLRDMLGGGKKKEDHVDDQQQLSLVSSWIDQLKSEREKLAQDKKGGPNA TATLVDKYGKCQEIVGRGAFGIVRISHKVDPNDSKMEQLYAVKEFRRRPQETSKKYQK RLTSEFCISSSLRHPNVIHTLDLLQDAKGDYCEVMEYCAGGDLYTLVLAAGKLEVAEA DCFFKQLMRGVEYMHEMGVAHRDLKPENLLLTTHGALKITDFGNGECFRMAWETQAHM TAGLCGSAPYIAPEEYTDKEFDPRAVDVWATGVIYMAMRTGRHLWRVARKDEDEFYER YLEGRRDEDGYAPIETLHRARCRNVIYSILDPNPGRRITASQVLKSEWVREIKLCKAG EEGY TSTA_120150 MAVRAQFENSNEVGVFATLTNSYAIVAIGGSENFYSVFEAELQD VIPICHASIGGTRIVGRLTAGNRKGLLVPTSTTDQELQHLRNSIPDSVKIQRVEERLS ALGNVICCNDHVALIHPDLERETEEIIADVLGVEVFRQTVADNVLTGSYMALSNQGGI VHPKTSIRDQDELSSLLQVPLVAGSVNRGSPVVGAGMVVNDWLAVTGLDTTATELSVM ESVFRLGEMGAKGLGMGNANKESIVESFY TSTA_120150 MYSIFYSCGGDECLLDLCRNRKGLLVPTSTTDQELQHLRNSIPD SVKIQRVEERLSALGNVICCNDHVALIHPDLERETEEIIADVLGVEVFRQTVADNVLT GSYMALSNQGGIVHPKTSIRDQDELSSLLQVPLVAGSVNRGSPVVGAGMVVNDWLAVT GLDTTATELSVMESVFRLGEMGAKGLGMGNANKESIVESFY TSTA_120150 MYSIFYSCGGDECLLDLCRNRKGLLVPTSTTDQELQHLRNSIPD SVKIQRVEERLSALGNVICCNDHVALIHPDLERETEEIIADVLGVEVFRQTVADNVLT GSYMALSNQGGIVHPKTSIRDQDELSSLLQVPLVAGSVNRGSPVVGAGMVVNDWLAVT GLDTTATELSVMESVFRLGEMGAKGLGMGNANKESIVESFY TSTA_120160 MPSGDDVNTNSDSSRVALPNGGSVAIKTEAEVDAGALVSLHMET TDSVKNAPSSSSSLSPAIKSPSQSDSPLMKVEAGIEEKMSDLKTSSIGGDITLKQEPG KPPKLARSSSQKVIARPPQLFNHLPDSVNEARQTFEVIDDCIYSSKYMGHTEHAMECD CSEEWDGKINHACGEDSDCINRATRIECLNDCSCGQDCQNQRFQRKEYANVTVIKTAK KGFGLRAESDIHPHQFIYEYIGEVINEVSFRRRMIQYDKEGIKHFYFMSLNKGEFVDA TKKGNLARFCNHSCNPNCYVDKWVVGEKLRMGIFAERYIQAGEELVFNYNVDRYGADP QPCYCGEPNCTGFIGGKTQTERATKLSNATIEALGIEDSDDWDIAVAKKPRKKKTEEP DEEYVESVQPKSLDENGVTKVMAALMQCTEKWIAVKLLSRIQRCDDERALNRVVKMHG YRILNSQLSMWKDDINVVLQLLDVLSKLPALTRNKIIDSGIESTVQPLTTSEDDRVGK QASSLLEVWSNLEVGYRIPRMKRDPTASTPQVVSQFERRETTGNDNRNRSKSRSRSRS KSIEPPRGPAAQSRGGFSGRPVPHNRGPRFPRPPTLPQGWFERSDVDGRSIYYTANGH TQYEKPTQPASEIPAVASLKRKHFQDIIDTIMESRMETPRDRTSTPGTPQPQKADQKK ESWRSYPEEKQKKIYENTIHPYVKYVVDKFKKKLPKEDLKRYAKEVATKLVDSDFKHG RVEDILQISEKQQQKIKKYCKEYFEKAVAKHKAHEKKKAEGNGHDDSKSKDKDLRDQS ASQTPQARGDSGSPNEPNGRLNVSEDDGDEPTTKRKRDVDDEPEIENGHASPMKRQKS TPPPPSQQHHPRDGLDDELQRSGLSASHQSIGVVQ TSTA_120170 MSDQHGRDWTEEEKYALLTVILRNSGVPSTSLFGIFRQFNVSPP WPDMPLPPGRSLNECRQQFESMWQTHQSQPSYRQPEPWQGPLPPAAFPAMNPGPAHAP PHQAPHDAFGSRKRPFYPPERPPAFPRAIQPRPPLSGGQFSSESGSPAPTSPGWVEGA AGKSAEPPRKRGRPSKAESERRKAEAEARGETYPAPRRRTSMGKLPATPSGAASATSD SSMVSPMQTAQTPEMPKQDKGPEAPVSTGQATRASPLTSEVPDTDPVRGIIRSQANQD RRLPLPHEFGARTSPPESIYSHTRAMEHPYHGLSTSRPEENTTQPTTARQIIQHSEAP TSGGGYLPAISHSAGATT TSTA_120180 MDAPRMASSPSPIRDIETEHTPSGPVKPVRYTSSSSYESPRRHQ HREPTAPRHVKETLNARSEYTTSQDDGTAQHRINQYIIKQEIGRGSFGAVHFAVDQYG NEYAVKEFSKARLRKRQQSHMLRRPRGPQRPGTGFNSPLHRHPSGTDENQEGHPLDLI RQEIAIMKKLNHNNLVSLIEVLDDPTEDSLYMVMEMCKKGVIMKVGLEQKSDPYEDER CRLWFRDLILGIEYLHAQGIVHRDIKPDNCLLTSDDVLKIVDFGVSEMFAKDSNMYTA KSAGSPAFLPPELCVVKHGDVSGKAADIWSMGVTLYCLRYGRIPFEKQSIFELYESIR NDDFNLGDEQDEEFKNLMHRILEKDPAKRITMSELRNHPWVTKNGEDPLLPEEENTAQ VVELPTEEEMVSAITHNVENLFSVMKAVSKFKKLVDPSKAVPVIHSILGHEHDAYMVQ PPLQIEPDEGIEALGVFKKVINENDLLRRSSTSTSQESFRGRSPQRQGSNVANRQSKA FFMKAAAAATTAKSSSKEGSPCRSTSEGTRGHARDPLEEDLPFLHIGPSTFTGEFPST YDDGNEPSNDNNNNEKLKRPILSPLSVPDTSIDGIPEFPQTGTPIDTDSVPIISESPG ASEIDIYETAYREEIERIKRRSLARRDSAATKVYLTRRVESKKMHLDEVLRMVSSSSS SQILDSQQHDQKQEGEEKIRSESVILQVGDKASGAFASAGAAFGHVLAAAKGGKESMT DKENDGRGVLN TSTA_120190 MTVYGFYIFDRHAECIYKRRWLGRPVSISSKSSLPTSQLQQSNN AGPRGSSRSADDDAKLIFGTVFSLRNMVRKLGGEDDSFISYRTSQYQLHFYETPTNIK FVMLTDLKSPSMRIALQQIYVNLYVEYVVKNPLSPVEHPGGVGVNNELFEESLEQFVT RVLS TSTA_120200 MAEYTIKNRFEIRKLGPQHLPWAKAIITHSNIFHSPIWKEIYPS HKTQRAYKTFAACDYLISHQINSGLSYGVFDTQYKFKRETSSKTSKEGGGGGGGGALY WDENDASATGEELLSQMDFPLVSIAMALDQADPLDLPRLAPLIDALPFYADVQHQIEK LDTRDPATWSASAPKQVMLRNGTATRADYEGFGIMKETAHFLMRKAASQGFGAISIEC LHDAVCHVWMNPPEPFRASLVAQFNVNQYEKEDEEGKVVVPYPGVNQLAMYKNDNETS PNSSDNKDGDTPAALIPQETEQFP TSTA_120210 MAGRKRKAPASNSANSSNEVSADPSTQGIAPPAKKGTTTRSKRG KAAAAATSAQSQAAGGELLNTYISSDTSSKEDTVPTEPPPERRETTPTKVPQATRFST RLKARKQTPAPELPPQASPESSTGPTDSNITANNIQTEQSSAGSDPNQTSRLTPKSSD TETIKSDKQLTLPRTRGRKRKLEQANTDTSLTVAKKAKVAKESAFNGEVQGTQGSESN QNESAEMTHTEDGNRTGSRQNGTASGSGTTSRGKGGRKKKGNLKNLGSYAKKGKGNGG KKGKENEEDSDIELDPSLPLTAIAQKLSDRQKVLKQNFKKLGATHKLILSELAARSHR DLARDKNAHLKVPEFEEVNSQLQAGLQKRLDILENEHRLRVQQADIVKEAETQIIQTR YEANAFNIQDEIFHAAAGEYMQVVEGQQHAGDEEHTEPDVDGPQPVPSRSATASEQPT GSNYEHEPFYQNEQKVARGYTSKIIRKNAEARAAYESGKHGADDFLQRAKLNEISQEE ESTVDNKMKALLHACEDALAGLPGRSLSVGNTDALSALADAASTQQPMSAIRLTAFPI PLQSTSDQQQLPSFMQPEPQMPPPRRPSLPPSRGFPDVFTMGTRRQLPPLQGGPLPRY GSGQQHQFHPVPAPAPPARTPANMPPPSYFYPNNSHGNPYQCGPTPPPPPPPSAPPRR Y TSTA_120220 MKLTFRDLKQQKFTIEAEPTETVGQVKEKIAREKGWEASQQKLI YSGKILQDANTIESYNIEEKGFIVCMVSKPKPAAGGPSTPAKAAPPATPSAPAQAPSA STAAAPQVPSTPTPASSGATTAATGEAAAFNDPSALAMGSQGEAVISQMEAMGFPRAD IDRAMRAAFFNPDRAVDYLLNGIPENIQQEQTQARAAATSPAPAPAPAPATTPAAPEA TGNEPVNLFEAAAQAGGTGRGAAGGAGAGDAGALGNLDFLRNNPHFQQLRQLVQQQPQ MLEPILQQVGAGNPQLAQLIGQNQEQFLQLLAEDMGDEGELPPGAHEIRVTEEERDAI ERLCRLGFSRDSVIQAYFACDKNEELAANFLFEQPDEGDDQ TSTA_120230 MDNKPELCRTVNAEGSTPSDALEESMDQKRRIHQYVFARAKMYK IGTQDMILDNLLLCSAKRTYPGTTVGSRVVTFEVWLHLWQVSRYLPEHILQGFTVNKW MRIGFRMLWKHHISCLQHNMLPTEANVLLLVRNSKEWPPPLIVAIFSRTGELSLQWQQ CSSRKQWIQKKDLPSCQNDQEFGLVNGMCGYKQVSRVQEMTIRGKHIRY TSTA_120240 MATESDIGKGHTAVCNALLIRRNEIADRFWNDMEEPDHALVELA FEVFDRYGCIQPKFKNHPIKWGFHAIPDLTEKKSRHFFVVTSPKIRFSEIGHENRQRD DADDE TSTA_120250 MAKHLITTLALVAGAFVPGVLSHKTECSDVTAWADIKNPSFEHG TSGWSYTFEASTSSAHAANGSDSLYLPGEARSWNVYQTVDHLIPGTSYAVSVDILPYM NPTFSMLETCNIYLYHDSLTPPNLISYKVGQAYNKNTNNWSKLSGTWTPKASSALLGF YASCGPYDLNSIFSMYIDNFQATYTTSVCTTSTSTSSCSRTTATSSSSSSSSSSTVIT TPASTPTPTPSSSSSAVRINTPSSTLSSSPTTTQASSSVVVLPSSSAVPSSSAVVIPS TSAVVIPSSSAVPSSSAVVIPSSSAVVIPSSSAVPSSSAVVISSPRPSRTPCRTSRST TPAVPSSSAVVIPTSSAVVIPSTSAIPSTRPSRTPCRTSRSSTPAVSSSSAVRTGTST RRPCSSSGAVTTRASSSFVSSIITTRSTRSCTSTRTGTASGSVATTSSSASISSSTAS SASSLTTTATTSISDSANPTTTISATTITAITTTAITTTALGSTTSTVFTTRTSTITA CPTTVTNCPAHSTYVVTETIVVSTTVCPVTATARPTGTGSGTGGTVGGGDQLSTSTLL STRIVTITACPSTVTNCPASQHTTYTTTEAVVTGTVVYTVTGTGPAGAGVVGSGTAVG GQPAPTTVSVNPGQLSENGNSNSSGNNNNNNNNNNSSSSSNGNSSGSAPSTFKSSSSS SATPSSHISLPAVPTVTGTSSTGKPTGTKTASAVTGLYTGAAAPGITLDTSILMAVPA VLAILAL TSTA_120260 MWRRTYLLLLLVRIYFALSPSYVHPDEHFQSLEVFAGRIYSYPS QLTWEFTSDTPIRSVFPLWPVYGLPMNVVKWFYNETGLGGSPPPELIYYILRGGMFVL SFVLEDWAVYELVPTPRYRVPTVVLVASSYVTWTYQTHTFSNSLETLLVTWGLVLIQR IVENNKRSAFFSPAVLSLVVVLGVFNRITFPAFLLIPGLQLVPHFIKKPFAFAVLVGF GSLFSALAILTDTIFYRSSSFIESIRHPVITPLNNLIYNSNSLNLAEHGLHPHYHHFL VNLPQLLGPALVVLVVSLFMKPGIRSATFYNRRAISAVSGTMILSAIPHQEPRFLLPC VPLLLTCIRPLKTRPFLLSWIAFNAILGFLMGVYHQGGVVPTQLAMPSIISSKITQHQ QTEYTNVTVFWWKTYSPPLWLLGDTTNLHAHLRTRDLMGMRGTDMIKQVDSILPACPA LSSADESNNLIFLVAPRSATFLDTYTSSISSELKEPQLHMTEQWTYKNHLNLDDIDFG DDGVLATIYRVIGRRGLTVWSVERVGCV TSTA_120270 MSGQVTLQSSDQVNITVERAVAERSMLIKNLLEDLGESEEPVPI PNVNESVLKKVIEWCTHHKNDPQTTGEEDDNRRRTTEIDEWDQKFMQVDQEMLFEIIL AANYLDIKALLDVGCKTVANMIKGKSPEEIRKTFNIQNDFTPEEEDQIRRENEWAEDR TSTA_120270 MSGQVTLQSSDQVNITVERAVAERSMLIKNLLEDLGESEEPVPI PNVNESVLKKVIEWCTHHKNDPQTTGEEDDNRRRTTEIDEWDQKFMQVDQEMLFEIIL AANYLDIKALLDVGCKTVANMIKGKSPEEIRKTFNIQNDFTPEEEDQIRRENEWAEDR TSTA_120280 MLRNFKAFAHKFIMLASTGAILSSGLPRQERPDDTQKKIETACV QRSAGISNERQAIKMPSQKSFRTKQKLAKAQKQNRPIPQWIRLRTGNTIRYNAKRRHW RKTRLGI TSTA_120290 MGPTRASPPEGTDLSASPTHASAEEKTLAESEKNQESLPVSSSS NTSSDKKSPKEKTEKLTATGAEVPPSDRAESLELEAVLKELPEDERRIIQEQLDSPTV QVNFFSLYRYATTWDLVIIAISVICAIAGGAALPLFTLLMLLRILFGQLTTDFQGIYL GTVGYDEFHHQLVKNVLYFIYIGIGEFATIYIATVGFIYTGEHNTQKIREAYLHAILR QNIGYFDNIGAGEITTRITADTNLIQDGISEKVALTLAALATFVTAFVIAYIKYWKLA LICSSSVVAIVLVMGGGSQFIIKYSKLSLESYAVGGSLAEEVISSIRTATAFGTQERL AQQYDKHLGVAEKWGARLQTIFALMLGGMFCIMYLNTGLGFWMGSRFVTNGDIQVGQV LTVLMATIISSFSLGNVAPNAQAFTSGVAAAAKIFSTIDRASPLDPTSEEGQKLDEVV GSIELRNVSHRYPSRPDVPVMKDVSLFIPAGKTTALVGPSGSGKSTIIGLVERFYNPI RGEVLLDGHNIQSLNLRWLRQHISLVSQEPILFATTIFENVRYGLLGTEFINESEEKQ QQRIEQALEMANALDFVNALPEGIHTHVGERGLLLSGGQKQRIAIARAVVSDPKILLL DEATSALDTKSEGVVQAALDKAAEGRTTIVIAHRLSTIKTAHNIVVLVNGSIQEQGTH DQLIDSQGAYYRLVEAQRINEEKESKALTEGDAEEEAAALEEEEIERTTSRIKMSRTL SSTGSGLKPSLERETTRRSISSIVQSKKEAPKEVHYSLWTLIKFIYSFNKKETPFMLV GLVFACLAGGAQPTQSVLYSKSIVTLSQPPSQFAKLRHDASFWSLMFLMLGLVILLVH CTQGVMFAYSSEKLIRRARSQAFRTMLRQDITFFDNDENSTGALTSFLSTETKHLSGM SGANLGTLLNVTTTLCACCVIALAVGWKLALVCIATIPVLLGCGYWRFAVLAQFQERS KKAYESSASYACEATSAIRTVASLTREEDVLNTYRKQLEAQTKKSLFSVAKSSVLYAA SQGLSFFCMALAFWYGGERFGKHEYTMFQFFLCFTEVIFGSQSAGTIFSFAPDMGKSK NAAIQFKKLFDRRPAIDVWSEDGQILDSAEGTVEFRDVHFRYPTRPEQPVLRGLNLTV KPGQYVALVGASGCGKSTTIALLERFYDPISGGVYIDGKNIASLNVNSYRQHLALVSQ EPTLYQGTVRENILLGSNATNISEEDIIKACKNANIYDFILSLPDGFDTIVGSKGGML SGGQKQRVAIARALLRDPKILLLDEATSALDSESEKVVQAALDAAARGRTTIAVAHRL STIQKADVIYVFDQGKIVESGNHQELIRNKGRYYELVNLQSLGKTH TSTA_120300 MARIGRLGFLTLALAFHLMYLYSIFDIYFVSPIVSGMRPFSTER EIGTEAPAKRLVLFVGDGLRADKAFQAFPDPSPPTELGSEDVDTLIRLAPFVRSRVLD HGTFGVSHTRVPTESRPGHVALIAGLYEDVSAVMTGWKLNPVNFDSVFNRSRHTWSWG SPDILPMFKEGAVPGRVDADTYHEAAEDFTSDATQLDIWVFDKVKELFAEAKTNPELN AQLREDKIVFFLHLLGLDTTGHGFRPYSKEYLNNIKIVDKGVQEITELIDNFYNDGKT AYVFTADHGMSDWGSHGDGHPDNTRTPLVVWGSGVASPKYVEEGQSSGHEDGFSSDWG FDQIRRHDVEQADVAALMAYLVGLEFPVNSVGRLPLEYIDASPRGKALAFWANTQEVL EMYHVKEKQKSQNQLNFKPYAPLGEEKLAALTSEIESLISEGKYDESIEVSSKVFTSA LAGLRYLQTYDWLFLRTIVTLGYLGWIAYAITTVIDLHVLHGSSDAHRTVFSTSVFVS ILVGIYSIFIYQRSSWRYYAYGAFPVFFWEEVFARKKALVAGQQILLKHVSSVGGYVV FALQAVVFLGVLEALVQSYCHREIFTILFILAAFWPLFYGKAFISRHLALTAAWFVGC CLMSIFTLLPVVKVEDTTTITIGGMLMFLAGLFYLAFEETITKGTGHPISVAGSRIIM GIQLGMILLAVIVTRSSALSLQAKAGLPLGNQIVGWIVLVSSLLLPFAHRMYPNSYYL HRLVMIFLTFAPTFVILTISYEGLFYFVFCMTLATWVRLEHALYVYQKQSTPTPAIDS NNKPTTQTTTVTGETHSYRALTLSDTRVALFFFYLVQSGFFSTGNIASVSSFSLESVN RLIPIFNPFSQGALLILKLMIPFAVISANLGILNKRLEVAPSALFMTVMTISDISTLN FFYMVRDDGSWLEIGTTISHFVIANLLCFFVAGLEFVSEMFVSGVDLGVESSSVTNVV KWIAEDEDVDVRKGNGVSEKRD TSTA_120310 MPKRKAATQISGLVDSDDDTGDIIAVASHSESPDERPVKKARGR PKSTGVKVEEQTAASKSTRSSSAAPVKQNVPAKKVSNRGRPRAATAEPETTGQDSDDG LDFHDVAKEASAYEEEIAAQEEVSPVKKRRGRPRESESNRKQTHVTEDGEFEYTPTAS RQFKPTEESSKPTKPRGRQRKSVTEEPVIPDSQNPADEIESSDKRSSIGSPLKSLTNG ERPGRKRATVTFADTVEKASSDPDLRRKLGDMTKKYETLELKYRNLREIGIVEANANF EKIRKQCESATNVSNKLVESLKEELAAQKVLGKESRTLQKQLRQRDIEVNTLQSQVGD LSTQLSTAQTEIKALQTKLAAARNSSVPNDSLNNKGPGSAVKSVANRNAAAGVAAEAA QAAHLAQLKEDLYSDLTGLIIRNVKKRDADYLYDCIQTGANGTLHFKLAVAHDGNGNM ASNFDTTEFHYMPLLDSNRDADLVEILPDYLTVDITFSRQNASKFYTRVMDSLTKRRI DPDE TSTA_120320 MASGGVRRWQQFFQELVMIAGTSASAYFLVRHLLSRIDQDPENQ KKEEQRKKSAAILRRLDGPDSDSDSPNRGGSRRRRKQKREELVLNQYEQAIAMDVVAP EDIAVSFEDIGGLDDIIEELKESVIYPLTMPHLYASTSSLLSAPSGVLLYGPPGCGKT MLAKALAHESGACFINLHISTLTEKWYGDSNKLVNAVFSLARKLQPSIVFIDEIDAVL GTRRSGEHEASGMVKAEFMTHWDGLTSTNSLGEPQRVVVLGATNRIQDIDEAILRRMP KKFPVSLPPAAQRLRILALVLKDTKIDRANFDLEYLVSAMAGMSGSDIKEACRDAAMV PMRELIREKKAAGIHMTTVEPKEVRGLRTEDFYNRAGSGLKVIPKPPTAPRREGKVVS EKDEKEWATDSEGVEEEVQPQATIPTTISEPHE TSTA_120330 MSSNSDILDCLNPAAVSSTSRGSNTSVRSRNRGLISVNDDGEGE GTQVSSTSRLGSSFTGLSPSRSRGTTPSPYASRGGSPLPQKQFSRISERDLSGGYRSD EDTCGSRDTFQPFNTSNNPSGFLESSWSSIQNLTSSLLGSDLKWGKSSSNSTASMKRR KPSRSDIPVSLSKQQGLSSWAPPTRSTPQIGVGTQEERQALVQAKKREVLLLVNGDSL SEMRGWYKRKDSDGSIMDPERDEEALVYIHHVQPSDTMTGLCIKYGCQLAIFRKANGF WPSDSIQTRKVVLLPAEACSVKGRRVNRGSNVDFLGDDLSGESSLEDPAGSSIMPSES PTTSTQPEISQTNDSDNERIWKHETWVEMEGFPSPVEMGRVPRKTLGFFPRSRRKSLS LPYSDVEPPTPTIPTALNRMDSSSSSQAYQRDSSSNTHSPLRNGRPAHRRTGSITLQG PGGVGTLGREVHAPGPAQDGLNKFVSQHLPNLTVPPPPPPSTLRKASFDSTSSVLSGT SSTGLENVGGAIEGWMRKMALRAKSTLNELQQGGPHQTSSQGQALGIAGLGDLIELDD GFEGGIASEAAISSMGNGHTESHSGRNRTMGNYSQYERFSNSPSSRNRTRLRIDDAHK ND TSTA_120340 MVAENQMAGQTEQQEHQEQQQHPSPASSQDRSKLGSNNQSQSTP SSSTVPNAGIASFRRQRASRACEVRCDAASLGVPCTNCVAFAIECRIPTPKRKKNQQP RNKDNNGDTKNHDQSKDDDDDEDPNTPRTHENPVFSANPPISIDGMPANTLATASQPN PEKHNPGHYSAQIMKPKFTRAPIKEPGRVAYLGESSNLSLLVHDRGHTDVVHYPLPET IRGSRGHLTELDSLEIEILHRRGAFLLPPRDLCDELVEAYFKWVAPVVPIVNRNRFMR RYRDPQNPPSLLLLQAILLAGSRVCNNSKLMDQNGSTIPASTTFYKRAKALYDANYED DRVTLVQALVLMGWYWEGPEDVTKNVFYWSRVAILVAQGSGMHRSVENSQLSRSDKRL WKRIWWTLFTRDRSVAVALGRPININIDDSDVEMLTEDDFIEDEDDIPAEYPPDPIHV QFFLQYVKLCEIMGLVLSQQYSVASKSRRTNAIDLTHSDMALADWLQNCPRAVYWERK NHHFWAALLHSNYYTTLCLLHRAHMPPATASANSYRTEEIAYPSRTIAFQAAGMITSI VEKLQSHNEIRYTPAFIVYSLFSALIMHVYQMRSSVPSVVAACQERMTICMQALKDVS KVWLVAKMVHTLFESILGNKVLEERLQKAPGKRHQKNRPSEHEQNIASNHKKTELPKR KFDDMDLGLPNGNPTPPVSYERSRPQTPAATPSRELNSMTGQQGGMGSLPQMSPNLLK GQDGAQNTGMSRAQTPFNPSYSLPATPPDLFLVTRTSPNLSPTLWENFQPDQLFPDGT NFFPTPANMMDPQMQSTTNTMGGQGNMMMNHHQQQQQQQMPSRTLPGAQGSPSLVSSG GIHPDMGNLPPQPSQQMFGMEGMQNWAGLDAALAGNPDSTSQDDNWSTSSRGNPTAPT TLNVEDWFQFFGINGGFGDLITDPMTG TSTA_120350 MSSPSSGQSGPSSASALKKAFPHVDLDGHNLPPSPAPSSPNAGR RYALATELVYTEGSDQYNASSVPIYQTATFKQTSGSGGAEYDYTRSGNPTRTHLERHL AKIMSAQRALVVSSGMAALDVIMRLLRPGDEVVTGDDLYGGSNRLLTYLKTHGGIVVH HVDTTTPEKVQAVLNPKTAMVLLETPTNPLIKIVDIPKIAAFAHEANPNCLVTVDNTM MSPLLLNPLELGADIVYESGTKYLSGHHDLMAGVIAVNDPTLGERLYFPINASGCGLS PFDSWLLMRGVKTLKVRMDQQQANAQRIAEFLESHGFKVRYPGLRSHPQYELHHSMAR GAGAVLSFETGDISLSERIVESAKLWAISVSFGCVNSLISMPCRMSHASIDAKTRKER AMPEDIIRLCVGIEDADDLIDDLRRALVQAGAVNVSLDGFQAVRPASD TSTA_120350 MSAQRALVVSSGMAALDVIMRLLRPGDEVVTGDDLYGGSNRLLT YLKTHGGIVVHHVDTTTPEKVQAVLNPKTAMVLLETPTNPLIKIVDIPKIAAFAHEAN PNCLVTVDNTMMSPLLLNPLELGADIVYESGTKYLSGHHDLMAGVIAVNDPTLGERLY FPINASGCGLSPFDSWLLMRGVKTLKVRMDQQQANAQRIAEFLESHGFKVRYPGLRSH PQYELHHSMARGAGAVLSFETGDISLSERIVESAKLWAISVSFGCVNSLISMPCRMSH ASIDAKTRKERAMPEDIIRLCVGIEDADDLIDDLRRALVQAGAVNVSLDGFQAVRPAS D TSTA_120360 MVAEAGQNHPPELSYQMEDGLDISERATTEAATSDPLQDEDSPA DHQSKRRIAIVMAALSLCVFLAALDITIVSTALPKIVAQFKASDSAYSWIASSYLLAN ASFVPLWGRLSDIWGRKLILTTSVVLFICGSLMCGVARNVTTVIAGRAIQGVGSGGII VLANLCVSDLFNVRRRSAYLGIFGATWAIAGAVGPIIGGAFTTYSTWRWCFYINLPIG GISLLSLLFFLKIDNNLKSIVEGLRAIDWIGLVLIVGATLMFLLGLEFGGSEYPWASA TVICLIIFGIVTAIIFGLNEWKVAPTPIIPISIFSNWYNFTVLVINFCHGMVFIGACF YLPVYFQNVLLATSLMSGVYLLPLVVALSISSGAAGFYMRTTGRSREVIILGMFLTTL GHGLYIDLKPYACWSRIIIYQIIAGLGIGPNFQATLIALQANTKPSELARGTATFSFV RQIAASVSVVAGSVVYGHIVSTKVELMAKVLGQRIATEIAVASTAAAGIIESLPGGED RQFVFNVLTDALTYVWILYTAIAGFGFLISLTLKDLRLGDVNRQESTEVEKGEGHIRS TGG TSTA_120370 MSRDATQAKVPAIPISTAKSENKWNTRSLGLRFAADATSAAVAG ALICPIITIIDRAIIEKASKGISIRHTLSTCLRSMITKPGGFFLSTPFILIYTLYGGT YLTANAIDTVTSTLRNQPFSTVTPGTAKFLTTTIVNMSICVYKDARFAKLFGPSSDPS TQCHPSAPAVTVATTGASSLSAATATAATIAKQTPTIPKVSYALFCLRDSVTIFASFN IPPLIASSVPDWIVSTPGMKAAVAQFSCPALMQFVSTPMHLLGLDLYNRQPPGGLGWR ERLLRIRRDYIVSSFARMGKIVPAYGVGGVVNVRLRAALMEKLQLDDERKHHSLGRSL TSTA_120380 MSPSEPRFTSPLRNTRGGSPNVRDATTATGSNTLNPTDITTRTT SPRPSTPISATINEALRNNPFGSTSRSRALEAEVQAITSLLEHDERRADLEDRNRSIV ILAALFPDVRIEVFRELLMRFDGKSRLHVCVEQLLRHKKQWVQGRWNIPLDRKDDNSN NNNNAVGPGLSADNYVVVAPEELFRSETYKNTVKAVLCKEFSGLSRSTIDGVLAEVNF SYTRARPTLKELSRKGWRATISNLNPFRRKKDNTKDDNPLIIWERFRDGDGTGLAIPR LRQKTGSDELDNEIQEALIAPLLRERKETQEYQDYRLASEINEKDAMAENALYECQCC LDDVAFEQVSACSSDQGHMICFNCIRRTLHEAVFGQGWDNSVDPERSTLKCVAPVSHG VCEGHLDSMLVKRAILSEKAGSETYHKFEDRLASEALLISNLKLVRCPFCSYAEIDPI FHPSSISASGFPTGGISWRFRRGNLISTILTTILLLDLIPLLFLPFLITFLLYPRTAA AIFRVSLQNLCLRTRSPRFTCKNPSCRQVSCMTCHKNWRDPHTCEEPLQQSLRTTVEA ARTAAVKRTCPRCNLSFVKSSGCNKLTCICGYSMCYICRKALNGPSSRRQQQQGAVRN PFVAGREDDNNNAADAEADEGDSGYKHFCEHFRVNPGTRCTECNKCELYFAEDEEVIA RKAGEQAEREWRLRQSLMIDNANNTNNNDNNNNNPNNQNQNQRPGKQILNANLPLRWQ DNQSQKLGNRHPDLYRIPTMYNHHHNRSWQYWLIEVWKEGRWKWELQGMADKLVEAKQ TTLYKYYRRRLERTLNQSTVRLGLQYLIEIKVKVFIKPNSTSPPP TSTA_120390 MRSISLVALAALSCATESLAAEVLRPKYYFPRDVNRRTNSTSTS TSSGTSNEVVVPIYVYVGSDGQSTTTIVDPVTTPSPTTVAAGAVTAAAAGSTTSPTPD LLAALGSLFDPSTTPAVGSTSGLPTSVVQPTAATSAPVTVPVTSGAASATSTSTSSDG NLVSDLLGSSGLLGGLSPSSTASLSAPGITVGLSSIYSSPAIPTATSSVPASVPASSV SASASASASSTSSSSSSTSEGLLPSFLSSLLPIGATTTSTSTSASASATGSSSATISS QPTTSTGLPSLSIPISIPSLTLTSSTAVIPTASSGSATSIPVSIPVSIPVSSSPAVPT ATTSSPSSSGAVTTKVPGSSTSASSSSASASVSSSAPLTATSATVAPSSIAVHSSTTQ TTFSASETSTSTTASTATSASSTQTTQYIPPPVQSPTETSTGTTATSSSGPTQIPQAI SPPGGTPDTPENSTLVQFGFNKALSWETVATSANWADQIYYYTPIGVAYALADSTSDV VNYWLGSYDTKSTLGYDTTIIKFFIPSDQIDTLNGLRLLPSSNLYKQPNTPNDPPTYN QESIVNLFSMLNTDIPLVAQQPSGSAPSSKPGSNGNGGSTGGNNDNGSNPGDGSAASS NNVRTSSIGIGVGAAAGAAAYAGVMFYVARRYRKRKQLHARSPSVTTAAMSDVGGASM FAQNARISHNSGRSGRTAMISAPVMSENSLGWN TSTA_120400 MSTRALTPTSITNFSLVQSRHTARLVTCNAATSAHIITGCSVQV RMFWGRGWKYAWYNNQHNRVNRRDVLHDMAKAYRGKYVLIKRMANRRSGRQEFWKDWD NSPKQSHHERGDNWLYSKRQRNYWNDDMSALVKKIEEDPYNFLFGKSNEYLRLGKGWS SFCRSFLDPEASSEQKSTTSSVQDHSVKSTTADVSAKDSEVGKDSKADTVKARISSDV EGFQYDPVSGRMKRLEQSATQVARDETRDDNRGIDIPVKVFTSSEGARLERTVPEQGS LRPRDDALSAPERKPQANNDNVLYMAGPGQDKSVTSEDRSTAPNENLQQSEITQNREK KILHYEPKEVTEDDVDLLRASDIRAAFFAGETKQEVAEKKKKLREAMEKDYTLTTSEH VDELILQNLRRKKDASLASETVPGIASPTGAVQVHNEDPEVVQEGETRNTTTRENVTI LNNSIGKTLEDARRLINDVHLLTDDIQEACERLEASANSPSDTLRILAFDCASSQVVC AEATSSMHTGEPIRHTADVLLRLNNPAKFLPYFAKMKADGYEIVSGGGDVLIFRKTVT NGSVQPAGFDGFTLDGKEIPDTDAPIPEKGDSPPQLSLDKDPSKSRLVHRQEIVFTGG PPNWSPYEPPSSNPVAGTIEPQPTETSTRSPFSRLGRGLRRIVLSGVATAGTFYAIGV VCEYFVTGGQDGLGPEGFTEFEAERRRREY TSTA_120410 MFFRPLDLTTALRPSLLPDESLLFVQDAVGLYEGKYKIPNYQNG HAYLTSHRICYVATENPRQHSVAIDLKDVDRAEYQAGFLKSSAKITIYPKPQKQIIRQ ESITSSVSQGTSTGALSAPKPVNATWVCPICSFSNPVPSNFDPATATASTPLPPCLAC GIKPPLTVILKATIAAATNRKPTDNVDGVSTQSSSERQQIGSSTANLQSDTNGSSLSC PRCTFKNHPSLLECEICGAYLVSLAPKDKLATGPRREESPAPMFNQSSITNTEISDYI KLSFRGGGEKIFYERLRGCLVQRKWLLQNAPQIPQPSSSPAPEATSSPIPGRSNDTTP KPTAVGIAGLEMRGLEARKNTELVIGNAFEDLEALMASAKQIVSLAETLASESGIANG ETTEASTVLSQSAAALGMVTTKDMLGSGAENLYLSELARNLAEYLTDDRKGVLRKEGG IISLVDLWALFNRARNGVELVSPSDFEQAARLWEKLKLPVRLRRFKSGLLVVQSNEWS DEKVIQQLDRWLQDLRYQVPTEGLPWNWEKYSRGVTAQETAQHFGWSVGVAIEELEMA EDKGALCREESIEGVRFWRNLFLTEESNDTGLEQRISSLAF TSTA_120420 MSSTIPPWRASASTTTTMKYAPTAYTPVQARRTLVQNNTTTSTQ EATEERKRVEWPLPVRQYVQRSFDPENQVASVSRQELERKLKQVITEAAQSESLDTVD WNSLPLPQVMIQNERNSVLTNSLVSSPWSASANVAAMKSGLAHDERSKKRKSNEFQHT DGSDSPPWRKANNRTQLEASYTPTDKRPRVDYNSKSSSKSKASLELRKRRFGDPQSYQ DSSRSESPAATVIQGPIVGRCQDLEKRYFRLTSAPNPDVVRPLPILEKTLDFLKKKWR KENNYGYICDQFKSLRQDLTVQHIRNEFTVNVYEIHARIALEKGDLGEYNQCQTQLRA LYAQKLGGHPMEFMAYRILYFIYTRNQTAINDALADLTPTDKSDLAVKHALDVRSALA LGNYHRFFQLYLDTPNMGAYLMDMFVDRERLSALACICKTYKPDVKIRFITEELGFES DEQSVRFILEYASGELLQERDGHVRLLTGKAGRMFEDAKSQAYRVVDIKGQI TSTA_120430 MKAIRRSLKGDKESKSHHVSITPKSALAILPPKKVIKALYDHEP APGNTQELAFSKGDFFHVISREDDPDWYEAANPLVPHARGLVPVSFFEVVHKNEKDRQ SGDSKESFKQELHDSGYSDRPIHHERQESSTNTTKQAAFRMSTSGKNGAMVYGVVVYD FKAERPDELEAKAGEAIIVIAQSNPEWFVAKPIGRLGGPGLIPVSFIEIRDMATGQAV PDPHEAVRRAGVPRVEEWKKMTAEYKNSSITLGKLDGMAASGMQAVSNDMEKLSMNGH GSYHSANGQGYHSRSESRAAGPYSQGYAQPHHAQPAAGTRLLAPVSASIPRYCFDHDK YWYIIEAKMEDGTHWELSRFYHDFYDFQIALLTQFEDEAGHNGKTRTLPFMPGPVTHV TDAISNGRRQNLDDYIKKLLAMPPHISRCPLVCKLFAPRPGDFEIDPNAVEDTYRFSG ESQQSSGHDISPTDSRQSSQARISASGVGYAAPNGVPSSRAPHQRQQPSLSQQNSFAS QSRTDLQIPPMNRQASSLTQASNNSSNNAMKVKVFFQDDIIAIRVPGDVNFHQLQDKL RERLKVNNEMVVQYRDESTNDFIDMLSDHDLDSALQRNSKLTLRVSVA TSTA_120440 MLGTLPPETIRLIVESLDQIDIIVAKLAYDVQHYYRLLQRANGF WVVHRLIIEDHFTVWYHIRYKDPNGGFDQTQPWRRPGLPLQENRGPDEDILEHYLGKV CRSHGRWRYLTPLKTAHQQNHLWEPLAEFIQQLSCLESLFFASDGQFPPLRSPCLFDV EPESTYNVPGSISFSEVLNFLGTEIMPNMRLLSMRQLGTTSIDSFLSLRPPWEGFQTN PLGTSMKNFTIMNWWPTRSQIKSLKEAIDLSLLKVLKLKIALPVEALKYLAEECEFSS LTGLELVFTAFHDKLYQAGNSFLTRPPALLVLILRVWAPILRIGRVCQLHGLKLRQLF LSTILLKPVSLHNLRWITDNCPYLEELTISTSRTMGDANECAHYKALGSLPRLRRLTL SLDASSIQGRQIELPLSKAEMYPTMVGNPSFNQFDQHCAENYFPGFQLTR TSTA_120450 MNKNSVTACAKYVHSFPRATASRAQTVSPICRPTSSKVHSVNRA LTISRYESSRAVSHSSFVLNKSCRIPVLYTPYSIRSLATAHATSTPQQDGVGGPIHEY ERRVKADLLRDDPHQRSIINHLQCLHDMLKSYTPPPIVHPSPDDLQEDKKSSFLSSLF KRGAARSDVPRPRPENLPKGLYMYGDVGCGKTMLMDLFYDTIPPNIKRKKRIHFHNFM QGVHKDLHAIKKARGREFDALPMVAADIAEIANVLCFDEFQCTDIADAMILRRFLELL MSHGVVMVTTSNRHPDDLYKNGIQREHFIPCIKLLKRELEVLNLNSETDYRKIPRPPS GVYHHPLDKAAEQHAQKWFEYLGDPVNDPPHPATHEVWGRQIPVPAASGRAAKFTFQE LIGRASGAADYLELVRHYDAFIVTDVPGMTIRERDWARRFITFIDAVYESKAKLVLTS AVPLQNLFMSEAEIKTSIDDSSADGHEILPSDMRQLMDDLGLSMAALKSTSIFSGDEE RFAFARALSRLTEMGSKHWVERGMGVGMNAVDGLEDRDAYNKTRSRWSEDSM TSTA_120460 MSTATSSTFTPKSMPSALTFDLHAKCSTTKARASTLHLPHGSVP LPIFMPVATQASLKGLTYDQLKETGCMLCLNNTYHLGLKPGQEVLDLVGGAHKLQGWD RNILTDSGGFQMVSLLKLATVTEEGVRFLSPHDGTPMLLTPEHSISLQNSIGSDIIMQ LDDVIVTTSPDRARMQEAMERSVRWLDRCIDAHRYPERQNLFCIIQGGLDLELRRKCC EEMVARDTPGIAIGGLSGGEAKAEYCKVVDTCTGLLPDNKPRYVMGVGYPEDLVVSVA LGADMFDCVWPTRTARFGAAITPNGVLNLRNTTYANDFSPIQEGCKCPCCRPKSEGGL GITKAWCHHLAAKETVGAHLITMHNVQYQLSLMASIRQAIIEDRYPGFIRKFFDDYYG TRFKAPEWAVDALRGVNVDLFAE TSTA_120470 MSTPAAGAQGTMPNWQKMLGEYCTKNALTAPEFHIVSDRRGGRT AWSATVNVGGRNFAARYWYDGQYLNNAKEDAAEVAIRQLDPQPFVPQTNYSGQF TSTA_120480 MSSYRIGVDVGGTNTDCAIIDVTATDDTSRGVCASCKTPTTPDV TSGIYTAIINVLAKSQVNRNDVQSVAIGTTHFVNAVVEADPRRLSKVAVVRLCGPFTR AIPPFSDFPPQLREIMEGPIFYLDGGLEIDGREISTISPKQIQDAVAEIKKAGISTVA LVGVFSPLDHQGIQEEACKKMMLELDPSLSVVCSSKIGNVGLLERENATILNASILNL ARKTVRAFCKAISDLQLHCPLFLTQNDGTLTDAATAADLPIKTFASGPTNSLTGAAYL ANLNRGANSDIAADTQILVMDIGGTTTDVCALLPSGFPRKAPNFVEVGGVRTAFSIPE VLSVGLGGGSLVRVDETLDTVAVGPDSVGHCLGSDALVFGGVQMTSTDIVVASGKADI GDVSKVKHIPDSVLAKAQAKIKRILERTIDDMKISDLPVTLLLVGGGSIVCTESLNGV AKCIIPPHHDSANAVGAAIAKVSGEVDVIEILENRDERAVVEAAKQQAIQAAIARGAD KGDTKVVEVEKIPLQYVTNKAIRLVVKAVGKLSTSKFEGNLASDQPAEIDWMSKDDEQ VSEQETSGDSASATPKDATLPSSTKHITSVHFDSYAPEVVNNVWYLSTTDLEFIATGT GVLGTGGGGPSYLQYLQCLHWLQSPESKGRMRVVAPEYMKDSDVCVFGSWYGAPSVSS ERLPAGTEIMTAIDYSVQLSKHSHFEAVIADEIGGGNGMSTFPTSSFYDIPVIDGDLM GRAYPTMEHGTPYVYGHPITPCVLADAKQNVGVVMNAESNTRVEGMLRSQCVNLGLKA AVAAVPLSCDIIKQYCIPNTVSQAWYIGRAVHQARRNKTNIVKSIFDTSPGNLLYSGK ITDVKRDVSRGYTMGQCTIEPLTDDEMEDPNNTRSANKEKEKRCIVLPFQNEFLYAAY VDDVVSADDPSKHDVICTVPDLISVLGADGEAIGSQELRYGLKVDVIAMAAHPLWTGD ERGLRIGGPEGFGLDMEFKGLGPYQKPRSVIEEFNKSE TSTA_120490 MSLWSRLLKKLEVPHEGGTIPDPWINNDIKPVETARRKWGFWTF NNYWVLINCNISTYMTGSSLIPLGLSWWQAIIAIVVGNILASVFIVLNSLPGAFYHLG FPVANRYVWGMWGSQWVVWNRIFLSINAFQAWIGGECVYVCLQAIWPSLEDRIPNHMP ASTGMTTAGFVAYIVFMVISLPFVYVRPQNLQLLFYSSAAIVLVFEVVILIWSLATMG SSGFGGTMSSATTSSGWMIAYGIISTIGSIAAGILNQTDYARFARRPRDAIYGQIISA ALCAIASSVIGILVTAATQNRYGEALWNLPNLLSAVIETGGSRSRAAAFFGGVALVVS QIGVNVPGNALSGGFDFAATWPKYINIRRGAYLTVLISIAANPWKLVNTATTFITVLS SYSVFVGPMVGLMISSYLIVNQSKIKVPDLFVGNKNSIYWYTYGINWRAVVAWISGVV PSLPGFAAYVNPNVSVPIGLTHLYYICFLTGMSISAAVYIALHYAVPDKRLQAFVNSA PPARQLMAEYRELYDNPDEVSYVDVAQGKIDA TSTA_120500 MSTASTYQNVPMQGSQSSTSSTADSEHSRSTAPTEHSRRIAVAD KGEDSCKALFFTEDPRDSNSTYASTVPSCKDLPEEGEEEEEEEKQPHYEVVTERQQTF TSSAIPSNSATFADLFPSGRRLFIHHDDSTLDGNMNLRVDTLLNRRDRTRQQEVTLFH LRMHDLHSRNFSFRRYCRDSGREVCHSRRMTHTAQLQKRPNLRRSWGSVLNGLRPGSG SSDKHSVTGFWNSNSNKLHHHQSLPKSSPLQDFLDDETMTPGPTEEKLSNTMLLEFCN YAHIELSRVGVTSSKRYEFEYWSTKYQWRRESRREGGFHEISYHLVNLETSRTVAYIV PEILTPMEMKEEEEKGGWVPKCSMWMSDSSVYEKMSDVADVIVATGIMVLVDDSIRRR WHNKRHVGLNLGKRRASTFFQNMHLDSLGPRRLIDEILHRRGSDVRVLYSSFLFNLVD PFNPYRNGADICGLPDKMGGGRLYKPNSSSVLSKTLSRRLNIYQEASLCLTVSLLPYY RKISFNIAVYLSHEMTASNSVTSRNAASRPPNLKKRKPANRRTLSCYPCRKHKLKCDR QIPCQSCIRYQRENQCKENPAPSASARVAVRQPPPSIAPGYSPAPKSEGDFMLEIFSC PSRYSITELVESCQSNTRLDPTHTMMFLHSRFALPVLLPQSMSAASISSEAGRGNSSS PISRSIDSKLFWKVQLSSLLPPRDLCDRLVSYYVENIDLIYHTIHIPSFQRQYEEFWS LNTAEIDLIWLALLLTVISLAALMTSKEYVEMLGMGKSIARDWAHIWHQASRQALYAG DYELKPTLTQLQVFVCTQIYWLETKNHEILNSALGQAVRNAQALGLDKDKPGKNRLDT ELRRRVWWELVINDTYQAMCLGRPPLIHTSSSEVPKPVHCNDVDVTETDITPRPMEEI TDMSASIAHIEVYIVFRRLFEDNGAYTSSYEYVRSIDRQIQEVVSRFPWYFQTNNEIN VRHASSMNTIFWQHNILHIGICLQRIRLNRPFLHARIGESWVVCAKAAQDILVPYRRM REANVAGFLRSQQFTSLEYQVYTAAVAVAAFLLVERSLPGLSSQSMIQDVQMVISDLE QVDLRPMLADGVKVLRKMLDLFEQEHSQDSQARISLVKEIASVFGGEELAKKYLKQSY EALGSTKATTTDVAPTRSPYSLTLRESDFGHTNNVIPPSTEHPRIELENSFMAVNDST SLDFEVALDMLSFDQWLDYLIPGDADMSLDQW TSTA_120510 MKGNVCFLQVYKLSDIYRCSDKFFSTTIRSFTTLLAIPTLLFSA ATVQAYLPAEQIDVQSSLLSEPSKVAGKTYDYIIAGGGLTGLTVAAKLTENPKIKVLV IEKGFYESNDGAIIEDPNAYGQIFGTTVDQNYLTVPLINNRTNNIKAGKGLGGSTLIN GDSWTRPDKIQIDSWEKVFGMEGWNWDNMFEYMKKAEAARPPTDAQLAAGHYFDATCH GTNGTVRSGARDNGKPWSPLMKALMNTVSALGVPVQQDFLCGHPRGVSMIMNNVDENQ VRADAARAWLLPNYQRSNLEILTGQMVGKVLFKQTASGPKAVGVNFGTNKVVNFDVFA KHEVLLAAGSAISPLILEYSGIGLKSVLDQANITQLLDLPVGINMQDQTTTTVSSRAS VAGAGQGQAVFFANFTETFGDYAPQARELLNTKLDQWAEETVARGGFHNVTALKVQYE NYRNWLLDEDVAFAELFMDTEGKINFDLWDLIPFTRGSVHILSSDPYLWQFANDPKFF LNEFDLLGQAAASKLAHDLSSQGAMKEYFAGETLPGYNLAENATLSQWSAYVLQNFRP NWHAVSSCSMMSRELGGVVDATAKVYGTQGLRVIDGSIPPTQVSSHVMTIFYGMALKV ADAILDDYAKSA TSTA_120520 MAIHPSLRTGRASTSNLTQQDISAWTEQAAASLQILDISDSVAQ IEVINASTPIRGTANALSIPLDGPITATNKPSTPRVKIISKAADDTIGTSAYRRREPI RRDSLRRREALLKGKEGSRRRQRWENDRLLSNPWVQPPAPGDWSVQPTHPRHDPVPYY LAPLWDSHFAHKEQSKSLKQAKSKNREHEDEKTRVSKEIRKSLKHARAARGMLQDLEE DIRRFLEKWNDKQLERELDSVKDSEYEDSDDEIVFVGRNGQMHDAHSRQEKAKRINEG LQEGQKMVFESLAHDPGAVFGRWLVHSIATYYGLYTWSVTSGSPERRQAYVGLNPPVS STHLADLTHGSSLRIKPGEPLPKPLWVQV TSTA_120530 MKLQNVLALGAAIQSTSAAAVPYEQTPLADQVPSGKSPLPQYLI ELAPYDTRWVTEEEKWELKLDGVNFIDITEESKAGFYPKFFKSNAVQYPTKFAHNDTI NSLSKNLNKTNMRNNLEHFTSFHTRYYKSEYGVESATWLYDQISDIVKSSGAAANGAT VEKFAHSWGQFSTIARIPGKTNQTVVLGAHQDSINLFLPSILAAPGADDDGSGTVTIL EVLRTLLLAPDIVAGNATNTIEFHWYSAEEGGLLGSQAVFSNYRQNYRDVKAMLQQDM TGFVQGTLDAGKEESVGVIVDFVDAPLTQFIKDVITQYCDIPFVETKCGYACSDHASA SRYGYPSAFVIESEFQYSDKHIHSTDDLIKYLSFDHMLQHAKMSLAFAYELAYAPFNP LPSRSHYNIAKMDYEKELSLATLTIQRASRLTKSILTAVDKGALDKKDNTPVTIADFA AQALIISAIHAVFPDDGFVGEESAAALRENPELLERVWGLVSSFQDDEGSGEIKLATP STQEEMLNLIDLGGKGQGGSKGRIWVLDPVDGTATFIRGQQYVVCLALLEGGEQKLGV LGCPNLPIGAEQVHEDIVDKHGDGQIIYAIAGQGAYIRPMNFSSTEQILLTPATPVPK YSPNLKTSDIRFVDCKASTSTNYEKHALVASKLGAPWPATVDLWSAQMRYVAVAVNGG GNTLIKILQDDSYRSCIWDHVGGMLIAQEVGCVVTDLRGRSIDCGLGRTLAGSVGLIC APASVHAEVLRAVQEVVDIDS TSTA_120540 MEIFMLAIFSFSLLSWIASVPRRYVNIFEVPVSRIDEGPLGPEA PTHVVIPLNLTIPSNQVKNCTAKITDFGSSFFLGKEPSTLHTPAVLCPPEIVFRGKVN SSADIWTLGCTLYDMLGERPLFETWADDPDEVIGGDGEHLGKLSTRVWQQWEKRPEFF LEGGIWNPNFSCIQPSVFRPLSQRLWQMGRGETLQTCELDEMEMDSLRSLLRAMLAYE PSRRITADGAINSDWILN TSTA_120550 MANFNPFARRESHHRNTLIAYQVLSVLSWALVLVAGIYYSLHKP DDVKHGHNIWKQANRHPTPFSQNTTITGIYWILLLLSQVSYVWHFFSNNGTLVTSAAN VASHFILNNLLVFAFIMLWVRNYFWPAEIILIIHVISQSSAYWTHRGSPPFVHWPAIA GPYAWSLTALFWNGAVAVHAHNLPSRIVANIFIWVIFLIGFVHIFTAKDYIFGYSLSI LTLSLAVEQLAIKIIALQWIFAFVIFAVFFVGSLYVSSAAYTGRDLWLKRVVAPDSTT DREREPLLNNP TSTA_120550 MANFNPFARRESHHRNTLIAYQVLSVLSWALVLVAGIYYSLHKP DDVKHGHNIWKQANRHPTPFSQNTTITGIYWILLLLSQVSYVWHFFSNNGTLVTSAAN VASHFILNNLLVFAFIMLWVRNYFWPAEIILIIHVISQSSAYWTHRGSPPFVHWPAIA GPYAWSLTALFWNGAVAVHAHNLPSRIVANIFIWVIFLIGFVHIFTAKDYIFGYSLSI LTLCKSSLNLPSFDSVY TSTA_120560 MQRRLSKRQQQQDATKSPDATAQPVEQQQKTEANDKRRGSKTTL HTPSILIALVCILPFLATVVLFVRYYYESNNDDSINRLSNSLHDHESISSTLKYDNRI HENGRLRPEDHIHRGAITQTLNWSVTAGQRRPDGVDKRIYLINDMFPGPSIEARSGDT LQILVHNNLEDEQISLHWHGLNMRGANTMDGVIGVTQCGIQPGQSFWYNFTISETQSG TFWYHAHSAVQRADGLYGSLVVHRPDSTLVSPNERSEMVSDSVKYGYDKEIVLMIGDW YHRTATDVASWYLWWGSMGYEPVPDSLLVNGAGRFNCSRAVRARPLDCIGSADEMPPL ILDGNSSYRVRVVNTGSLTGIILGFAPGTSIQVITIDGGNPVEMEAVDGGRSSVGILF PGQRVDFVLRPLKGQTSWMTVKMDDSYVSPYTLYKTAELSVSSDFTIGNPALVPQQSF PIFQTPLPSDSLPSSPTAQSNNTNDIEEDDIININTLPSTRTLLSSLPPKSEQTHIVY TKVEKLSRLDNIPHGFFNRTTWKIQSDPPYPLLGLPRNQWDKHQFAVSTGNKNGWVDL VVNNLDEGAHPFHLHGYNFYVVDIYESPEGSGRWGSYNPWTSPSFNENIDPYDLTKAV LRDTVQIPRRGYAVLRFKADNPGVWLFHCHVMWHLAGGMAMVIDSGSGDDSVAHEPWL AEEGMECRV TSTA_120570 MALALSVALGLALGASPVSAGPVNAKPAGGLDQIEHVVLFMQEN RAFNHYFGTMAGVRGFNDPNVQVNSDGRSVWYQTVDKSLSTETDYLLPWYLNYLGGDW AQATQCMVAGSNGYDANHAALNGDLNNHWAIKNTPYSWGYFKRQDLPVHFAMAEGYTV NDMYQEGQMTATNPNRVTWVSGNINATGYPYIDNNETPGCESGATGPFSCYPLTWETT FEVYQRLNVTWQVWQDTNNFDDNANAWFQQYQNAPDNSPLHVHGNSFQYTLDDFAKAA AAGTLPQVSIIVGQTELSEHPPWQPKDGAWLHQQIFNAVVNSPKYHQTALFISYDETG GFGDAVTPFHSPSGTAGEWIQDPLGKFGQTYTGPGFRVPSWIVSPWTRGGRVFGEHCD HNSQILFVEKWLQAKGYSNVELKGMAPWRRENMCDFVNAFDFAHPDYSIPQVPTAAAP HTDSNGNFDGASLCEAAHPSTRPPVPYGKQTLQDSLYFENGFKKVVGYLTEGRYLTFE LNGYALTNPGNGDKVTTTKATAAHDSKNQRWVIHASNGTFKISSALDGRFIGDHGKDG IQLGAASDAASFNISFEANSGYSIQYNGGKFLAVNPAGKVHAIASDKAQYDIYSVSYH N TSTA_120580 MDPSTPSRGQANGHYVEPYPDELPPSYYGDEGSNISSPLGNDIP GASSSTMRLLPTGYDNEDIDGGSDLGTNAARFRMGQTSSTRRTYVEDPGDKQPRKSIS FSFLDTHHKEPTFPKPVARPVSQNPCKHKVEVAAPDTAISPSKKQTKEVSIRKVAHTH KSIRDIVPPPLFAKIPKPSRLPDNTNPKAETLDLSMIDQRANMDPISANPSPTRSTPN RYSAFRPRQNSTASSIGHAPSIPPPAASVVDSPQYSSRPGSPTRPWTPSRASTRRGAN SSISGVSYEPPDLNGSPRPGTPSSAYGSSPRRPLPPAPLFSGPAATSGETSIDIGDAR SAEDPFGGDGQTIDPRQSYDSFRSESTMIADEKEDLVKVDMDEVPHESEESETLVDTN IHYGPAPEGKQSRRGVRPAQMSKKEVQLINGELVLECKIPTILHSFLPRRDEREFTHM RYTAVTCDPDEFKERGYKLRQNIGSTMRETELFICVTMYNENEVLFTRTMHGIMRNIS HFCSRAKSRTWGKDGWQKIVVCIISDGRAKVHKRTLDALAAMGVYQGGIAKNVVNQKE VTAHVYEYTTQVSLDSDLKFKGAEKGIVPCQVIFCLKEKNQKKLNSHRWFFNAFGRML EPNVCILLDVGTQPAPTALYHLWKAFDQDSNVAGAAGEIIAGKGKNYLGLLNPLVASQ NFEYKMSNILDKPLESVFGYITVLPGALSAYRYYALQNNEDGHGPLSQYFKGETLQGK DADVFTANMYLAEDRILCWELVAKKGERWVLKFVKSAVGETDVPDSLPEFISQRRRWL NGAFFAAVFSLANMRQIWETDHTLTRKILLHIEFVYQFFQLLFTYFGLANFYLAFFFI AGSLANPRIDPFGHNMGKYIFDVLRYACVLLMALQFILSMGNRPQGARKMFLFGMIVY SIIMLYTSFATIYLIVAKLKGDGLSLGGNDLSNIVVSLMSTIGLYIFTSLMYLDPWHL ITSAGQYFLLLPSYICTLQVYAFCNTHDVTWGTKGDNVHNMDLGAAKGLGGSKVVMEM PTEQLDIDSGYDEALRNLRDRIEEPEPPVSESQLQEDYYRAVRTYMVSIWMVANALLA MIVSEAYGIESGANNVYLAIILWAVAALAAFRAIGSTTFAILNLIHKIEEARMKFQAG SLGSKVRKGGAGGSSVAGSSRYGGSASIRDLISEGGWNIQRKARKLMFWRK TSTA_120590 MLLFSTIMLSLLSFGLASSITELGMVAYGVWVYGSDYTYAYYCG YSVCEETVKGHVPDVVSFLMFASVWSTLATAVAIGLPLYYHKHNGHHHNSWLAPSLIV LHFLTWVFWLAGFAYLAYLIGSSGTGIIAAILAFGIINWILYTVLFVLSFLAIFNIMQ GEWPGYLVMKGRGSASAPAPAPAPAATETTAYTGAPAEPKYEGPQLTA TSTA_120600 MPVLKVGIIGAGEVAQVIHLPTLTLLSHLYQINGICDISLPTAQ HCSHKFHIPYATTDPYKIINDTNVDVVFVLTSDEFHAEYTIAALRAGKNVMVEKPLTI SIPAAERIIDAEKKSRGRVFVGYMRRYAPSFTGSFLREVASIPRILYARVRDMSGPNA FFVNQSGTFQVKPDVKDIPAEASQARDKLLDGLYREAFPNASVITDEMKKYCRFLGSL GSHDLSLMREALGGSTPSSIEGVSVNDPFYSAIFTFSPPPPTTTTTTMTPEQAGRSFS VTYESGIDTIPSFDAHLAIYGTNKRVSIQYDSPYVKGLPIKVHVEEVNEYDEKQVREV LSSYEDAYTAELTEMYTCFVNGKEIKTSAEDALEDLRLYDAMYRKAGISSMIRA TSTA_120610 MQTIYEEESSDSGTEADNEKDNGSDSTTVTPSVTDYVYENGRRY HRFREGSYPLPNDESEQERLDRCHKLWTRVMKGRPWKVPVDSPQKVLDIGCGTGAWAI MIADMFPNARVIGTELSPIQPSMVPLNVRFYIEDAEDEWTFDKGFDLVHGRMLAGSIH DWTKFFGQAFAQLKPGGWLEMNEIEAKFYYQNENDERCVQLDMLTQVFNRESTNFGKG FNDVLLMPEAMRNAGFEDITIDIQKVPIGQWPENYSTKDLGLRVQAAAIEAIEPYILA IGTRVVEDPAWSIREIVRASQMSLHNRNYQLYVQAHFIWGRKPNYS TSTA_120620 MSSPQPTSFTEMADVDLESIGRNCQYEYCHQLDFLPFRCESCRG TFCSDHRTEAAHKCTHPGEWARRRRELNNKTTTSGGGGGGRTVKSTVYNSDQCSHPQC KTLHRLREGHDCANLIPLGARAGGKSTDNAATIRSMFSKLRGLGNNIQPPKAPKISLP ASIQARRTTTGGNAITQLNEIKRTAKGEASIPTDKRIYLHVVGTTDKPTAETPPSGNY WFDSRWKVGRVLDDAARKLKVENLNNRVGGEEAKLRIFHVESGEFLEFSVAIGGEGSK VKSGHTIVLLRGAGVLLDK TSTA_120630 MCRTSQALYVWCQCEESHILETCQTGRTSPNRHGSCDSVDSETV YLHCFCHYHSTNGFTTIKKDRKLQRKADKKKNKRRSMDSATSVDSLNSTSTADMEDQN NHPARSPDEPPLPTMTAHNLAVNFHRLSLATTTASPGSPLLASSPTPSPRSPSQASSR IRNASSSVTPASLRSKTPSTLRKTPSNASLRDERRLSTPSLQKRASTSSLRSVSLSRE QGVASSLSRRSSSNVLGTMYSTKSPIPAVVEAPTASSIASDHFKAELALHESVDLQSK TAVIIQDACYGHRFSRPYTSKEGLSTIVERPERLRASLLGMSTAYVRVGKRHAGASFA PRPGLNVDLLPPPPFQIRKSKRAISLNSPAVTYVHGDKWMEDLRAMCNAAESRLALNG REIVRPRSAGKKDPEEKPLHDGDLYLCPESLDAFQGALGGVCDAVDIVLTDNSTNRAF VCVRPPGHHCASTDPSGFCWLNNVHVGITHAAMVHGLTHAAIIDFDLHHGDGSQAIAY KQNEHAWYLQQRGGAAARNAAQHKKTAVGYYSLHDINSFPCENGEMEKIVNASVCIDN AHGQSIWNAHLEPWKTHEEFWKLYNDKYLVLLDKARSFLRSHTQRLDSIEGGPAPKAA IFISAGFDASEYEGAGMQRHKVNVPTDFYARFTADVIRLAEEEGLGTEGRVISVLEGG YSNRALASGVLSHLSALADTRTFSDAADRGRQSGLASEMSGRLGLGGETGQDSARGEN TGPAFETEWWAPELLDELELLAFPPPVAKKTQKSAPTYFSPTHASTARVTTTLRERKS SANFAVDDYSPEPLPEVGWATSAHELWKCVIPSDRETLSFQYDELKARAVEAKKKKQT NVEPPATPSGNTRRQLRARTSKPTSPDTPVAKAQTPRRVSARNNRRTTIGSASDLPEP LTGPKAAKPERRRQSAIGAVSEAENTPGSGSRTPTRASSRLSSTPSQAPAGPVVNKKT RVSSGTPKRSASPRKVPPLPKVPSLYMNNLNAPSTSSRASSQSGEDAAKVNGNAETEN RIDDLSAGMKKMSLKLKVPSPEENALREKKAAEQRKKAATAKKATTSKPAASKAARNT KLASKAANSAAGQSQPARNVLTKLEKGNEYEVPIKAEVPSTTPTSSAPVDTNKEVSVK LEPEDISPSMDSYQGFCAPATGLTSQQINLPLSPLSPLGLPSAPAPGNAPSVQPHIMV QPPASVMSGQANNGGLPVFSSTGHIPFAMRFPQGDQIQYPQSQFQQQLESDPNYQYHP HDGSVQQHSESA TSTA_120640 MPKVLITGANGYIGNAVAKAFNRAGWKTYGLVRRAADATDLAQS EIHPIIGTPQDLSSTEEEEHCVFDVVVSNTEDWSDYEGHFRHVKATLTHIGTQTWEIA HVKTLVLFSSGYLAPHTESSPLNPVPFLRPRTESAAGLLEECIRGALPFDIVILRPTN VYGYGSSHTGSLFSWAEKSKKEGKKVLRAVADPNTILHYTHVDDCALAYLKLAEHPRR DEVKNQAFNISNARYETVRDVCEALAKSYGLSLEYEQPGSFENIVLGSVDALLQYSQW VSSEKIRQLTGWKEKRAYFSAGIAEYRMSFEAALAAKHQGTVKIYEMFQKQAA TSTA_120650 MGKGLYEDCGNIPSFTCLEYLEPVLVVGTIEGSVKVLKCLLNLM IIMKLNERHDPILSKEDAMDPPGIVEHPHWLSNLQVSQPLSTGSSGRLTNLQGEKGKI CLRSNRHCAGYADVTELNKKPQSFKITYYVPHLPSVYWKNGVSEDFEQEHALYCFYVW TTQCFPSDLTGPFRRQDILHEPATRHAMIAMGILHEIYRYKITGPPATQQRIVAMQHY GKAIRSLLARQNSDTCSTDKNKKTMSISLLACLLFVGLEISQGHHESALRHLQSGFAL FQGVLRDERESLVKELCVSESVLRSLFVRYMCQITHFDLPDCARFLSLFAGSEKGSAG FSDLDQARENFLDVLDKILSKRQTQGLRKEAGRNEDLLEIDRWIFSFNLYLSHGIHTT QVCDFFILATCAFSLKFRLLMDSRGAQESGTTEIDLTHIAKIGDALVKSSPDPTNCST CPLHRFFDNTKDNTTSGSGFFPILGPFCSIFVSSTYSQNDSIRRKAYDVVSTICSCGK GWDMGLTVYIATLFGPSDKQGSRSSRSVPHYPGFLSEGGRRLLTALHSFNF TSTA_120660 MAILKRHESDDGSSSKEKDYQQSNSHLNNSNDDLQRSNPTDFSP ATTEADVPPRDINGWKWCLTLVSILASTFLYALDATVVADLQPVILQELGGIQELPWL SVAFLLSATATNLLWGRMYGHITAKWFYIFHVAVFEVGSAICGAAPSINVMILGRTIA GMGGSGLYVGCMTLIATTTTLTERPIYVSCTGFTWGLGIVLGPLIGGAFSKSSVGWRW AFYINLFIGAVCAPFYIFLIPKKDPRPGISVRDRVSELDYPGIVLQAGMLSALILAIN TGGILYPWNSGRIIATFVVFGLLWVLLGIQQVWAIATTVSRRIIPVQFFRSRTVLLLF VATAAGGACAFTEGALEAGVRLLPFVVVMVVFVFVNGQLMARLGHYIPWFFVGGLLTV AGAALMYTVDQGTSEDQVYGYTVLIGTGVGMYLQASFSVTQAVVDMENIAPAIGFITL AQFIGITIALAIANSILLNSSQDRIQHILPDVPVSEIQGAILGAGSSLVQNLPLDLKI QVFAAIVDAIDEAYVLVIAGGALVAISSLFMRRQRLFGAAPAVAAA TSTA_120670 MPSSVFDIEFAVVILRICSYTSEFIPSKSCTIEQVHDMNLVDIR QACDDVANDLANKCTHLDPKGSLLRMQSLAFKGLKSRCEGRVDDFRNTLRCAIHVARD IRIDREAAESFPDMGELEKEMRRRVFCNLFIWDRYDDSDLYHREFIFPYCLNPENMPR MHLGSELDDNKDLDEFTEHLLQARLANFWKTAHVNCNDGHDIVAAEERYEKFCNEYLA TLPSAFALQPNRQWDEHLLTLSKQREILHISIFESLCYNFRSALLRNSRQTQHLPHYK QVLLASQRKFLAVAALHVLTCASSLHALMGGAQTCFPDIIRPTFEAAVLLVYVCMEPS LFDGVENRHYSSIKTDPLRAGMANLKQEMWVNAIRDALTRLQMLAEVSRMAEISAQAL SQLLQGTIERAEAVKA TSTA_120680 MPLEIPTNDPRRGKKFPSAIYTTFLLLSLLAKIEMTSTLDTVTM STPARTATLLTNLNNVLARISAASSIFQTTSTSTTRRHRPADKPVRLVAVSKLKPASD ILALYSPSSFPSDETPTATTTTPSATQQLHFGENYFQELLEKSRILPRGIRWHFIGGL QSNKCTSLARDVRGLWAVESVDTEKKAKLLDKGWGERDFTSLSTEEQQQKLRVFVQVN TSGEENKSGVEPALTPALCRYIRDNCPGLKLQGLMTIGAIARSKETTADKENEDFVTL IETREVVVRELGLTEQEADDFELSMGMSSDFEGAIALGSDQVRVGTTIFGERPVKAGA NAGAAS TSTA_120690 MSSMRGLVQFIADLRNARARELEEKRINKELANIRQKFRDGGLN GYQRKKYVCKLLYVYIQGYDVEFGHLEAVNLISAKNYSEKQIGYLAVTLFLHEQHELL HLVVNSIRKDLLDMNELNNCLALHAIATVGGREMGEALSADVHRLLISPTSKSFVKKK AALTLLRLYRKHPSIVQPEWAERIISIMDDPDMGVTLSVTSLVMALVQENPEQYKGSY VKAAQRLKKIAVDGEVSADYLYYRVPNPWLQVKLLRLLQYYPPSEDTHVRELIRQSLE QIMNSAMDTPKNVQQNNAQNAILFEAINLLIHLDTEHALMMQISSRLGKFIQSRETNV RYLGLEAMTHFAARAETLDPIKSHQPYILGSLRDRDISVRRKGLDLLYSMCDVTNART IVAELLTYLQSADYAIREEMVLKVAILAEKYATDAQWYIDTSVKLLAMAGDHVSDEVW QRVIQIVTNNEELQAYAADHLLKYLKGDCHDSLIKIGSYVLGEFGHLIADNKGCSPIE QFLALQPKMFTCSDNTRAMILSSFIKFVNLFPEIKPQLLQMFRLYSHSPDPELQQRAY EYLKLATMPSDELLRTVCDEMPPFSERASVLLSRLHQKTAGTSDKRTWVVGGKDANTD KQEVLLAQTTGLKRTFTTIVNGGRNGTANGSAAKGGASRDLAGLDMSAKPSAPPPNLA SAAHLSPDWEYGFNRLYFADEGILFEDAQIQVGLRSEYRAHLGVVKIYFTNKASFQIG SFTTTLDNPALPNLKIDTKNLPDSTLQPSGQTQQTIFVEAHGPFSDAPTIRISYLAGA LQAYTLQLPILMHRYMDPSDLSAEDFFKRWRQIGGPPLEAQKTFNVNAKGRMIHEKFT RSVVTGFGWKILNGVDPNPSNIVGCAVYQTENGKTGCLLRLEPNYEKNMYRITIRATQ ENVPQILAKQMEQRLSIGVSVDADLQ TSTA_120700 MTRPSTCCGQSTTGCVCAAQARCECGKTTAEHCDCSKKATHDPH SEGARCSCRMRPAGQCTCERSVTENRPVSGEACPCGQRPAGSCTCEKAEKIDAAVQEG LETDFTTKV TSTA_120700 MTRPSTCCGQSTTGCVCAAQARCECGKTTAEHCDCSKKATHDPH SEGARCSCRMRPAGQCTCERSVTENRPVSGEACPCGQRPAGMLFPSHPPPL TSTA_120710 MTSVLFDQSIPVLFLRSSSWLPLYFQISLYLDSSGETDVSLNSN QNLVRFTPLYNGILNAVARRLYSGMDLRIAAGTGSYENDAR TSTA_120720 MHRWLQLRTKFSPEENTLLGSLSIIDRADGLNYLKRNGDRVPGT CEWIMDTQGLQTWLGAQEISTEINPTILWLHGNPGIGKTTMATTIADVLTAQPNFIRG EALLAALYLLRGLLRRLIEQRPRLMKYLRDKFEERKEKLFDSFDRLWNILIDIANGNT GKNPDLHFFITSRPHPEIRDYLWPFKSKDLSTYRKIEEDLQIFIEHKVTKLSRARSYS ANVTQAVRRIRSRDEVEKLRDLPKGLNSLYEKLLRRALENNNHKDKSIALQVPGVVAI SRHPLSVAKLSTACRLYEEKDEEDRLKFCQEDIKLCRFMIVVQDDTVRLLHKSVKDFL LRAQEGTLFNELKANAIFLVPRDFRLSMLQQDGGISYLIHFALDRVSGIQGIQLSFTD DLATRESMRRFLGSWNKQKALVNLKGERGRTPLHWAIMSHHSDTIDVLLQRYADTDLM DNEGSPQKNLIDAKDAHGQTPLLIAAKNLHLEIIYHLINAGAHVDTIDNMHQNALHLI CKSRQLSENICSLLQFLIEQGTPISACDINNMAPILYAIENGSEDLATLFIESGVDIY LQIHRQHWTRRMQNCVSTYDLDNCSEEVADSRRSALHFAALKGCRFRRNIMGCGYDDT WLDEEYSIETLTNFITDYESEEAHETYEMIHQERVRDRPVHLIPFDESCAPETLSILR EKGADVSKLNRKGQTCLHLASAAGNSDAVSALVHEGCGVTLLDTNGLSPLHHAVQKNH PEIVQFMLKHQKSSIPGLDNGSLLEMRLLHCHLRSMLCSVEMIDVLLEHGYRLSELDE NGHSALSLSLNSRGTPWIFMKRIQKAKHLLTTWKRRLVANDIYIYSKGTGGKEGYLHG AANTLDRHDEDPDLGHLRKNYNPVTQTIRIRAMPTSFMIVIYASSVKVRLPHKRAFDP TSTA_120730 MSIIGVYRSVPSPQSDLYRHHPLAPSSDSIARNFSQGQVQESKM SLSSGAPIFATPSAATSAKESSQQPSSSGSNAQSEAGGISILPKLSETGPFATQFSNT TTLDQRTAVQGREIGAVSEQHRPSQDWSAHSSNMNASQTTQQRRASENHGEDNEEELA EDFSNGEEGEGGQGKSAGDAGDNSKKTKRFRLTHNQTRFLMSEFTRQAHPDAAHRERL SREIPGLSPRQVQVWFQNRRAKLKRLTSQDRDRVLKSRALPDHFDRTQMLQQPYGPRQ SATTSPTSPTTARSSFASTAPKPLAVNSIKRNPGDDYPVSPASAASAYGNYVNSPGLS EPFSPTNNTGHPATLPRVPGVHHAPHSHEYHRSHSFSSSYAPAWQQYPHRLHMPPSEA GIKSEQTINPLHRSTASYPGLAGTIPEATYDRHGSQASSVDHGSSTQTNSPLPPAMAY QAGQPSHASNEQYPSSTQVAESAYNTPVGYRHVLSLQTGQLPPPQEYQVSPFTPSYNF DSFYQPYTHENSSTVSLPASYMRSSQQGAYESSNGNYSSYENHDMTHRLSASQPGGTR TSTA_120730 MSIIGVYRSVPSPQSDLYRHHPLAPSSDSIARNFSQGQVQESKM SLSSGAPIFATPSAATSAKESSQQPSSSGSNAQSEAGGISILPKLSETGPFATQFSNT TTLDQRTAVQGREIGAVSEQHRPSQDWSAHSSNMNASQTTQQRRASENHGEDNEEELA EDFSNGEEGEGGQGKSAGDAGDNSKKTKRFRLTHNQTRFLMSEFTRQAHPDAAHRERL SREIPGLSPRQVQVWFQNRRAKLKRLTSQDRDRVLKSRALPDHFDRTQMLQQPYGPRQ SATTSPTSPTTARSSFASTAPKPLAVNSIKRNPGDDYPVSPASAASAYGNYVNSPGLS EPFSPTNNTGHPATLPRVPGVHHAPHSHEYHRSHSFSSSYAPAWQQYPHRLHMPPSEA GIKSEQTINPLHRSTASYPGLAGTIPEATYDRHGSQASSVDHGSSTQTNSPLPPAMAY QAGQPSHASNEQYPSSTQVAESAYNTPVGYRHVLSLQTGQLPPPQEYQVSPFTPSYNF DSFYQPYTHENSSTVSLPASYMRSSQQGAYESSNGNYSSYENHDMTHRLSASQPGGTR TSTA_120740 MLETVIDSTGAYRVLVRDLLAKAAEIKKGHDVEPPITEASLGDL VERVYLKNGDIQQEPNKQTSFAAVETAFREKFYELLASTSIDDLAFVNIWNLLDIVSI FSDNEQCEAGLIFWLIEELLDSQTVDGCRKVFDYLESRREINTAKHFESKKLIILRSC NELLRRLSRAEDTVFCGRVFIYMFQSFPLGDKSSVNLRGEFHTENVTTFDDIALKSQE DTMEIEPTEQKEETSEKTSQSVQDEQTKGETSGPKEGTPAVAETAHERKESEATKTID LDTLYPIFWGLQGFFSAPTRLFDSQNFASFRTSLEKTLSTFKLMNKVTDTWSTAKAPD EVRRGLKRKRNGEGVDVASSFNPKYLTSRELFDLEINDIAFRRHVLVQALILLDFILS LTPQARQRLMKLVQPGKSLNKTVLYQYTVSEEDAKWAAQTRDIVADYLQQGIDGKFYY RMVDTVLSRDKNWVRWKVENCPPIEKPAIQADDYFQARNNALKVFSNKRLRASPMGSL DLTFLADGNNLSSLEQLKDPDRYTTPAPDSFMMGIVDDTFNIDMAKDKDEKEAAERAK ESKYWRTLRLSSRSKLFEFELIEDGKNLNLLFEKPTEKPKETVSEDTQKKAENASESK DERAGSLETQSQQADSATVTTAATT TSTA_120750 MAKNLETRPSVPDLEGDNRWVALAQKHWLKQSKIRKVKQDVIKK EIWDPLEAEGFTTRSLLTLENLNILEKYLWPTYTDDASNHHVLLIAVIVGIKKGEHLP IWEHFADRPDSFSNLFHRILSLSLDVSLSTFSRLSVLSFIICSFQSLENTLIRKECAP LVSISIWHNLSSETARNQTLEKTPMLKKAWRAAAKRYEAADESMKAKIRFERAWLYTL ILDFLQRVSTAGQAQADNIRYCERFLELLVDLNSQLPTRRYVNTLLQDLNILAVIRLS ELYDSPNNSLIRDFFTLLHHFMNFAIDDYSGEPLSIQTTYDIHCQRLARLQRTAIKHF KDKLTILALSNYGSLEQRSELEGSLSVLNDAELEDLCARLGFRTTYPKQSQVMAHRQL YLEILVSYFEKKPSFQEAATKLSTVPTDESLYEPALLRNETYDGSRPLAIPKLNLQYL SLGDFLWRSFMLYRAEAFFSVRKDIEAVMKRLQPRINKSTREVVFDGFTKMAIPISKP AIIEVAQPRVGSTNPAFVRAEITLEVGRLADNIRKEWESLRPDDTVFLLAITPPPAST ALNLTDKPSLTYVRTADVVQVLDENGRVLREPQNQSENGFTRRPQIRRLLLNLDAAAF QTDQDKQSQGKREVYPLINVIVRRKGRENNFKSVLQTMQQLITSAVALPSWLQEIFLG YGDPASARYTELPNRAKSIDFRDTFLDWQHLVESFPGKTIEPSGKESSSFGPPYVLET VDEQPQDQSAGNASKKRRRGQVETQAESASIHVSTYKPPNPGPYPVDAPKLNTIRFTP AQVEAIASGTQPGLTVIVGPPGTGKTDVVTQIINNIYHNFPSERTLLIAHSNQALNQL FQKIVSLDIDQRHLLRLGHGEEELGTETSYSKYGRVESFLENRTHLLAEVDRLAASIG ALGAHGNSCETAEYFNTVYIQPAWTKFWDKARSESASVEDIAVSFPFHSYFSNAPNPL FTPGSSKDNVLDAAAGAQRHIDKIFSELADIRPFEILRQPRDRANYLLIKEARIIAMT STHAAMRRQEIADLGFHYDNVVMEEAAQITEIETFIPLALQNMEDGHLLLKRVVLCGD HYQNSPIVQNLAFRQYANFEQSLFLRLVRLGVPTVTLDQQGRARPSIADLFKWRYKTL GHLPQLEEDPEYSQGNAGFACDYQFINVDDYQGTGEREPAPHFIQNLGEAEYAVAIYQ YMRLLGYPASKITILTAYAGQKALIRDILSHRCAKIPIFGMPRIVTTIDQYQGEQNDY VILSLVRTRTVGYLRDVRRLTVALSRARLGLYILGRLDVFASCYELKPAFDLLAKRSN KLMLIPGEMYPTKRLQADDVEGTPMENVEHMGQYVFEMTQAKLKAMGSEGLTVPDGFP DGDDIEEVDGDEIMVGGADDDEDLTVQQHV TSTA_120760 MSALKFVNSVWQSFRATSGLEPRLLDGLRVTAARPGVVNFELDI EKQHTNRLSILHGGTIASMVDLGGSLAVASRGLYSTGVSTDLNVGDTIKAEVSCDKFG KTLAYTSIKFMNDKSEVFARGSHTKYIALAFKDPKNIVEELKESKE TSTA_120760 MSALKFVNSVWQSFRATSGLEPRLLDGLRVTAARPGVVNFELDI EKQHTNRLSILHGGTIASMVDLGGSLAVASRGLYSTGVSTDLNVTYLSSGGKVGDTIK AEVSCDKFGKTLAYTSIKFMNDKSEVFARGSHTKYIALAFKDPKNIVEELKESKE TSTA_120770 MAASTNRSFEYLEQLPGVTFQKLYQQPSTALAIFRRMLPDLAKC LVMALLYMRDPLPAADLELWIKGESKKERDHAISILGRLHIMTNTMTSNQVRAYMVTN PFASSLRQALTGAENSQSFGVPCSTPDPNPVSIADLDEYARRQWEGVLGYMVGTSGPG VRETVSLSRGVKQLLQAGHLVEIHHGRVDITQDGFAFVLQDVNTQVWQIIILYVESAK ALQVNDVEVLSFIFLLSSLELGQSYEKKHLTPDQLRALTDLTDFGIVYQYPSAAEADR FYPTRLATTLTSDSSALSNTMSSALSAQTNAATGEPGSGFIIIETNYRLYAYTSSPLQ ISLIALFTTLKYRFPNLVTGKITRQSIRRAVEMGITADQIISYLSTHAHPQMRKEDAV KSTSNTAGLPRSVLPPTVVDQIRLWQLERDRIKATPGFLFKEFASLAEYEGPCRYAEE IGVLVWKSDRKRMFFVTRYEQIVSYLKSRSS TSTA_120780 MNLEEAYMDLEAQLKMSPQTIPYVTLDVFTKTIFEGNPLGVVFL PSPTAITQKQKQQIAKEFNYSETIFVHPVDSQRPTAQRIDIFTTTDELPFAGHPTIGA ASWVLFLSDDNVSKVNTILTKAGPIPISLKPTKSDEASALIPHNVRIHQKRFPFRELL RLHPSVEPFLNAAETESGKGFPIVSIVNGMTQIHVELPSLEALAAVGPAVGGESVPVT DITQGGYLDEGWGGHGHVVVYFYVRNVVDEVTGKKVIRTRMFLESEEDPATGSAASGL ASYLVLSDAGAAGQLQHDFHVVQGVEIGRRSDIGLRVVLKEKGEGIESVELSGSSVKV AKGEIRVN TSTA_120790 MELDAVAVGRDVQVVDPEVRAHVYSLVTALGGFNGEDVSKYNLG DDALACLRDIKKWLRLYDDKTNRLDVARCLAEANIVNGDLLPILNLWYNGEGQKKKHL TRVALACLELLVPLTWPVEIHGQMTINHHRHTPYLQQAQVQYKRGILDQNTGLQTLRA VIQIGLPSFTMPKSERTSRDDGILKLMLYLLRNVAMISAPKGLVTDADEEETSRSTTI NAFQQQNVFALILTMSANISEDFNFQDVVLLEILFHLVKGVDVQKLFMNDTERKAKHN DELSDLLKKESGVKREYAKNAPTRHGRFGTMIWVKRDDAKVSTVSGQDILKDGQIAFN KMDQTKKWNRPKHGRRQQPEAASGDFTLSTHLTSTATKNLRTFVEEFLDTGFNPLFTH VRKAIEREADRVTDINTRQFLYVVAWFLQAERERRSYQRKQNERNKGTSKEIEPDNFS LVASVLNQETFVFLNRAMQYSFDNHEWQDLNANMRCFTQILLTIQEMAASTFEEDQEI AENTLNRIFYEETTHDRIISIVRGYKDQGFAYLDACTELSHVFLRMLENYSKANVDMQ VRSKRRARAKKTDTAGQEADNEENVDSEAEELADAARVAKERKFDFKRFSARFCSQKS IDTFVQFTTYYRDLDDEQLKRAHRFFYRVAFKQELSILLYRLDILNLFYRMIKGSESL DSSKPIFREWEEFVKQLTRRMIKKLNERPALFTELLFSKINATLFYLEYGHEKQTIST GGTRPPAELEVHPRAGTSRDEKLNVVVAAMLMDGQADLVKWLSEALRSAANERSSWEA ENEIRQLESPEAAVSPSPLIEIKSDNDSIRTATFRNGRLRLLMTSVGLERLGTEDVMG ASWVVPASLDSATLSAVNSIIEKGLENPVKEIDGLDPRDQLRRKPTAEPKETYQTTLD VDFGSESEGEDAIPEGPLFPPNIRSKSNALKELKEKRRKRSKRDEDKEPLDDAILEER RRNREENARARQAKIKSDLFVHDSDDESDEEADRAFFEHEEAVRKAQDKRVREALVTK ALEEDAANEQSKSKKTTRRKRRGMDNGESDKDTDSEGQKKRRVTIGGFEMSDDEEEDD DVLMTGVGGDSSSQGVNGDDDTPPTSTEHEEWDLDKELEQHKSTTIEATHDSDSEDGP VAANRRRARAGFVIEDDSE TSTA_120800 MLSATIRHGARRQALNAHRYFSSSTNKLAAAEVKKLGVIGAGQM GLGIALVAAQKAEIPVVLIDNSEAGLQKGLRFADKLLDKDVSKERISREAADQARNRL IPSTKLDDLSDVDFVIEAVPEIPDLKAAIFSQLAEIAPKHAILATNTSSISITKIAAS TTKDPNDLQAPSRVVSTHFMNPVPVQKGVEIISGLQTSAETLETALEFVKRMGKIPAV SADAPGFLANRILMPYINEAIICLETGIGRREDIDSIMKYGTNVPMGPLTLADFIGLD TCLAIMNVLHTETGDSKYRPSGLLKKMVDAGWLGKKSGKGFYEY TSTA_120810 MVSKPRAPAKQAAPKIQPLPDKTFIIDNGACTIKAGHAPAHFPP QNADDSLSACVAISNAIAKTRDNRIFVGAQLSTHISDWNEAVFRRPVEKGYIVNWEAQ KEIWEQAFFDERTTRNKDVRVADPAETTLILTDTPNGLPALQRNADEMVMEEWGFGGY ARIVGPTLNAWNDIHSIFGDPTNNSATDISPAECLLVIDSGYSHTIVTPVYKGQPLQR GIRRLDLGGKHLTNYIKEMVSMRQYNMVDETHIMNDVKEAVCYVSSNFQGDMEKVWKA TKAPGQSQAAASAEGIVVDYVLPDPTANKKGFVRSHDPLINAKKRKSLMSGSGDLVTE DFLVLGNERFTVPEILFNPSDIGMRQAGIPEIVLQSLSVLPTGLHPAFLANILVVGGN ALIPGFIDRLEMEIRQLASAECLVRVKRPNDPIRSTWLGASRFATDRQEVASVAITRQ EYLEYGSAWAGRRFSGAV TSTA_120820 MSQKLHALLNTAIILSEQFQTTLDTTPPTTSEDAPTITTTEGPA DPTKSLRAQVTKLSLLAITSPFTPSAISTCLVSVNDSVMPSMVTAALLLTPGEYTKAF STEARILVKTGLKEFAALVREITDVANKFDTNNEEKKELSKSEKDVITSQTGRVWDVC DSISTLVVNGVVGHVAKRVQEWHDLVKDAIDELEEWDPEDEDGGFEELMGSDDDDEST TKIGEDEDGDQDEGMEALRNQKKSLLRALKPISQIYPAIITHRLKKGGLTTSDKTQIG KLETLTSNLQSVPDHVDEAAGSLYEHNLDDCIRFLGLAKVTADKAIELVVTPWSTSEE DKFTVWSKTWRKVMGDVISDVRP TSTA_120830 MQQTKMPDRPSGIPRPVSRIPLPTQTTVKTLKPSPSRERLQADT GIDLRRLRRPSQETLTRKLSSQHLRRRESTQTLHSFIEDAESPVEFDGETWNTSRGRT DPLSPGAPSLSDRTIETLSRIPPSPSPHNRRTLHIGHDTSMGPPLRPASRVNDYSRPP SRSSQHLGIEEGDGVPSPTARRASSRLSSVSMSSRESVPSQLPNKTTESATSINSTRR QSLGPGKRSSMIGAPSKRGDTLPLRSARVPGNNDGASGRPKPRLSLSSAFVKPTPDEV TPSSVTVKKTRQPPSNIGSNMTSPSSIASKNSSNGSDQTVEPDTEIRKVSKSSNALRE SIAKAKAARKTARQSSTGPAARDVWDNIEVQDPFGQLLKDGGNKAVLQKRVDTARKSG SLNIAALGLSTLPDEVLKMYEYDPNSNSDWFENVDLVKFIAADNELATLPDAAFPDID PEDLDMEHESKGNQFGGLEILDLHGNVLQSLPMGLRRLQRLTSLNLSNNQLKMEDIAV IAQLHQLIDLKLANNNLKGTLLSGICQLSNLEVLDLHGNSVNLLPNTTSELRSLKTLN LAENELTWLPSIALSKLPLVELNASRNKLQDTLFESTGEGFRNLQSLNVAFNSLNKLS EDDGFNLPNLQFLLVDANRLKSLPSLVACRSLLRITAEGNGITTLPDGFFDLRNLKHV DLTANDLSKLDERIGLMENLVTFCIANNPLRERKFLTMDTEDLKNDLRYRCAPPATAS PDNDGAPVPRARSEAGDEEEEGSVATEFTLAPESPSHLNRWKVKTGGVLDRSATEMTE LDADELKPLLSNYDIKCLYLQRNKLQTFPVLALNLISTTLIDLDLSKNPLKTDQLFAE SVSFPQLQSLTLNGSGLTAVDSLFENLTAPALKFLDISNNRLTGSLPLARQHYPKLIT YLAADNQLSSLEYEAVKGLQVLDISNNDIDFLPPKIGLLGGEDGGGLKRLEVAGNTFR VPRWQVVQKGTEAILEWLKGRIPADELNAESEV TSTA_120840 MAVSLSVFHPTMAHRRILWNVFEENVIPVVMIFHKPSIRDIMAS VAADGSGIDRALEAVIFAVYFAAVTSMDPEQCAETLGEDHSYLQQHYRTTLFLTCLRA PEDAAFVWTMTAAVHRMAQGLGLHRDGTYFGLSPFEVEIRRRLWWSIYLLDSRSSEFR AIGPQITEHSYDTRLPLNIDDSALSPDSIEAPEEKVGFTEMTFCLARCEMIVRHRRFH LNIHSGTSSSQGEQTSHQLGERMHALEQISGQLQDRYLRSCDVSVPIQWVTATVIRLA LARSWLIAHLPERVTAEEITLRGTSSVQDPTREQLFQTAVEVLEFAYLLDTDPRTKQW SWFFESYPQWHSVVFVLSELCARPRTILTDRAWAVTVRAVARWTNTDFRKGGITLKII LQLMEQAATAHGRVWAGIGTQTSPLSGVSQESDGQMLYPS TSTA_120850 MTAVLITGATGKQGGALIRSLILRKAPFEILAVTRNPTSASAQK LANLSPNIKLVEGDLGNPGVIFQNAQRLTASLIWGVYSVQAAIGNNAEESQGKALIDE SIKQKVKFFVYSSVDRGGKDRSFTNPTNIPHFIKKHNIEHHLVDRTKDGSMDWVILRP TAFYENLTPDFLGKVFTTCFKIALTGKPLQLVATSDIGFFGADAFMHPEQYKGKAVSL AGDELTFDGMKSIFEQRTGLPLPTTFRPVCSFFMAMMKDMGYMFKWLRDEGYGADISS IRALNPEMKDFATWLEKESGFKK TSTA_120860 MPPKTLHDNVSRRKNASPVGRAVFVGLRALDVWWQHNLLTRGWA MQTIEKLGGQSISRSQILTSTNVTGLQPYYSLVSLLSLGSSIKQIATMIIISEQETPV SSAITVAAFNTIFNSINILLSVWAVTSQAPSSLSSSHFPNFFYANPLIAFGAGTYLIG ILTETVSEFQRTECKKNPANKGKPYSDGLFSFATHINYGGYTIWRTGYALVTGGLPMA ALQFSIFFYDFVTRGIPVLDDYLANRYGDAYKEIKSRVKYRLIPGVYCPHMLKEKLAT VARVILGSQGGIRG TSTA_120870 MKVGIAGITGKFARQLLTHLLEAEDDSLSIKGYCRDPSKLPGTM KASTYDVVVCCYLGDDKLMVDGQKLLIDACESASELSPKDPMIHVKAYLDAKKSVAGV HILIGGFMEPIFSPFFNIVDVQTNTFRYWGDDNEIMEGTTYNDAAKYTAKVALDAGQR VF TSTA_120880 MHDLRTKNPQDISSYISLFFYYYWVNGQTFVGPELDNARYPEVK PVDWKGFMASWSREQIGTSYFALTM TSTA_120890 MSQDSEVRSQASLAPAAEILIPNLKPVRDHNGSLSDKNGGVSSS SEMTPPPSSQTRAPLRRRSRSGSNVVIPASPPKESLEKSLYAAYGAAENLPTIEDIDA ADDAQVRKIAKDLLAIAQEARMSALHFKLQNSLLSFTSNEAIKRAEVEQQLARREVEI LQSSEYQNRRANSYSRTPQICPTPDSEASLKRVAELERTNARLEERLSNAKILIQEKI DEGNSKNESLLEENRLLKKRIRDNREHLTMFLDAGSLSPNSRPEFSTPQRKSQPRVFD SARTHASSRGSSHDAFATLLAADRVLHGDSTNVSPTRNRHRNGHLGGAHVRGAHSMSS LPVTPQRHTHVHGFQYTTPVSRTHTTVRKDTSDPENNRHDRDSTISVSDAEEVITDED IPASQASSRATDMLRRLPEINQETNSPQGPSANRSNIGKSSTLLQTKIFGQVKKAGVD RSGPASQKRKGSFVEEGPVLKKPKEVGLGISSWKISPA TSTA_120900 MAIKHNNVIPNTHFHKDWQRRVRVHFDQPGRKHRRRQARLSKAA AVAPRPVDKLRPVVRCPTIKYNRRSRAGRGFTLQELKEAGIPRKLAPTIGISVDHRRK NVSQESLAANVARLQEYKSRLILFPRKSGQYKKLDSSAEDVAAATKAFSADGKVEGYT TRVSSALPVKNISAAEAVTEISRSDLPEGEKAAYRRLREARAEARYRGAREKRAKDKA EAEEASKK TSTA_120910 MSDPPAKRRRTSPTTTDATTAQDANNTAAPSLRSSVTHRQSFQS PTRSSLARSNPEVLSHVLARSPTRSPQRQQQSSTQGIPFGLRDRKALRPSLTANTESS PLKALSQSPRRGGAIAAAQQQAFAAPPRRISRRLESPRRSSGRESETYVNQGGEGGEG GEGEEGPSQNTPIRQTDLDEQLASELDSATRELEHSGLLAPSALNGDNPEPELPPTPT QLGREKRRKASQEWLSSPSAGRGLRKDLLGPSKLGVADVAPAEDIPKDEDEDLDPAVQ ERKKLKRELLAQLDELKKDVNLLEKWTNRAQRGYDGKRPDQEDVSNLISILASTNRST ELSETSAVDHPPISTLISSLLPFSAKAVPVRPRSTSPEPVNAFALDKIPDPKPYITAF APLTLEHSTSLSVSTDTESTITETHQITLSAPPPFPSNNFTIPLEFTTDLQSQRITSI SLSKRASAKIPPSLQIWIESRISDPILGLDISGLCVGITRFWEASVSRARIWSRLRQS QESILSKTGKRKKLSDIDSEPLTGPLKKSDSRFILPHLQRSSMLFSSPLPHTSATSQT RHPKLILSCPLSLDRWTSEAQLKPDISVYISDLGESTRSKIEVELKRLFHSVLREEGS SKALTIGNEEREAQAIVKAVEGVIGVLFGVDVL TSTA_120930 MERSILTPNRLSILQSLYKSRQSRLTDWYNSAAALQCDRNRGRL SESTSRTSYNIQVKRSFHNTPINKGTTTQNNPETHQAYPLSGYYSDVLSFNQPAHQQP HQPLSSDNNNNKPTEEKKEPTKEEKMSIVFGTRLAGPGYQSTRYNPETMRPESTWQQV NGIPIPPRPMEPDNCCMSGDDLEHWASRVRDARLAGKGGSMATAKGQKGKEMKQSPRK EVASASMSMDDDGGGSETNWDLPLELGVESEEDLFKGIPVGIREFMKTEKKLRENKMH P TSTA_120920 MASQDYKDGGSTGLVPVATATTDIFSLAADIDLPAVGTLFPDLT GLKSLSSSNESEVIPAEEQDQMFRALQRVLSKTEGDEDAFDTRELRNALESADVAAQE GQRGPQLLGGVAAILQKLWQSESQYLVEAADALANASRDPSWRIPFGQSGVLQFFLQL ISIPEVNKDLLFHSLRLIGNSCADTDENREIVVSNNYTRAIMRLVLNPELAHVAIPVI YNICNEFEPAQAQVAANRLGYVLLKQINDGAITGNALLNFTYELVELTAGQAAGIENS PDGTIVLIMAIALKEDTTFAQYTCLVTCLEQYMQTERFQVASIRHKLVEHITSVLQRS FSIEVNESVTEDVQLLNQLRLKLNQTLADISALPLFLETYPVGSPLLDTLQSWLTGRG DTLQICACVVLGNVARTDEICQLMISQLNIHRSLIHILKTNTIGGVLHAALGFLKNLS IAESNREKLGEADIIPAVSKVWTYDTVPQVQLAAVSVTRLVIASNIKNITRLLTSLSS DPDSPAHSRTYLSMLLSLCGRTDTAPIKTEIGRIICSLCRTLLSRSKASEVDDAETAR LLDRLFDLHEDIARPLGAMITQTEWPVVRSEGWFALALMASHDKGCPAVVDCLIDTDI YTQVEKSLDVADDESAATNEQLQRRGDRDNLVIMVKELLGRDPESLPEGKKKRLRELM DRAVASLS TSTA_120940 MTRAQQTVSILLLLSSLYLALYLGLIPINETVQTEIVPVLPFYG LIVLACFLGARLGYMILTFNDVPQEYESLQKEIEQAKIELRKANVDVD TSTA_120950 MPEKVYVSYNQVHKLCQRSADKILEEFRPNLMIAIGGGGFIPAR ILRSFLKRPGEPNIPIQAIGLSLYENLGRDDPEEVPGTKVTRTQWLDLSSLEMANLIG KNILIVDEVDDTRTTLDYAVRELEKDVEQAQKSLGREGEKTTFSIFVLHNKDKSKKGK LPEDMIAAGRYHAAVTTGDVWICYPWEAKDIDEHDELAKKFPLI TSTA_120960 MAATGDRRKSVLITGCSPGGIGNSLAREFHRNGLRVFATARDTQ HIQDLAAIGIETLSLVVDSEESIKACFEEVSKRLDTNGLDYLVNNAGRNYTVPAMDVD FQEARLTFETNFFAVIRICQTFLPLLIKSKGTIVQIGSVAGMIPYVFGSVYGASKAAL HSWSDTLRVELAPFDVKVTTVITGGVKSRIARTDRELPPGSLYRPIDPEYQRRTKHSQ EGAMPHEDYARSVVSQVLYGPAPWRWLWPSYRKYIWEGNRSSVVKFLTGGWLWCGLFD RIFTRMFALWKLKRRTN TSTA_120970 MFRFARSRSIATALRATVEPSLQTRYAAQQKRNLSIHEYLSADL LKSYGVGVPHGHVARTAEEAEAVAKQIDGDDMVIKAQVLAGGRGKGTFDNGLKGGVRV IYSPTEAKMFAEQMIGHKLITKQTGARGRLCNAVYIVERKFARREFYLAILMDRQTQS PVIVSSSQGGMDIETVAKETPEAIRTTPIDIKTGVTDDIARSIATDLGFSAQCIEDAK NTIQNLYKVFLEKDATQIEINPLTETSDHQVLAMDAKLGFDDNAEFRQKEVFSWRDTT QEDPDEVKAAEHGLNFIKLDGDIGCLVNGAGLAMATMDIIKLNGGSPANFLDVGGGAT PAAIKSAFELITSDPKVTSIFVNIFGGIVRCDAIAQGLINVVRDMGLRTPVIARLQGT NMEQARKLIDDSNLKIFCIEDLQSAAEKSVQFSKVVKMARDIDVGVEFTLGI TSTA_120980 MENTTSRGKSKDVLGTGIQEDLTLEQLGYQQELKRSYGLLDMLG FSFSIVTCWSALSGVFIIGVSAGGPPVILYGWIGTCILTLAVACAMAEMCSRWPVAGG QYSWVALMAPKKIAREMSYVTGWFMLMGMLSMGAANNSFIANYILGMCNLVFPEYTIE RWHSVLLAYLAALIGGVINIFTPQLLHRLARAVFLWNLVSFVVIVIVLLATNDHKQDA SFVFVDFQNGTGLGAAMATIVGILQALFGMCCYDTPVHMTEEMTHASRDAPRAVILSV VIGAVTGFIFLVTLCFCIGDIASTADTSTLSPVLQIFYDSTNSKVGACFMASMIVVIM FVSTISLVADGSRSLYAFARDHGLPFSAVLSKVDRKKHIPIYAILVTVIVQMAFNSIY FGTVTGFNTVVSIATTGFYASYALALLARLLGHFFREKITFTGAYSLSLPISLSMNLI GFLFLMFAFITFNFPSEAPVTEETMNYTSAAIGVIGLLSLVTWFTTGHKYFHGPAEAR IDGKQPDGKEEVVGSEMPVEIKS TSTA_120990 MAIATTVLTFLHFWTEGLFILLPAVIILRCIYRLYFHPLSRVPG PKIAACTSLWLAYHTYIGNESSVIFDLHKKYGPILRVAPNDVDFDDGDAVEPIYVAQG GFPKTPQYSKFDIDGHTTIFSTLTLPERAQRAKAVSPLFSTASIRNASGTLEKVIDDF VDRVRTEGRTGKSVNVLNAARGMAIDAISAYLFQQRYGGVTEQTSTMSASPFVDAYVG VGAFFNLVPGRIGDLVQSMADYWCADAKTNSAFALINGFTQQLVDTSVPKSGSYQSRL LEQVSPEQSQIELKDVCFAGTDSTSTNTASIVWFLTKHPEIYDHLCEEVQQRTAQNED PSTGPYLRGVVREGLRLSWANPTRLPRQVPKGGWQFKGHFFPEGTSVGIAATQLHQDE AVFPDCQAFKPERWVNPTEAMLTHFFAFGKGTRTCIAKNLAMAELTLATLKMAQSGIL RGAEVVTERIEMTEWFNSRIKGEEILIRLKN TSTA_121000 MSIAFLADKSQSKITKDDLKDIAANLNITIQDGPDAEAYLLLLQ SMEAVMQRIENSTDYIHPSLSPVSTAKPREYWLPRDRNEQNPLNAWRHRCELVASKPT SRLLQGRTIAIKDNISIGGLPTTLGTFTEILCRDGKLPISPIDASVVSRILEAGAIIK GSSNCENFCASPLSYSAATGPVHSPWLHGYTTGGSSSGSAALASANIVHRQTQTENKF GLTVDLAIGGDQAGSIRIPASFTGIYGLKPTHGLIPYTGAVGLAPMIDHLGPLAERLE DIALLLQVMAGYDGIDPRMTPESPLRNQVPDYPEQLSQFRSRPLADGEGLGTYFKIGL ISESFDIPGLTTQVRDTVLQSSKKYFTQAGASVSEVSIPMHREGIVIWTAASRPSTSE WACQGKPGGFLTFPPPHIHTQWPPDQKMYEILTATNPALINIIFNAPFINQRFGPMTE AKAYRKVFELRAAYDRAFEEFDVLVTPCTPSVAMPHPKMCADTDGPASSIMDKVNVAV GVTTNTAPFNVTGHPAMSVPCGFGSVSERPDVKLPIGMQVIGKRWDEMSVFKAAAIFE EGRRLAGQ TSTA_121010 MTMYAPGASELVAEFRITSDIVMVETYHWEVGITVLAYLGLSIG CVLGLTTFSLLSDRLLGKDDAPERRLILMILLGPCIPAGIFWYGWTADRGVHWIVPII GTSFIGMGTLVVSSSAQLYIVDMFGQQGAASALAALILVRNASGTFLPLVAIPLYNKL GLGWGHSILGFITLAFTAVPFVFYKYGGWLRAKFPLNLQNA TSTA_121020 MSQLNCLNLFNVQGQVAVVTGGSSGLGLMICKGLVSNGAKVYVV ALPSDPINEVVDELNKLGSETGGSAFGFPCDLSSKSSIQALAQEISNRETHLDMLISN AGIRRDPPVQCNVLTASITQLQQSMWSSNETDWEKTFRVNTTAHYFLSVALLPLLAAA AAEGRDQGRGVVVITSSCASMHNVTNVDLTSYATSKAATDHLVKLLAAKYHRFYVRVV GINPGFVPSNMNPVGEEGNIFSSLFDKVPAKRPAIAEDIAGTVLYLVSKAGAYVDGIS LCVDGGRVLLANGQE TSTA_121030 MADAMKLEADLAAFLNVVPKTYSLSVLAALVGYLIYKWLIATDI PHIKGLPEIPGAIPVFGHLLKLGEDHASVCERWWRQYGHSVYQIRLGNTRAVVVNSFE DCRKMLLGHQNATIDRPKLYTFHGIISSTQGFTIGSSPWDDSCKKKRKAAGTALGRPA LRNYNNMFDLESYCIVRDLKRDSNNGQVELNVRPFIQRYALNTTLTLCYGIRMDEVYD ELLREILYVGSAISLLRSASENLQDYVPLLRYMPNNEKNMRSKELRERRDAYLNLLLD KVRAMIKKGTDKPCISAAILKDEETKLTGVEVSSICLSLVSGGFETIPGTLTSAIGSL STPEGQEWQDRAYADIKRFYPDVRDAWTDCIHEEKIPYINAIIKEAGRYYTVSAMSLP RKTVTEVNWNGAIIPPKTMILINAQAGNHDVDHFGPDGNKFNPERWLKSLDPPTEKET TGLSHLSFGAGSRACSGQFIASRLLYVALVRLLSSYKIVASEDSPPNTDYVDYNQFKS ALVAIPRDFKVKLIPRDEAMTAECLGAAEARTKDHYKE TSTA_121040 MSTNGQSFLLDPEKKAGPMRYSHARVVQPNIHHTIYISGIAAVT PDGEYEGVTENPDGTYELDIRAQTAAVLRRIESIIKGASDGKANLYNIVDATVYILDM KSQYAGMNEEWNKIWHDRASAPSRATIGVRELPDPRFLVEIKATAILITAIAKMSLDT NTKIEYFTLNDFEFQDGTILPQVQQAYREFNPTKTKIALIPTCFRGRINATLNFANGA LRDYRVIVVALFGNGESSSPSNTHNFPQTLDYRDCVRAQYRLITEHLRLGKIDVMAGF SMGGQCTYHWAATYPSMILNAVIICSSAKTSLHNYQFLEGPKAALESSIDYIDGKFRI NRESFPLRGLHAFGRAYSAWLTSAQWFEQRVFEKQGYKSLDDWAAVVAAKNYNDWYPD DLLVMLGMWQRSDISVSMSSSRPNGDVLSVSEALGQLSARCLLMPCQTDQYFTWQVSE KEAKYIKHAELAIIPSIWGHLAGSGASQEDNHWMDSRIALFLSQSK TSTA_121050 MPISDAAFSTMKKRRRHFRSQLRVKELEQQLAIFLDMRTAEHEA LFGPSNDIDGSRLDFQSQPMETPPVNSISPLQPLQASHQQQAVVNGHHISNTHKPESL ANELKLLSLEAAADRHVGSSSGISFAKLTQAVLRRLSPDRQEFVFEDELNDEQEEEED EEDNYLPNENETTPTFTFAFNEINSHLVSSPPLPLYYPLSNDQQQDGLLQESLSLLEP THISHLLEFYFAHSHTLYPIVRQHEFTTVLWRLYGDPSDALAQSPLWQFRIWIVLAIG STAYSSVSLLDESESVQLFNKAMVHFEAAMGCGDLAALEVLMLQVSYSFFNKIGPNTW ILIGMAARMATGMGLHTAEQYKNLTVDIAEHQKRLFFSLYMMDRVVSLALGRPFAIQD DDITVEPFADADDENIQPDGILPSTKLEPSTMAIPLHILALRTIASDIKSRVHSVRNS DPANQQVKEQTLQSLHRRLIEWRRTMPFPLPDLQSKVPHLCTNWFDFNYYTHVIMLYR PSPLCQTLDLAQLQVLAEASSMAIRQATTMHRQQRFSYNWLNLAVLFNATLSLMYSTT AQPNNLSQVLESSKAIEDLELSIELLRTFSKKFASAKRIQGMVQIVLAKLKMQVVHTV TSTA_121060 MPTAEEAISYSSGKVAWLTADQRVVCVRNLTTGAVTKFTTETRG VICLIHLSEHCLFAQASGYCTAWELSTHRSHQIRLPSPHILEINASHDRAIIVIGRHS DRSTVLSWHFDSDNVRSAHIEGKPLFTSWGNGDNTESNILFVVQAEREVGSESYRFFR QRFAVTDHEINFLDTRILASDQKFDLDFTSFLQFPQFNQFICRYRTVVSQLLPVKISS DAIFINYSTKTEAFVVRIPVHKFEEETILQDNKGKMLTVDGTIYYPLSSWRYFRVNQI LDEMDILFTDFYTQITMDYLGDGACFVAFGDNRLYGFVHTEGIKVWCTNRRITRHLRT RTDNGPVPLVGAIDSPLKFLMIFFLIPLDVSIVRENHLTKSTHCNTKDVNSTSAKNPE DASSLEFASKEACIIETDSDNDTTDSANAEDEITYPEGGRTAWLVVLGSWMSCFGSMG LMNCLGIFQTYLDQHQLQAHSSSSIAWIFGIYSFLAFFCGIEVGPFFDAKGPRPLILL GGIGTVSFLLLLEVCTKYWHFMLCFGLLGGISLSLAFSPSISIVAHYFYRRRGFAVGI ASSGASIGGVVFPLLFQHVSSRLGFAWATRIIALVDLITFSLAFLLIPRRFPSKQATF ERLVPDLSIFRQSDLMLATMGIFFMEWALFIPLTYLTSFALDINMSSESAYMLLSLVN AAAVFGRWIPGYCADRIGRFNALIITIAMCLVFIVCFWIPAQSECVREGNASAVAIAF AVLFGFASGSNVSLAPVCIGQLCKIERFGRYYATAYVIVSISTLTGIPICGAILQRCN GEYWGLITFAIVSYAASLICLAAAKISRCGWDQVLAVF TSTA_121070 MSRVADLPSRGHFSAAGDRQRNIPVLNSSVPAAADANRGDQMEV TTAAPDGDNANGSSDNMGHMNASGSGQSLGAAAAAQQPKVVQTAFIHKLYNMLEDQSI QHLISWSSSNESFVMSPTSEFSKVLAQYFKHTNISSFVRQLNMYGFHKVSDVFHTSSP DSALWEFKHGNGNFKRGDLIGLREIKRRASRHTLIHRDSFSNNKPGVSQPGTPAEPIP ESGDMRLLSLESTLYEMHSRMQRWEETNYMLSSRCQALAECLVRCHQWTNSISQVLVA MNQDRDSALYRDVCDMQQEIERHLDSTRALETPHETLLASRQQPFFGNVAEAGPPLSP RQMPQDDSRRPSFADTSKPMRTHVSQHLAVSPRRYGSTGAGHFSPGYSRPPLPPQQQQ QPPIPPPISTATSPSDSAMLARRHTSADIRQHGWPPSGIQTAGNSSGPWPSSPHRLPT SSEQQVRDVLAQYEITGSRRRIQLSRQATPPLGPPDQPGPPTLSASASSQHLTVDPAW SAGSSNGGPRYPRYDNSLPATRRSSMASNVHSLLNPTDTSERPEEDDQILEDRKRKRL Q TSTA_121080 MSTTKPPNEADIIFNRANIALARSQRLVASWLPPRTTSEQSNEL GGNKDDEKDDDEIFVAVPERLGLGAPIPVKDAFNSLNTGNTTTNKLDDKLRQRLLGRN AKKIMQQQQKQKQQAALSRGKTGESEDKNGKEEVVEDDDDDEEEGRTSLGKKKQPKQT LRTESEVNGIVTDNTPDIDETNINDTETQIPTIPTIPASNKRKKTAKVSYLDEILQDR RKKKKKRND TSTA_121090 MAYTDEKLHNLEENIEPIYAKHSQHRRSKIFLIALLGGTITFYS LFGIILLCFYSDATLLALITNPHFNHKYNHLQNQWINTKSSIALDEKPRGVNIAAVVE YRNWERSSILNCYLRRNLVEYGGLLDEVVFIPETTDREHLEWLYETVNKSESYSIRPY FFPAADTKDIYIKIDGDVVYIEDNVIPTIINTKLQHPETGIVSANVIHQPAVAEFHRR AGVVLPQLLDIKSLSQDSKTSGRNWIPFLSYWRNMLESWFCQQRPAPSSSSSIYLVSN SASATEQYTWLSQAQQHNSFLHHLERDELQSYKFPLWKNPPGEISGAFVVLPGNSNAS NINSSTLSQQRDVLIDGKGVVSHYDDAAGKEGLDVTNILNRYRKYAEDHACFAD TSTA_121100 MKAPVVLCRLCLRRGAHQTFGATPSLRTSLRTFSSDTVSRSKTQ FWHRQLLQRWNKIGRSGAPIVGSVLFAAALGPGAFMELAEKNGEHETGEMQMLEASRQ EIRKTVSKDARGFTRLKQELCVFLYCYVYEPIATGFRFLHLTIIFLPVLVSAPIIWVG KRNPDRDNQRWGTLWWFNFLVKSMERAGPAFIKLGQWAASRTDIFPPELCSRLSSLHS HAPAHSLHATKKILCKAFHGLPFEEIFEEFQEEPLGVGAIAQVYKAKLKPDIAAQYQE ELQGMPRDLAARVRKNVDVLVKSSPQRVPSSYVAIKVLHPKVDVIVRRDLDIMRLFAN IINVIPTMEWLSLPGEVDQFGEMMKLQLDLRIEATNLVIFREHFKSRTTAWFPYPFTE YSTREVLVEEFAQGIPLSVFLKKGGGVYQEEIADEGLDAFLRMLLLDNFVHADLHPGN IMVRFYKPSQLDISLKAPKRAQEVTSTAELDVNEQVLRRLRPHTKDPKAWKAALAELD AEGYRPQLLFIDTGLVTQLNDKNRRNFLDLFRAVADFDGYKAGHLMVDRCRQPEAVLD PEIFSLKMQHLVLGVKSRTFALGNIKIGDVLSQVLQMVREHHVRLEGDFVNVVISILL LEGIGRSLDPDLDLFKSALPILRQLGSGTTLLQDVCHGDTSMLRVWFGLEARTFLQAS LESVENCVKYDLLAPNI TSTA_121110 MGWFWADTERPAAVPVNNTATTISATPPPGCPMHVAGSSSSGVE TPLPSACPVKRSPNSPFYTPSSETTRQQPQEQASANTSGKSKINPLNYMFSFISQERA PTQTVDLPVEREISSIPRADNAGEKWEYPSPQQMYNAMLRKGYTDTPQDAVESMVAVH NFLNEGAWAEIVEWEQIFAKGLKSGWEKCRKGNENLAIELAKERLLCEKKIEDGEELE PCLVRFQGRPQDLSPKARIMQTLGWLYPAKFGTEPPFDRHDWYVSRRTPSGTTKEVRY VIDYYSGPPEPTGEPVFFLDIRPAVDTPTAAVERLMRWGGDVWYRASGGQARENK TSTA_121110 MHVAGSSSSGVETPLPSACPVKRSPNSPFYTPSSETTRQQPQEQ ASANTSGKSKINPLNYMFSFISQERAPTQTVDLPVEREISSIPRADNAGEKWEYPSPQ QMYNAMLRKGYTDTPQDAVESMVAVHNFLNEGAWAEIVEWEQIFAKGLKSGWEKCRKG NENLAIELAKERLLCEKKIEDGEELEPCLVRFQGRPQDLSPKARIMQTLGWLYPAKFG TEPPFDRHDWYVSRRTPSGTTKEVRYVIDYYSGPPEPTGEPVFFLDIRPAVDTPTAAV ERLMRWGGDVWYRASGGQARENK TSTA_121120 MATKAAHKRLTREYQNIQKNPPPYIVAHPSETNILEWHYVLTGP PKTPYENGQYWGTLIFPPEYPFAPPAIRMHTPSGRFQPSTRLCLSISDFHPKSFNPAW EVSTILIGLLSFMTSEEMTTGSVSASDSERRLFAARSRWWNSTGGGSQTNLPAGVTAS SKGINNIKAGDGGLKFRTEWPELDQENWKWIKDNRIDAATGHIIPDPNAVTSNNCSPE TSAFRRRPVGSGPRLGAVMEGGHVAREAGQGWVRRHKFWVGLAVIFGYALISRLYQDI QSP TSTA_121140 MASSIIGDDEDIFNRLQQPADPKVLEEQQQAVNERINAIYQKAQ ARLAEMIDENSTLPCTISRIQILNANHTRKGFLERIFNPILSANQTKPYTLAEALQRV SQEANKLSGFDIFHEPISVFLDKPTDPNALPTDLDVYLSVREKSRILLKTGTDLGNAE GSAYGNLLWRNIFGGAESLNLNASLGTRTRSAYQAAFETPILSDPNFRLEVGAVASAT QKPWASHEEVLKGGWARLRWQNQSGQRHELTYNGFWRQVTALSPDASLVVRGDAGDSV KSSISYTWVRDGRDHPLLPSSGTYAKTLNEVAGIGPLKGDVAFWKSEVETQGAIPIPL PFIKGPSGVSFTTGLRAGLLYPLNLNSSATPQPSRINDRFLLGGPTDVRGFRQAGLGP RDGSDAVGGDIYAAGSANLFVPLPRVGKDTPLRLQAFVNAGRLLSLRTSENERPKTND QVQNAVSSAISELTNGLPSTAAGIGLVYAHPVARFELNFSLPIYQRKGEEGRKGLQLG IGINFM TSTA_121150 MAQYYGSQSPQNLQFYPSSYSVSGHTTPSQASYGIGYSGQPSTQ AYSGSSAGGGYGGAGGFGAAGVSSGVSGRMGEQGGLRTGWLAAFGTEGYDGEPPLLEE LGVNFDHIRTKTLTVLNPFAQIDQHLMDDSDLYGAILYIVLYGTFLLFSGKVFYGYIY GVAVFGTLALHLILSLMSPSLDTTSVVNAASPIPNAADPNNYDPHQKPPGHIAGGVAT TGHFSATLTFPRSASVLGYCFLPLVLTSLIGVLIPMDTMFGYLLTSAAVGWCTYSSSG MFCAVGRMRGMRFLVAYPLALFYIVFGIMGIFSSRGSGTLAAKTGSY TSTA_121160 MSAAVHTPTIDLLEIELARARSALHEVQPSPLQILSLDDVTTGA LAAHKNHLISYDHDFFAGSAARLTAKELGLISVQEIVPTPEEEICPPLPVIPTNIFDL LANSVVLHHLIPLLPVSALMSLASTNKAVRSVVMETPYVFRHLDLSTCRVAQIPERKA IDAGGEQWRNERMDESVTEDEFYAGPLRGIFSRLERQSLLGSVRTLILDGLSVPADLV ADIILTDRFNVNILSIRECSHLNELRPTRPAKTPKVKGIYYFTPKESNEKSHQRRGSS RREWWQTRVSGQSTSRSATDPESQQGESLNEWYKPFGKVLKRNIEAGWAQTLKKCEGI ISFDAVLCRGPRHNADLYASTNKTLPCPGDPLLPAAIATVSLGPRGCDGCRTSPEGPA IWAESPDSHFPLLNPLPLYASNITDAKRPVPRNETAVMFARCADCLQSRWCHQCHKWF CFNCLPRPEEAVTRLSPHQTVIRRPGAGEPRDFSPERLGPGVSRDCWECGPTCASCKL ERQRSCGTCYGEYCLKHNDGCSSTKLILSTPAHTSRSLPGDKILLPPSALEQLLSAAP VVQVDNPQTNFHQQHTSIFDPFNPYTFAAESRARAYASSGGAQQQPQLPHPLTFRLVN PRNGRVVYAGIREFSAEEGHVCLSKFLLHALELDGQLEKDEKEEEVTVTVHAQQLPKG TYVRLRPLEAGYDPEDWKALLERHLRENFTTLTVGELLTVPSGRGRFFEFLIDKVAPE EGGGSGICIVDTDLEVDIEPLNEEQARETLQKLSQKAARKPGSEKGSSPGGPIKKGQQ IQGRVKSGDYVDYELSDTAIKEGGRRLQLELEAVDGADLDIFVSPFSAYQRAQPRSDE HVFGDMSSKSFKVLKLDSSLPEMADAEKLYVSVHAFSLHDEDDTEAPFEFMLRVVDTV QADGDENMIDVNEHNPDEVQCKNCQQWVPRRTLFLHENFCLRNNILCPKCKNVFQKSS SEWQNHWHCEHDESYGNDKPSKEKHDYIFHKSHSCFNCDYTADNLPSLAHHRTTRCPG KLILCQFCHLVVPQQGDSDPDMNDPEVLLSGLTPHEIIDGGRTTECHLCNKIIRLRDM KTHLRHHDLERLSRPAPSICRNVNCGHAHDPEGKALKRRIERRYLSQMLTGCGKSWCR NVYCKTGHPNSSSASTELLTSSKEILQVIKPLIASINTQPNQTDSTAEGDRLFYLCAD EMSQQRRVIGELIAAEGVYDLRWCLAALEASSGDLEKAREWMSSWAPRKDEQR TSTA_121170 MALDNYYRNKIESMKLEIIQGQAVLRRLEAQRNDYNSRVRLLRE ELGLLQQPGSYVGEVVKVMGTKKVLVKVHPEGKYVVDIADNVDVSKLTVGKRVSLLSD TYKLEKMLPSSVDPLVSLMMVEKVPDSTYDMIGGLDQQIKEIKEVIELGLKHPELFES LGIAQPKGVLLYGPPGTGKTLLARAVAHHADCKFIRVSGSELVQKYIGEGSRMVRELF VMAREHAPSIIFMDEIDSIGSSRVEGSSGGDSEVQRTMLELLNQLDGFEPTKNIKVIM ATNRLDILDPALLRPGRIDRKIEFPPPTVEARADILRIHSRSMNLTRGINLTKIAEKM NGCSGAELKGVCTEAGMYALRERRVHVTQEDFDLATAKILNKHDDKEVSLGKVWK TSTA_121180 MADHESRGDSSHPAEDSGAEYGSSSYATIVQTDEDASSSREAFQ RPRQGRGYGSITQSSGRANLTEDESIRSGSGRHQHPQSPNIGPQSERTRKPSTIRRMS SKPYRGQEFSVDDDAREVEQDNALQQQQQTSIPAQPSPRILPTTTKNSTLRRRNNATA PLTHKGSWQNDDDDFTLPEAGPSNFGEDDLEDGLEDENGDDNDDADNRSEAESFTLRD RQAAINETHPFGIRIWKPALYKKNRSVEKTAEGDIHSSPGGRVNNLLFLVNLMWSFIF GWWLAIAALVGGIACYLCIFSPHAREYGNVFFGLSRYMLYPFGSFVRLESDEHYVDED EGEGRSISEYEQWQSGDLEHGRLFFGPTSSRSLVGRRRSSIDSGFSEHDSLLGRTGRG GRLGSNSSRPKRRLFGRGEWTLARVVFFAFFYFLTGPLMLLVSLICWFFVFLIPMGRV MNILVDHLRRHPLALSFHYDSTYTRLPSSPSSSILLCTYRAAGLRYWKYTIDGTNIFL FNLMSIVVFVIFDYWVLDVTFGFDSWLTHPGLVFTLSLLSIIPLAYFIGQAVASISAQ SSMGLGAAINAFFSTVVEVFLYCVALDQGKAQLVEGSLIGSIFAGILFLPGLSMCFGA IRRKTQRFNVKSAGVTSTMLLFAVIAAFGPTLFYQIYGSHELNCRSCANSFDERDCRR CYFSQSPAINDEFFRKAVQPFCWFAAVFLFLSYIIGLWFTLRTHAAVIWATDGDEKKA PAVGHESSMHDSRHSQHPNGLSGFGGDIQSNNIRESQLYKRILGQSLQQIGLEHESFD FGSVNGLPPHLDPSRPKSPDDLHGLHLRGLTEEDNENLARQVAEVAATAATVAARDST RNHHHRTSGNPGRAQEAKTTSQSGPITEEHEFTGAPVETHTGGGHDAPNWSKTKSAVI LLGATVLYAVIAEILVDTVDVVLESVDIDEKFLGITLFALVPNTTEFLNAISFAMNGN IALSMEIGSAYALQVCLLQIPALVLYSAVNARFIDPADLISHSFNLIFPQWDMITVIL CVFLLSYVYGEGKSNYFKGSILVLTYLVVIIGFYLSGYNDLETLGIDRFDTLALGSSS SSYSTAGGKFYTVGQGKSGIAFPASAPA TSTA_121190 MSSGAFGGSLFGGNKGPSILGTNQPAQAGSSGLFSGNQQPNQQQ QQPQQAAQNPSASLSQSTQSAQATQPAFFNSLLERGKKRPFASGSQDNSYGELPSLQL GLDDIRRKARELGGQDPRSTRLSGGDQKAHYLLAASGISPGHALRDLKALDSQATIPS PFKQTDSFDPDNEKFMKGVQQRGRQAMVAESLARVHRDFDAFLEEKVNMNWDDQRRKI FQHFGLSSRDDLAGGESLNRGSFGRSTTQAKLPGRIAGTRSVFGRSGLEKSVIGQPGG SLSSSQLFADHGDRADAGAPGPDTRFQREKMGIFARKVGELNAARLEEKPYAIFHEFG AVEDHPGGDVPRQLAGAYHALISITGEKSNVASFGEPGAIKEREFASDYLNESNTSKG ATSLRKRIVEGSREYLEKLFYSEVEALIAKNPREAQLGGIPSTINRIRAYIRLRAARR DLTPDGTEPQTVGEDYCWILIFFLLRCGFVTEAAEYVSSDPGFRSLDHKFVTYMTTYA QSRRLPRDLQQKINGEYQQRLRNAPENTIDPYRMACYKIIGRCDLGRRRLDGVGQGVE DWMWLQFALAREDDRVEEFAGNVFGLEDIQTDITEIGQRVFAKGQDTPGGYGTFFLLQ ILGGMFEQAISYLGSHAPVSAVHFAIALDYYGLLRVADFYTGREEILSFTTKQFPQIN FAYLITQYTREFRTGNVEATIDYFALICLNADLPGALGKSQASVCHEALREFILETRD FAKLLGDIRADGVRIKGAIERRLKLIKLVDQDEFLRTITVQAATVADDKGLTADAVLL YHLAEDYDRVIEIINRSLSDTVSLDLGQSVSDFQPLKPRVEQGTSTSAESGSSLSLTT VYDPMALARNMISLYNSNALYYQKIRQINRDACGLLLRMLEAKSHVESGNWAPALDAI NDLGILPLRAKGSVPYIRNLAQAFASLPSVISRNIGPLIIWSITCIGRERDRLYAGAY ENEIRQTLAEELLAMAKDLMLFAGMIKYKLQPRVYETLARAGAEIGAF TSTA_121200 MPAFGSKTFRRATTASSTLGERLGTLYRAKLPRHPFLLFGLPFI SVIVAASFVLTPATALRYERHDRKVRQLDQEEAMALGLKGENGEESIRRNPRRHVIGS DRDEYYKLMAKDLDDWEQTRVKRFKGEPDGRFD TSTA_121210 MQGSTAARQSPSSSPWAADHHSRQLGDILNTSPFHIQHQHPTFT GAMPSPFLFPRDSSDGGSGGSGISANYFGLPVDNYGSPPGSNPGSHAKKNWDMLSQSY SSIPSPGLQRISPNLAQKHTISLVGGNSQTGPGSPSRSGDHATPHFATNRPDSRTNTE SSRSMRNDIQAGGFLEPHNSDILHASPMQISPMENFQQGQSNQVASFNFPDTYSPNIS QPTSSTNTPGEGYLPKRQQNFSLSLPGTAHSPLSSSSGEDHRRADTLPTPIDQLPISF ITVDDCGRLLETAAEMTLLLDIRPYPQFSQANITNSLNLCIPTTLLKRPSFNTDKLKD TFINESEKQKFLSWRQCAYIIVYDSSTEQPRDATPLMNVLKKFKAEGWRGEGRILRGG FAAFASRFPNQIRQQRQSKAKPTGTQNRPMTLNLPSTLPVAGGCSLPDAAAADPFFSN IRQNMDLVGGVGQMPLKLPDALTPHHNKNLPQWLRKASAQEDKGHEVSQKFLKIEQRE LHRMREALDSHANYDEKSSSNKFRVAGIEKGSKNRYNDIYPFDHSRVHLQDVPSGSCD YINASHIRAKLTNKTYIATQAPIPDTFTDFWRVIWENDVRVIVALTAEVERGQIKCHS YWNTNSYGPFKVKALGERRVYVDLPQDTATPTQPSPASEGKPSSEGLFNAGDERPYIV VRHFTLSHSTYPFQPIREITQLQYSYWPDFGTTSQPSHLLKLIEQCNKITRRSSGLDI SAEEAEPPGLRPIVVHCSAGCGRTGTFCTVDSVLDILKQQRAKRHRHNDSSNKNNDDN EKWVYDDSTDLVAKTVEDFRTQRPSMVQNLSQFVLCYETVLEWAVSHNT TSTA_121220 MLLDLPPELVQLVLKHCCTSAYIQAAFSCRTLYRIASSSRDVIL HHLKTNPGPGFRMQADQKSKDNTERLTTRELFLLLRRGASEHLLNGSVDFDRTMYTFN GKIIDNPACSMNYAKDRKAILLVFKDDERIYHCPTGANGKVRIENAQTCKLPVLNLDR IRIIKTTYATDTEDLVGVIIKNEESTLEDDDGEAPPFFNEELQREKQRYRTGYLLLFL EETQKITRVALCQLPDDGYKVNALAAKFAHEFAISWEKIDGSDHMVSVYRAWLDDYKG RFTHGVPDLQYSETAVVNKEGLWVQPRSGVAQLSQHREVAGLGPVAELRYNDRNQLLH SHRGSTIFSYYHNLSFLRGEHGTTIVGNSAHRNYARIMNDQSDLSLLYFTVDIPFFAT HETYTPLDGDNDICRWRYLSYAIGTPRSGGKAVACLLKAQSECRAITCMHETNLERGR RLPSWEAVAMLCGHPELSPSTIGGIFAASPNGTRVAVSNWNTLYVWALNPGEVILGRE SSYYPRTWCLEEDDEKLTELRPVVIHMEAVCFKLMFTENDDVIVGLTDRGLMTWDLGP QTRRRRDTLNLDTENAPIVGYGPRIPELHTDYSSEGGSEAGEEDAGDDSADESEEADD MAVD TSTA_121230 MRNLVSLLSCLLVSIFIGLVQCKATNDNLPKSVEVYHWAVNVPQ PSLLAKIAYNPDTLESKVLSYTPPTIENTGGNGPHYRIGFYTTAADGSKQWVGSLVSQ SALTTQHNTLQLYLSGSQQHDLYHVSLHKGYNADQSSDSVAVELIYPEAGAMPELNKP IVVNPDGTGPQEEVEKTFFQKYWWVLMIVALMTLSGGGGEGQ TSTA_121240 MSSLPYRRQPSRQTSRQASTDDREAVQAQMDYYIGIDVGTGSAR ACIINDKGDIVGLASENIGLWQPQQSYYEQSTSDIWRCICISVQRAISQHNIHPEFVR GIGFDATCSLAVFSTETDEPVSVTGPNFDTDRNVILWLDHRPVKETELINATNHNLLR YVGGKMSVEMEIPKVLWLKNNMPKDLFDKCKFYDLADALTHIATGNEKRSFCSVVCKQ GFVPVGVDGSVKGWQDDFLKEIGLEDLVNEDYKRMGGVDGVNGDYLSAGELVGTLCDK AAAELGLPAGIAIGSGVIDAYAGWIGTVGAKVNLQGDEIDADVAKNDRTQAFSRLAAV AGTSTCHLAMSPNPVFVPGVWGPYRDTIIPGYWMTEGGQSATGELLKHVIETHPAFNQ AISVAESYHTNIYEYLNEHLKEMMEDQHAPSISYLGRHFFFYGDLFGNRSPIADASMT GAVVGLTSDKTVDSLAIYYYATMEFIALQTKQIVDTLNEAGHNINSIFMSGSQCQNEI LVKLIASACNIPVLIPRYVQAAVCHGAAMLGAKAASADSEGKTEDLWSIMDKMSKPGR KVVPTTDRTELALLEAKYKVFLEQCFKQKEYRNLVDEAIGAWNKKN TSTA_121250 MSDIDDLFDYDAGLDDILKNLPSAQNKETSQTEKGNNDEPDATK VLGLDADLKVTKQRVPIAKLDEARLLSQKGIPKLRKDVKTKLKFKGKAHEFSDLGRLL NFYQLWLDDLYPRAKFADGLAMIEKLGHSKRIQIMRREWINEEKPDYLRNADDEDHNN HDNSNPVAERDEAPGAQTATNNDTSADANVPLDDDMEDLFGEAPRRRSTPKQDTSSGS NDDVPPDDDLGMMLAEAENVNGTTEQDTFNESNTQAPVTDANNDNDAPPDDDLDMLLA EAENIAQAGDGSRKSIFNS TSTA_121250 MSKPSSNSKAKLMRSVYLLLNLNNEFQIDNISLQFSDLGRLLNF YQLWLDDLYPRAKFADGLAMIEKLGHSKRIQIMRREWINEEKPDYLRNADDEDHNNHD NSNPVAERDEAPGAQTATNNDTSADANVPLDDDMEDLFGEAPRRRSTPKQDTSSGSND DVPPDDDLGMMLAEAENVNGTTEQDTFNESNTQAPVTDANNDNDAPPDDDLDMLLAEA ENIAQAGDGSRKSIFNS TSTA_121260 MAIEPTKALVPPKGLKTDYPLIDSDPHIKRVFGYARPSDYAVGG AAAAASPLAFWLMEKFSPSHVGKGGFAPVMRLATAIGVLGGLHICYQRSINRFYGFTE NTCEVEKDMREMVDKVKKGEPLYGKSQLSEYLQGVAARNSRYTGLVSHIIPWFNVVNH DQHGVDTAKYYQQAERELEAERLGK TSTA_121270 MTSECDPNPENEDVTRQDQERFMKEALLMGEKALAVGETPVGCV LVLNGKIIGSGMNDTNRSMNGTRHAEFLAIEEALQTYPRSIFREVDLYVTVEPCVMCA SLLRQYNICRVFFGCANERFGGTGSVLSLHSDPSIDPPYPVYGGIFKNDAIMLLRRFY IQENENAPNPRSKRNRELNTEFASDL TSTA_121280 MAGDEESKPRGLQSANGNSSAADPTTSSILMNNIFPGHLSSEAF DTISQLRKELIEKGPGSFSRTADDVKNTQALINVALKVASEVTTIDSQLPGDETERRL LDCLDIVQLLIENSLRGLDGGDFDTEIPGQDAPLPFHLRLIIELIDLAVKVRLNGVGA KIKSILVMITRSQHDMFRAPLFPRHSVSTILGVIATDIIRSLEMVDVSKNTTLNITLP GPGSPLEKHLTAKLSLSGRPFQAPIRLGDITRATYILLQILEALLQPAELKSGSFSTS GMVQDFTASLNLASRIGKYLMSLMRRRGMNGRRNIIDPVVQYLYFCDLSLTRSLEYNN IGSLDAQASKTLIEFLVIVISDSGLSHEPQVEQALCDCLEGLKESQKASLLTSMTVQS VLVPRLVDIQARDEQSVDRSSPFQEFINAQKALYPHEIDLAQRAPKRIRLSEPQSESG EKDISQQILEKASKLIDSNGITRFDELALAARQKYKDLSEQQKCEFFTIISTYPCIAA SHRFNGSLSMSTDSSSTFHCRICDSERTVSDAVVAWQGNEADEFRSFLLEFLPKPSRS SPLRVSYLLTVRRFLYHEPEVKSLSVKSSSVGELCLHSLKAASRELRITTGHILPCFL RKAVDVQIRRQNFVIILECLKSVSERVDIPSQETCILTLCRLAEVSEDEELNIILLRL LEYLGHANPYICAVAYTELLKLSQESSTTVAGLLRPFWRSLSVTVVKNLRNRPHMAEQ LCDLLGMSVDSFLQLTEVHTLPYLVYTRRREIIMRIAAAYPSKSAFELCMERNNFAAI IAYLLSQPEENHEAMIYSLLVDIDPKFKETSVTMLLKTEPIPITRELLKNMGDAGEGN DGKFDGALRLLAKLISSKQSSGTSNSKSHRQVGLFIEEHLLGLITEFSDTVNDVRIRY SNMEKKRNIIAIGEMIKLARGHVNVAIPQICSCLRSSLEVEELCDHAFAVWCILITHL EPDEVEALIDQSIAIILRYWPTIQEGSKQMALVLMDHLFQHHGYQLREIFQTLPSLLS IPEMSRYEDQINNFRGSMDLSERLIAFSVRCQSESLTVVEQALQELVPFLDDKNEILH RTLLNHQSNSGIAQLTRSLLDCCVKFQSESDTIAMSTARSLGLIGCLDPNRVEISKEK KDILVLSNFARAEETFDFTMFFLQNVLVDAFLSASNTRAQSFLAYGMQALMSASHITT EITTPSDDLEKNEKHRRWLNLPEIVRNTVTPFLTSRYSITLGAAKTECTYPLFKPDMS YGKWLRTFVMDLLQKSYSSNVKMIFSVFARIVRDQDITIPAFILPFAVLNIAADGPEE HKREIQEELVRVLSHPSPEGSTRVRENVLLCSESVFSALDYLLRWLQGKKKQHAILAS NLAGKSTQAELMLDLSSAQIKSVEQILSSIRPEVISKRAVECKSFSRALFHWEQYIRQ SKSYSERRDDTDLEPLYQRLQEIYTQIDEPDGIEGISTYLHVLDVDQQVLEHRKAGRW DAAQSWYELQLDEDPSNIDTQLNLLTCLKESGQQDALLSRFETFSESKEYPSKLFPFA LEASISTGKWSKLENFLKLCPRDNTTDFTVGIASALNALRNGDKSAFNEIIQKLRLNT TRSMTANSTISLQTCHDTLLQLHALSDVEAIVNADSQIGMTKAELLDTLDYRLDLLGV YISEKQYLLGLRRAAMELATGFSKTDIAAVWLKSARLFRKNGHIGPAYNAVMHAAQLK AKSATIEHSRLLWTDGHHRKAIQTLKGAIAANAFVSEESEISVRASVSMTGEKLGTQN ILAAKAHLLLAKWTDRAGQTHSEVIVQRYREAIRLFSKWEKAHFYLGKHYNKIIESER AKPPGKQSQIYLSGEASKLAIDNFLRSLASGNKFVFQSLPKILTLWLDHATNVDQAFD PKRGDNVEFQKLITAQREKSLDEMHSQLNKYFLRRIPPEVLFTILSQIVARICHTNST VHELLTNTVVKIVGNFPRQGLWTVLALVKSSSKDRASRGMACLKKITEENKKSNKSGF TPSEIGSMIKGGQKFGEELLSLCNARVEEKGTAKISLSRNLGFNHKVAPCRLVVPFQS MLTPILPPSHEGTFIKQFNPFPGDVVSVEKVLDDALVLNSLQKPRKISILGTDGKIYS LLCKPKDDLRKDQRLMEFNNMINGFLKKDVDSIKRRMYIKTYAVTPLNEECGLIEWVD NLRTLRDIVMKLLRERGITPNFNEIRHYLNEACSHHDKLPVFTTMILSKLPPVLHEWF VEMFPDPGAWLEARLRFTRTSAVMSMVGHVLGLGDRHGENILFEESTGGILHVDFNCL FDKGLTFEKPEVVPFRLTHNMVDAFGAYGYNGPFRRTCEITLGLLRQNEDALMNIMET FLYDPTTDFIGKKRRTHKDVPDTPEGVMESIRNKLRGLLPRQSVPLSVDGHVDELILQ ATDKRNLAAMYIGWCPFF TSTA_121290 MYGTICTLDYVDSDFADMLPCLVMTNRGTAYILYNDAADAESAV SNMHEAQIDGATTDRSHPEDTHLAMNIHLGHHRRQEDDLQDATTSMVELQTDMTFTAQ DRCRVLHHHDAPEPVHILSALTRVPHQGEEAHREGVRGIVDVEVQATVATAQGPVTTL TEAAAQAGPDLRGLTTDGNEPDLSASYYLNLLVEEIRNATTR TSTA_121300 MAEARVFTLRPLPKPHRSDLRDSFRIYLSASSLAYLKLSPGSLC TLRSEGGIEKTAVAWNAVGDIKTTVVQASSILQECYCIKLGEKLTISKLDGSLNETDR VQLEECTHPDKLVIYGPLSDATELAHWEWALEYPLSQCGILSVGLGFEAEIKGQCRSF KVVEISTSNPTDQTISLFTKRSRVQIGTGPKEDKGFATLKVVQDGLGGLTDQIIRLNH LLVDFNLRAHGADMPRFYRLNRGILIHGPKGTGKSSLLRRIESAGWHRTFSIRSNILS RNSGDGEAKLSKIFKDASRAEPSVIIIDQIDSLAPKRSSPEASSLAHALCESIDALED AKVLVVAATRHPNDIDDSLRTPHRLSVEVEVSIPTAASRKEILCAIRDRSSEPSDSII DFMAEKTHGYVGADLFALLQMTCRKARDRLIPNIESPSLDVPMVDEVSKEKNSAWLIV NEDDVIAAMQEVRPTAMREVFLETPKVRWMDIGGQHALKKHLQKMVERPLKFPERMRR LNVKSRKGILLYGPPGCSKTLVVKALATEAGLNFLAVKGAEILSMYVGESERKLREIF QKARSARPSILFFDEIDAIAAKRTSSSGGVNVLTTLLNEMDGIEELKNVLVVAATNKP GTLDPALMRPGRLDNIVYVGPPDYDSRREIFSIWTSKSVVSSDLSIDDLAARTEGYSG AEIISLCETAGEAALDEEDEKNEPQEVMWHHFEIAFTRVKTQLTPDIIAEYEQWAEKS AL TSTA_121310 MAGTRNYDFLIKLLLIGDSGVGKSCCLLRFSEDSFTPSFITTIG IDFKIRTIELDGKRVKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDERSFNNIR TWFSNVEQHASEGVHKILIGNKCDWEEKRAVTTEQGQKLADELGIPFLEVSAKNNINV DKAFYSLASEIKKVMDSTKSEQAGTQGVNIDQHNAGSNGNLGGKCC TSTA_121320 MSLVTEIVSRLDINIDRYHSRATEHVNANNLKESASPIHNVRSL QATVRALSVDNHGLLSRRNLLRLLNQARRDNLFSFQADSDAFKGEAIDEQSWFLLAKF TTQTLGSVLGLLLEQSSLLGAEIDYWNDVLGSSILTGHYTIQTAPSRIWLWISEVIST LKTASARENMTSLSSISRDWKRFYQIVQNNIQEGVLRNTRNTLSSPLTRCRLQLRQKR KALEKLKDLNASAIGILLEECLTFASNEPSSDPIHAKFNRERFHGLYKTVLLVENTLQ DLSQSLESPKIFEETVFLATDNMFQEKQRSSLEEQSVQANDVVEGLIYVLRDRIPNNL AYNQYFIRKHGRPSTLARYWFPTVITLMSLSTSLRVLTNRRAELLEWVSEFGATVVDF WRNWVLQPVGKLIGTIRHDEQSEIAIMSRTSLEADRQSLERMVIDFVSDNSSQSNSGN TRPDVSTIAAQVRDGDLTPVLKAYERDLRKPFIGTIRGDLVRALLIQIQKTKVDVEVA MGGIDALLKSQELVFGFIGLTPGLLITYTTVRWIASLFDSRKGFRAGIEKQKFRNAVR NIDRILGAYPTTPDSVLSYRDYGLCIYEAQILKRRAQYTVPRSFLPIFQQDLTDLTDL SHGVWRQRNALQRIQWTYSKWF TSTA_121330 MNVHHPAWGGPGTKIDEQATELLEIMDRHGIELATEEGLVTWER GQSQSTIDLTFLSTTLFHRLILHERAGLQAALKTLMNLRHEGVPGNKAADRAAKRAAL MGARRQIVPGDLLSEGWTILAAAAKRRIRQSTKDAWERRWDKQKAGKPTKKLVTQPSK RTLQYWTFLRKATSSILIQLRTERIGLAHYLWRINRREQPYCAYGLSGQSVRHILMEC PLYENERGLMWSRIKGFRRTTDLQALLKEKKAAIAIAQFIIDTRVLDQLCEVDPEAVG TYESAEIVA TSTA_121340 MAGNTTTPTSSTVGGNSDVARLQLRIQELEAQLAAKQPKTPKPQ IFDGKRSELKNFLTQMDMHVAINAVSLGTKESKVVFVATCLTGEAFQWIEPVLREYYN SEKKDWSDHTKEILGSFKTFKEKFQLAFGNIDEARNAERQLRQLRQTGSARQLAIKFK QIAMILDYSDDVLIAMFENMLKEDVQVELIKMDRPDNIDEFIEQAVKIDDKLYEIKQK RQEIQGWRRHGTALPANQRRHRSGNHGQRRHDPYGPMPMELDATVEAKTYEKKKVRFD KTTVKCYNCNKTGHYAKECRSPRKERQIKATQENGSNEEDQERYAEWDNDYGVNDYQN DRDICSSFSGTESEMNLHLTTMHAADYEEVGNENNRVDDYPEIDDEPKSQYQDAQERP ETEIPTNDEWEEIKALGNPCGSDCQVLYKDPKHPGHGSIAFIFCNDDWCSVHLDAKQG SGYFPRPHQEIKPENCKWLRRDNEGPSRISLAKTDNTAMPNTAKQSAGTGDTNEAQKP EGPKRRQRTLVPLRNDEESPEEDSNATRQRKIENNIKQLMHEWTTLRKEEIERIGKVK DLKATAHGRHFKITANILGSDVRIMIDSGATGNYMDPRTQEKLQILGRKKPTPIPLIG LNGEKLSEEGITDETGWLAMSIDGHLEMINFDIAKLGRDDDETKGRVIAEVTEEITLH EEQEMFRELLEKAAKERQLASSTNVALPTEYEQFRDLFDGTYQALPDHNEWDHTIPLK EGKEPVPQKIYPVSGNEEEALKKYIEENLEKGIPSIIRQKERNNRFENDSYLLPLITE IQDKVRNKKWFTKLDITDAYNRLRIKEGEEWKTAFKTKFGHFEYLVMPFGLTNAPATF QRYINNVISPYLHDFTIAYLDDILIFSNSMEEHVKHVKLVLEQLKTAKLQVKLKKCEF HVQETDFLGHRITQEGIQIEKEKVQAIKDWPQPRNLKELQSFIGLINYYRRYIENYAK IMTPMFKLLKKEIPYEWNEEQQKAFEEAKKRLTKAPILAQHDPELPTTLETDASDFAI GATMTQPGTDGRPRPVAYYSRKLIDAELNYEIHDKELLAIVSALRHWRVYLEGATFPI RIIMDYKNLTYFTTTKVLTRRQARWSELLGNYWFTIEHCKGKENERADALSRRPDHEE GIKKPEPALLRLNKEGHLEYNPQVATLAATAETTTDSELQDKIVEETAKDDLIQSLIE NEDDKVTATETGLVFWHGLIYIPKSLRNEIIRLNHDTLTSGHPGQLNTMERITRNYYW PRMMKDIETYIKECDACQKNKISRHQPYGLLQEIKAPDYPWQWITMDHIVKLPESRGC DAILVIVDRMTKYAHFIPTTEGTNAEELAEELIDGVFQHHGIPEIIISDRGPTFASKL WKSMMDLMGGEQRLSTAFHPQTNGQTERTNQTLIQYLRHYVNHHQDNWAELLSMAQLA YNSAKHSTTGVSPFFANYGREPRLMGQPKFKESVNATAEEKVQQMKALYFYLHTEIIN QNKLTTGYYNEKHQKGPDFKKGEKVYLSRKNIQTTRPSDKLDHLRIGPFEIEEKVSEV NYKLKLPNTMRVHPIFHISLLEPAPQNAKTQEEITIEQETYEVESILAEKESPNGKLY YLRGIADGIQRTGGKLFRTGEPRVKLLDGRSKSTGFQLFSHNFSIGSGYLCFRRRIFG IQDFQHSLIVRTHAHDTLIIRLFKTTKTF TSTA_121350 MVTFTHNLESNHWTTVNGPLETTRDPSGGIERTEQCELQGFPGS IRVLDKEEALTEANQQRAGTTFWSDGSRLDTGRAGAGVTLQAVPKGPWEHVEVPMGHG HEVFDAELVGVATALEWALERQPLDPIWVLLDAQNAIDRLRSTRPGPGQALVLRAHRA AKKLALRGQPVTIQWVPGHSGIEGNEQADQAAKRAASKQTAPGFEHLSLAHVRRACTE ARRAAVSEWAQINAVQGRHRDGRVYKMPRGWNLDPVAGKAPKRVASRYYQLKTGHAPI GTYLYRIGQRESPECQACKEPHETVRHVLFECRGRRAGRRTLYQALKKAGVPLPTAAE ENPEARLFAEPRATQGLLQFVAEANLFNDKERTAREAESSDAWGWDTLEEGGLGVRPV GSHQGFQSEKKEVFRHRAFPQAPNSNIELQLPEPGSAHKLVNEGAVKNALFSQGLEKA PGTDLLNFRAIRLLWNLDSERVVSLVKQCLRLGIHPRIWKIAKGVLLRKNGKTNYTLA SAYRVISLLKCLGKVIEKLVAELITSFAEAQDLFHDGQFGGPWANGKLAAALFMDIKG AFDHVVLARLIKVLREASVDGDLIRWVVSFLSDRRVTLIIDGHVGKEVPISSGLPQGS PVSPILFVLYVHGLSRAIERSVPEVRCLSFVDDQGLITAASSVKEACRILEKAAEVAI DISEAHTCDRATSVPAPYQVKGEAIPVVGLQPYGLPDREPPERSAQQYSKIDPFTPKT KRQIKASGALYLKDANRLIKRRHNGDLLRIHKQHVQYDIVGNIYLRANQFFEITPPVK SYQSSLLLLGKSVVMAQARMLTHSTGENLHPQQRVLIRAGAQQPPPPPPITNQQRPIK TYTKMEDLAKAAELLAQYIYSNPREGKDNPMIRGFINNVQDFTTTHKSGPEILTDLKR LLVDVQKDTAVLRTRSNQASQSSATTRLSSASLRSAAAPSSRSAGSSAPGVSHTELSM DCEIVVKIRDEAIRKEIRELHPAEIVKRAKRARAHAVKGTPSLPLASHVFIAA TSTA_121360 MRHDLFINNDPDEPTRPHKLRDSTASLETSTEPRVSIIDLTISS QALGPLSGWEIESQRLTPSDHVMIWASWEPPTTASTEPTRKEVTGWQIEALLGNKEAL QEAKDTWNELAKTQPILTDTTSTEEVEREAEWIERTLTEVLNKHCKQIRLCAQSKRWW NSEIEAEQSVYSKARKAYQAGEISDEKHREARTTPALTNEASGEVIAATFSKKEEVFR HRAFPQAPNSNMELQLPERGSAHKLVNEEVVKNALFSQGLEKAPGTDLLNFRAIRLLW NLDSERVVSLTRQCLRLGIHPRVWKTAKGVLLRKNGKTNYTLASAYRVISLLKCLGKV IEKLVAELITNFAEAQDLFHDGQFGGRRQRSAIDAVACLVEEIHQAWANRKLAAALFM DIEGAFDHVILAKLVEVLREASVDGDLIHWVISFLSDWQVTLVIDGHVGKEVPISSGL PQGSPVSSILFVLYVHGLSRAIERSVPEVRCLSFVDDQGLITAASSVKEACRILEKAA EVAIEWGVANGVQFDRKKTEAAFFYRQHRRQVAQNVSRARIRVGGELATVKSTVRWLG ILLDSQLTWKSYYNA TSTA_121370 MENPERPPRAAGDPEIMGPGSGVDFSTPTQEPQQLPLNAPALFA GLQDSQNQSKEPTLDNRDTLQEPRQTGETQQTPRTTLEKRKASRLTIGSRTPITRSGL NAAPKRKITLATIRATSAPIENSLVMSLIEDLNSQTQEAVQQLSVELTTARNVISMQQ GLITTLNARLESLETYVNALQSRQILPLDPCDTTREVAAHGPPPRAASTGGLASTPIQ LDAAPESRAINSTAPQPQPRYQNPTKATKQAVQPPEGPKKVFGTAAQTTKQPETTAKP LTKPAPTKWAAIAANNTQSGGWKTPVSTRSKEERRLIFRRRYPKDAPTALKADVLLAL NRALAKAGFPDFVRAVDSGYAASGALTVLLERGTRSSTLVPVYNDTLLAAVRQTDPAV ISVEISEQWHRVKVQAVPVDRYMYNDQGLALAQEEIELGTPYRLKREPTWLKRAKTIQ ASNQRFATIVITVGSLEEARTLINKGIKFGGRHHRVAPYWESNPESICPRCCGIGHSG FMACGGRSPKCAICAGDHEAIEHSCIVVDCAHEATSPKCPKAREARQRAIQRMREQSL QDLIPLDETFAVVPPKPVLTTEERSGQSLEEETSTPEEDELLPEMQLEADIHEGNSQQ PLEPELKSATEAPQSQKTWAQRIQILINKQARGITGMFPKTPIGALIREAALEPATVL LDARVARYTARLLALPDTHPTAQILPVTLRHGDLHAQPGEQPLDDREWASRDNKVLNR LGQRLAKHLAQRLNRDPSGGIERTERCELKSFPGSIRVLDKEEALTEANQQRAGTTFW SDGSRLDTGRAGAGVTLQAVPGGPWEHVEVPMGHGHEVFDAELVGVATALEWALERQP LGPIWVFLDAQNAIDRLRSTRPGPGQALVLRAHKAAEKLALRGQPVTIQWVPGHSGIE GNEQADQAAKRAASKQTAPGFEHLSLAHVRRACTEARRAAVSEWAQINAVQGRHRDGR VYKMPRGWNLDPVAGKAPKRLASRYYQLKTGHAPIGTYLYRIGRRESPECQACKEPHE TVRHVLFECRGRRTGRRTLYQALKKAGVPLPTAAEEDPEARLFAEPRATQGLLQFVAE ANLFNDKERTAREAESSDAWGWDTLEEGGLGVTLEDE TSTA_121380 MESPERPPGATGDPEIMGPGSGVDSSTPSQEPPQLPPTTRALFA GLGDCQKQSNEPTLSNSDALQAPRQTGETQQAPGMILEKRKASRLTIGSRTPITRSGL SAAPKRKITLTAMCAASAPAEDSLVMGLIEDLNSHLQEAVHQLSAELTTARNVINTQQ GLITTLNARLESLETYVNALQSRQILPLDPCDTTREVAAHGPPPRAASTGGLASTPIQ LDAAPESRAINSTAPQPQPRYQNPTKATKQAVQPPEGPKKASAPSKALSITDWKPVST RSKEERRLIFRRRYPKDAPTALKADVLLALNRALAKAGFPDFVRAVDSGYAASGALTV LLERGTRSSTLVPVYNDTLLAAVRQTDPAVISVEISEQWHRVKVQAVPVDRYMYNDQG LALAQEEIELGTPYRLKREPTWLKRAKTIQASNQRFATIVITHLIGSQILRVSALDAA ELATLASWLVVAGLLNARSAQVIMRQ TSTA_121390 MAIFLPVQDTIDAAEMAELLHKEVELRYGCPSGIVSDRDLRITT AFAYNNSMNHTLRVSLFKALYGFDLEFHIDVADNVPEGEIPAAKDRIRKLYKLRQGLR DQLNTARQCQIEYYNKRHTLKTFKRGSLVKLSTRNLKLKNKKL TSTA_121400 MTLRAKIARVQQICTSYRRRVIQSCDDGNPQGSEEEKTCKVGEE STQASKKPQDVVTYVYDSELGQQVQGWACEYNMCPGPLGPSVTPQGDGVKADHLIRCV LTQKVTRQRAQQAVLNEAPRQEPSEGLRQLVAAAQIEDAFCMRVDKDLSEGDSTRLHY GRTSDGVLLYKGRILVPN TSTA_121410 MGQLNVLDSDPEDVEIALDTCAEIDTIGIDFAEQRGLKPYIKGY PKLWQSAGNVQHEAKGAYWATWSMTNHYGVTRSYQRPFLAVNKAPEDAPLLLGEHTLG EIGVDISLRTKETGGNQWRFHLPTNGEPTEHYVKVESTKTFRKRLMKGLKRYLQENLE KGFIRPSKSPAASLILFVPKKDGTLRLCVDYRGLNKVTIKNRYLLPLIGEILDRVNGA RVFSKIDLKDAYYRIRIRPGDEWKTAFRTRYGHYEYLVMPFGLTNAPAAFQGYINQAL RGLVDDFCIVYLDNILIFSKTEEEHTEHLRLVCERLRTAELYAKPSKCQFYQNEMEFL GFIINDQGVKMDPERVRTISEWKEHPPGSYWDIQVFLGFCNFYRRFIQGYSRIARPLT SLMKGSKDGKKTGDFRREWGNTQQEAFLELLSAFETVSLLQHFDPDLLTRLETDASKY TLSGILSQLFEEQWHPIAFYSRQFKGPELNYGTPDQEMLAIVRAFKHWRHYLEGSKYP VEVLTDHHNLQTFMKQSRLNGRQAQWCYYLTPYDFVIKWRSGSTNPADAPSRRPDYIR QN TSTA_121420 MAVSTRRIARSESLDSQNDQQDNGMGVGPTQGPTESSDNSETQD GEVDVHRPMGLREMQEIVDNQPLSTEQLRVLTDRIRVLVKARTGKRANENTNDEDDRP RATLKAWSDWKIEIQRAFNASPYKYDNNRTKVIKALIHLHEDCKTMWNNHIRSAPDDK YNWKAFSTWLDSTIRDQGNDEIKTQIEWSKARQRFDQTPWAFDAYLTSLEREMEPKNE RTQAMEFFSRLRPSLQRAIQLSGINPLPQTRQAMLSLATRMWEEIKHDEKNPRKKDPK KPETRTDNPTTPLVSSKHASKMDNKNEDKAQNKTGKLRGPKEFASGKNEKGERICFTC GSTEHLTSYHKKDDKGDAEKKKPGIHIIQKVGRKKGHNRMAEQAWDLSDLSENE TSTA_121430 MALAILLARLSYPRRVRDLEIFFGRSFGYISTIFNDVLQHLYRR YKRLLEWHPLLTQERCKAYAQILEAQGAIPRGWGCIDGTFRATCRPSKNQRIAYSGYK KRHGFKYQGIITPDGMVLSLIGPFEATFIWRYWISGMSFCPNTISEDWGIICTSNRDK R TSTA_121440 MVLVSTGLVKALPQATASRPTPIVSGADRRVTLVIDGHVGKEVP ISSGLPQGSPVSPILFVLYVHGLSRAIERSVPEVRCLSFVDDQGLITAASSVKEACRT LEKAAEVAIEWGVANGVQFDRKKTEAAFFYRRHRRQVAQNISQARIRVGGEDPSGGIE RTEQCELQGFPGSIRVLDKEEALTEANQQRAGTTFWSDGSRLDTGRAGAGVTLQAVPK GPWEHVEVPMGHGHEVFDAELVGVATALEWALERQPLDPIWVLLDAQNAIDRLRSTRP GPGQALVLRAHRAVEKLAMRGQPVTIQWVPGHSGVVGNEQADQAAKRAASKQTAPGFE HLSLAYVKRACMEARRTAVSEWARINAVQGRHRDGRVYKMPRGWNLDPVAGKAPKRLA SRYYQLKTGHAPIGTYLHRIGRRESPECQACKEPHETVRHVLFECRGRRTGRRALYRA LEKAGVPLPTAAEESPEARLFAEPRATQGLLQFMAEANLFNDNERTAREVESSDAWGW DTLEEAGPGVTLDDE TSTA_121450 MNLYCAVGSCMEGYRAQIFVVISDLPHPSLLSVPLTQDSTSRPR SIRKLSAHETWSHTRTPREGEPERQGKNRLFYCKYCESYSAQASNNFRDHLKKKHGID IQPTPEVQSATLDQLQQLYLRAISSGQTQEIDTQVLQKVLDKDMINEALVSLIVARNL PFNVVEWPEFHVFCRLLNPEADNFITTAHSEIAKKIEQSYESQKDTIRKILQSAESSI HLSLDIWTSPNKLLFLGICAHFVGRDQGKLSKALIGLRTVANHSGVEQFATLLLLQDY GIVQNIGSIIADNASSNDTLCRAIGEYLNKEEGLQWNALFKRIRCIGHVINLAVQAFL FHNYIEIDQLGLYEIQEEAGELASDISIQQIFRVMGLLGKCHNIVIHIRGSAARTAYF KTLAGRMIPLDNRTRWNSWSYMCEVALDYSSAIDTYTKEYLDDLQDDYLTLSDWSRLR TINQFLKPFRQATLVTQGHQATIDRYTLYVMDAQGPETTSFLSVAVSTKKTKCEEEEE RGKLALSLEALQIHSVMGWRPYGESGLKRQNCKILSTNLFVQYREDKEICSRVQKCWD TFDIYYSKTDDSPLYAAALILHPNRRTRYIHANWRTTWQKPAINKVKKLWRTYRERAP PLAILGPYSKASEDPDKELSEFNQIQVRMSLMIIAVESHMILEMNLLFGGGLKRNKES VGQGSHS TSTA_121460 MASPEWPPGATGDPEIMGSVSGVDSSTPTQEPLRLPQTTPAPIV GLGDSQKQSNEPTLDNRDTIHAIQQAGESQQAPGITIEKRKASRLTRSGLSAAPKRKI TLAAMRAVSAPAEDSLVMSLIEDLRSQMQEAVHQLSVELTTAKNVINTQQGLITTLNT RLESLETYVNALQSRQILPLDPFTATREIAAHGPPPRAASTGGLAPTPMQSDAVLFLI SQYGFNQYGTLSI TSTA_121470 MIATTTETDADYKTITILAKIQGKGIRILLDSGVTGNYMSQKFV HLNKIPVKTTDEWTKIVGIDGETITEGYKKKTSGILMRSGKYATTITFNIVPMDTQYY NANLIIDWASGTVAVDSSMIKTKTENRDIEKILNLHEESGTSSEKGQANTLRKTRKVT WTQELEQQERKREIKAQARQIATVRPKPKELYKKELAEVKAKLPEKYYNYIELFVKKE YRLPNHPKEYEVRIPLKSGFKVPSVKQHYKSQDELEMEDKLIEEFLAVGYIREGRGSA SARALFVPKKDGTKQISLISNGVITISEWLKKIFGKSHFLRIKVFMTKLMEAGLTLKI KKCEFDITTVNYLGMIYIPEGLKIQPEKVDAITNWPTPANIKEVQGFLGATGYVRRYI RNYSEHSRSMTELLKKDEEFVWNNRRQKVFDKLKQLVTEAPILTLDDLEKQKIIRLGA SEYILRIALEQVGSDGIV TSTA_121480 MSRDIMWAEQRMKRYYNRSKTFNIKSKRPSNKLDIVKFRLFTIK EKLANDNYKLQLPARMRIHPIFHISLLEPTKNPENSDEEADKEEYKVEKLLERKLEKR QIYYLVRWKGYGPEDDTWEPIRNLNCPEKIREFRQSVGQGKNYPGRKDRKPRYQQKKE IQKQQLSFDEL TSTA_121490 MRHDLFINNDPDEPTRPHKPRDSTASFETSTETRVSIIDLTISS QALGPLSGWEIESQRLTPSDHVMIWASWEPPATTSTEPTRKEVTGWQIEALLGDKKAL QEAKDTWNELAKTQPILTDTTLTEEVEREAEWIERTLTEVLNKHCKQIRLCARSKRWW NSEIEAERSVYSKARKAYQAGEISDEEHREARKSFYSLIRRAKRECWEGFLQGTSEGS LPDQKRCWTALRYTKPQTQGTTPALTDEASGEVIAATFSEKEEVFRHRAFPQAPNSNM ELQLPERGSAHKLVNEEVVKNALFSQGLEKAPGTDLLNFRAIRLLWNLDSERVVSLTR QCLRLGIHPRVWKTAKGVLLRKNGKTNYTLASAYRVISLLKCLGKVIEKLVAELITNF AEAQDLFHDGQFGGRRQRSAIDAVACLVEEIHQAWANGKLAAALFMDIEGAFDHVILA KLVEVLREAGVDGDLIHWVISFLSDRRVTLVIDGHVGKEVPISSGLPQGSPVSPILFV LYVHGLSRAIERSVPEVRCLSFVDDQGLVTAASSVKEACRILEKAAEVAIEWGVANGV QFDRKKTEAAFFYRRHRHQVAQNVSRARIRVGGELATVKSTVRWLGILLDSQLTWKSH YNARIKTARNTIIRLNSLCRANGLPPALVRRIQKATVQAQLLWGAEIWWQGQKTWAQR IQILINKQARGITGMFPKTPIGALIREAALEPATVLMDARVARYTARLLALPDTHPTA QILPVTLRHGDLHAQPGEQPRDDREWASRDNKVLNRLGQRLAKHLAQRLNRDPSGGIE RTEQCELQGFPGSIRVLDKEEALTEANQQRAGTTFWSDGSRLDTGRVGAGVTLQAVPG GPWEHVEVPMGHGHEVFDAELVGVATAXXAHSPMWNVHIDQRVNARSLKDLIMRHDLF INNDPDEPTRPHKPRDSTASFETSTETRVSIIDLTISSQALGPLSGWEIESQRLTPSD HVMIWASWEPPATTSTEPTRKEVTGWQIEALLGDKKALQEAKDTWNELAKTQPILTDT TLTEEVEREAEWIERTLTEVLNKHCKQIRLCARSKRWWNSEIEAERSVYSKARKAYQA GEISDEEHREARKSFYSLIRRAKRECWEGFLQGTSEGSLPDQKRCWTALRYTKPQTQG TTPALTDEASGEVIAATFSEKEEVFRHRAFPQAPNSNMELQLPERGSAHKLVNEEVVK NALFSQGLEKAPGTDLLNFRAIRLLWNLDSERVVSLTRQCLRLGIHPRVWKTAKGVLL RKNGKTNYTLASAYRVISLLKCLGKVIEKLVAELITNFAEAQDLFHDGQFGGRRQRSA IDAVACLVEEIHQAWANGKLAAALFMDIEGAFDHVILAKLVEVLREAGVDGDLIHWVI SFLSDRRVTLVIDGHVGKEVPISSGLPQGSPVSPILFVLYVHGLSRAIERSVPEVRCL SFVDDQGLVTAASSVKEACRILEKAAEVAIEWGVANGVQFDRKKTEAAFFYRRHRHQV AQNVSRARIRVGGELATVKSTVRWLGILLDSQLTWKSHYNARIKTARNTIIRLNSLCR ANGLPPALVRRIQKATVQAQLLWGAEIWWQGQKTWAQRIQILINKQARGITGMFPKTP IGALIREAALEPATVLMDARVARYTARLLALPDTHPTAQILPVTLRHGDLHAQPGEQP RDDREWASRDNKVLNRLGQRLAKHLAQRLNRDPSGGIERTEQCELQGFPGSIRVLDKE EALTEANQQRAGTTFWSDGSRLDTGRVGAGVTLQAVPGGPWEHVEVPMGHGHEVFDAE LVGVATALEWALERQPLGPIWVLLDAQNAIDRLRSTRPGPGQALVLRAHRAAEKLALR GQPVTIQWVPGHSGIEGNEQADQAAKRAASKQTAPGFEHLSLAHVRRACTEARRAAVS EWAQINAVQGRHRDGRVYKMPRGWNLDPVAGKAPKRVASRYYQLKTGHAPIGTYLYRI GQRESPECQACKEPHETVRHVLFECRGRRAGRRTLYQALKKAGVPLPTAAEENPEARL FAEPRATQGLLQFVAEANLFNDKERTAREAESSDAWGWDTLEEGGLGVTLEDE TSTA_121500 MTSQFGFQLHQVKVYMFEDGFSSLRLHLDGWSYSEKGDLIAGYG AAAWFQPGLMSQRQITQTIRRFAITQKRAACLISEALRTTAAEALNIELYLIPIRLQL DQLTKAAAIRIRTGPAFAIPDGLANRHGSGYQGYIGTSMVIPAFGKQRTECIGTEGTS TAYAAEVCGIKFALETAHQIADQNIRTKKLVIFSDSQAALKTLMNPRMVSGQTYIHDC IDSLRKCMDEDTDVVLRWIPGHEGVPGNEAADRAAKRAALIGARR TSTA_121510 MIKTVDITYAQTAIHVTTDIATAYANLREQREIRAEYSHLIEIP RAPKSWEAWISAWEKVMIRAMLENLSFAKDSTEWANNFLDALKPYFDTWVTAYRMSKE KEIDNGSLDYRTLSGAFRRHATATKRSTGKVGKGAFAVTYGDSEEQDGNLDATPSIRI NPQGDRRRGNKKRNRTIEGSISESGKPRCRACGILGHMANACWYIFPKKAPKKWYPKE GVQEKVDSNLREDNKLAEEIARIKNPKASNHDDKEANE TSTA_121520 MRPETYDWLTEEKYVKVEPSPPYTRALNGSGERSGGVIKTKARL MRISSRLPQDLAPEIIRAAVYSHNRMLRYNYDWESPYERFHQFIADRDGKSPVSSKPQ LAHLQVYGCKAYSLTTGYMKHENRLQIFNPRACIGYLVGYDSTNVYRVWNLVLNKIGK ARDVTFNEDETFDDVDVNEEEVTRSRPEARDLPSTVVNELINIGNIPTQDKALGRMPN RGFGRVITTHGPQEVDKEEATSHWRGYPTPPPIPPAALLVASIQRPIDEVPMLIKKYE SFVPWKATFIAGSRYKPIGTFESKTLERERISRNQSVNKIQDKKEECDRSKLQKLIQQ EQLHKIHRRDLPDPPKWHRDLETHLLGEQFAQAKRAHLQSYIPMNSWTTVDQSIAKGS QILDYKHGYLVKCKARLVVRGDQKR TSTA_121530 MFGVAIDASFGGNTPDRKSSQAYVMYLFGGVTGWQANKQRTVTT STTEVELLALSQAVKEGKFVMRLLKEIGVRFDEDKLTTVRLVNEEAFKLQTNLRHVDI HNHWLRQEVKERLVNMQYTPTKEMIANGLTKVLGRSEFEEFVEQVNLRDIAEIIEGSE K TSTA_121540 MAYRAETRANGHKLTQSEEESLVRWILDLDKRGLPPRHSLVRDM ANCLLSQRGNQHVGENWVYNLVKRRPEIESKFSRKYNYERAKCEDPKIIQEYFDRVRE VILEYGILPEDIYNFDETGFAMGLCATTKVITGSDRYARPKLLQPGDREWVTAIEAVN SIGWALPSYIIFKAKKYTRLGWFEDLPDDWKINISDNGWTTDKIGLEWLKTHFIPLID GRTLGKYRMLILDGHGSHLTAEFDRTCTENNIIPPLDVGCFAVLKRHYGQLVEQRMRL GFNHIDKLDFLTAFPKARTMAYKAQTVRNSFTATRLVPFNPDRVYQQLTVRLKTPTPP PSRSSDTQSSCLQTPQNARQFKRQMTTTKKRISRHTRSSSEAIGEVFTRASKAYEMSI NKLTIAQKELHDLRAAHEKEKQKRQKSKKQISHDHGITREEAQALVQGQIEASQAVST APAEPELPVSHPPVRRHFRCSGCGIEGHKITGCPNRTSS TSTA_121550 MPPIRTGYREKLVEQEGKILLAISDLKNGKIRSIRQAVRIYNVP YTTLHDRLNGIEYKIEKRANSHVLTQNEEESLLKWILDLDKRGLPPRPSLVQDMADLL LSQNGNKHVSERWVYRFVDRHPEVKLRFSRRYNYERAKCEDIKIIREHFNRVQEVIQE YGILSEDIYNFDETGFAMGLCASAKVITGSDRYGRPYLLQPGNREWVTAIEAVNSTGW ALPSYVIFKATTYYQQGWFETLPQDWRLDISKNGWTTDEIGIRWLQKHFIPHTTSRTK GRYRMLILDGHGSHLTPQFDQICMKIHYTKARAMAYKAENVQNGFKATGLVPYNPDHV YEKLTVQLRTPTPPPSRSSNSQSSCQQTPQNPRQFNRQTATIKKRINDRTIGPFEVVD QAINRLSKAYEMSRNELLIIQKEVHDLRAANEKEKKKRKRSRAQISHEGSLTAQEAQE LIGSRNEASQPIPTAPVESEPQTSQPRLRAPPKCSGCGIIGHKINRCPNRTTS TSTA_121560 MSTMAANLFSWVFRPNRSSPTMAEPSQRRLWCAFSDNIDNMFPI HCNINVDSIADAKEKIWVKSQPKLAQVASWELNLYSPSSPVKNIPTRENLVHLHPRKR ILSDFPRSNDPDIDIIVIQPEEQQHSIATQVSNSPRLEPCQMICTRDETVSKLAEVID SQNIVHVRGTPASGKSTLSLLLRDYYRRNGRAVFWLGIWQQNLDCLDGESPWMNFARN LRHKYPSIQRAEDFFANGNVIIIDEAQTSYGDTALWNQIIKDIRGGIGYKVKMCLFCS YGSPSTGMPYNRRDHGTPVDFAPTQRISLTPSTELGSPPIGLFYNKDEFEVVVTRLCS CDVVEKYTIDRDARNYIFSLTNGHPGAVKSIVSYIFELYRSEVKHRVIPTITEDIVIQ ALRDDKEVFSRLRGTVVSRSFPFGNRFTTEAANTIRKVLEDGYVLFDESLCGIRCCYE NGWIHRATLVDDTEQQNSVGVLPSRLHENFQTRHFLRFKAYV TSTA_121560 MSTMAANLFSWVFRPNRSSPTMAEPSQRRLWCAFSDNIDNMFPI HCNINVDSIADAKEKIWVKSQPKLAQVASWELNLYSPSSPVKNIPTRENLVHLHPRKR ILSDFPRSNDPDIDIIVIQPEEQQHSIATQVSNSPRLEPCQMICTRDETVSKLAEVID SQNIVHVRGTPASGKSTLSLLLRDYYRRNGRAVFWLGIWQQNLDCLDGESPWMNFARN LRHKYPSIQRAEDFFANGNVIIIDEAQTSYGDTALWNQIIKDIRGGIGYKVKMCLFCS YGSPSTGMPYNRRDHGTPVDFAPTQRISLTPSTELGSPPIGLFYNKDEFEVVVTRLCS CDVVEKYTIDRDARNYIFSLTNGHPGAVKSIVSYIFELYRSEVKHRVIPTITEDIVIQ ALRDDKEVFSRLRGTVVSRSFPFGNRFTTEAANTIRKVLEDGYVLFDESLCGIRCCYE NGWIHRATLVDDTEQQNSVGVLPSRLHEKYYFLSFDVFFVLYRFV TSTA_121580 MRTAPNIIITGTPGVGKTIHSEQVAQDTGLQHLSINDIAKQRDC YDGYDEERQSWIIDEDKLLDAIEDDVTKGGYIIDWHACDLFPKSWIDLVVVLRCPSTA VYYDRLSSRGYPESKVDENMDAEIFGLLLEEAKEAYDEEIVIELTSENSDEIESNCAR ISAWVEAWKKSHSEEATDA TSTA_121590 MKTLNFITGNKNKLSEVRAILGDAVVVDNKSVDVPEIQGTIEEI AKEKCRRAADAVGGPVLTEDTALEFHAYKGLPGPYIKSFLEALGHEGLNKMLDSFDDR SAEAVCTFAFSNGPGEEPLIFQGRTLGKIVPARGPPNFGWDPIFEYEGKTYAEMDKEE KNKISHRYKALMKLKAWLIENEA TSTA_121590 MKTLNFITGNKNKLSEVRAILGDAVVVDNKSVDVPEIQGTIEEI AKEKCRRAADAVGGPVLTEDTALEFHAYKGLPGPYIKSFLEALGHEGLNKMLDSFDDR SAEAVCTFAFSNGPGEEPLIFQGRTLVCLSCVYGFISD TSTA_121590 MKTLNFITGNKNKLSEVRAILGDAVVVDNKSVDVPEIQGTIEEI AKEKCRRAADAVGGPVLTEDTALEFHAYKGLPGPYIKSFLEALGHEGLNKMLDSFDDR SAEAVCTFAFSNGPGEEPLIFQGRTLVCLSCVYGFISD TSTA_121600 MANNYEVGTAAWQPDPTEGWVASEVKEKNVDGDKVTLVFLLENG ESKTVETTVAELQVPNNPSLPPLMNPAMLEASEDLTNLSHLNEPAVLQAIKLRYSQKE IYTYSGIVLIATNPFARVDSLYVPQMVQVYAGKQRASQAPHLFAIAEEAFADMLRDGK NQTIVVSGESGAGKTVSAKYIMRYFATRDFSDQPGRFTTGRSETISKTEEQILATNPV MEAFGNAKTTRNDNSSRFGKYIEIMFDDKTNIIGAKIRTYLLERSRLVFQPLKERNYH IFYQLVVGATDTERETLGLTSVEDFDYLNQGSTPTIDGVDDRAEFEATKKSLTTIGVP EETQTSIFKILASLLHLGNVKITATRTDSTLSPTEPSLVRACEMLGIDVNEFAKWIVK KQLITRGEKITSNLTQQQAVVVRDSVAKFIYSSLFDWLVDKINRALATDEVMSQVKSF IGVLDIYGFEHFAKNSFEQFCINYANEKLQQEFNQHVFKLEQEEYVREQIDWTFIDFS DNQPCIDLIEGKLGILSLLDEESRLPMGSDDQFVTKLHHNFAADKQKFYKKPRFGKSA FTVCHYAIDVTYESEGFIEKNRDTVPDEHMEILRNSTNSFVKEVLEAASVVREKDSAS IASKPVTAPGRRVGVAVNRKPTLGGIFKSSLIELMNTINSTDVHYIRCIKPNEAKEAW KFEGPMVLSQLRACGVLETVRISTAGYPTRWTYEEFALRYYMLCHSSQWTSEIKEMCH AILRKALGDISQQKQDKYQLGLTKIFFRAGMLAFLENLRTSRLNECAIMIQKNLKCKY YRRRYLEARESILTTQSVIRGFLARQRADEIRQIKAATTIQRVWRGQKQRKAYNEIRG NIILFESIAKGFIRRRNIMDTILGDAAKKIQRAWRSWRQLRDWRQYRRKVIIIQNLWR GKKARRDYKKLREEARDLKQISYKLENKVVELTQSLGTLKRENKTLVGQLENYENQLK SWRSRHNALETRSKELQAEANQAGITAARLSAMEEEMAKLQQNHTEALSTIKRLQEEE RTSRESIRVADLELKKLREINSIHEDENSSLRSQLTELQEQLELARKSAPVNGVSGDL QNSTISQTPMNGLINLVSSKKSKPKRRSAGAEKIETDRFSGAYNPRPVSMAIPNSAMA RQTVSGASFSPSVDSIESELENLLSAEEELNEEVTMGLIRNLKIPAPGASPPPTEKEV LFPSYLINLVTSEMWNNGFVKESERFLANVMQSIQQEVMQHDGEEAVNPGAFWLSNVH EMLSFVFLAEDWYEAQKTDNYEYDRLLEIVKHDLESLEFNIYHTWMKVLKKKLYKMIV PAIIESQSLPGFVTSETNRFLGKLLPSNNSPAYSMDNLLSLLNNVFKAMKAYYLEDSI INQTITELLRLVGVTAFNDLLMRRNFLSWKRGLQINYNITRIEEWCKSHDMPEGTLQL EHLMQATKLLQLKKATLNDIEIIQDICWMLSPTQIQKLLNQYLVADYEQPINGEIMKA VASRVTEKSDVLLLTAVDMDDSGPYEIAEPRVITALETYTPSWLQTPRLKRLAEIVSA QAMAQQDKMDATENGATTDTEY TSTA_121600 MANNYEVGTAAWQPDPTEGWVASEVKEKNVDGDKVTLVFLLENG ESKTVETTVAELQVPNNPSLPPLMNPAMLEASEDLTNLSHLNEPAVLQAIKLRYSQKE IYTYSGIVLIATNPFARVDSLYVPQMVQVYAGKQRASQAPHLFAIAEEAFADMLRDGK NQTIVVSGESGAGKTVSAKYIMRYFATRDFSDQPGRFTTGRSETISKTEEQILATNPV MEAFGNAKTTRNDNSSRFGKYIEIMFDDKTNIIGAKIRTYLLERSRLVFQPLKERNYH IFYQLVVGATDTERETLGLTSVEDFDYLNQGSTPTIDGVDDRAEFEATKKSLTTIGVP EETQTSIFKILASLLHLGNVKITATRTDSTLSPTEPSLVRACEMLGIDVNEFAKWIVK KQLITRGEKITSNLTQQQAVVVRDSVAKFIYSSLFDWLVDKINRALATDEVMSQVKSF IGVLDIYGFEHFAKNSFEQFCINYANEKLQQEFNQHVFKLEQEEYVREQIDWTFIDFS DNQPCIDLIEGKLGILSLLDEESRLPMGSDDQFVTKLHHNFAADKQKFYKKPRFGKSA FTVCHYAIDVTYESEGFIEKNRDTVPDEHMEILRNSTNSFVKEVLEAASVVREKDSAS IASKPVTAPGRRVGVAVNRKPTLGGIFKSSLIELMNTINSTDVHYIRCIKPNEAKEAW KFEGPMVLSQLRACGVLETVRISTAGYPTRWTYEEFALRYYMLCHSSQWTSEIKEMCH AILRKALGDISQQKQDKYQLGLTKIFFRAGMLAFLENLRTSRLNECAIMIQKNLKCKY YRRRYLEARESILTTQSVIRGFLARQRADEIRQIKAATTIQRVWRGQKQRKAYNEIRG NIILFESIAKGFIRRRNIMDTILGDAAKKIQRAWRSWRQLRDWRQYRRKVIIIQNLWR GKKARRDYKKLREEARDLKQISYKLENKVVELTQSLGTLKRENKTLVGQLENYENQLK SWRSRHNALETRSKELQAEANQAGITAARLSAMEEEMAKLQQNHTEALSTIKRLQEEE RTSRESIRVADLELKKLREINSIHEDENSSLRSQLTELQEQLELARKSAPVNGVSGDL QNSTISQTPMNGLINLVSSKKSKPKRRSAGAEKIETDRFSGAYNPRPVSMAIPNSAMA RQTVSGASFSPSVDSIESELENLLSAEEELNEEVTMGLIRNLKIPAPGASPPPTEKEV LFPSYLINLVTSEMWNNGFVKESERFLANVMQSIQQEVMQHDGEEAVNPGAFWLSNVH EMLSFVFLAEDWYEAQKTDNYEYDRLLEIVKHDLESLEFNIYHTWMKVLKKKLYKMIV PAIIESQSLPGFVTSETNRFLGKLLPSNNSPAYSMDNLLSLLNNVFKAMKAYYLEDSI INQTITELLRLVGVTAFNDLLMRRNFLSWKRGLQINYNITRIEEWCKSHDMPEGTLQL EHLMQATKLLQLKKATLNDIEIIQDICWMLSPTQIQKLLNQYLVADYEQPINGEIMKA VASRVTEKSDVLLLTAVDMDDSGPYEIAEPRVITALETYTPSWLQTPRLKRLAEIVSA QAMAQQDKMDATENGATTDTEY TSTA_121610 MSEPIRNKKADFPVAPTPQNTPATNAPISSHAQQPGVASISEEN LDHAAAASLFAKNPALVSMIQGRLGSLIGRSSGYIESLPLPVRRRVAGLKGIQKDHAK LEAEFQEEVLQLEKKYLQKFTPLYQRRSQIVNGAAEPTEEEVEAGEAELADDEEETKK ETEEKTEASAEESNVAGIPEFWLSAMKNQVSLVEMITERDEEALKHLTDIRMEYLDRP GFRLIFEFSENEFFTNKTITKTYYYQEESGYGGDFIYDHAEGDKIDWKADKNLTVRVE SKKQRNRSTKQTRVVKVTVPTESFFNFFSPPKPPADEDDATSDIEERLELDYQLGEDI KEKLIPRAIDWFTGEALQFEELGEEMDDEGEFEFDDEDDEDDEDDDRRSDNGDEESDE EDGTSKPKKEAAECKTS TSTA_121620 MSRQGPSNGSWVASNAYRRESMRSSISIASEVEMAHDEVFSGPM SESVPSSIASFAHRRPRHDSTVSFTYLTEDEEDVGQDVEEAVIHESDLEDGILFNDRD GMESVVSFGKRHRRSTESHASVEHPLLDRHESAQSLLNRKKVSSRISQKIYIATEDLT AVITGFCTNTYGFALYIFLCVMSLGLGYLVLRWIPKWRLRLIGTPAPLKNCRWVATED QYGQFNIHEISKQAYGSPLSTVIDVASLGIRLDNDEDNDPILTHLRLLEYRYLRFVYN PVDDTFQSVSGWKDPSWTSTKLMRSGLDADERDNRELVFGQNLIDVQQKSTSQLLVDE VLHPFYIFQIASLTLWSMDQYYYYAVCIFLISIFSITATIIETRSTMVRLKEVSHFEC NVRVLRNGFWRTVSSVDLVPGDVFEVSDPSLSQVPCDCLLLSGDCIINESMLTGESVP VSKLPVIEDVLDYLDLKAPSIHPAVAKSFLFYGTKIIRARRPRQADDDVEAVALGIAL RTGFSTTKGSLVRSMLFPKPSGFKFYRDSFRYISVMGVIAMLGFTASFVNFVRLGLPW HTVVVRALDLITIVVPPALPATLTIGTNFALSRLKKQQIYCISPQRVNVGGKLDVVCF DKTGTLTEDGLDVLGIRAVNQDRSFSDMFSDPNDMVSASRSQGGSNMDYDKCRDIICT MATCHSLRVVDEELLGDPLDIKMFEFTGWTFEEGGNTQIEQQVNGNGDTIIPSVARPP TGSADFQKDQIPLELGVLRSFEFVSQLRRTSVIVRQFGENGASVFVKGAPECMKEICH ADTLPPDFDDLLSHYTHGGYRVIACAAKYNPNLSWRKIQKISREQAESGLNFLGFIVF ENKLKDTTTPVITELREAGIRTVMCTGDNILTAISVARECGLIDRDSPCFVPHLDSAH HLDPNASLTWESVDDPAAKLDEKTLMPVWDPATHDVSIPLNKLNANSYSLAVSGDIFR WLIEYGTEDLLKRVLVKGQVFARMSPDEKHELVEKLQSLNYCCGFCGDGANDCGALKA ADVGISLSDAEASVAAPFTSRIFDISCVPRLIKEGRAALVTSFCCFKYMSLYSAIQFT SVSFLYTSASNLGDFQYLYIDLALILPIAIFMGWNDPFPILSRKRPTADLVSRKVLTP LIGQIVLAVLTQLVVVETVRTRPWYIPPKVDVDDTNVENSENTSLFLISCYQYILSSV VLSVGPPFRQQIYKNTPFLVTLFLTLALSTYMLSETSQIVMRVMQLTPIPWNFELFLV ILAICSFAASWVAENYVFPSLARIIGRTISLIRPKQEKNRRQYKVLLERMRS TSTA_121630 MSGKHPIHVHPARPLYRFAATALGASMWFFLMYRAKKDGPALLG WKHPWDH TSTA_121640 MASESSPSSQRPSLERYEDGQPRFRGCSNIRDFEFLGKLGEGTF GEVYKARSKKDGTIVALKKILMHNQKDGFPITALREIKLLKMLSHPNVLQLPEMAVEK SKGEGRKKPSMYMVMYYQEHDLSGLLENPNVHFTEAQIKCYMLQLLEGVRYLHDNGIL HRDMKAANLLISNKGILQIADFGLARPYDEKPPEPGKGGGEAKRDYTPLVVTRWYRPP ELLLQLRRYTTAIDMWGVGCVFGEMFRGRPILAGNSDLNQAQLIFALVGSPTEETMPG YSSLPGCDGIKDFGNKPGNLSQVFKDQGPLMISLLSEFLKLDWRKRITAVDALKHPYF TSPPLPARPGDLPQFEDSHELDRRQYRQKPKPPAPPNAAAGESDWSTGTGARAATGQS SRVPAGISRGGPSSGFRRVPDDGLPPKPSPVSQPGWPNRKNDNRPPDRRKDGWSNTGR GDGGRLDTYVPRYDNNSNSNNNNNNHRNGHHHFDGTGAGPGLGGPENSRYGNRNNNPS NARRRSQSPPMRDRSREDSYHLYRR TSTA_121650 MSSARDIKVPQEELAHRVSRSLGLSTSPAAPITSTASSFGFSAA VGSTPASVAAAARAAKHLKPFATEDIKILLLENVNQTGRDILAAQGYQVEFLKTSLPE DQLIEKIRDVHVIGIRSKTKLTARVLKEAKNLIVIGCFCIGTNQVDLKFAADNGIAVF NSPFSNSRSVAELVIAEIVVLARQLGDRSNEMHNGTWNKVSNKCWEVRGKTLGIIGYG HIGSQLSVLAEAMGMNVIYYDVVNLMAMGTARQVPTLEDLLREADFVTLHVPELPETQ NMMGPKQFDQMKEGSYLINASRGSVVDIPALIEASRAGKIAGAALDVYPNEPSGNGDY FHNDLNTWANDLRTLKNIILTPHIGGSTEEAQSAIGIEVGQALVKYVNEGSTLGAVNL PEVNLRSLTIDEPDHARVIYIHQNVPGVLRKVNEILGDHNVDKQMTDSRDDVAYLMAD ISNVDTQTIKDLYAKLESLSSRIMTRILY TSTA_121660 MLDVNDFVADRGGDINKIRESQRRRYAPESVVDEVLELFEAARR ARYETTQISSKINAVQKEIGQKKKNKEDASELLKQKADLEKEKKESEENALAKEKERD RKIKTIGNYVHDSVPISDNEDNNVVERVWAPENVVVEKRDCLSHHEVLTRLDGYDPER GVKIVGHRGYCLTGYGLFLNLALVNYGLEFLFNKGYKPNQPPQFMLKDAMAKTAQLEQ FDEELYKVSESEDKETDKYLIATSEQPLSALHSEEWLIDSDLPVKYAGYSTCYRKEAG SHGKDAWGIFRVHQFEKIEQFVLTKPDKSWEAFDEMIATSEEFYKSLGLPYQVVSIVS GALNNAAAKKYDLEAWFPFQGEYKELVSCSNCTDYQTRELEIRYGAKKADAKKSYVHA LNATLCATERTLCCILENYQTEDGINVPAPLQKYIPGAPTFLPYTRELPKDTTSAKKG KGGDVAGAAKQLKDLKV TSTA_121670 MPAPPKQRKIAIVGSRSVGKSSLTVRFVDHHFVESYYPTIENTF SRIIKHKGQDYATEIVDTAGQDEYSILNSKHFIGIHGYIIVYSVASRQSFDMVTVIRD KILNHLGADWVPLVIVGNKSDLNADQRQVQLEEGRQLAQQFNCGFTEASARLDYNVAK AFDLMIGEIEKSQNPSQPTGDSKCVVM TSTA_121680 MDWTLPDRPRRLVTYGKNARSRTARSQASQFSKEQNSNDLTARR SGITPPPRSLRESAIEDTAHAKSPSQDGRSSVRSPKRRKLTPVDDDDDEVDLIVVSPR PQTRRGPAAKPTYTRKDVLRRSVSDLPNNSHLARSIDSRLSISPDRSSDRPVTSSARS ITRTQADLGITPLRRRLVDALGFENVQEGSSGASDSEHESSQQQGIDIFKSRETTPVA GDFQTSYNTDSQTSSKWSQRTQEIIEASPQSGSPRVTYARQRSFLRNIDIHGNSSGDP AEDFSASLLSMPLHPVAKDDTETSQTESSGTISSIHELRRAGVNARFQGLVDSILEDI EDRSSSSSVRRSGLVQLCEKLLDDKFAPRFVEIGGFERLTKCMSPRADLILALLSVYA CALVLRLNHLPSTVWTSAWSKLIILAPTLLPVDDSVTKLVSQRRYSLSRVNQASIRDI ASQFVRVSGLDEQESSKLSPCHLLLRCLYLTVRKVREKDIAGTIPGEVVSQLVEILLE LTQKATDRDTSENFVLIESIITILEIYTTTSDITGQAQQDVLLPLTKSSHLLSSLSRK SDPQDIQLLTLLLRLILNITNASFSLCENFATPEVIHGLTVIVLSNYDTASRDFVIDE KKDSLDIVILALGALINLTEVSEAARQNILNQEEDSQSLFEQLLSIFTRGLDSVSEAD SVVQTHSNVAFGYLSILLCTLCLEREAYATLKSAMKGTGLARLLATVEEFLHYHRKVD EELQQSQSVKEFTVRLQRILNQIRAISTCVT TSTA_121690 MAAARNENVPSPAQGVGPFYRPEGEKPTATVSKEVSFENVHVLP QTPQLIALLTMIRDQNTPRADFIFYSNRIIRLLVEEGLNHLPVVEHTVTTPVGRSYLG VKFQGKICGVSIMRAGEAMEQGLRDCCRSVRIGKILIQRDEETCQPKLFYDKLPADIA QRWVLLLDPMFATGGSATMAVEVLKSRGVPEERILFLNLIASPVGVADFAERFPKLRV VTAFIDQGLDEKKYITPGLGDFGDRYYTL TSTA_121690 MIRDQNTPRADFIFYSNRIIRLLVEEGLNHLPVVEHTVTTPVGR SYLGVKFQGKICGVSIMRAGEAMEQGLRDCCRSVRIGKILIQRDEETCQPKLFYDKLP ADIAQRWVLLLDPMFATGGSATMAVEVLKSRGVPEERILFLNLIASPVGVADFAERFP KLRVVTAFIDQGLDEKKYITPGLGDFGDRYYTL TSTA_121700 MGKRKKSSRGPQGPKKREPLATTFACLFCNHENSITVKLDKKLG VGNLSCKVCGQRFQTGINYLSAAVDVYSDWVDACDAVAKSKANTYDASGSQAVYSRGS AGPDADLEDPVHDDL TSTA_121710 MAVGKNKRLSKGKKGLKKRTVDPFSRKDEYSVKAPSTFQIRDVG KTLVNRTTGLKNANDSLKGRIFEVSLADLQNDEDHAFRKVKLRVDEVQGKNCLTNFHG LDFTSDKLRSLVRKWQSLIEANVTVKTTDDYLLRLFAIAFTKRRPNQIKKTTYARSSQ IRAIRKKMTEIIQREAASCTLSQLTTKLIPEVIGREIEKSTQGIYPLQNVHIRKVKLL KSPKFDLGALLNLHGESTTDDQGHKVEREFKETVLESV TSTA_121720 MSDPDTKEQVLLSCPFCEFEEYDSEFLSQHVAYCHPEDPDVASQ STYTHQSLTAAEEDRRATQADESLTVLDNMEDLYTPCPHGCGETVAKAELQLHLDLHV AESIALNETGGLHLQSVDSDDDGRIDRSSEDKNGNDDEDLHQDDLVVHGKDIVLKGKD TDNHRRKKKDVSKSSQHGNKGTRRLGRKELGPYAHEKRMPSWLQKLLLSGHIENTQTK IGPNGSLIKEKDLSENETSRVIPTLIELCRHDTSIQRAFFCSPRVRHIFKFMREGGFC GYRNIQMLISHIIDARRPGYEHFSGRIPSILELQDMIEQAWDMGFNSSGRIETGGIKG TRKYIGTPEAQALFQSLGIKCIPGAFASTGGFRAYDMLLQDVANYFRSGCPASLLDSD EKILETDLPPVYLQHQGHSLTIVGFEINSAGSADLLVFDPMFRTSPAIQRLIGKFVRP SDPSRILKAWRRGPPYLQKYKEFEVLKLVPE TSTA_121730 MPLTDLAPPSANGDGNTASPEPTWTVQKFGGTSVGKFAISIVDH IVLPSLADQKVAVVCSARSSSSKAEGTTNRLLRAARDAQNSISKSYDILVEAVRQEHV EVAEQQIKSSELRDRLISEINDECAYVLKILDAAQTLGETSARCVDKVISAGEKLSCR FMAILLQDHGVDSEYVDLSEIVDFTIGNGGLDQAFYDQLAANLGSRIQACGSRVPVVT GYFGPVPGGLLDKIGRGYTDLCAALVAVGVNARELQVWKEVDGIFTADPRKVPTARLL PAITPAEAAELTFYGSEVIHPFTMEQVIRARIPIRIKNVMNPKGNGTVIFPDSTADLE RIAGGHISKVIRSRSASVLSAQLQGPKRPTAVTIKHKILVINVHSNKRSLSHGFFAGI FSVLDRWRLSIDLISTSEVHVSLALHSELPLLNGIGRDEYQIIDEDLRGAIEDLRKYG TVDIIPEMAILSLVGKQMKNMIGVAGKMFSTLGENNVNIEMISQGASEINISCVIDER DADRAINILHTNLFTFLE TSTA_121740 MCSIHQVLLSVEDRRLRKQPRDLELPIQVKADSAFVPPRHPVLE FSSSFASDVFADFPDPSYQRPLKRTLMEAAPLNDRPANKKQKREDSTTFTLPEPHEMP LVEDDGSKPPYSYAVLIGMAILRAPSRKLTLSQIYKWISDTFAFYRAGDSGWQNSIRH NLSLNKAFLKQERPKDDPGKGNYWSIVPGMEAQFIKDKSARRATLSSIPLPPNTLQRD APSLGPQPSTSMWRVPPPALPAPPLHHHIEVSQIQEPSSDATILASDPALQEDGPEEE AHAIPSTIPRSSPPQVIRSSPPVVVVPPSSRFSRQGTPPTPTRPIASAAAPPRRGNQP SASANDSGYYSSLESSVMRTNKSGVMLTSDLDIEPPRLKRGRAEEEIARIRSSSHDIS PSHSKTLKRSTNSVVGSSPLRGEYISFPPPLTPMIKFKKPAKPPPSLSPNTNLRNHRR KIQHMVNSPIKHLGLADEELPWSPAFTIHEETTSTPNEHIQVHFDIFADTVADDVYTP ARRSPEKRVLKRETGSPTRSADLALADITSTSVNKRIGRSLLSAGKGFSLSHFQDSPS KIPPQQSRLLDTSNEDFFSFTMFEDSPEEVDGVDLLQGFKKIGGNTSKESNSTSNAGI NRAGGLRQPLNPRGYSSYF TSTA_121750 MPPIRTTRNAKPPPAGFDDIEDTLLEFSNKMKDAENVSHEGKKR HEVLWPIFQISHQRSRYIYELYYEKEAISKQLYDWLLKNKYADAMLIAKWKKQGYEKL CCLRCIQTKETNFNSTCICRVPKAQLKEEQAIQCVSCGCRGCSSSD TSTA_121760 MDGQAQFDISKLSDSDRNELNQFLQNETQKSTIQQTVHHLSEVC FKKCITGNISSNRLDRTEELCAQNCVDRWMDANLSILKHLETLRGGQ TSTA_121770 MAGEKKGAKVKPSNKAGAAAKAVLKGQGAKKLRKIRTSTTFHLP KTLQLSRSPKYPRKSVPHEPRLDHHKVIIHPLNTESAMKKIEENNTLVFIVDVKANKR QIKAALKKLYDVDTVKINTLIRPDGSKKAYARLTPDVDALDIAATKLAIV TSTA_121780 MAVKKRNKRPGLLSHTRPRIAAKNQLTPSATTVSLSSKATRNLI RSHHQRLKARERAVLAGDKAQVAQIDAQIDANGGLESYQLASKTGQSRERGGDSSTVL LEWIRPLLRRIKQARQQDGSMSSGKLRLLEVGALSTKNACSQHDCIDATRIDLHSQEP GILQQDFMERPLPAADNDNKDRFHAISLSLVLNYVPSAAQRGEMLKRCSAFFTPSPPC LLRDTETKNFAPYLFLVLPAACVLNSRYFNSERLNDIATCLGYSKFKEKVTNKLIYQL WEFRPGSHHNNSNKNKTATIFRKEELNPGKTRNNFTITLNANS TSTA_121790 MPNRNNDTNPEDGRQARSFSQGSAGSYLNSWLSGRFSPSTSANY LEGDDETGDLEGNDDEANQRGQPMSIRLRKAGGVNSLDNFARSWQRAAAFPEIIPRRS SFVFEYEEPGEADEGQPGQDHTDQKPATHPLLSASYRSGRQRFPSDSVLDGTSNRRDS SPPDMRPLLSRESPTTTTTADLLRTYDASSFGRSFGTSYGSISSRVSETTRQRALELY RPEHHPVAGGEPDPDREPLLVKQIQHEDGTKENVIVGHSTVPQTIFNSVNVLIGVGLL SLPLGMNYAGWVPGLLFLGFSAAVTAYTAKVLAKCMDVDHHLVTYGDLAYISFGHRAR VITSLLFCLELLGACVALVVLFGDSLGTLLPGLSLTQWKIVCGIILLPLSFVPLRFLS VTSILGILSCTSIVGIVLIDGLVKKDSPGSLLQPAKTSLFPENWATLPLSFGLIMSPW GGHGVFPNIYRDMRHPHKYGRSLVVTYIFTYSLDCSMAVIGWLMFGDGVRDEIIVNIL QSTGYPRALSIGIIVFTAIIPITKVPLNARPLIATAEVLCGLDSSSHHSSQHNSQTAG KAATVAKGLIRVIVLVLIVFIAIVFPSFDRIMALMGSLLCFTICIILPLAFHLKIFGN EISLSERIFDWFLVIISSVMAIIGTTWAFLPFPGSGTPVVS TSTA_121790 MPNRNNDTNPEDGRQARSFSQGSAGSYLNSWLSGRFSPSTSANY LEGDDETGDLEGNDDEANQRGQPMSIRLRKAGGVNSLDNFARSWQRAAAFPEIIPRRS SFVFEYEEPGEADEGQPGQDHTDQKPATHPLLSASYRSGRQRFPSDSVLDGTSNRRDS SPPDMRPLLSRESPTTTTTADLLRTYDASSFGRSFGTSYGSISSRVSETTRQRALELY RPEHHPVAGGEPDPDREPLLVKQIQHEDGTKENVIVGHSTVPQTIFNSVNVLIGVGLL SLPLGMNYAGWVPGLLFLGFSAAVTAYTAKVLAKCMDVDHHLVTYGDLAYISFGHRAR VITSLLFCLELLGACVALVVLFGDSLGTLLPGLSLTQWKIVCGIILLPLSFVPLRFLS VTSILGILSCTSIVGIVLIDGLVKKDSPGSLLQPAKTSLFPENWATLPLSFGLIMSPW GGHGVFPNIYRDMRHPHKYGRSLVVTYIFTYSLDCSMAVIGWLMFGDGVRDEIIVNIL QSTGYPRALSIGIIVFTAIIPITKVPLK TSTA_121800 MSAPQANEAEKNIEIWKVKKLIKRLEAARGNGTSMISLIIPPKD QVSRAAKMLAEEYGTASNIKSRVNRLSVLSAITSTQQRLKLYNKVPPNGLVVYCGEII TSEGKERKINIDFEPFKPINTSLYLCDNKFHTEALSELLESDQKFGFIVMDGNGALFG TLSGNTRDVIQKFSVDLPKKHGRGGQSALRFSRLRDEKRHNYVRKVAELAVQNFITAD KVNVAGLILAGSADFKNDLNQSDMFDQRLQSKVIKVVDVSYGGENGFNQAIELAAETL GNVKFIQEKKLIGKYFEEISQDSGKVCYGIDDTLKALELGAAETLIVYENLDVTRWVL KASDGSEIILHTTKAQESNREMFMDKESGQEMEIIEQGSFLEWLAEKYKDFGATLEFV SDRSSEGNQFVKGFGGIGALLRYKVNFEQLADVDDDDEFYDD TSTA_121810 MKDSIRVTFLGPAASFSHQAAIDSFGKDDSVSLFPSVSFAEAFS AIQNNDADYAVIPIENSTNGSVVQTLDLLADRQGSNEDVIVCADYFLTVQHCLIVGAR PVNKHKEDSFNSINKLYTHPQAWGQCEKFLSQHFRGIERQDVSSTSKAVEIVAKETSG REAAIASKLAAEHHGAFVLQEHIEDRADNTTRFLVLRNRLSKQSSNITSFLKTGSDTD EPKKKRKSLISFMIEHSAPGALADALAVFKKHGLNLTSINSRPSLIRPWQYIFFVECE HVPTANDETCISDILKELGTICHACRSIGSWIDGRDLIA TSTA_121820 MLVSRTCRLLSNKNASLIFKRTVSSSIDPSLLRHELTTRRLPLS YDYLTPQQSHLLNLSLPELLQLENHGEDQRKLPSITQSNCMPPGHHLVYFPPQVTLSE LLPDGTDVLHTPGEPFNRRLWAGGKITFPQSRGSAGGLLLNGQRAVCVENIDNVDVQG PEGDERVLITIDRRFTSVHEYEDEMQIRASVANNNSEVLMEKRILYFMRDLDSEIQQT ERDRKSRIVKAPTNPDISYTMTPTKALLFRFSALTFNAHLIHLDRPYARNTEGHHDIL VHGPLTAVLMLSLLSNYLSKLGLCVKEFEYRNLAPLYVDEELRVCVKAKQQSPDALGS LGVWIEGPQGGLAARGTARAAPIKS TSTA_121830 MDVFWAAPPISRTLTAFAFVESLAVHGKFMPFWRIHFSPKLIFF TFLPEPWRLITPFFLTGPGLSFLFDLYFLYTYASGLERGSPRFALPGDFTVYLVFVCT VIMITAYYCTGASIFTRGLIMALTHTWAQANRGRIVTFYVIQIKAELLPPCLLVIDIV SGGWYAAVIDMIGIFASHLYDFLTRLWPIFGGGTNYLKTPGFLHRLYGTTVREQRSRG LGPSHSSSGQTSGQSSSREDSAGSAWSMRGAGRRLGGD TSTA_121840 MAAVATKDIADFSIKPESVTPSIPTSEWPLLLKNYDQLLVRTGH FTPIPAGCTPLKRDLKSYISSGVINLDKPSNPSSHEVVAWMKRILRVEKTGHSGTLDP KVTGCLIVCIDRATRLVKSQQGAGKEYVCVIRLHDKIPGGEAQFARALETLTGALFQR PPLISAVKRQLRIRTIHESKLYEFDNDRHLGVFWVSCEAGTYIRTLCVHLGLLLGVGA HMQELRRVRSGAMDEQDGMVTLHDVLDAQWLMDNQRDESYLRKVIRPLESLLTTYKRI VVKDSAVNAVCYGAKLMIPGLLRFEAGIEVNEEVVLMTTKGEAIALGIAQMSTVELST CDHGVVAKVKRCIMERDLYPRRWGLGPVALEKKKLKSDGKLDKYGRPNEATPAKWQSS YKDYNAPLDEQAAAEPAQRSEDAPAPSSPSSKMDVEEDKAEEKPDEEKKKRKHDGETP EERAERKRKKKEKKEKKERRKSKQEKEESDDSD TSTA_121850 MMAAELTSTKLNPESHLLLDQPLLRLPHELARRNFKSVQRIVER ERDYIIPALKETANGSLAGTQTPDQTLASLDAMIARMQGLKRKMESLQEEEKKIQTQS KRRIHHLQDLYKIQTLSDVKYEDWSRTRLDRLIVDHMLRSGFPESAKQLAKAKGIEDL VDIGTFVQCQRIAEGLRKGDAKEALQWCGENKVALKKTQNTLEFELRLQQYIEMVRTG QPTKMIEAMQHAKKYLSPHLETQSVEIHRAAGLLAFPRDTDAEPYKSMYSLDRWKYLS ELFLRTHHELLSLPPRPLLHIALSAGLSALKTPACHSKYASSSTNNLSVTTSVCPICS TELNELARHVPYAHHTKSSVENDSIILPNGRIYGRERILEMSRKVGSVGEGKVKDPTT GEVFDEKDMKKVYIM TSTA_121860 MAKSKKKRSRGQQQTLGDSKKRKMQHFQRVSISSQTGNSSRATD SQKKRKLADSTIASNQQQQQAWKNKKSEKNTHQQELHQRPVIPYDRKDRILLMGEGDF SFARSLYEHHRCKNVFATCYDSEGTLLSKYHGHAEENIKHFLDQKDIEDVKRDANGAQ GKVTEDDTYTKNPEPNSPTRKVLYSVDARKLGTNAGGGKDIRKGIPHARNKKRKRQSF HRNGPQPETNSEDDGGPWDIISFNFPHVGGLSTDVNRQVRANQELLVAFFKACVPLLS RTAREDRDNTDDDDHYDDDSFESETSESESEEDKNIRTIPRQSGQIIVTLFEGEPYTL WNIKDLARHAGLVVATSFKFPWKSYPGYSHARTLGIVESRSSEAANSEETERRGGWKG EDREARSYVFEVKTEYSQRRRPGQQEMKDSRDGGKGNKKRRGRGDESSDED TSTA_121870 MADDKKSDSKLEQGSRAFEVPHPQPRHNVPVGLSNNPVLPVLAY CGSSILMTVMNKYVLSGLDFNLNFFLLLVQSVVCIIAIQTCKAGGLITFRNFNTDEAK KWFPITLLLIGMIFTGSKALQFLSIPVYTIFKNLTIILIAYGEVLWFGGSAVTGLTLF SFGLMVASSIIAAWADVQHALTSTTNASTKISTLNAGYIWMTANCLCTAFYNLYMRKR IKLTNFKDFDTMFYNNLLSIPVLILATLLIEDWSAENVARNFPIVSRSNIAIAMVLSG LSSVFISYTSAWCMRVTSSTTYSMVGALNKLPIALSGLIFFDAPVTLGSVSAIAIGFV SGIVYSVAKFKQNAKPKTGILPTTNIPLSASSQSMRDSLRS TSTA_121880 MSDPTFLDAKVSSILGQKLDSSRTDPGDLDEDALFEELEKEDDS AYRAHRLEQLHKEVVSAKEALKQNTPNNNNNNTTTTIDAFYPTLVDDRAVLDLTTNNE RCIVHFSHTDFARCTVMDEHLRLLAPRHHEVRFARIDVRNCPFVVEKLNIRVLPCVIG FVDGNGKERIIGFEGLVSIGKSALRGKGADNFRTTDLEKRLVQAGILVRGKYVEEIGR NNDEGDMSESESENERGGKSSRRKGIRDGTSSRRYGQDNSDDDWD TSTA_121890 MPPQTVSGSIGSAWRSFWHTMTSNDRHASHDSPYRTGQHVPLNS SRHDTLTSVATAADSRPDLTSPFEEEEISKTNSNGWAGSPRGLGSPNRPYSPGMRSLA SQSRRSSDQAGGADGMGEIQMQSFHDGAPPPPPVTHSWKKIDRWTEDNYPELFDQLGE GCTQNDVNELEHELDISLPLEVRESLMIHDGQERGGTPTGIIFGCMLLDCEEIVHEWR NWRTVAEEFFSTSTIVPPQIPSKAFGASSSSAGPSQQHQASNPTWRQDLLDRQDSQPP RAIQKTYAHPGWVPLARDWGGNCIAVDLAPGPAGKWGQVIIFGRDYDCKYVVARSWAA FLAQVADDFASPFVSVEEDSGELKLKPFRKQNVEPPYLEILRWRVDQRFGRRPRRRSA NGLGLNTNVDKSRNSPYGSPAPSEERGRSPHRFSSRAQTQSPKTPFALSSPLARVTEE ASSPVTSVSERTQDLTLKEVPTKESAEDLLEMTTPVATNDASKEPLKDISVNEKTSKH SSTADATPLDLESENLGEMKTVAI TSTA_121900 MSTPLVTTTPMKGSRNPKRQQRRNNTPASQGKTVMLSTPPSSPP RVSSPGDYFATGTPGVYNGDNNSSRKKNDNRPSKKSRENTRLTNAPHSNGPSIASPPQ LKDSPHYAGPTFHASPAPSALPMPSFFSKSVPDSGLSAALELDDELDEESAPELTTPS KPKSSASRIKHEPSPLDFLFKAAIDARTKSQQSPEAVSKSTVSKSTDVITNQRQDSAS TGIFSLELDGSERTTPTFSTPVSSYKTKMNALTSSGTKQHWTTEMDDAQRKMKTEELK HLLLNPRPQRPASVSPHPKGPARGYFHNAHAPQHAASGPPTPVSFNGHVQQNPAQCMP YKSPPQTYAENINPISFHRDVSPYASPVNVARANHYGSSLQSPFRVGQPSQMYNSPTL FNARLQSTPPSRKQDPDTKKMEDDLRRILKLDMAPSMQPNGVQSSLA TSTA_121910 MADVEMQDASSAKDKAVKTSKSAAGESSEGKKRFEVKKWNAVAL WAWDIVVDNCAICRNHIMDLCTFACFFYISRMLTILAGIECQANQGSSTTEECTVAWG ICNHAFHFHCISRWLKTRQVCPLDNRDWEFQKYGR TSTA_121910 MADVEMQDASSAKDKAVKTSKSAAGESSEGKKRFEVKKWNAVAL WAWDIVVDNCAICRNHIMDLCIECQANQGSSTTEECTVAWGICNHAFHFHCISRWLKT RQVCPLDNRDWEFQKYGR TSTA_121910 MADVEMQDASSAKDKAVKTSKSAAGESSEGKKRFEVKKWNAVAL WAWDIVVDNCAICRNHIMDLCTFACFFYISRMLTILAGIECQANQGSSTTEECTVAWG ICNVSCL TSTA_121920 MDNILAPIQNALEGQIDFHGQQLAETLSTILLVISGIVAFIVGY IQQDIYLTLWIGLAGTAITGFVVIPPWPFYNRHPEKWLDSTGSNTNSAINVPGIVVDG VKVR TSTA_121930 MTAFAYSAWGKNDNPSPEEEKRQNGERDLSEGGLGSGAPSLYLP QTTSLLTVITKTYRDLRCRNLLKVNTGYCEACQTFYHSKKSDNMASPSQQAVVRRQAD IDMALMPPPPPAKKIKRPSTVLDEDTYTDALSHIIARDFFPGLLEMQTKQDFLDALDS KDKAWIARAGKRLSEVMTPGGSSIRRGSSTPYRNVADTPMTETRWGNETPASVASTTA TPQSSVMGASSKDLVDVSNLSLNSFQAKYTSEDNESFNRLLDRQNAKRREKFAWKWSG NKILSARQIAHRQREQKRIANQGSVAETSKELVLSTDLDARPAVPDTWKSGVENSLMF TPGFVEEQHETHQQKAEAASRAGPKQIVYGNTRLDNTNNSTAAAGHDGPSVLSSPSLS AIKDAIAGRVRHTDESSVGGEYTGSETPRVNGYSFVDEDEPEYDDESVYERLRILASK GSTGGDTTPNPFDIKENRKREDLHHRMVDRVAQKKRAEKAGISSSNVPRFASSPNPMF SSLSSSSRLRTPIGSKTPRGKPLTPAAQKLLAKVGSTPRQSSSSSGLKNMWTPTPKRK TSTA_121940 MASRTVSPDSWQDDMDSSSQRPPTSSTSDFSDHSFHSRSKSSAN INSPKRLSMFSSRSRSNTTNAPAPSRPLPAESLSYGEPSVSPVPHDEKHDNVARSLLV RGSRILRRQGSKLNVVATTLEEEEEFGKSAARFEVPKVFQRVPKLKRNDSHDQLKRII SEPYNFHHVTHTSSSQFHALDNTSNVELVDEFSAIQASQTPEPGLKGIRAQALRSDDS LDETAADWQQRPVTSPTSPLRRSSPVSPREPRSPGRIENFSRPVSRLQKPLPPSPFMT APPRASSRQGQSEIPEPTSLAIDELLGVNTQPSIRDYEYPQLDSVSFHKVSSLEGIDL AGIFQPESSSAISGSQYETIDDPSIETDGKPTAAFINSYLSDLEDVPEEDETKESDEP LLRTSRFLSISSSIGDRVSNEAHLSAAPAHESVIIVQDPQLSFSEEVTSPTLPNFQPI LQDSPVSQASDTTRRTSAVGLTNLPMTWEEDIDFCYEHEAVADCEFDWGRLSSETSRN SVPGTVTTPSAANHLVPHYLQVNPSISTPATPDLDPGSAQSILTRSHDALTPLSDGAA HAEFFNASTADLKKLETNDENEVAYETFLAVGDDPDRQFQYYTQGSSQSTDVTPSPRS SYSQISKYNSQESVILSRAASIVRKHRSSTSTTSVPELTNSANSSRENTIRESIESFE HPPLGVLSEAPRPGFSIHRPVKSLGLELSALAKLRTTSSSGSIHVVDVPYPLASPTHD RTKSVSALDHHDENQVIQQSAQGLPFAVRQSLAAQKRKSRAGYSLFPTTQ TSTA_121950 MMQFPKLTPLQSRFAATFAATIFVFAFYYIFSTSSGSFAYALDE VDQLTMLRNGAAGEDSMIFEDEYEETRNDAGGQIIKRATVDPNAVSNNEFQMLNIAPG QTQYWVFTKESVLGKPSATPEGLPANITSNSTGQSVINENRRELKKRSNTVYVSLNTC LKPSLNSSNPNATSDTQLPPLTVYISTSSNNKNPGPAGEASLQTTYTADQGYMGATVE NADDNVYIGVSAPNTTLWTGIYNYQIAASIDAYFHSVDDDTNLYFIDSDINSALLITN NLTQSDEGSANYRQWMNITPPYTIFANNINDNSISGLERSFCALNQLAQMREGNQAIN KSMTNRGLGNKPKEQFYVTYLNSSSHYYGILGMKGNSTASGNGVIGGGGKVWRAMNFT TKAEKNCALLYNLNFCSEVAYAVPSNPNLTSTQLAQIYDQAAQSYYKNFANSLSLIQC NTSSTSMFSLAVNCSDCAKAYKQWLCAVTIPRCYDWTADYAFLKPRNAGQAFLNGTTL PSDNPWVQSPVTNASRNPLIDTEIRPGPYKEILPCTDLCSEMVRTCPSALGFKCPTGK YLNDSYGYRASDGDITCSYLGAAYYLNGAFGLSLPGLDLGLLIAGLWMVFWVAV TSTA_121960 MLGSPRSETSLTGFDTTEPRLLEENRFDTEFGHNYTEDMSTATQ QAELDPRPSLLQVPENHIDNGPISRDFEQAIVDDDRDIDSFARRLSMDPHQRHRTRSR CQQDMAPRSRNSSISSDSSSSPPNSVDAFADPRRRERANTVGSHVETDLDTILQRTVS GGTIPRRPTFSQASVARPDQGETVADDVKSECGRSFKEPGRIPVIDYEELEEFVALNK RDKYAALSRKHSMSSQGPSPRIFHDLRQKTQSGDVILEMPEVVVASESQERTSDDDLK AGLKTELSEDAVNEKECIDNFQPTTVQNRFTFFSSESQSTIHAAYLGDLILPGDSFRD LFQLGPEGGVWWLDIIDPTEAELGAISRAFSIHPLTAEDIMTQEAREKVELFKQYYFV CFRTFYHADKDHERYLDPVNVYMVVYRDGVLSFSFTENRHAANVRKRIGKLRDYVSLS SDWICYAMIDDIVDSFGPIMRDVEIESEAIEDHVFVARVEDFGTFLPRIGDLRKKVMT LMRLLGGKADVIRGFSKRCNEQYSVTPRGDIGLYLGDIQDHIVTMVSNLTHFEKMLSR SHSNYLAQLNVSNIMLGNHVNNILSKVTLIASLLVPMNLICGLWGMNVPVPGRDSEGL GWFFGIVGFIGFMLVSTMVIARCYKLV TSTA_121970 MASSSFSIRIPCSSANIGPGFDVIGLALTLYLEVHVTIDSSPSS LEKKHPLNCVITYENLSGSKEQISLDPEVNLITRVALYVLRCHDQRAFPANTHVHIKN PIPLGRGLGTSGTAVVAGVVLGNEIGKLGLTKARLLDYCLMIERHPDNVAASLFGGFV GTYLSDLKPEDMARIEIPLSEVLPEPAGGIDTGKQPPNPPVGIGHCTKFPWAKEIKAI AIIPDFVVPTANARDVLPKQYTRADVVFNLQRVALLPIALGASPPDPDMIYLAMQDRV HQPYRQTLIPGLLEILQSMTPTTQPGLLGICLSGAGPTILALATENFEEIAEKITSRF AERGIECQWKLLELAQEGTIVEHH TSTA_121980 MAHIENGHTNGFGDSAFNSDTVPSATRFSDIPSAIDIPASTFDS EVEVSLEELPDDPTELCTLLENEKAAKNFWVIISLAYAKQNQLDHAIEILQKGLASVA HGATKEKLGLLNWLCWLLMIKSRQAPRVAPDGDSSGVKTKDYYLQQATSTLNEASRLN PAYTPLFLARGVLSLLRASLYPPRPVRAGIPDTSERVESLRQALKCFEEASKASGGRN VMAHLGLARAQYSLGNYAEALLVYQTVLTRMPGLTDPDPRIGIGCCLWQLGFKERAKD AWERALSLNPKSKVASILVGTYYLYSSSQRPTSDPQFGELYRVSMTHTQGSLKLDKDY PMACARFAGYKLIRKDYKAVEVLARKAIEQTDVVSIASEGWYLLGRKAHYEGDTAKAS EYFNRSDQARGGGDSGFLPAKFGVVQMQVKSKDLDGAKFRLEKIIQQSKNPECMALLG ALLAEDVFSAQASGSKDDKSADATKAISLLESVRSLWKDPTKNIPPDESVLIYLSRLY ESTSPEKSMQCLTQLEEIQMEQIPDQERPHENLQNGELKAALREHLPPQLLNNIGCFL YQSGKVAQARELFQSALTACDKSEEVEGEKATDALLTTIRYNFARCLEALDLPDEAKK VYESLLERHGDYTEASARMTYIALRQSPTDEGPKKMAKLYERDSTNLEVRALFGWYLS KSKKRVANLAEDHEQRHYKHTLQHFDKHDRYALTGMGNVHLLTARDMRRETDADKEKR RKMYERAVEFFDKALQLDPKNAYAAQGIAIALVDDRKDFAGAVQIFSKIRDTIKDASV YLNLGHAYAELKQFTRSIECYETALSKDRARDAQLLACLGRVWWLRGKHEKNLTAMKT ALDYANRALAVAPEQAHLEFNVAFVQNQVALLVNSLPETQRTLQDLQEAAEGLEKAIE TFTRIAQVKTPPYPRESLEQRANMGRNTISKQLERSIQNQKEYEDKNALKLQQARAAR EAELKRREEEVRKAQELENERKRRLAEERQRIIEETQRLAAKRAEEQRAREAAELTTD SETGERQKRKRKASSGRRRKRRDEDDGVVSDGRSISVARSDDDGTAPAPKRRRRLERR GGAKEKLGKYKSSELVVDSDEELENEAAAIAKPAGADDDKFDSDGDLFGDDDNVVAEE EEEATTPAANGRGGRARRGKRVVDDSDEDEDEPAAAVSKDTDDEEMQDTDDE TSTA_121990 MSDIRRRVPIDPSGTSVVSEEPQVSDKEKNAGLKHGVFMQIFRS LLLITWFNCCCVCIVATQLIGAPLYLVNKDYYYAYMAMTKQCFGILMITITQWGAPTV VRVSGDDSVNGQIQMTANGLLKTNFPDRLVFIANHQVYTDWTYLWWVGYTSRMHGHIY IILKESLKYLPVLGQGMMFYGFIFMARKWEADKPRLEHRLQKLKTRHSGPMSGPQSLD PMWLLIFPEGTNLSINTKNVSNRYGEKMGIEPLKHMILPRSTGLLFCLQQLRGTVDYV YDCTVGYEGPPKGVYPDRFYTLRSIYGQGRPPKSVNMYWRRWSLAEIPLDDPKAFEQW LLERWREKDALLDQFFETGRFPTSLGSSIETQHIPDKQKEEASRGYVETEIQLARWIE IAEIFVVLLLVGFLCRLL TSTA_122000 MKVTTTWIRQLPPSHTSKPTRRLFSTSSTRREIRDIQGLPTRIL PKYQESRGSDLLSLQWPAPPRNILIVKKHSAPAVTESLIEFVNHLASTYPSLSIILEQ KTSQEVHSSLPIPVYTSTPEKPLTASTHDKVDLVVTLGGDGTILRASSLFATSHDVPP MLSFSMGTLGFLGEWKFDEYKRAFREVYMSGSGVGDRAPVLDDPETTVAEEDVESKMG PTGWSSVRGKSMGSTRGARVLMRNRLRVGLFSPEGEAIIPPSHSSAVASGLPDPRVYA LNEVLIHRGKEPHLAVLDVFVGGRFLTEAVADGMIISTPTGSTAYSLSSGGSIVHPLV PSLLLTPVCARSLSFRPLVLPSSTPVTLRLSPKNRGREVELSIDGRNMGQGMSAGMEV RVWDEEIRHGKNDWRGGVPCVMRRTIGVSDDGWVGGLNSLLKFNHPFGADS TSTA_122010 MASSHGNVYSADQFMNPGPAPRPPTDRPRLTTVPSSPSVATSFN QMTLNSPSTPTLSIFPNTSNLSLAQSKGSQANQGVAVIKEGYVRCKEDKFLAQWNQRY LILREFRLDFLKNETGKLIMSINLSNITGVTRSEDTKMAFEITRLANSKDANTKAAII SRDVPTKTITCEVKNDDEIYEWIDKIYERCPGMGGVSNPTNFSHRVHVGFDPKTGAFV GLPTEWEKLLTASAITKEDYKKNPQAVIEVLEFYSDIKMREQNPQYYSSLAPTPPASN SSSLQFGNGNGIAPPRPAPPTPLQRVDTSQSQRFMNEPNAAARKNSDTDRGFEVDRMR EVAEQEQRRRMEEEARRIREEQERREQEAYNASIPKTRVPLAKQELGGYGSSEPESPN PNRYNPSRPAPPAPSSAARQQPPAMRQMTAQRPAPSPPTADGSAQRTEPKVQQSAPRP AINNAPKAPTQNSGPPPTRLPAPVQQVKPLNIANKQGVPKNTVPDGVRQAEAALSKKA EPRQKEVRMSSMTENEVMEKLKSVVSKENPQESYSKQRKIGQGASGSVYVARVKENAT SPVAREIYRTHGPRGQVAIKQMDLRSQPRKELIVNEIIVMKDSQHANIVNFLDSFLQD QNNELWVVMEFMEGGALTDVIDNNPVIQEDQISTICFETCKGLAHLHSQNIIHRDIKS DNVLLDRVGNVKITDFGFCAKLTESKSKRATMVGTPYWMAPEVVKQKEYGPKVDCWSL GIMAIEMIESEPPYLNEEPLKALYLIATNGTPRLKKPEKLSKELKSFLSVCLCVDVRS RATAEELLAHEFLKMGCSRASLAELLRWKKNGGQ TSTA_122020 MAPPIASAKITLSCPLFAADFDPRNHGILLVGGGGGEGRSGVGN KIALLNTSKRQEISELVDIELSRDEDSVTSLAIAESQDESIVALAGINSSQAEQLKGN NQHLRSFRLEFPLRKTESQEDTTTARAEKTDGRNTTPLTQVSLFSSNVGEKDDEKSAG KADTYQRLLRLSPYKSPDQPRIGAISTGLASPGEIVIFNATSCPQTSDIIARIRLADG EEAEDIDIIELEDEKFLVAYTNGTEVCTFEISSSRRSNTAPEVRAVFTIPKSQPKRSK YRALRFISTTAILLLQNTIDRSGCEISILSIPRNGNGTIVRRKWLRKSIKIGLSLDVV NFGEGQNHERQYFIALAGSDQSIETLILDYAPNKGYSSLQVYSTLNGVHPFSMTKVCF SHYRPPSYPVTANTPPQYVKLASISLGNTVVVHTFPLSPQRTLQGKETSSPRYALKAP GYSETLDTVFTTSIALLVVAISCFLLQAFTEIRGLTPAYLGATGWLPDRVRDSIARPY LRDIIVPDSIAPHVATIPAYTGATSSSTPSIITGEQLRELLNARRTSSRGGDSTYSII DSIIDSVEGLSSHPIIIKHNPDEDSIYAHLHHSQDSTDDASSSSIEQKHKVRRWENLL PEQRQRWRHYLSKTGHWAVEEGDQILRGIFFGEIGGVLAAAAGG TSTA_122030 MTQPFPRRLFSRLLSSYAPGHYARPYSSFHHKSLRKTANSKMAT LSTRAGQEVDRAVLESMLRRRTFYFPSFDPYGGVAGLYDYGPPGCALQANIIDVWRKH FVLEEDMLEVDTTMLTPHEVLKTSGHVDKFADWMCKDPKTGEIFRADHLVEAVLEARL KADKEARGQKVVVDEEADAKKKKKKVKDVKPVKLDDATVKEYEEILAKIDNYDGDDLA LLITKHDIRNPTTGGNLLPPVAFNLMFQTSIGPSSNMPGYLRPETAQGQFLAFQKLLE FNQNQMPFASATIGKSFRNEISPRAGLLRVREFLMAEIEHFVDPEGGKKHPRFDEVKD VELSLLNRHVQLSGSTKTETMTIGKAVADGVVDNETLGYFLARIQQFLLKIGVDYSKL RFRQHMANEMAHYAADCWDAELHTSYGWIECVGCADRSAYDLTVHKNKTGAPLFVREA LPEPKVFEQWQVNITKSKFGPRFKKDAKKVEAAIESLTEDLREKLSLDLAKNGKIEID VEGIDGGKAELDKDLVAIEKKTVTQHVREYTPNVVEPSFGIGRILYSLLEHSYWHRAG DEARGVLSFPPVVSPTKVLLVPLSTHESFVPFVKRLGLKFRRAGISSKVDDSSSSIGK RYARNDELGTPFGITIDFQSVKDNTFTLRDRDSTKQVRATEDEILQAVKSMVDGEETW ADVAKRLPEFTSQETD TSTA_122040 MAIKNKQVRKLQHIKRKRNESAAKHKLRHERRKEETKNPSLREE RLARSVPLTLDRKRTWDDIGSDDENLLGLSIDVERLKRQKQEEDEADKEALKDSQGEE KDSEDDEVDSMIMTDSDEDDEDEEDEEENSKTKQSRKKTVPTATERATSPTHSTRSTN LNLAPEALAAKFPALFLNETKTPKILITTAINSTLHHEAKLLTSLFPNSVYIRRTAHH HAHKFSIKEISKFASNRNFTALIVVNEDQKKVSGLDIVHLPQGPMFHFSVSNWIEGKK LPGHGTASEAYPELILNNFRSPLGILTAHLFKSLWPPQPDLAGRQVVTIHNQRDYCFV RRHRYVFREKRETEKPVVDTDGKEIKGVEGIKTGLQELGPRMTLKLRRVDKGIQRSSG QEWEWKGKMEKTRTKFQL TSTA_122050 MGEAMAQHFLQEEANGSYCALTVTNTEFDEFDKSVPAKNSARAV GAALDVGDKQAVVEWVKISAKRLRRIDVVIANASPMHMEGETEHWQSSFAIDVMGFVD LVKAKIPYLEKSSQASIIVQSSFMGREFYRSPPAAYGPCKAAQLQHVQKLSHFLGPKK IRVNAISPGPILCKGGPWGKYSEIMPEWVEEQRSKVPLKRLGAPEEIEKVAVFLASPL DSFFTGANVFVNGRIHIGTQF TSTA_122060 MEPSFDTMTMPYMASPLSLSHMQSTDYLNSLPGLDLPDQRSNFD AETFISGDDMSFSQANMSQLRRFSSYEDAFPAPPELLPPFETAPAEQQSSDSIEGNHK LLSFSLPVYGFTLLDYSLRRTSLNVSAQLHGMFFLAESPWTNSPNEVPPPHVAELTCY RRNLFQITGSVTLPRSLRYIMTEQGDRIPILAQELTVSATESVEGNPVKIISVPWKTP AAAAANGSAVAPAPAPEEKAEKEPPSIPLDTMAAGQDMDSDYATFPIAWKRLQFRIAT ANNGRRKELQQHFVVRLKVVATLSTGAKIPICEVHSGPVIVRGRRFHATSYLEGPAS TSTA_122070 MSQIFSFDAGAAQPRTTSSPPFGDWTQIPPPVTTTGAMATPTPS VTRSAEHPTNVAGSPPINLSLVEDDFKTPHKPTDPQRGVISRENSSASIPVSLAGQKR SSPELSGAPPTKLQKMASSGGVSIPRPRPTRSISATLPALQTSGLLHQPYHAPPSSYP TAMPYSGETADLLYEYFPLSMDDWQAPVDVVYRPHIVHHTHMPIDYKFMASRNRSKRY FAAEDVC TSTA_122080 MSSQPRDSSPGRDLDLHGHEMDSSTPSEGSGDKLIMDDNNVEPL QEDSKSAEQKTLNGRNTKESIRQFFALRKSGIEYETTVVFNDISVEGIGTGTLPAPTI LSAMKSAFGVLNPLQHRLTERSSRPILRAFSGTIDPGEMLLVIGKPGSGCTTFLKTLS YMWEEYKEVQGGLTLNGHPIQELLSKRPQDVIFCAESDDHFPTLTVAETLRFAVRARC RPDTSPKDIDMMVSQFARLVGLEHVIDTKVGDAYIRGVSGGERRRVSLAEALATCARL ICFDNPTCGLDSSTALEFIKMMREWTDQSRCVTAMSVYQASDMVVAYFDKVLVINSGR QIFYGKLHEAKSYFEGLGFECLPTTTISDFLNSMSADPDVRRVQEGKQRQVPRTSEEL ETAFHASRFYKTLQESMSEVQKEITSKPRDLVNTPAYSLPVYRQTWYCASRQFRIIMR NRSLWAVEPLAIIVQSLALGTLFRDEKRATQSLFIFASSLFFTVLVPALQSMAEFRNG FEQRPLILKQKRYRICRPISYALGLVITDVVWKIASISYNIPLYFLTGFQRNTGNFFI WFLVIYIEHLALSMFFRSVAVFSPSIHRAILPVGIFFNMYVLYTGFYVPEPQMQVWLS WLRYLNPLYYAFESVMVNEFRDLSYHCSSSDIVPSGSAYTNIDNQVCAVVGSRPGELS ISGMSYIKAPYGFERAHLWRNVGINAALFVFFALCSGIGMERLSTPAGKSATVFYKQS KLRLKTKSDIEGGYLGRGVAPEGTAPVNHNNQCAVQDRSHTFAWKNLCLDIKFKDGNR RLLDNLSGCVKSGQLKALMGVSGAGKTTLLNALAGRSSVGSLTGELALNGKLLPEFFR SRMGYVQQQDIHLPTQTVREALQMTARLRRKSTVSLEEKYTYVEKVIQWLGMENIADA LIGIPGVEMASKPEILFLDEPTSGLDGQSAFSIIQLLRVLSDSGQAIVCTIHQPAAEL IEMFDELCLLSRGGKLIYDGPLGVHCQQATQYFEKHSRKCGPGENPAEFFLDVIGAGT RNDIKKDWPNLWHHHKQNNHGEKLPEGDETKIQTRGQIAPSKQRQSLYAAPFHIQLWV VLQRTWLYYWREPDYIVSKIWLNVGNSLLNGLSYLQASNTQQGAYSRVFSAFMALIVG PPLGLQVQPRFVTLREIFLLQEIFLHRERESLTYNWLTFVLSAFIVELPFTFITSLVY WLLWYFPVGYFGSASRAGYSFLMYELFGVFETSLAQLCASIMPNIEAAFAANRFFFMF YNTLAGTMSPKSVTSSGWRWYYTVSPLYYYNEGVVTDILHDLPIRCQQSEMSTFYPPN GTSCGQYAADSLQTATGYLMNPESLSDCQYCKYRDGQSYYHQYGFDFANRYSDIGIFI GFIALNFTMVIVMTYLLKIRR TSTA_122090 MFSTFPAHEDPRTTTNGSKSSTRPRRRQVARACDWCRINRVKCD DKLPCQNCQNRGGHCSISRPVEATSLPAANRDIQRLRSKVKDLQEQLQIARQEATQAQ MHQAQIQTPFQSNHTTPSLYTSPDAVNDSTIVTEQDPGLVVTKGWQGLRDLGTRIGEL YYGPRSSSYFLARVSRYVSSTLNESLGNINLKPFLVDIDYEAFSKWRQQPQSDAPEYA EGLTRIQQEYYLNLLWQAFHCVYPILSEPKFNRYYDSLWTSDTAPDRATRKPSALVDI LLAVYSHLLDYLPLQHLPVNTAHSNLGTTLRIAQALKLHLQPEGTSLEDQAHLRRIWN VIYRLDAQLSMNLGRPTLVQHFELENDQSEKALLSGTSLLSTFEEISCLSFHNQCTKL ISAVQGTQVAFEANCSQLLVDGNNVNGDIHDNPRTTEALAEFFGRKTMPVREWVQGVP EPLKCARRGEGVSFSTDRSPLNLDTYIPLWLQRQRLLLELLYHHLQISLLRQFLRFPP VAVSLTPLADGLGISCVYHAITITNILHQVLSETDLLHGWFHVYQFQWDAVLCTLGFV LANPEFGDCWRAFSAAASAALLISDLNKCMDRVIDAFRRDLMGKRCSSAASQKDSASS IPLNETVLQTLSELYLSPTATASSLGLAHVWPDHSLPALGIASETLVTTTDTPTVPTA IDDLQWMQGNLESWTDFTV TSTA_122100 MAPKDGTLPPIWDDLDWQMGQLFMMGFDGTTVDDQIRSLIENYH VGSIMLSAKNLKSAEETTKLILELQTIARDAGHPVPLLIALDQENGGVNSLYDEIYIR QFPSAMGIAATGSKRLAHEVASATAQELRAVGVNWILGPVLDVLTNVRSQPIGVRTSG DDPQEVSQYGVETMKGYQEAGLVTCGKHFPSYGNIEFVGSQTDVPVITESLEQLSLSA LVPFRNAIANGLDAMMVGGVAMSSAGVNVMHACLSEQVVDGLLRKEMKFDGVVVSECL EMEALTHNIGVGGGTVMAMKAGCDVALLCRSYPFQLEALNGLKLGVENGMISRSRITQ SLQRVLSMKARCTTWEQALNPGGIEVLTHMQPSHTSLSTRAYDSSITLVRDTNNLLPL PQILEPDEELLLLTPLVKPLPASAVSRSMLDLMTASPDPSASWEKGSSISSGESVFRE FGRSLARQRSGRVLHTSYTSTGVRPIHESLIDRASAVVILTADSNRNLYQHGFTKHVS MICKSQYSQTGERREKPVIVVAVSSPYDFAIDPSIGTYICTYDFTETALQALVKVLYG ELSPTGSLPGTVSRSQKIHQSRQHWLVEAWNEQRDSEALDALLDAVRESGSHAELTNV SSHSFLLRNDDVDEAHFVVRNSTTQALYGFCTTYFFRSTGTGVIGSIFVDPARRKLSI GHSLHNRAIRSLLQRKGVKRFQLGSRLPSIYLGIPAANPVERKRLRQWFAQLGWNTAL SRPLCSVLLRPLSTWTAPEGLAKSLHTNEVDYDLVHGWEYAESIFDHIKTNSRQGVME IYKMALNGAPHSGVIRAKRPEDGAILGSVVIYNSQSAIAEYIPALHKKDKEREKQVNG SNTSTVNGSNNTGGGGGVSSPVISPSVGEYATLMQGLILLGIKQVRKSGADAVMLDCV DGDGNFDSLTAMGFTVLHSFEEVTCDATTWKLMQPS TSTA_122110 MVPSFITCVGSMRVIIREDPQGVSEYIAEYIVSRINAFNPTPEK PFVLGLPTGSSPEIIYRILVQRHKAGEVSFRNVVTFNMDEYVGIPRDHPESYHSFMYK HFFSHVDILPANINILNGNAPDLAAEATAYEAKIARMGGIELFLGGVGPDGHIAFNEP GSSLTSRTRVKTLAYDTIVANSRFFDNDVNKVPKKALTVGIQTIMDAREVVIVCTGSH KALALHHGLEGGVNHMWTLSALQLHPHPLIVADRDATMELKVKTVKYFESIEQSGTDA RTQGPPLVYRPRTYVPAPLITTGTTAAAVKPAVTSVPSENQRVLVPKDLKINTDMRRS ADEDGELTPDSMSSRILDSGISGLDAALKGELSPDRMGSRMTTV TSTA_122120 MATYSARQLYYDGKTQRATSNKTFESIDPATGAHIADIHEASRA DIDKAIQSAERAFPSWAATPAIQRARILQKAAQLLRARNDEIAAAETHDTGKAFSETS VVDVATGADVVEYFANLVGGGGLNGETTQLREDAWVYTKKAPLGVCVGIGAWNYPIQI ALWKSAPCLAAGNTMVYKPSEFTSLHGETLAKIYTEAGLPAGVFNVVHGAGDVGAYLT AHPSVAKVSFTGQVSTGKRVAGAAAGHMKYVTMELGGKSPLLILRDADLENAVDGVMM ANFYSTGQVCTNGTRVFVPRDMKAAFEKRLVEKMQFVRAGPVMDPATNFGPLVSRVHH QKVTDYIRHGIEQDKAKLLYGGPGQPANLPRELQTGFWVQPTIFTDCTDSMKIVREEI FGPVLSILAYDTVEEAVQRANTSELGLAAGVFTSNLNLAHRIIDQLQAGITWVNSWGE SPAEMSVGGWKQSGVGVENGRRGIEAWVRNKSTLVDMSNVVATAFAKL TSTA_122130 MAITVLLPPSELDSYDYVIVGGGTAGCVIASRLAEYLPNKRILL IEAGPSDYMDDRVLNLKEWLSLLGGELDYDYPTTEQPMGNSHIRHSRAKVLGGCSSHN TLISFRPFEYDLRLWQESGCRGWSFDMFTRVLDNLRNTVQPVHERHRNQLCKDWVQSC SRALNIPIIEDFNKEIRSKGQLEQGVGFFSVSYNPDDGRRSSASVAYIHPILRGEEKR PNLTILTNAWVSRVNVDGDTVTGVDVTLQSGQKYSLKSKVETIICAGAVDTPRLLLLS GIGPKDQLSSLGIPVVKDIPGVGENLLDHPETIIIWELNRPVPPNQTTMDSDAGIFLR REPPNAAGNDGTAADLMMHCYQIPFCLNTTRLGYDEPVDAFCMTPNIPRPRSRGRLYL TSSDPNVKPALDFRYFTDPEGYDSATIVAGFKAAREVAKQAPFKDWIKREVAPGPGVV TDEALSEYGRRVAHTVYHPAGTTKMGDIECDPLAVVDPQLRVRGLKKIRIADAGVFPH MPTINPMLTVLGIGERAAELIAEDAGWKRNQPRL TSTA_122140 MSHRAEEPFVSCYVPSECAWPGPQAYTQEADSSDIMPTRQPTSP PCITKFTNCRILKANQLIEQDLWIDSLTGKVLKDQEAFYELHLSPDQVIDLNGRILAP GFIDVQLNGAQGFDYSVPQATKEEYDAGFIASNRGLIKTGVTSFLPTTVSTTAENYKK VLPSLAPTGRRRPEDGAESLGAHVEGPFISPSRNGIHKPEVLLASTEGFQDIINCYGR ENIPEVITHDENGTIDEGVATPTPATPIAKSLHKSIHANGLTNGHTQHGSARPAIKMI TAAPEVGTMIKNIPDLTSRGIIYSIGHSDATYEQAMSAVGQGAKMITHLFNAMRPFYH RNPGVFGLLGQSDLPKPYFGVIADGIHLHPTSIKIAYNAHSEGLILVTDAMRLCGLPD GVYDWTNGDRIIKKGVRLTLEGSDKIAGSSATLIECVNNFRRWTSATTAEALSAVTLT PAKMLGMEKVKGTLDHGADADLVVLGETIDSYSGPTLTVDQVWKFGIKVFDSEESL TSTA_122150 MTAEDEKEFSKPIHENDNTTTKEPSQHLASGEMQAQAHQPTGEQ VVPSVQGKPWMYKPLKLGPWTLPWYASPETQLLMVSFVCFLCPGMYNAVNGLGGGGQV NFRDVNNANTALYSTFSVVGFFAGSIANKIGLKLTLSFGGFGYFLYVASLLSYNHNQN VGFLVFAGALLGVCAGLLWCAQGAVMMSYPLESQKGSFIATFWIIFNLGGVIGSLVPL GQNIHSTAGEVNDGTYIAFMILMAIGFILAWGLSDSKYIKRKDGSRVIVIKQPTWKTE IMGLFETLRYDYYIILLFPMFMASNWFTAYQFNAMNGSYFNIRTRSLNSLLYWLSQMI GAFVFGKLLDLKFLSRTMRAKLNLGLLFALTMGIWGGGYAFQRQYDRQTVKPDMDWSS PGYVGPMFLYMFYGFYDASFQTCTYWFMGSLSNNSRKLANFAGFYKGIQSVGQAITWR MDALETSFMREFAACWGILAGSLVIASPVVFFRIKDHTDVEEDLKFSDETLNEVAPTA VVEQK TSTA_122160 MVVKSEHTIDIPQQSFPTLLFGSPEDVLPNDKPYFLDHVKPETH YLTRHTFRLWSQCFAAGLQKAGLNKGDRVVVFSTNNLFYPVVFMGVIMAGGIFSGCNA SYTTQELAHQIKDADPKYVLSQGGDAMKTCLEAAKRVGKGHEKRTFMFDSRYFALGQP LKVQQEDQDFGRPHWSSLIVSEAEGGGFAWDQLMGPNEAQDTVMALNYSSGTTGLSKG VEITHGNYVANILQYNNSMCQDMEYELKYRSQEQWLCFLPLYHAMAQMIFLGVSQYRR TPVYIMEKFDFLTVLKNVEKYRISHLQLVPPVVVMLAKSSEVKKFDLSSVRSVGSGAA PLSREVSEEVEKLWPKGVINIHQGWGMTEATCSVLGWDAGKISTSNSVGWPTANSEAK IMSLPDEHSAGPTASEAKEVGPNEAGELWVRGPQIMKGYWKNPKATAEILTPDRWLRT GDIGYYDNENKFFISGRLKELIKVKGMQVAPAELDGVCLESEGVADAAVVGVTINGQE HPRAYIVLKDGVEASKSTAERITTEVNSKLSQHKRITGGLVFVSVLPKNPSGKILRRF LRERAQKEVQREQGKIRASL TSTA_122170 MASTTSSPPPETASSQNKRKASAAGLSAGNRPIKRRASRACCCC RARKVRCDVVENGSPCTNCRLDQVECVVTESRRRKKFRVETEAVKTTPDSSTELSEDA AKRRTSSHGLDGLDQSGLDGLTKDDPLSPFQESIDLDQGQHVPHFIYQSRGSHIDNEN LPERRSNYSQSLSIAANIGPSFADAIHQLLTPPSQSNQLPDYIRRLPQRLQTEDLNYL QAKGALTIPDRALRDALLKAYIHYVHPYMPLLDLEEFLQILARNDGTHHVSLLLFQAV MFAGTAFVEMEALRNAGYNSRKTARKVFFQRARLLYDFDYEVDRISLVQSLLLMTYWY ETPDDQKDTWHWMGVSLSLAHTIGLHRDPSNSGMGPRRKQLWKRIWWSTYTRDRLIAL GMRRPMRVKDDDCDVPMLTLDDFEFKRFPPEVLNMLGDCELLQNTEHQRHLAMMFIEK AKLCLCVSHVLSAQYSVLSHRFGGTTETTMMLVPKKSEAGTIQVQKCDEELEKWQANL PSEIRYTSLEGVDSLSPAESSLQVHRALLRMIYLTTSSALHRPQVLPAMPFPAVEAAL QEISRNKVRYAAIEITNTAQRLHQLNLTRFLPTAGVTVLLPAVIIHLLDVKSNDANVR MVSLQRFYQCMRILQRLREIYASADFATSFLEAAIRKAGISLNLSDLDEHEPVHPPPP AQTVRPAALTPPPESSAQKLPDLTYPSTFDTPSAGFTIPAEPSHNTTINPFDVATPPH SNSSENGSTQNLNPNLFKQQPDMSLNDFIDLANDAEVTQNDFDALLNFDDGGPELFGA EDGIMAFTFNSNHNSNNHQHDGHQSQRQPQTQGKSGFDYIPDFANDSNVNTLFSYDYS KRNDGFSGDVDPDMGLTLKL TSTA_122180 MLSVTVPTPSAGASEKFCTDHAVTPRTIFQLTWALIQNCYFDTS DFLYEEEDTHGNIQTLISFLPELIKKSPVIATLQRDGRQEPGEDTILLHSGVEGVDAS LRKVWSRLKVSHSTRPEGSSVRNSHFDKDFDVEIHVNLGPSNLIVSMLYQPSIADTRD MDNIAKAFHQALESILTIEDDEKIADINLLNPNDAMKIFEWNSSTPTVTEDCLHLLIE RSVSRNPDSQALLSWDGSLTYSELDQHSSKLAHYLVNTYDLGPEKIVPLCFEKSIWAV VTMLAVLKTGAAYCCLDPAHPQARRDYMTELVNARIALCCEAHSGLIQKCPSLIVDAD FVYHLETPSIRPTSLVQPSNACVIAFTSGTTGNPKAIIHSHTSVCSGLLANAPFQRIN RSDIRLFQWAAYTFDVSITETFSPLIYGGLVCIPSEEERLNDVEECMTRMNVDWAYFT PSFARFFRRYNTPGLTQLILGGEAVTVDDVRDWVDRVRVLNAYGPAESITWFLEPQLG LSSTISIGKPINMRAWIVSPDDETRLMPIGAIGELLLEGTSLFRAYLKNQEKTDQSLI SPPKWRLQANIGPALKMYKTGDLVRYLPDGNMTYVGRKDTMVKLYGQRMELEEVETVL RRCLPEGVQASADIIRPAGENEEVILVAFFCVPKNFGQDLHELKVYAQSKLADALPAF MVPRVYIPVDEMPYNSSRKLDRAKLRQMVSNLTRSQLVGLLQAKDPVPNHDISHGLSE MEADLQRLWASALFLEPNQIGPEDNFFALGGSSVTILKITAAAKSQGIAISYADIFRA PTLRSLSQVVSRASGSDTKIPPLSLIEDTVRESVISDAVVQCDVSRDEIEDIYPLAPQ QQGLWALSLIRDGDYVGQFILTLNPHVDIHKFCQAWETVVSVVPTLRTRFIESASGSF QVVIKSSLVDWQSYTDLDEYLQEDIQENLAFGSPLVRYAIIPSTKAENGEIITPDKIV WTIHHALFDGESVPTLLNTVTQAYYGIAPSLEIGLFNRFIQYTQGVDQTSASKFWRQQ FSTRKLVPYPPLPEPDYRPRPESVYDRHIQFTRRLGSNITTATLLRAALSLSLVKMAK TWDVAMGVTLTGRTAPVSNIENVIGPTFVTLPTRPIDGSSNSVAEYLERIQTSIIETI PFEHTGMQRIAAYTPECNSACLFQTILLVQTPDDKSYQELFHFDDSVGGYGRFNSHCL MVLLFTNADHVDAAFSYDSNAISDDEVVSLAYIFERFIHTLCLEEEKRVVSSVLSGSS LIDMKSVIRPSIPHPPTRNARQPSKARNHIDAVDMVRRPNREIEVILADAWAEVLGIP DKSTITPGDEFIKTYGGNSLLSLKLAQYCRGRGLRLTVKSIFQYPRFQDMAGAAALVS TTDKPTTSIAPFSLIEGGEHTDETLNAWKDSTIDEAAEACSVARNSILDIYPSTPLQE GLVVLSMAEPGAYISRTVYELAQGVDIERFFRSWAKVYQQEEILRTRIFQPTGIREAF QVVVSEDIEWQHANDLAAFMSKNKTITMGLGTRLCQFTLVSDLKTSKQFFILTIHHAL YDGWSIPLLLKKVYDAYHAMPSTTTHIMFNTFIQHTLRNRPSDVSEYWTKQFKDADFV HFPAPLLPGEKPGNQSQIERSFLLRQPETLPGILKSTILRAAWTVLLNCYTRNQDVVF GATLAGRNVAMPGIQDLIGPTLTTVPIRVNVDAKEKLGDFLHRIQDQSVEMIDYEHTG LHTIRSISPEACNFQNLLVLQPKGENTDLSKLWVSEAQGDTSKFFTYPLVVQCSFDDA SDDVLVGVTFDESRIHEIEALRIIRLYEHILAQISSIDISTVLDKIDLISPGDILELK NEIAESSTPEVVNCLLHEQVFKRIGEYSEKIAITSSWGEELTYKQLHDFSSRLAHDLV KAGAGPGIFIPLFFDKDIWAIVAMIAVLKSGSAFVPIDPESPSSRRDLILRAIRASVI LSSSKYIHITDLKKPRQVDREHVDSLALLNETGEGFEGNSTVADPAYAIFTSGSTGIP KGVVVSHRAISSSVNAHGNAMGFGKGTRALQFCSYTFDVSIAEIFTTLVFGGTVCVPS SWGRLNNLAAEICILEANWSFLTPSVARLLDPSEVPTLRTLVLGGEEVGSGDVARWKD TGDVRIMNGYGPTEACVFCVTRDIDTPDSANKIGRPIGCNAFVVDPENHDKLAPVGTV GEMLVSGPILASGYLSDGSRTRAAFIESPSWSQIVFGEPPSADRRTFYKTGDLVRYDR HGLLEYVSRKDLQVKIRGLRIEVEDVEHWIQTVATVKHAVVLVSRSSGASTQFTAVLS LNTKGAMTDLPPLTINSSEKSRNQIQRVKATLEEHLPSYAIPNIWLCVNDIPLSTSGK TSRKTVKAWIDNLSKQDLLQAYSGHAMNGNSDGPKPVGHVEIALTKIWSSVLTIPEAD IVSNKSFLSYGGDSVSAIRVVKECRKQSLDISIQDLLLAKGISHVAEKIQGNSNGHLA NGTKHINGNHPNEVNGGTEDINTISGMFSKDTYICTPLQQAMVQKHAEGLYNVKMVFN INCKGTLDIQRLKDAWSQVILRHDALRTTFKFSNETGQRVQRILDTPVQTLHEELESE TSTLAVDQSIQPHPVWPEGEIPHRLTVGKAQNGKAVLNLEISHAIIDAVSLATVFRDL ELAYDGRLPPQPAPQLSQYLQRIWYQAKQDVTYWRQYCAGASPCYIPWSLSKHTEQPR ELLHTPVTIPGASEISLFCRQQGVTVANLIHTVWALVLKLRSSSSHLAGSEVCFGYLV SGRDLEIDGVDNIVGPLISMLLCRKELSDSMLLRDLLTDIRDDAIQSSSRKFCEIKQI EKDLGLGRGLFNTMINFRKYPRPVPQEDIPLRFEYIDGQDPFEYDVSLVIDEVDNNWQ VKLVSWKDRVSEFQINGIAASFSRVLKYLMVNPEQTISNALNGS TSTA_122190 MWLDQVWAKTKDGQYLGEVQPSLLLLSISLLFVDVCLLCQTVSM TAENKSPKLSVLERDAGGTSDESEVSATASNTESTSVRNTEKDNEADVEKNANPSQVT STTPTPAPDVQVKLSRKQLVVMCIALALTIFLISIDETVIVTAIPRITDEFDSIQDVG WYGSAYLLTMCCFQLHYGKFYKDYPTKWVFLISIGIFELGSLLCGVSPNSAVLIFGRA IAGGGACGIISGVLILIAKNVPLTERPLYTAGVSSVRIIAGVGGPLFGGGLTDSIGWR WCFYINLPFGAAVAVIFFFLYRPLPEKHDKVSPMERLKRLDPVGLILFIGSMVCLILG LQFGGVSSTWNEPRIIVLFTFFGVLFLAFAGYETWMGESATLPPRIAKNRTVMAASLF VLCIDAPYYAIAYYLPIYFQVVLGVSALQSGIRSIPQLVGALFFSLCSGIYIRKYKFF APVVIASTIITSIACGLLSLLSPTSDAGQWIGFQLMVGIGIGLGMQQAAVIVQQTLPP EDIPLGIASVTFFQASGPAIMVSVAQNVFNRRLLTRLSNEIPGLSLSEIVNTGATHLV GLVGDSMRGEVVNAVNYALKWVWYSCTILAVLSVFGIAGMDWRKLNQLRGKN TSTA_122200 MAILGCGTMGTAILDGILRTLTLASPSSSAQLRPSRFIACVNHA ESVKRLERHYAQFFGSTPSPVQISVWQNKTAEAVKQANIILLACQPSQAAKILGDPLA RGHFSHKLLLNICVGVSVSRIHEMLYGGPNAQQPPPEERAYVVHAMPNTASTISQSAT VLSSEGSEHIPPEHEEMARWILTSIGTVTAAAPSLMNAASVTGASTIAFFATTLSGVV KGAIETGLPEEDAIQLAAQAMKGTAELVLRGEQPLKVRDRVMTPNGCTEKGVNALQNG RVEEVFVEAMKQAVERVFELGRESSKEQLSGLNLTQRWVGVM TSTA_122210 MTVLQLPLVDFGHFTHGTPEQRERAAKQLVDSFLKHGFVRLKNH GVSREFVEEIWEWDRRFFSMSEEQKMKILHPPGPDPQRGWSRKGLETTSKIRKENAAN APKDKGELLDEKEHFDCGPPGDAEFPNKFPNEDLPGFQEFIERYFRLAQDVSLNIMRA CEVGFGMPENALVERCEPAASELRLLYYPPVSVERLATGTIKRAWPHSDFGIITLLFQ DGLGGLECEDRSNPFTFAPVTPTSGEVEMVVNTSDTFERWTNGVVKPGIHQVNVPPAL RNLQEGYIPERYSSVFFFKAHRGVSVGPIDHFVTEKHPAIYPDITALEFHRERTHILY TSTA_122220 MKIVIIGAGISGLASYLSLKKHLPRPSTPAADHVYTIYEAYDTD KDSTFEQRLSSSRTEANLPTSTLIVGGGLGIAPNGVNVLRRLDSDLLKDIVTGGYVIS KYNLKSKHGTLLMGLDPQVPAYDDADNENEGDRKMIHTVATSRHSLWRCLRTRVPDGI ISKKRISQVIAGSNGGPVTVRFEDGSMPVEADMVIGADGLRSTTKNALFPDIKGDIYP PHYEGLVGIGGFVTAPPHIREKAGKGTMSFVFGGNGFFGYFPAASSPSSHRDSVSHVS EPSNQLGWWSTYAMEECPTDLRNVNGEEIIKDLRARYRHWSDPVIQQIISDEELNIKH IYPTWTAPEIPTWERDGVVLVGDAAHALPSTSGQGSSQALEDAEAFTLFLSHELRNMY QNPLESQAATERKAVTTAAKRYVELRKPHVKKIFDRARSMQNRKKDMSIFEEYFMYAF MWIMGWFPSVMSKPQQEVASYNVRKEVERVLEQS TSTA_122230 MTQPLSPLSPSFGNSRGQSPAYAPPSTVRRRGIDEIMSSTDQND KENAPPKPAKRAFCSYDENDDDYELKASTTPARSNNNNNVEADIGDDMTVDLADGPPS SPFQYEARDDTVDMNRLQAMTMPTASSTTTVSPKKQGPEIYVDEEDRIDEPIVAGREP ISTETYESHGQATEPDHTTTMSDNDDTASVLHHEPKHADNSTISHSYMEMRHNEEMST VAHILPSEEESHPNDNDAMDDTCFSTFSAVPNVDMTMFSKLVASPTKKIGDIQESPAV DRTPGYSRRSKATTPATARRRRIIDDTSPTPQRKKRDDSINLLDFNDSFNYFHRVSQR FSMSSNRASMSPRRRSPLKQRDPTPSPTKYNLIDFDLPPPATPMSIPSITPRELESLK SGFMSEISSLKASLSGKEAEVSSLKQAVADAERRVGEALEEARNEAIHKEALEVEQVE WQRRGKEMESVLREVRAEIIDGERERERLSKKSDEAEKRKEQLEGRIVELESQLDAAK KAAATSPSATMTDGDGKSGMLTAEQSAKEVQEAVERVARELHTLYKGKHETKVAALKK SYEARWEKRVREAENKLRDVTEEIERLKTERDTTMSGPLNPNMSMMSVMGRDNEELET ANKVLGAQIKGLEEQLSTLKRDNEQLYAELKMERCEKGELVAAVDEWLAMQQANTAAQ PAAVSSREVSNSSSYYSASEQDAPLSSYSGSSSSQTSARKKSIDQQHVETSPKQSQQQ LPTFKRSTSRSGPSSSALNSSSGLRPPSSGPVNNSTGIEKRSRLGIYSGSSSHVRHNS GGSSGSNGSGRSIAMPSTTPGRSGIMSSIERMGAGGR TSTA_122240 MKGLLQVLQYLLFLSIFSSITGVLAAKPSSFCKCTCFSNSTIIE LRPINTDKDLSDGLLKRRTTELTNLWGWDHKTAEIDAREEQKDDKNKQTPGRGRALTC SDCNRAFCLDYNLPKCKGAREEDVIATCFQRDSRKDEAVVIIFILATSGLLAWAVLRP WVQGWVEPVRHVTALYIAGYTNKLKQSGKMAVVSGCHLPPISPWFACPENDFYCTSHP IRSGKNCSSVKRIMVSTPQTRPISNDDAFPSLQLFLLGICRVAEPIALTSIFPYSWVM VQEFHVGNPNDASFYAGILIAAFSLAEALTGMFWGALSDRIGRKPVLLLGCAGTMLSL LIVGFASSFWVALLGRIIGGVLNGNIGVIQTMVGELVKRPEHEPRAYAVMPFVWSIGT ILGPAVGGLLAKPVEGFPSIFSPNGLFAKYPFLLPNLFCAGLLLISIIGGALLLQETH PEFQPRYSDSEHRVNRETPLMATAGATANPGVDLRAESYGTFNEVNMHSNESWRNDGE RSKERSSSPQTEVAFTSQVLMFIIALGIFTYHSMTFDHLLPIFLQDKRDVGFSHSFPR ISGGLGLSTRTVGFIMSVDGLMALFIQSVIFAPLTDWLGVWRLFVLVTALHPMTYFII PFLVLLPSNFVYAGIYTCLAIRNILSIIAYPILLILIKQSSSSPSVMGKINGLAASAG AACRTIAPPVAGYLYSTGTAAGCTAIAWWSSTLIALIGTSQLWFIKRRKDTVTVRSAA PCLAAAGPPASDTHPREVIHVMVSEEDYDVA TSTA_122250 MTESTTRLHISPLTPALLDSVLAPSIRPLATDISFHNIATFPEN DYGFVTLPSMEADKLKKKLNGSILKGRKFKVEAARADPKKTKTPAKVDSDKISEGVTS PEPTKTSSKRKSEDSVLDGYELPGDRRVKRGWTEPASAKKLKKQKKADKEASKTKLQP KSKYTEKAECLFKTKMPPNKTSEIASKKSKSKKAKAPNEVVVHEFAQTFTHPSFLKST IGRKPVTAKFEEGKGWVDEDGNVQEEMASKKTTTADYHPGKKDGAKERATVKGKFRTK VVREEEKKPLDPEQTLSEEEEDSDWTSSSGSSESESSTSDSDSDDSSSSGASSLSDQE DKTMEAQVTIKSKVTKIDERNVEGNESTSESDGVQEASSQVDEENKPSASSQDAETTE TKELHPLEALFKRTQTEKSIPESEKPTFSFFAGNDDDIEDEEEEPTPLLLEPLTPFSK QDRQIRGLRSGAPTPDTAAFNRRKFLLSTEAQETPSKPRGEASSQRHPEESDFVKWFW ENRGDNNRAWKRRRREAAKEKRQRENRRKGLKGRG TSTA_122260 MGNSQTKEARSPLSASHRNRSLSDQGHSPYHGSRSHRSSRHDLS FLGIGGSSGDREIIVHEQRRETKQDREARRQEKERAARLKERERSMKEEHVDGGYLVT QGVYVGTEDFNKAVVRQLMTERRLAPFWRGLNDYSESWTEHQLIAAARGLPIPPPDQT PPELQYTPPSQNTEDGNQSSGKSAQFLTVPTVSGRPLSAHSENGHLPPLSPAQSLPPP TSPIASGTSISPLFRSRAKTLAALTGSSKNQNAEITPREIMLPHDPFVNGQPLEAYLY KDASECPICFLYYPPYLNRTRCCDQPICSECFVQIKRPDPHPPEHGESHQNAENGEER SGSDQDSQLVSEPSACPFCVQPEFGVTYSPPPFRRGLAYAGSNGLAVASATSPLSSSS SLGSMASPPATGRRRATSLSANDPSVITTDKVRPDWAQKLANARAHAARRSAAATALH TAAYLMSAPGSESRGFGRRGVLGRSRGSSSGTQTPSNRPTGSSALHALAFLSERRGGT SDQHSTSSTATETTEGNALAPARDSSRRSRIEDLEEMMMMEAIRLSLASEEERRRKEE KELRKEAKKKEKEAKKAEKMMRKNAAYSNSQSSLAILSSGTSSRVDSSSSSVIGEEST NSDKGKKVDRTSPFPTFAPSSEAESADINGSSATTTSTRLGITRNDSDSFTQPSPTEP SRPSHLRHVSTASSTSSSFDTGTTEHPDTAAASSNPALEPMFNFRSLAAVIGDEEKSN GMAEHAEHAEHVEDTGQQQSSTSATTDIPILSTPPTEDATHATSPAENQTSLLSQDSV HSTVPKELSAHSVEVSRETTV TSTA_122270 MLFLLALVQVASASLATVHRGLSQKGYLNTGGHEEQYLNAKVDS SAVVYHAYNLSVPIDHFHNESRYEPHSNGTFPLRYWFDASHYKEGGPVFVLESGETSG EDRLPYLQKGLVAQLAQLTNGIAVVLEHRYYGASIPTKDFSTESLRFLTTEQGLADVA YFAQNIVYPGFEDQNLTSRHVPYIAYGGSYAGAMVAFLRVTYPDVFFGAIASSAVTEA IVDYWQYWEPIRRNAPQNCIHTVENLTGVLDNLAHNTSAVKDLETLFGLQDLSHVDDF ANVLSYPLSSWQGRNWDPAVNDPTFFEYCVNITVADTLLYNDTSSSEDIEKAAELVAL AGWDDPKVNRHLTTQLLNFAGYLNETVVWPCIGNGRSADDCFSSYNTTFYEQNDLTQN WRLWEYQVCTEWGFFQTGSGTPKSKPSLVSRLVTLDYTSLPCKYAFNITTPPEVDARI NNKYGGFNISYPRLAFIGGTADPWREATPFANDAPHPHRPNTLDRPFAEIEGGVHHWD ENGLFPNETTEFLPPKPVENIQAYEHEFVKAWLSTQKWAHLAREEQGFFFGLI TSTA_122270 MTDRLPYLQKGLVAQLAQLTNGIAVVLEHRYYGASIPTKDFSTE SLRFLTTEQGLADVAYFAQNIVYPGFEDQNLTSRHVPYIAYGGSYAGAMVAFLRVTYP DVFFGAIASSAVTEAIVDYWQYWEPIRRNAPQNCIHTVENLTGVLDNLAHNTSAVKDL ETLFGLQDLSHVDDFANVLSYPLSSWQGRNWDPAVNDPTFFEYCVNITVADTLLYNDT SSSEDIEKAAELVALAGWDDPKVNRHLTTQLLNFAGYLNETVVWPCIGNGRSADDCFS SYNTTFYEQNDLTQNWRLWEYQVCTEWGFFQTGSGTPKSKPSLVSRLVTLDYTSLPCK YAFNITTPPEVDARINNKYGGFNISYPRLAFIGGTADPWREATPFANDAPHPHRPNTL DRPFAEIEGGVHHWDENGLFPNETTEFLPPKPVENIQAYEHEFVKAWLSTQKWAHLAR EEQGFFFGLI TSTA_122280 MAPPDLNSVPPSPRLGHTSQPDPEVPSTNRTSHTMGPPPMPVNT MSPTITTEPAGLGPGPLRHPRPMTAADLHLVLEKEQEAVVNRLTRELTLLRQQTASVA STASSTSTGLTDPTDTSVYPTSSRQHRSSSNLSSHIPPGSAALASSVSSIAPSRDTAL PSSRPSGEFTRVGRSREPSVTSPRQPVSPYGDVGFQYSQSQGQQSHRSSVSHSHNAAY PPEARRSTSISSTAGSRFEEAAQQRAELEAVKRENEMLRRRVRELEQTLKVYRDPHVH SPEEPSTSTLATGMRDTTLAGS TSTA_122290 MSGSNVVHVKGIAPTTTEKEVRDFFSFCGKISNLSLTAESGEPN APKSATVTFEKETAAKTALLLDNTQLGPSAVHVEAAHTIDEIAGSHAASAQEAKDENQ HDIEQEDKPRSRIVAEYLAHGYTISDQAIQKAIALDQKHGFSNRFTAALAAFDNKTKA TDRAKELDTKYKITDQATSHWRGLTSYFEKALDTPTGHKVRDFYVQTDKQVRDIHNEA RRLADLKSGKTPAEEKPAASSEGATAPTSDIAPSATNTSIPATEHKPV TSTA_122300 MDVNALRDRIQSTLDANADTRRQAELDLKYAETQPGFTGALLDI LQGEQNNAVQLSAVVYLKNRINRGWAPSEDNTTSKKIPEEERPALRDRLIPILAASPP NVRAQFIPLITKILSYDFPEKWPGFMDITLQLLNTNDANSVFSGLQCLLAICKVYRFK ANDKRGEFDKIVEHCFPQLLNIGNRLVDEESLEAAEMLHTVVKAYKHAIYFELPPHLK SHQATVDWCTLFLRIVAKAPPANSMLEDPEDRETNHWWKCKKWAYGNLNRLFVRYGNP TSITKNTSSDVTNYAKSFITTFAPEIMHGYLQEIEKWVKGQWLSKPVLSYTLIFLEEC VKPKSTWDHLKPHMDTLIAHLVFPLLCQTDEDLELFQTDPPEYLHRKLNYYEEISAPD VAATNFLISLTKSRKKQTFSILQFVNGIVSKYESAPDAEKIPREKEGALRMIGSLSSV ILGKKSPIADQVEYFFVRHVFPEFRSPHGFLRARACDTLEKFEQLDFKDPANLMIIYR NILESMADPELPVRVEAALALQPLIRHDTIRQSMQQNIPQIMQQLLKLANEVDVDALS NVMEDFVEVFSAELTPFAVALSEQLRDTYMRIVGELLERQASKTDEDAYGDFLDDKSI TALGVLQTIGTLILTLESTPDVLLHLETILMPVISITLENKLYDLYNEIFEIIDSCTF SAKSISPTMWQAFELIHKTFKAGAELYLEDMLPALDNFVSYGSEMLVQNPAYLAALVS MVEDIFHDDKVGGVDRICGCKLAEALMLNLRGYVDQYVPIFVELAMNVINSGDAKTKS YRVHLMEMVINAIYYNPALTLQILEAKGWTNKFFSAWFSNIDSFRRVHDKKLSIAAIS SLLTLKVEDVPVSVQQGWPRLLQGVTRLFQTLPAALQHREAATRESDYTYDDDEDDLV DEDTEWDGEVEWNDQDEAEGGGDDDVADENAAYLDFLSQEAQKFGSFADDDDDDLDEE SLLETPLDKVEPYGLFKNVMLGLQQGQPQLYENLTKILTPEEQQIIQSVFQEADKVDA AIAASLANGGN TSTA_122310 MTEVLLSRLAQLRLGLDALDASSYDARLIEEEEGIIDSSRSRKK IRRHNENDLKEELEDEFLTPSPRFSPSWLNKLQRRWDVSIDYQDIFEVAPTQTRTIIR FNREGLEGRVTGYHEVTVPASSATAKNSTSLLRRPAARADFVRGAAGFFPFAPGGLEG VEAIAEMESEMQANEQQRGIKKQGLDRIIKFGTEDGLLQVPPGFTRGLNLKKPESKAA EEDDQEVEKTLDQEEGIKVDRPETDGNVQEYKKDEDEMASEDEEEEDIDSLLPVEFPA FEPRSQLLAATAQKGGREWAHVVDVNKEISNFHDLVPDMAREWPFELDTFQKEAVYHL ENGDSVFVAAHTSAGKTVVAEYAIALAAKHMTKAIYTSPIKALSNQKFRDFRNTFEDV GILTGDVQISPESSCLIMTTEILRSMLYRGADLIRDVEFVIFDEVHYVNDTERGVVWE EVIIMLPEHVTLILLSATVPNTYEFASWVGRTKKKDIYVISTPKRPVPLEHYLWSGKS MHKIVDANKRFIEKGWKEADDILSGRDKQKAQKAAEAQAQSQVSQRGAQQGRGRGQPR GGGPRGGGQGRGGPQGGQGRGRGQPANRGTGNIARTGRGGGRTTAAQDRNVWVHLVQH LRKENLLPACVFVFSKKRCEENANSLSNQDFCTAAEKSAIHMIIEKSLARLKPEDRVL PQILRLRELLSRGVAVHHGGLLPIMKEIVEILFARTLVKVLFATETFAMGLNLPTRTV VFSGFRKHDGKGFRDLLPGEYTQMAGRAGRRGLDTVGYVIIVTTGRDEAPPAGALRRM MLGDPTKLRSQFRLTYNMILNLLRVEALKIEEMIKRSFSENATQALLPEHEKQVQLSE ASLSKIKREPCTYCDVDLAACHQASVEYEKLTRDLHLGLITSPVGKRLFMIKRLVVYR KDGIRTAGIIVREGISGGEPNIQVLEIGAVSDKRHPSDILPFLPRFRPFFRDLPTSSA DMSLKVCRIPLADVECVTNTLVKVTGPIWYLNIKKESLKFGDKELSKYAASWESPSWD ELDWDRVKELQVREILLKRQEQAAIAQGCHCLSCPDFLKHFEMQHDEWQVKENISQLR QLMSDQNLQLLPDYEQRVEVLKELGFVDADSRVLLKGKVACEIHSADELVLTELILEN VLAEYEPEEIVALLSAFVFQEKTENDPTLTPRLEKGKEAIIRIADKVNDFQILHQVIL SSEDSNDFTSKPRFGLMEVVYEWAKGMSFNRITDLTDVMEGTIVRTITRLDETCREVK NAAKLVGDPTLYLKMQQAQEMIKRDVIFAASLYM TSTA_122320 MATLRGKFKSIGISLETVKQNTDSNCAQTREALIMGSEFKTINA DHLVEEEELPDYRADRFSPARLGDVFQNRYQVLAKLGFVCICGIYGFRCFINCFRAHQ YVALKTYVYTSLVHRELPLDRHIARHVSGSTHRGRQNIRKLLDSFDVVGPHSKHAVLV FKAAQISLRDMKTVFQPGGFDEDFVRGAIIELLEALDFIYAEGEIVHTGAVTLLHYLS LVIDPGPHGGDIVPLEYLAPETLLYVGWSCPVDIWSVGLTAWDPWDPWEPKRLFTGRV DDLY TSTA_122330 MTLDYSLLLVLISDPDLVYFVAHKVVHSINVKTQRWDTIERVPF EPKCLAASYGWIVVGGSDNGECAFISLPGREPRARNASRPGAADIDAALPIELDMETR VPAGATGASTEQQTFSGILGGGNDIPEIFTKALTGSIVNSVTIHRLPANERQGFAHED IAVFSNNDKTIKIFSLTRRKLLETKHHPKCMNYAVISPNSTLLAAVGDVNYAYFYRIT RDPDTIDYGHGGERLSGWTWDLCCRIELPLSTDLNMSPNFDDGSCFTIAFSPSSCLCA IGSQSGIISVYDVNVILESMPGIQGYEARLALFRSSRADSAGAVRSMAFSPEPWDLLV WVEDTGRFGIVDIRSGFFRRQIVDLNKDDPETQKVRPMYNSPYLIRSESEENDLGINA GTSDRVTSLRESVQGLTERERQIIEFLNTARSSSRHEGDAENRAPSTDNHSGQHATAS FLGGATDNADHPSRTTSPFRSTDAALQELFREHYPGRVSSTERSFGQRRRASIVISQS GQGAGPVLNGQSGDHNNNDNNATSDFQLRLRWTASPADIQSLGDNLHNNAANLEHDSD NNGSSTDNGQWNPSTIAEAWNDALPDEAPPTEAWQTSQRSRSIPRRIPRPGATTESRY DAQRAAVAEMRASVAAERLRRQRLAANEMRSPRWIRHILSSDQADRHFGYMPQDQDPA DTAGVGWGADGRALYIGTVEGIFEFQINVQDRKSFPVFSCR TSTA_122330 MTLDYSLLLVLISDPDLVYFVAHKVVHSINVKTQRWDTIERVPF EPKCLAASYGWIVVGGSDNGECAFISLPGREPRARNASRPGAADIDAALPIELDMETR VPAGATGASTEQQTFSGILGGGNDIPEIFTKALTGSIVNSVTIHRLPANERQGFAHED IAVFSNNDKTIKIFSLTRRKLLETKHHPKCMNYAVISPNSTLLAAVGDVNYAYFYRIT RDPDTIDYGHGGERLSGWTWDLCCRIELPLSTDLNMSPNFDDGSCFTIAFSPSSCLCA IGSQSGIISVYDVNVILESMPGIQGYEARLALFRSSRADSAGAVRSMAFSPEPWDLLV WVEDTGRFGIVDIRSGFFRRQIVDLNKDDPETQKVRPMYNSPYLIRSESEENDLGTRN EMGNVHQAVLDLLNGMSLTGINAGTSDRVTSLRESVQGLTERERQIIEFLNTARSSSR HEGDAENRAPSTDNHSGQHATASFLGGATDNADHPSRTTSPFRSTDAALQELFREHYP GRVSSTERSFGQRRRASIVISQSGQGAGPVLNGQSGDHNNNDNNATSDFQLRLRWTAS PADIQSLGDNLHNNAANLEHDSDNNGSSTDNGQWNPSTIAEAWNDALPDEAPPTEAWQ TSQRSRSIPRRIPRPGATTESRYDAQRAAVAEMRASVAAERLRRQRLAANEMRSPRWI RHILSSDQADRHFGYMPQDQDPADTAGVGWGADGRALYIGTVEGIFEFQINVQDRKSF PVFSCR TSTA_122340 MLSSRLSRALPRTPYIARASAIRTPGASFRRWNSTEGGEEKVKG AVIGIDLGTTNSAVAVMEGKTPKIIENAEGARTTPSVVAFAQDGERLVGIAAKRQAVV NPENTLFATKRLIGRKFTDNEVQRDIKEVPYKIVQHTNGDAWVEARGQKYSPSQIGGF ILQKMKETAEAYLSKPVKNGVVTVPAYFNDSQRQATKDAGQIAGLNVLRVVNEPTAAA LAYGLEKETDRVIAVYDLGGGTFDISVLEIQKGVFEVKSTNGDTHLGGEDFDISLVRH IVQQFKKESGLDLSGDRMAIQRIREAAEKAKIELSSSLQTEINLPFITADASGAKHIN LKMTRSQLESLVDPLIQRTYEPVKKALKDANLQAKDINDVILVGGMTRMPKVSESVKS IFGRDPAKSVNPDEAVAIGAAIQGAVLAGEVTDVLLLDVTPLSLGIETLGGVFTRLIN RNTTIPTKKSQTFSTAADFQTAVEIKVYQGERELVRDNKLLGNFQLVGIPPAHRGVPQ IEVTFDIDADSIVHVHAKDKSTNKDQSITIASGSGLSDAEIQNMVEDAEKYGAQDKER KAAIEAANRADSVLNDTEKALKEFEDRLDKAEADQIREKIASLREFVAKTQSGEETAT AEDLKQKTDELQTASLTLFDKMHKARQEESNNQQQSGEQGGQGENKQ TSTA_122350 MLTLFWSAVVSWLAYLVFGAIYRLCFHPLSRFPGPRLAGLTKWY EFYYDVVNPGQFIWQIERMHEKYGPIVRITPDELHIKDPDFYEVIYAPASKKRDKYVN WTIGAGAPASSFATVSHEQHRLRRSALNPYFSKTAISNNASALVRDKIERLCQRFSEA SHSRQALRLDAAYMALTMDIITHYAFGESYNYLAEPDFKLEWKETVIGGSSSGAMIRQ FPWALSIMKSIPLVVMSKLAPDASLLVRWQIMVRRQVDSIIANNRSGTKASGTIFQAL LDSDLPPEEKSADRLQDEAQTLVGAGSETTAKVLTIITFYLLQDKKMLEKLRQELSTV ETDFSASGRELLTALEKLPYMNAVINEGLRLMHGVTTRLPRVAHEPIQYKQWTIPAST PVSQCNLFVHMDPTLFPEPTKFNPERWMDAKQNNTRLDRYLVSFGKGSRQCVGINLAY AEIFLTLALVLSRFDMETYETTTEDIRIARDYFVGVPEPGSQGVRVIVTKEL TSTA_122360 MAEAPAAAPVQADAPLEIDSESDDLESIESDLTSLSSSVFNYVY ENGRTYHAYRSGSYMLPNDDKEQDRLDLLNHVFRLCLDGDLCYSQKQLENPQKILDVG TGTGIWAIEMADTYPSAEVVGIDLSPIQPKWVPVNVKFIIDDITQPWDFPVNSFDFIH VRCLAGSITNWPEFLEHAYRHLKPGGRIELSEGRTHMECDDGTYPETSYTYKWIAEFN RISHSLGRVFDLFPEFSGLLEKAGFTDVRAHEEICPIGTWPKNPRLKEIGRYFRVQFI EGAVDSYSLALFTRYGGWSTMEVEVLLAHVRQEIKSNKMHVYTHCSFATAMKPLE TSTA_122360 MAEAPAAAPVQADAPLEIDSESDDLESIESDLTSLSSSVFNYVY ENGRTYHAYRSGSYMLPNDDKEQDRLDLLNHVFRLCLDGDLCYSQKQLENPQKILDVG TGTGIWAIEMADTYPSAEVVGIDLSPIQPKWVPVNVKFIIDDITQPWDFPVNSFDFIH VRCLAGSITNWPEFLEHAYRHLKPGGRIELSEGRTHMECDDGTYPETSYTYKWIAEFN RISHSLGRVFDLFPEFSGLLEKAGFTDVRAHEEICPIGTWPKNPRLKEIGRYFRVQFI EGAVDSYSLALFTRYGGWSTMEVEVLLAHVRQEIKSNKMHVYTHCSFATAMKPLE TSTA_122370 MSAATPAPPPGDNNLNSHPPGHNINLPRFHPIAINPNVPGSTAP GPPPYHRSYGSPYSDHPPPHHASTGPLPPPLPPAPASHQYQPQHQQHSQQPGPPSRPS SHPPLLPVPVASQLDQIEARLRQIEQEEASRAAARAHMLALRRREDEEFRMVTERAEA EEEELRRRRKRLKRESMGLMDGQMESPPAPTPRRLSETSAATTLAFFKQQTPPEPRPS DMRAPPQQQAQAPHHPSPPTIIQQPTPMAPTPSTSIMSNPQGNTFRKKQKYTIKNAEA WGERHGRPATYDAEGRALWKRPSDGRLVYLDCPAPDCGKSDFVTLHGFMCHLTKKHKD RTLGSQSRALEVCGTVYDPNAPRPQRPSLKRDSAGNSRGGSVHTEGDEEEDTYSSVAS DIHDDNTTNFNNHHSNVPSPREDGVKKEAADSPVTSSAVVNEVSSNKASIASMIDNAV TSSDQWVKKSSTPDDGPAVATTTAEDAAAAAVLAGQAIQV TSTA_122380 MSESLLNFLSSIGEEVVDAEEESFLLFSRTIPSNNLGFVNSRTT AIDLSIHNIDYTIRQSPTLLSSTRDGGTTGAVLWKITPLFASWISAVTTNPFWSGILG ADTSVIELGCGISGLVALTLAPLVRKYVATDQEYVRRFFGMNIEENAHSVHSSSKRGS SKKGHRRENTKRSKSNNIQFIPLDWELDIPSNQTLHNTNNSDTDDGPGSENGFDIIIS CDCIYNEALIPCFVRTCADICNLRSTQPEQVEPKNPTMAIIAQQQRSPDVFEAWLKES LKYFRVWRVEKFSSTVAGPEGDESGLGDGSGYVVHVLVLREGLRTAS TSTA_122390 MAAHHDISIQPRMLKRAPFTVEASGYEPVEGETIPRRHPAAKDK LISRPAEDVATIYDILRRGAKIFGNAKCVGSRRVLNTHVENKKVKKLVDGEEQEVEKQ WTYFELTSYEYMSYVEFEKLVLQVGSGLRKLGLNKGDRLHLYAATSAHWLATSHAAAS QSITFVTAYDTLGEEGLSHSLKQTKSDAIFLDPGLIPSLTNVIKDIPNIKHVIYNTGG VEVKQEDLDKLKSQHSHLNVVSFDDVVKAGEENPVDPVPPQPEDLCCIMYTSGSTGTP KGVSLTHGNVVAAVAGVTVIVGPYIGPGDSLLTYLPLAHILEFVFENACMFWGGTMGY GNPRTLSDSSVRNCKGDIREFKPTILVGVPAVFETVKKGILAQLNKASFVVKNMFWGA LSAKQFLLQTGLPGAGVLDAVVFRKLREATGGRLRILMNGGGPIAKDTLKFISYAIAP MISGYGLTETTAMGALQDPLAWNPEALGDIPASIEIKLVDFLDAGYSVKNNPPQGEIL IRGASVTRGYYENEEETKNAITEDGWFRTGDIGEFDKYGHLRIIDRKKNLVKTLNGEY IALEKLESVYRAHPIVANICIYAAEHQIKPVAIIVPVEAELQKMAKAQGIEGQHLESL VHNEKLKSTILKELQNAGKAGNLRGIEIIDGVVLSDEEWNPHNGYTTAAQKLQRKKIV DHFRADIEKAYKSS TSTA_122400 MTFSYDKDVGVGLKMMKSFASKMGVPLNFEGIPAGDVETRRAQF WKMVAIMPASKPSVLENIEIRDSTKKEGEEQPAPGPAVYHVHGGGLILGNIDLSAPPV IREVLATSVPVLTADYSLAPEKPPSNPGKRR TSTA_122410 MTRDTYPGGYALNRNAYPSPSASLSDFQRYSYSSSMPHGVGNQL DANYYVQPHRPSQSLLDAPPFGDTQPINTIVGTENQKVMPVIQAKIHKGFFQADEKWT CYRRNYFSVSCSFTLHPLLNSTYYFQGQGQRVEPIVNWAMSISAVVNQSENETRELVQ HTPKRDKQSERKPEKIILRPTQPYYLSSNMTGSGPHSPMYGMTQQMDFSAYPAPAQPP HQHTFERIQFQKATANNGKRRAQQQFYNLVVELHACVSSPEGHTRWEKIAKRVSDPMV VRGRSPGHYKDERRHSSASMGDSGGRGSSGDASRGMLPSSIDHQQYSSQMQYLYDGSQ PGDSHYNHGRDMPRHSYQHFGQANHSADAISPLVSTSDGSLEFIFPDSLAGHDSTDGL PHGGGRYPHHHNDDDSSFRRDSGSSGSSSSTQSHVPSLDLSSNSGTLDDAFDPMIASY HSDEQEDVSEQYSKHSNADRCPLASIVNDSSQCSRNGGAGGTGGGSFSRFIDPIQEIG RYGEWEL TSTA_122420 MSKLENRADWADDEEFDDPSALPAQQITTNKDGTKTIVSYRFND DGKKVKVTRRIRTTVVKEHVNPQVAERRAWSKFGLEKGHPPGPSFDTTSVGENIIFRP SVNWKVHAKEAEKEGEKGSVKDQLKDKKVKCRICQGEHFTARCPFKDTMAPVDEATGA GAGVDDEEPAAGGLGTAGGSYVPPHLRKGTAAAAAAAAGGGGGGKYERDDLATLRVTN VSELAEEQELRDLFERFGRVTRVFLARDRDTQRAKGFAFISFADRSDAARACEKMDGF GYRHLILRVEFAKRTS TSTA_122430 MDGVNPDSKDRDGWTPLFYAASEGHETIVKLLLNMDGVDPNSRT DNGLTPLSMAAYKGHEAVVKLLLNIDTVDPDLKDNNGWTPLSRAASRGHKAIVKLLLN TDRVDPDSKDNNGWTPLFYAASKGHEAIVKLLLNTDGVDPDPKDDGSTPLFYAASKGH EAIVKLLLNTDRVDPDLKNNDGRTPLSIAAYKGHEATVKLLLNTGRVDQDLKDNDGQT PLSRAASEGHEAIVKLLLNTDGETPSTVSEEREESVSCYSEGANYCIEPDNIRNENFS MGLQEMSINDTSTIPEDGVEEFEKIHLIEYLFKRLHQLGARSVYGVSKDYNLTALDYV QPCDLRWVGNANELNAGYAADDARIKGISALMTVMGVGELSAMNTIAGAFTEKVPSCI LLQLQLNLHHSLGDGNFCLFSTFYKAISCGRLMLGNWSPDTRAFIDVAPIDCTVDDIL VACMQKSQPVYIGLPTDLIERKISSARSVQSVGYPLFVTSRPETHDYRTRVMATNIRE IISVSRSVIPCQDFFLPPDTKMINSGAWLSIGDTLGACIGAAVAQSDASEDHPGCPKG RTILFEGDGSFQMTAQAVSDIICNRLDMTIFLINNDGYTMEPIINGMHAIRCFTRRTN NWGELFRTVESVAADCGPKRYGFGSLDSNGAGRCQRCVVGQELTALGPEVLNFSADLV TSTA_122440 MSGSDLTADVEKHPVNNYYEDNSGTVPGETFEYGDSWYAKMQRF AGKLNVEQRGIERVPDNERTDTSYLNVGSMWLAANMVVTSFAIGVLGQSVFQCGFVDG ILTVLFFNLLGVLTVCFFSIFGAQFGLRQMVVSRFWFGWWGVKLIAVFNVLACIGWSS ANSIVGAQLINAVNHDVPGAAGIVIIAICTLVITFFGYKVVHAYEFWSWIPTFIVFLI VLGVFAHSGDFYNIPMGAGKAEMGSVLSFGATVYGFATGWTSYAADYTVYQPSNRSRF WIFFSTAIGLLFPLLFVEMLGVAVMTATEINGGDNKYAAGYAESGTGGLLGAVLFGPL GGFGKFCVVILALSIIANNCPNIYSVALTLMVLARWTRKVPRFIYVIIATGVYIAIAI PGYSHYETVLTNFMDFIGYWLAIYEGIALTDHFVFKRGFGGYNPDIYDQPDKLPPGIA AVFAFCCGIAGMVTGMSQTWWVGPIALYAGEAPFGGDVGFELGFAFAAFAYLITRPIE MRVFGR TSTA_122450 MSHESVWYSRPRTYGKGSRQCRVCAHKAGLIRKYGLLVCRQCFR EKSSDIGFVKYR TSTA_122460 MASPQVLRTPVTDLLKINHPVLLAGMNVAAGPKLAAAVTNAGGL GVIGGIGYTPEMLREQLNELKGYLNDKNAPFGVDLLLPQVGGNARKTNHDYTKGKLNE LIDIIIESGAKLFVCAVGVPPKHVVEKLHKAGVLYMNMIGHPKHVKKCLDLGVDIICA QGGEGGGHTGDVPTTVLIPTVAKLVQGHKSPLTGAPVQVIAAGGLFNGQSVAAALMLG ASAVWIGTRFILSDEAGASEAHKEAVRTAGFDDNVRTIIFTGRPLRVRNNSYIQNWEE NRQQEIKELTSKGIIPVEHDFENLPDDVDEEVLDNARPFLMGKVSAVVTEKKPAKAIV DELVTDAAAWLQKGNKMIAKL TSTA_122470 MNYVYWKHFPIHNASRYGLRSMPTFSGPYATSWKAPLLSAYIEG RLNNCTPLTLAIAHGHAEVCQYLVDGFKEIRKNAPRDFWITNIRNGEDIIKGIYSNIN SCQALPLYGPFKDYQGAHGETALYAAASSRNYSAVSFLLQKKANVNIPKKSRGWNPPS SSPLSNDMGRLHSSLNPAQIKIIGIVLVGEQFIMQKLGVIRRA TSTA_122480 MDPEILMQVFRGLSATNVTKNVHKEPYPAISPSRPELSQTGKVV LVTGGGTGVGFSIAKAFIRASVDTIIIIGRRADVLEKAASDLKQEARLVRTSSKIITR SVDVVDLVQVDAFWEYLATQGINVDVLVANVGRSSVPKSMTELGATRVWEDFEINVKS PIYFTEKFYNQPGDKKKYLINVSSSVIHMTAYPGVGDRPAYPLTKMAGTMFFQLTAQN VSPEKMQVISFHPGYIYSTGWETVGLKIPRELFDSDDLCGAFAVWTATDEAKFLHGRF VWASWDVEELASGELRKRIDEDPYFLRTSISGLNGTNLA TSTA_122490 MENACKPCATAKRKCERQTPQCARCRRRGIECTYPPAKQTAFIL CEDDHSSAQEHGSISYVFSSDKSTYTRSETLPLPSWPCTVPAPSDIGLLAVSATRLVA SDQLMSYVAMLRTWLTQWVDQGSNPFIHASLYKARMPSCMQDAYTALSSYFLKNPTNE QTIYHILEDRLQRLVDTQGVEEYGPAKRQHDLNSIDHLARVQSLLIFVVMALFDGDIR LRHFAESYIPVLEIWVEAMIDHTNQMLSLSVGPEKERQLYYNLNVENANDRQNLNWHC WVIAESTQRTWMMAAGVQAIYSIMQSGKAPPCRGHMVITTRPGVWEASSALAWSRLCL ETNTGIIQMSEADRLFAEAAPEEVDDFTRVFLEATYGKDRMERWVCDREIMTES TSTA_122500 MATRAVYSFHNLTNYTRHNGAIRSAARARQFSVTSAKGFQFITN GPRSLKKRIFAQSLYAPIKTHSPRTSTTQLQSARNFSQSRMVATEDERDNGNESSSHK AYIALGSNIGDRIAMIERACAEMNKAGIKVKRTSSLFETAPMYVLDQEPFLNAACEVE TTLGPIELLDTLQGIENGLGRQKIIDKGPRSIDLDILLYNNETVSHPRLNIPHRLMLE REFVLRPLCQLIPHERVPLPGTNLTYLSALRSLPPSKTVPVVTSPLSPHIPPLTPSDP QKKTVVMAILNVTPDSFSDGGKHYYSGENFNPEQLTSTIREFITAGATIIDVGGESTR PNATQLTAEEELSRVIPVIKHIRSLPEAANVAISIDTYRARVAAEACRAGADIINDVS AGTLDPNMLSTMAATGKSVILMHMRGTPATMNKLTSYPNGVIKDVGTELLTQIHVAEE AGIPRWRMILDPGLGFAKIKDQNVELLRNLTALTHGIEGLESFPWLIGTSRKAYIGHI TGVEKASERVWGTAAAVTASVQQGADIVRVHDVKEMGQVVKMADAIYRS TSTA_122500 MVATEDERDNGNESSSHKAYIALGSNIGDRIAMIERACAEMNKA GIKVKRTSSLFETAPMYVLDQEPFLNAACEVETTLGPIELLDTLQGIENGLGRQKIID KGPRSIDLDILLYNNETVSHPRLNIPHRLMLEREFVLRPLCQLIPHERVPLPGTNLTY LSALRSLPPSKTVPVVTSPLSPHIPPLTPSDPQKKTVVMAILNVTPDSFSDGGKHYYS GENFNPEQLTSTIREFITAGATIIDVGGESTRPNATQLTAEEELSRVIPVIKHIRSLP EAANVAISIDTYRARVAAEACRAGADIINDVSAGTLDPNMLSTMAATGKSVILMHMRG TPATMNKLTSYPNGVIKDVGTELLTQIHVAEEAGIPRWRMILDPGLGFAKIKDQNVEL LRNLTALTHGIEGLESFPWLIGTSRKAYIGHITGVEKASERVWGTAAAVTASVQQGAD IVRVHDVKEMGQVVKMADAIYRS TSTA_122510 MSATKAQSQKIFEKLKTKPANRLCFDCGSKNPTWSSVPFGIYLC LDCSSHHRNLGVHISFVRSTNLDQWQWEQLRIMKVGGNESATKFFQSHGGSAALASKD PHVKYESPAAVKYKEELKRRAAQDAKEHPGEVVVTDVAGTPGDDTATPAGEPDDDFFS SWDKPVIKRPSNPPSRVGTPSNASRTASPFLNAGSNGNGARSKSPLSASDKDETSSPP PTAVRTGNVVRKGPTGAGAKKGSILSSKKTPKLGAKKVVSSDAIDFEEAERKAKEEAE RIEKLGYDPEAEQAELEAKEKATATTKIASPTPISPARGGFGSTGKAPEKSASEMERL GMGMSRLGFGQVSKPAVAKKPGFGAVAPAQRSAADEEEIEQNRTRFGSQKGISSDEFF GRDRFDPAAQAEAKTRLNNFEGATSISSNAYFGRPEEDYPAIDDGYGDLENAAKDFVR RFGITAGDDLENLTQVLGEGATKLQGAIRSYLNS TSTA_122520 MYRSLLHNPTLLAVRAPTTTTTTTTPSFLRASTTAATSSNLTRG YATQSSLGGNGTGPARKQISVMTDDGRYKWSELSGKEKVARATQQSFNFVIVIVGVVM TGGVLTLLYTDVFSPNSRTWQFEKAVSRIKEDPRCTSLLGNSKEIKAYGEATGNRWTR NRPIATTIQKDSLGREHMRINFHVEGPLNEGIVHVHMIKPLDDPNFQYRFLAVDVKGH PRIILEQSSDEALGGKKSPLKILGINWR TSTA_122530 MVLTLVAFRFISNTLQRSTSIVPRLGRLYPLPQQRQFPGSISWR KSRNFSTTRYFLNNMTTSPSETLQELCTRVQSILPEQFRKDAWYLIVTAALVGCNKPN ETGTLYTNLVESVSDTEEKRIKSRISDVLMKEWTLVGVTPIVYAVTALGKAEAAFYEK RGITLEPPSEDGLLEFPEKRKNIDFNNHIPDRGTKFLQQLYRQNLAPICSSWGSFASD FMWMERSVIYGLFLSDHEILSAVEAELVILTGIMIQGLSAPTIWHLRGLRRLGVSEED TEKVQLAIEAVASWSGRSIEGWPRVKDVPDI TSTA_122540 MVKITGFLTRDVRFPTSLDKTGSDAMNAAGDYSSAYCILKTDSQ YEGHGMTFTIGRGNEIVCAAISTIAPLIVGKDLDEITSDWGKTWRYLVSDSQLRWIGP EKGVIHLALGAVVNGLWDLCAKTLNKPVWRIVADMTPEEVVRCIDFRYITDALTPEEA IALLKEVEPTKQDRIRDAEQNKAVPAYTTSAGWLGYSHEKLRALLDQSVRDGFKHFKL KVGGNLENDKIRLGIAREAIGYDKGNVLMVDANQIWSVPEAIEYMKELAQFRPWFIEE PTSPDDVLGHAAIRKALADTPYGTVGVATGEMCQNRVMFKQFLQAGALTVLQPDACRV GGVNECLAILLLARKFGIPIVPHSGGVGLPEYTQHLSTIDYVVISGKKSLLEYVDHLH EHFEHPAKVKDGYIVTPTEPGYSVQMKADSMDEYAFPGEKGKSWWTTDAAKVILEGPR I TSTA_122550 MGAPPGMAAPGTALPPGIQQANAQQPGRPGGFPANFQPPPNMPN INFSAPVIRLGTSGPPKSATPEGTGRSSESSRRAGLGATSVESQRQTIRDAMMQLQPP TKEEILRTIFVSGITEGTGGDDGVERILRCAGNLKRWIRATDADEKPCKFGFAEYEDL ESLSVALEIFKDVEVPVKRQMPREEGDKEETEVEKAKLLVVFDESTLKYIEQYQFTGD EEDDSDRNSRIDSARQSLFTVLAELMHPTVPTQTDEASTVDRDGVVSMQEGGVKDTAA EVVTIPIAAEDELSDIPAEMRETVAKEIAAFRERSNRRDLERLRREEEIESMERARNS GGRTNRLVSPPLSAPSGPAGGVNGRMHPQTKGFGVQIPKEYQKGVSFVNGNGVAYGQE DEDTDTSDEELERRRQEKRNAELEKQFLDQERRWLNRERSRTAAVERERKRDREEDAK VEEAKETMAKFLREWNDDVEASRKEEEYYADHGAWMRNRAAIRAREMKADEADRAAEG REKARSAQQREQARGMADDFLARQAEELEAQPQAPREPQRFKLSLGAAAQQKAQAATS RRTVAEVEGLLEDEEEGVATTKRTLVPIKFDTAAEASGLSDEERAQAVRQLAAEIPSD KEGLWKWDVKWEFVDESVLGEQLKPFVEKKIMEYLGVQEDMLVDVVEEHIRNHGKPQE LVEQLAEALDEEAEVLVKKLWRMIIFFSESEKRGLST TSTA_122560 MPGFKQATDLDAWKALQQHHASVGKSIVLKEEFEKDPSRFEKFS RTFTNTVDGKDILFDFSKNFITDETLDLLVKLAKEANLEELRDAMFKGEHINFTEDRA VYHAALRNVSNEPMQVDGKSVVEGVNSVLEHMKEFSEQVRSGEWKGYTGKSIRTIINI GIGGSDLGPVMVTEALKAYGHPNLKLHFVSNIDGTHIAEALKESDPETTLFLVASKTF TTAETTTNANTAKKWFLETAKDEAHIAKHFVALSTNEAEVTKFGIDKKNMFGFESWVG GRYSVWSAIGLSVALYIGYDNFHQFLAGAHALDKHFREAPLKENIPVIAGLLSVWYSD FFGAQTHLVAPFDQYLHRFPAYLQQLSMESNGKAITRSGDYVKYTTGPILFGEPATNA QHSFFQLLHQGTKLIPTDFLLAVESHNPVEGGKHQRMLASNFFAQAEALMIGKTPEQV KAENAPDDLVPHKTFLGNRPTTSILAQQITPASLGALIAYYEHLTFTEGAIWNINSFD QWGVELGKVLAKNIQKELETPGAGGAHDASTAGLIKAFKAKANLA TSTA_122570 MFSQRYIPFFGLFLSTGALAVSQASKDVAGYAYGQPIPVSCLNR TIDSGEHIRDSLGRLQYIPFPTCNETSSPLALHYGVTETVSCTIDSLPDELYHLLEYY VHSDAPLTCRVPTIPLDGDSTSVEYDVGGSTSSNGGSLTNNENSGPPYTPLTIALQGT LQLSHLHIWTDMNVLMHRLSSVESPRKEEEIQIKKQAKKKALGRTISPGYIVAGTAYS LPELHAATKTDKSEIVISEEEEDAAIIENARNPWTAGHGSKVVRGEPLTLKFRVSWVS GADSLGWLDREDDSAHIHHAHEGTTFLGLLTKLIFFVMAAGIGALFASYYQRVVRRGG SAGGGGPGAWRGEGILGRPMMATASSKGQRRGSFSGVTYGNGGRSNGYGGYSPASSVT STPGNGGYGYGGYGKKD TSTA_122580 MAVQSSLARCLRASPSTLIRPMSLPIRGGQFFQFSQRSGYATND SADRKPSSNSSESQPTKKSSIFDGMNTTSSTTTTALRENVQYVTQPVPHPRATREFVK SPSSSSAADRAHRGLEQQLDNLLSRRAAPSRQQTSAAAAAAASVVGSIDQADPITPPR FRTVPMKLGTKLGRQVFVSNDRGVDVAAAIRMVQINCAVNGVRRQANLQKFHVRRGQR RKDLRSQRWRKLFKFSFDETVKKIQRMRDQGW TSTA_122590 MSSITIATLPRMSRDVLSSLLLSTSTPSKLAIIDVRDSDHVGGH IHTSTWVPSSTLDYRIPELVRTLKDKEKVIFHCALSQQRGPSAALRYVRERERTLGVE ESKKQEIYILEGGFVQWQEKFGNDTRLTEEYIEDIWREY TSTA_122600 MNHGLRRGTQHLTKQCCKRLFSHSPTKRYASDIVKKEWSTPLAK ILADAIRTTGPISIAAYMRQVLTNPDAGYYTTPSSQSKTEVFGKKGDFITSPEITQIF GELVGIWTVTEWMAQGMPKEGVELIEVGPGKGTLMDDILRTLRNFKTFSKSIENIYLV EASAPLREVQKNLLCGPDAVLEEIDIGYRGINKHTGAPIVWVEDIRLLPYNDKMPFIF AHEFFDALPIHAFECIQPTESEEKQQPKQIMTPTGPLDLDHTNQRNTKNRPTGPQWRE LMVALNSKSVVENIKDEPEFQLSRAKISTPNSLLLPEISERYKALKSQPGSVIEVSPE SRIYVADFARRIGGYAPPSEPRLPKRKPGEVAKQVSPGDIPTAPIQKKHPSGAALILD YGTTSTVPINSLRGIRQHATTSPFAYPGQVDVSADVDFTSLAEAALEASEGVEVHGPV DQAEFLHSLGIAERAEQLLSKLPADKEEKRKMLQTAWKRLVDKGPNGMGKLYKALVIV PENGGRRRPVGFGGGVVG TSTA_122610 MVAKKGSAKKAGAPSFDSIIEAERLNRKKKENQALADQLLGSKK RRASAPGPGVGNKKTPTDRSLASRIGVVKRSASTSSKPKSNTFAAARATAKQTTQTKS TSSRRSREDRMVEDINGRKDTNVNVTPRKPTEITIKGTAATGPFVVVGRNFAPGTNAA DIETAMEPVAGHIVGVHITSYTPYVNAQIACAEKWGAEAIVAQFNGQKADGRVLSLEY KGAGNSYFGPSAVSRSATNNYDALREQADRERRERKKVAEPQIQDERYGFSDSTGQHR HSNGTSTRTNQHSNGLHSDKMMVDAPAVPISQRNRGFR TSTA_122620 MRLGSIGAGLALLAALAVLAAHVHALAPRTQIAEEYDFVVVGGG QAGLVIGARLSEIANYTVLVLEAGTNGDEFRERIGTYNFYTPAYSYYESLWTTPMNWA YYTVPQSHAENRQIEWTRGKGLGGSSAINGLYLTRPGKEEINAWKDLLGDMDGADNWS WDSFYAAMKKSETFTPPSNEIATEGNITWDLSTRGIQGPIQATYPGYTFPQVGEWVMS LEAMGIASSNDMYGGEVYGAEVSTSSINPTNWTRSYSRTGYLDPLADNGNYDVVADAF VTRILFDASSPSNNLTANGVQYTLDNGKTNCTVKVKKEVILSAGTVGSPAVLLHSGVG PKDVLSDAGVELVSELPGVGHHLQDHFNNTLYLSYIDSAIAYINSTLMYGDNLDALQK NITTQINQFVLNTTYDAGVIAGYKAIANMTATTILSSSIGQIELLFMNSDLNGDIGIT AALQHPYSHGRIYINSSNPLDYPVIDPNYLAVSADYEILRDGLNLARQLGNTQPLSSC LIAETIPGPSVKTDDDWLEWIREATGTEFHPSSSCAMLPREQGGVVDANLRVYGLANV RVADASVVPISLSTHLMASTYGVAEQASNIIRAHYTDSRTTGTSSSDPGSASSPTSSA LGAEGTTGAISAHTAPSGGVRSVSAVSAWVAVVFAAAVSIFHSLH TSTA_122630 MSSKSRWAAEDPEEEAAIAQRKREKEAKKRAKAERQRLEEQQKQ AAAATAAATGASEDARPTKRRRLSIDGKSASPDAAADRKKLLRFPSTEWAPCRHVDNY ERLNHIEEGSYGVVSRARDLASGEIVALKKLKIDNAPDGFPVTGLREIQTLQRARHVN IVNLREIVMGNSMKDVYLVMDFLEHDLKTLLDDMPEPFLPSEIKTLLQQIFSATEFLH ANWILHRDLKTSNLLLNNRGEIKLADFGMARYFGDPKPAHLTQLVVTLWYRSPELLLG AERYGAEIDMWSVGCIFGELLRKEPLFTGKNEVDQLAKIFAITGPPTQQSWPTFRSLP NAKSLRLPPSSSSSNGSATPLLPRSQFPYLTNAGLNLLSSLLALNPSSRPTAAECLRH AYFREDPRPKAKEMFPTFPSKAGQEKRRRKETPEAPRYGEEAPKLDFADAFGGASSTG GKTGAGFTLRLG TSTA_122640 MIEPFQTTFQVPMTCDGCVQDVSGSIQKLPGITKVEARLQDQLV LVEGTAAPSSIVAAIQGTGRDAILRGSGGTNSAAVCILETHNTSVPEKIRGLARMIQV SKSQTLVDLTINGLSPGKYWATVREAGDISRGAESTGGIWEAVKNKILGPDQQPQPRG VFGVVNVNDAGKGNVLIDQPVAIWELIGRSMVVSKNKEGPFKAEDADTIVGVIARSAG VWDNDKMVCSCSGKNVWEERKEQVQKGML TSTA_122650 MEEPTYDPNRPMPTIKVVYSYKLTNCPGKTIVGLRVEFLPNGST PPHRHGGASVSAYVVDGTLLNKMNDDPMKVIQAGGSWYEAPGCHHRISDNASPTKPAT LMAVLILDSEVYEREGMGALIQIDEKYRRI TSTA_122660 MQQQRAQRHRKAIPNEWKAALRAQKRINQHLTHKDLTKWFEDTY NQPIDRASITRILSYKYAFIDDLEPHQLKDKRRRVEQWPELEKAVMDWIRLAETEAPI SQEAIRYKAQQYWPPLHPNDPIPSFRNGWLFVFQTRNNIKNRKLHGEVASLSTDGADQ MIKIRRLLASYPPHDIFNCDESGLFWELFPDRSLSTSALPGRNILFACNSDGSERIPL WIIGNTKKPRAFTQAHIEPHNLGIQWRSNGKAWMTSDIFKEWLLAFDAQMAGRNYTTI IYFPPDSTTKYQPLEQGIIQAWKALWKRAWVRFIIDEFDRGIDPLSTMTILRAVRWAV NMWEGVTSTTITNCFKKALHDETEAEFESALLIQDLQNSLQDLKLTNRVQDVMDIHQF LNPLDEQVNDTMMDIDNIV TSTA_122670 MLVLVVKFTQLPPFGIMSFKIVIIGAGFAGVWSALSAKRLIKST GKENKVEILVISPKPVLVMRPRLYESKASSLIHPLETLFKEAGINFCPGVVKTIHTER RAVEVQCASGVESTIEYDRLILAAGSSVIQPQHVGGLRQHTYDIDSLDSAVKLESHLE ALASLPASPSRDTVVVCGAGFTGIELTAELPRRLAHIANARVILVGNTDEVGPSFGSS PRSTITQALNDLGVEVKLGAGVKAVDPEGVTLTSGVRIQTKTTIWTAGVQATPLTQQV AAPRDALGRLYVDQYLRVSPVDGVFATGDAACALADGKNQHALMSCQHALQLGRVSGY NAAAELLGVPLMGYTQAAYNCCLDLGAWGALVAAGWDRDIIKMSGDTAKRAKCYINQK LIYPPDNAHEALASADPVLPDSDQLFEQLIHVVD TSTA_122680 MNPFNDSAARRVRPSRSSLACLPCRSRHLKCDGKKPYCSRCVET AKQCHYVPSRRGGLDRAALAERRKRLPPTGSAAVIGLQLPAGVQQDHSSGSVDVDFPT DRQLLGGNTIDEGTSLAVIQVDTDNIDGDALIEAYYRYFHKFHPFVVPQKHLTRLYQD MRRQASWKILVDVLRLVGHIFISGELSIPLKDHVKACLTQTSPVDAIIVQCRLLYSMI LFWHGHEDDAKIEIDTAIQIAVDLQMFLQAFAWEHGGADPVVRENLRRTWWMLYIVDT YYAGTLGMTASRLWDIESTVELPCEESDYESGEVPEPISLQEFDCREFAPDSVRFSSF TYLIGAVRCTALAISMAPKSLVKEDSADVVQSVDSILDGWLRLLPKNSNKVMDKSGNI DELMFQAHLVIHITSISLHRPFSDLKFDIVEKLSSCAREPLSGTLAPEPVNIHTLRVL QSVEAQTRLLVLPFRQFHHSPFVTCMISGGALALLSACKFLLKGKDLMVARGQMKMII GCLKELSEVWPRTARNLTEIQTIARHVLELETRTTSSGDTTSESNELPCLADGGGRDG RSPRTETTVSETGHFSSLSSIEGLCTWFNFDDFSLDRLEE TSTA_122690 MSLKQEIETWVQALAHYDNNEYDEALRVFDNIADTSKILFNCGV IHATLGEHEKAVECYQRAVSLDNYLAVAYFQQGVSNFLLGDFEEALANFNDTLLYLRG NTSIDYEQLGLKFRLFSCEVLFNRGLSYIYLQQMEPGLQDLQFASKEKVTPDHDVIDE AIREQAEGYTVFSIPVGVVYRPNEAKVKNLKTKDYLGKARLVAASDRNNAFTGFQGAE MRKVIAVETAAKDDRPAENISYAATNLVQKNLMSRSRQQSEPPLGRNTFPPTPPPESD KNSTSSNASMVGGLTQRAASVRSGVAGGGGGRPPRLELDRPGGAGTNGRTGGDLPSME KSRIGTTRTASEPRGPASRQYSTSSRARDGRPRLYRETTGSSRRGGGDGGFDYVPEEG YAGEVIDMYATPRTNGNGYAPRPRQQQPRYIEEEPEYSSEYDDEIPEAEFEMMNEQPP PRQQRSRYSQPQSASSGGQGRRAPSSSRRRTMPEIRKFRVKVHALEDTRYIMIESNIG YGEFEGRIREKFGFRGLLRIRMRDDNDMITMGDQEDLDMLLNAARNEARRENQEMGKI EIWVEERI TSTA_122700 MTDLTPSVHDLLREKKKQLATDQDIPSTTVQNPKDVNEFLKEAY RINSHIQSLLHYLQSIRHSYLSINTNRKNLNEPRATSPTTKLPTSQTLSDTDRDSIDS STALLLRDLSSSISNLQSAEQLRQETERQLLNKKYGHFKGTGILWRWAAGESDTTTTP RDKEQIIAEETSRCIRMVRENVLWFLRRNLEQVAEVQRGMVEKRIERVREKEKSVLYK AIPPKMQLQQIQEEKPISREQQQRYEKASKLSTEETATIESQLSAEQLQLFAEENDLM LRHYEDTLSKVQNAEKSLLEISSLQQTLVSHLSTQEEYITQLVTDASTTAENVGKGNK ELKRASERRSTAQMVFWCTAGLCVWLVVWDAIF TSTA_122710 MAPPPHRLQLSPHNSLPTTTSATAVSRLSQLSSHIMGSTSTAGI FTAVNVPQAPEDPLFGLMAAYRKDPSDKKVDLGIGAYRDDNAKPWILPVVRKADDILK NDPELNHEYLPIAGLPQYTSAAQKLILGADSPAIKDNRVASFQTISGTGAVHLGALFL AKFHPHQQPRPTVYLSDPTWANHNQIFTNVGLSIAKYPYFSRETKGLDIEGMVSALNN APAGSIIVLHACAHNPTGVDPSPDQWKRIAEVVRAKNHFPFFDCAYQGFASGDLARDA WAIRYFVEQGFETCIAQSFAKNFGLYGERAGAYHFVSPPNQPDNSIQHIASQLAILQR SEISNPPAYGSRIASIILNDPKLFSEWEEDLRTMSGRIAEMRKGLRSRLEARQTPGSW EHITSQIGMFSFTGLSEKQVQILREKWHIYMTKNGRISMAGLNSHNIDYVAEAIDSVV RETQ TSTA_122710 MAPPPHRLQLSPHNSLPTTTSATAVSRLSQLSSHIMGSTSTAGI FTAVNVPQAPEDPLFGLMAAYRKDPSDKKVDLGIGAYRDDNAKPWILPVVRKADDILK NDPELNHEYLPIAGLPQYTSAAQKLILGADSPAIKDNRVASFQTISGTGAVHLGALFL AKFHPHQQPRPTVYLSDPTWANHNQIFTNVGLSIAKYPYFSRETKGLDIEGMVSALNN APAGSIIVLHACAHNPTGVDPSPDQWKRIAEVVRAKNHFPFFDCAYQGFASGDLARDA WAIRYFVEQGFETCIAQSFAKNFGLYGERAGAYHFVSPPNQPDNSIQHIASQLAILQR SEISNPPAYGSRIASIILNDPKLFSEWEEDLRTMSGRIAEMRKGLRSRLEARQTPGSW EHITSQIGMFSFTGLSEKQVQILREKWHIYMVTPLSPP TSTA_122720 MIPMIPYLFSLHNFPFCLVSLLVFFTVRVSAANIVSANPTNDTN ALTRQFGPLRFFLSGSSADRISLAPLTNNLRTLDYSSFQRFDIQGILYQTTPSNTASV TPQNIALISCDPSAYTGYLHVADTVSDVVTLSSGGPAAVLLYSVQSNHCNYTVNDPRV TYFNVFTIVNSTAAQLFLSNLPSTNDTVTAGITADMSTSSATTFGSGNSTSSGAGGTV SSSTTAVAMIILYTITGIITALFLGIIITGAVRAHRHPERYGPRNVTGRPRQSRAKGI ARAMLETIPIVKFGDDKDDGVDAAKRDLEMASRNDEASTHTSETGHEGVLAHDATTTT TTPTNEVAHPHPEPDQVTTAPAAAPHSVAETGNNNCPICTDDFVKGQDVRLLPCNHQF HPECIDPWLINVSGTCPLCRIDLNPVNSETAEDADSNTEEGETQHIDAVDPSNNQTSI NSNANYRHSRGLAGYLSDVRRARNAPVEERIEALRRLRESHQRQQEDDSAEDSQGRRR HLAMRLRDRFRIRTRQHGSES TSTA_122730 MKLEIETRQLQRAWKEFQESSLSQEQKQHPDDLIREIQDAIQYW SSHRQRVLFRKSIKWAEKCLETMNGHAVLMQALPDPKRYCDLFFGVVYSIVRAAREQY RVAEAFLKLLSRINHAVTSAVTSAVQMDSSTEKVATLYGQVFLFLSEFLRDYVSKARC RLLYSHNEDFKSNFKNLVTSVENLAAMRLESACADYTDKSCAALNHVDHTGLEKVGLE GDARRHVSQTTTVWQLIWSTRQQRLLNDKLAAEQTRILEAFLASLQAQVHQLEMDNEC TWSGSSVPHKTTRPPDSTKPGSKRRLLKVILQQDSSPLQDYFDNNEQIHPFGRDQRLE ISTSIAKALFDWTQTNSSVPLAIKGSKPVGLPGRLTLVSACYISVARKQNIPVISHFC AATPRPNKKAGLIALVYSLIRQLIELAPPMLDYDSNYDLSGDRFRRLNGTIGSWADAL SILDTLLRYRPPVLFCVIDALDVLEESGTADDQYVKGLVSILSSQSSRQPTTTQAPAT SGTTSAADDEAKTNGTDNMASTTTTSTTSTTSTTSTTSTTTTTTPTASIDPSPAQNGL LKVLFTTAGKCKALQDVLVDQGLGQVVTTPDTEHINVAAVDADEDVAMVDA TSTA_122740 MSRPGIGEKRFSANKFYPLLDDSSNNNNMANPNDATIDIPLTTV PSRGTGARKTNTNNLPSPTGYGNGSPNDEKPQHRPGPGRRKKTGAGESIQNGEESEGA LNTMGRIYFAVLNFSVITRYFIYVSPLALLIAIPIIIGTTAAPHAAIGGVRICWFFTW IEVIWISLWVSKIVAHFLPFLFQFFAGFVSPGTKKYALILRSLEIPLSLVGWAIVSLA TFIPIMTQNPTQRANNDTGEKSWQATVKQILFALLICTLIFLAEKALIRLISVSYHRK QYELRIKESKHNVELLGYLYDASRAMFPEYCKEFAEEDEIISSTILADKKKGHRRGDS VAPLRLIRNVGRNVGRVSDKVTAAFGNVAHEITGKNIFDTGSAHAIVTQALDKRHASE ALAKRIWMSFVVEGRDALYEEDIVEVMGAQREEEARECFHILDRDGNGDVSMEEMILT VAEFGRVRKSIARSMHDVDQAIHVLDSLLLTVALIIMILVFVSFVTTGAATVIAAGAT SLLSLSFVFATTAQEVLGSCVFLFVKHPFDVGDRVEINSQELFVEEISLLYTAFRTVA EQRVTQVANNVLNSAWIDNVTRSKAMRERISLFVDFGTTFADIQLLKIEMEKFVRDKD NNRDFQPDIEIEVISVGNMDKLELRIEIRHKSNWSNETVRAARRSKFMCALVLAIRKI PIYGPGGGGAALGDPSNPSYSVSITDEKAKEYREKAAEKKEEARLVPTSAMKELHSTL LPTVGASTGVETVTTSGGLQQRSGGSVKKLSEADFVGALNSRPVGYDLARPEETAQLY RIPSANSTHEQQALSPVQDMSDEQTSLLRAPSTGRRKESSTVPTLHQPSPLLMPPSAT GAIPANSGSSITSQQSQGRTDRYEIPSYYSAAPAATTTGTTPYGAPYEANNPYAGNYG NVSPAPSTAASQYRPAPPQYQSPPASAAASNTRAGQGPAGNAFAQQQQQPRSAPLDDE DN TSTA_122750 MPNMSTRTFQRSYIALESLSQSLRPRRSPVYYTYNPRRLQSSTP APPAPKQTSPSPPKPPPTTSEAPKSAPTTPNIAKTGLSDKPLDLSPNGYDPSSEKIDW TRSFHGISDQPFAKEVVSILLEEVNPEDVEIKPDGIVYLPEIKYRRILNKAFGPGGWG LVPRSESIVTPKTVTREYALICHGRLVSIARGEQDYFNPDGIPTATEGCKSNALVRCC KDLGVASELWDPRWIRKFKAQYTKEVFVEHVVNKRKSKIWIRKDEEVGYPWKVTK TSTA_122760 MSTGRAISSGRVNVLVYSGHGSTVESVRHCLYTLRRLLAPNYAV IPVTADMLIKEPWQSTCAMLVMPGGADLGYCQSLNGAGNRRVSQFVYNGGTYLGFCAG GYYGSKRCEFEVGNQKLQVIGERELAFFPGICRGCAFEGFVYHSEAGARAAEVRVSKS VFVEGRVPERFHCYYNGGGVFVDAESYADQGVEVLASYTEELSVDSGKGAAAVVYRKV GEGAVILTGPHPEFAAINLDKSAGGPEYAKVIDQLAADDTARTDFLKACLTKLGLQVS QETTTVPSLSCLHLSSVEPEYTQAIVSSLEEIMTKDERKEILKDDNDTFEIERADKTY QMDDLKDALPGDEKTGEKAIDDGIVDYNTIIKRLLIHTELPSSKLTPYFNHHAFYANL RHYQSMSKEAVVDFGRSIMYGEVVTSTNTMLEKNTRLLRRLPTGFTMTATVQVAGRGR GSNVWVSPAGALIFSTMVRHPMEKMQSAPIVFIQYLAAMAVVKGIKTYDKGYEELPIK MKWPNDVYAMDPSDPEKRKYTKISGILVNSHYSSEEYVSVVGIGINATNTSPTTSLNA VVEKFASRATVKSSPIILEKLLARVLTVFEELYIRFLRTGFDKQFEDMYYEDWLHMHQ IVTLEEEGGARARIKGITRDYGLLLAEELGWDDRPTGKVWQLQSDSNSFDFLRGLLKR KI TSTA_122770 MCIALISTAHPAYELIIIDNRDEYLHRPTAPANWWQSPNTHVLG SRDLARPEQGTWMGVTRQGRVAVLTNYREASPVGSVSRGAIVNDFLTETGPAHLSGDR NGTGKEKEKEKEKENGGVRTTRAFVQDMINSQIAAKAGGFSLVCGRIGEPLAIISNRM SDNIDSVTWIASTRGETVGLSNTFLKDRTWPKIINGEILTREAIDSHIAAYPHTHHHP TGGEEEADLIQRLFQVLSTNTMPYLSNTEPVKEQYMNQLKESIFIPLLGDRPQFEAEE EHLAHMGLAGYMTGLYGTQKQTVLLVDFNGRVKYVERTLYDEHVHPIPLGEGDRVFEF VIEGWEAKNLPL TSTA_122770 MCIALISTAHPAYELIIIDNRDEYLHRPTAPANWWQSPNTHVLG SRDLARPEQGTWMGVTRQGRVAVLTNYREASPVGSVSRGAIVNDFLTETGPAHLSGDR NGTGKEKEKEKEKENGGVRTTRAFVQDMINSQIAAKAGGFSLVCGRIGEPLAIISNRM SDNIDSVTWIASTRGETVGLSNTFLKDRTWPKIINGEILTREAIDSHIAAYPHTHHHP TGGEEEADLIQRLFQVLSTNTMPYLSNTEPVKEQYMNQLKESIFIPLLGDRPQFEAEE EHLAHMGLAGYMTGLYGTQKQTVLLVDFNGRVKYVERTLYDEHVHPIPLGEGDRVFEF VIEGWEAKSEQQNFSFL TSTA_122780 MFGIFADLLSSVITILFPAFASYKAIRSGNPAQLTPWLMYWVVL SGILLAESWTVFIIGWFPFYSWIRLFFLSYLVLPQTQGARILFQEYLDPFFEQHEREI EEFIGRSHERAKALGLQYFYQLIDIIREKVLGLPSQQQQAPPPPQPAGAAGYAQALLS RFNLPSPANVAPGAAAGSGADWFSMVTSALGAVTSTTIAQPQSREAQVEQLSASGVLN TEQYRHLSRSQKARLYSSQRERLEVLVSALEKEESKLHVTPGSSEDASDDDLAYGSGP AGLRKNASEHSFDQIDDDDLTGSRYTSNEAATGGGWASGWFGGSTATGESHKRPSTGS KRNVSDSVDFAARSVDEIGRASGFSR TSTA_122790 MPTTTAHTISPTTTITAASTPSFLLGPLTTTFTAPSQCSQAYWA SASDRVYNPTLGQTCASLSGGDITYTQDNHCLPPVNKSTIIGSLVGASTITTTSYQYT GYYSPGIACPAGYTTACAAQAGTDGIQSVLSGWQSFDFVYSATAAETVVGCCPRGYQC QQLASSQWCQQVIPTTTQPVITCLNVTNSASPSTTEFTLPTMVTLSTTQTLLSSVVTS TFSLTTLSLLATMVQIKFQATDLPSSSSSSSSSSSSSLPTTTGTSSSGNNHKTTTIAI AVVIPCIALIAAAGLAWFWYRRKNPNKRNTASYQTAQSEPSDMYYHHASGAFTEVSGE PVRSEMAGSAPQYEMDGTQSKTVAYEMDTGDEVARYGREQNRSLK TSTA_122800 MSSNQSREQTTSSSPLANSAASTRTYANATQKLDSELASAHHGN SPSTTLNTVNGKLSMQNPQTSGVTIVNGAPPVHATDHTRKQSVTITSAGATGFIPNGG PAGNPNRNSIQFGSVDQQNSPAMSSPALPVSQPHSSLNVAQPVNPRITSPSTSPSPIP QPASSGGRPPSTYQAPGNAPNFGSFGEASGDAMRMGQNNLGPGPQATHLRRESSQSQH SDMGGHIPGGPGGPARGGYQGGRGRGYSGSGYQNQMGYQSGPNYRATPNQPRGGPNMG APFHAPNHGRPIPYPSSPHQAARSPAMSNANPVTPQMSHVPMSHSQMANQHYGAYNQH MGPQNVRTRNFSSSSLNINKSWKNKKMDPYQKSNWRQKSSQGTQSDESSVTLPKRPPV IYPMDPPPPPPPPPAPRQSSINLNLNLAPESGQFEQFLTMVKNQNYPHGGYDPNSGYY YPAYGVQQNMYMPPSPQPRPGIPYGQPTQFMPNQFPAGAVPPPVQSTPLSRSTSQISN DRPGSSLGQGPAAAVAPAPGHAHTESRSSNSPAPQKNHFIIPTPKKSAIVIKDPNSGA VKSFEKQPASPARATPSPVKGSAPTPPPRATSGVDHARTESKSVKTDEEKKKELRDAV RMKIEQDEAEQRRKEEDARKAIESLSIADKEESKAKAEPTATPAAEPTKEEVKPEVEE PVVEKKAEEKAAPSAPAGGDDEIDYDAIERELAEIEAREAAAEAEYQKKKQAQKEEAE RKKKEEEEAYERNMKQAEREAEAAEEARLKKLEAGGAAEEDSSKLFASLRKGGLPASE SPAPQTPDDSGAATPVSQSDASMGPPSKPASVSKAKPGPLKLETKPVEPPQPSAALKS LHSAKFLDSLANVTYPPSIASPNPALNASAPSDRKFKYNKEFLLQFQNVFKEKPTLDW DLRIRETVGDSDTSRPQSARTPGAGRTASRGGIPSNFKMGQFGGGSTPSVGTPRDAFP NPTGRMPSMGSGPFGPFGRPGSMGPGSLSRPGSSAALHNVPQSPRPGSSRTGTRTGSR RDKQSAKKEEETNKAMPLTAGLSLKPLEISSSGWKPRSVAQATAGPTPGGESDYMPPD VVQRKVKANLNKMTPENFDRIAQQILDIVSQSKNETDGRTLRQVIQLTFEKATDEAHW APMYAKFCKRMLENMSPEIKDENIKDKAGNVVAGGSLFRKYLLNRCQEEFERGWKVNL PPKPEGDSEAAALMSDEYYIAAAAKRRGLGLVKFIGELYKLGMLTERIMHECVKKLVD YEGVPDEAEVESLTSLLRTIGASLDVSEKGHAMMDAYFGRISMMMETPGLPSRLKFML MDVVDLRAANWVSKGGDKGPKTLQEIREEAARAQQEAEMERLRQQASRGGGGRMPMGR GDARNFSGDYRNQAPPPDYTSSKVGSDDLRRLKTSRTINQPASFGPSSMFGSRSSSGR KNLGPGGNLVRGGEDSGASSRTGTPPAGKKDEKEAASSVNAFSALASLEDNMATSPPS NPTSPELTKSQPVKNEGESA TSTA_122810 MTSATTSPDPVAPILNLPITTESSADSTTSSRSDNRRISFAGED PPRRRSAQSSGSDSQPLPESTIVSSGRQTPVLDGRFPKRISSPPPPSTYQRGVSFDTF DNRDATDGSFTLKYKHKNYQATRRSRTFLCGTDQNDYSEFALEWLIDELVDDGDEVIC LWVVEKDSKIASDASMDEGRYRKEAEKLLSQVIAKNQHDEKAISLVLELAVGKVQDII QRMIRIYEPAVLIVGTRGRSLSGMQGLLPGSVSKYCLQQSPIPVVVVRPSTKREKKKK KRLADPTRRSYGNILQISEQQGSGLFNKQVSSDTNVAKLPADEAAAVAEAVGLPRHFQ HAHTGSDSRLSTTSSTEEDNSKDALRSDSPQLSPDIEDENAGRVLKSPGLGNLDTPPD SEDESDTETTRGRDPSSSDVDETTPSDLSITETEEDITPLTSKLQLADAS TSTA_122820 MTTQTNASVAGNLSKIIVVGAGPSGLLLSILLAKHGINVELLEA TERLDEQPRAAHYASPAVYELRRAGVIDDVIESGFKPTSACWRKANGEIIAGMRFDVV PDDPERMVVLPLDRLGKLLYAHLQRQPLAKIYWGHRVTEIGEENGKAWVNAETASGPV KFEGDYVVGADGANSTIRKLLFGPNSFEGETLNAAIIATNVIHDFTKYGYWDTNYIVD PKNWHMVAKIGRDDHLYRVSYSEVPGLTPEEYKRRQPNRYREILPGNPEPSEYTITNI NPYKLQQRCAPSFRKGKFVLMADAAHVCNPFGGLGLTGGIADLGSLFDCLYGIHQGLA DDGILDRYAEIRRKIYREVINPLSRENFRRLWGQDPDKAKENDEFFKLLNRAETDKEL AKELTLGLNILRHDFTQYYKKDVSS TSTA_122830 MADPFSRLPLELKLIILGLLQEDGTKRHLLHCLTVNFVWFTLIV RLLYKDIKLRAIWHLRDSPNSEKYLKHVRTLILGLTDNRFHPDIIIKWAKPPLPNLRK ISFMPGSLLEGFDVDYIIPYLQPSVTSVELCCVRWTSDLLSALKETCPDLTELNIKRP FKDEDFTLDAKGFKDWLQAFDSLKSVSVFRRGGYTAHPHDDIEDDLANLLSWPEVRNL LLRPLRRLRLECPLKEWHNIYAYPPFCTLRELSVCVKLEDIPYLLNFTDLRGLHIQID GKIGPEVNVLSSFRQMTRLRSLRIMFTDSYELKRDQIMSLTSLKKLEMLEVSCRDRER VNEDEYSWGGITQYVPITVKGPFDDNDFDQLASSLPRLRHACLLFDWKELTSNHVLKS AAFLWRQLEYLCLGYHIDLSESILMSQNGEPVFPKLKELVNLRALIGDLVTDCEVLKV DHDARYAYTNRLRDRLQAACPRLEQITFGEFYLQDGCPEHEPLNMRGMINLFMGPCWN WSRDARKGVWDFDYIE TSTA_122840 MDGLKIEPLQSLQSKDQAALLDTVDELRSHGVNRYVSLPQLIVC GDQSSGKSSVLEAISGVHFPVNDGLCTRFTTEVILRRATNNLATVKLIAPQDASRSHK EKLFQFQKSSINHAEIPNLISEAKSFMGLTGGSSFSRDVLQLEVSGPKLPHLTLVDLP GLIHHPNKEQTEDDVRIPRELVKKYMSQERSIVLAIVTAKNDTSNQVVLEMAKAADPQ GNRTIGIITKPDSLIRDSPNESNFFALASNKETYFKLDWHVLRNGDFNERKDSTFDRD AVEKEFFLSTIWKNLPSHKRGVEALRTRLSHVLYQQIQKELPSLLKEIELELTACKTT MDRLGSPRDTPNSRRNYLSDMANRFNDMVRAGVNGFYQDPFFRSGNTGILGGPETQKT RLRANVRKAEQGFLDKMYQYGHSYVIVPQGSNPVIHPEYKAYQVVVNEQSFLREIRDH LNDSKGRELPGTFSPLLVGELFIRQSTNWKPFAIEFVESVWDIVKQFLDDVLAYVADE SVREALLDEVIDPAMDAILENLKEKVTELHSPYARGYPATLNPRFVKELQNRRSQQAE NPPAVTESFSPKPDADDADTHACRELLHLMQAYYTVALDVFVDNVSSLAVENCLMSAL EGLLCVSQMEDEVIENIVFESQEVRELRSQTLEKQSSLEKSFAICRRQARKLASNRLN DDARNEAQKTESVLASITNGVAGVKVSEPTATTPMKSEVINPAKSQQPRQTPWGQSGV FGTGGQAAANTLGSSLFSTPTPTTPQTTPAPSLFPTKTSSSSFFATPISGPFSSSQGF GSPEGRANQSSNGSPAFGKNPTPFSDSSGSQLGPKPVGSTPPPQPSTLFQAAPAQPNA PKKVDFSYFKDIPTSLRVFSKLPKGSDGYAGWTDSAAHDVFKHISCGFQYIGMFKIDC NYSAEELRLKDYYTLPLTEDNSC TSTA_122840 MDGLKIEPLQSLQSKDQAALLDTVDELRSHGVNRYVSLPQLIVC GDQSSGKSSVLEAISGVHFPVNDGLCTRFTTEVILRRATNNLATVKLIAPQDASRSHK EKLFQFQKSSINHAEIPNLISEAKSFMGLTGGSSFSRDVLQLEVSGPKLPHLTLVDLP GLIHHPNKEQTEDDVRIPRELVKKYMSQERSIVLAIVTAKNDTSNQVVLEMAKAADPQ GNRTIGIITKPDSLIRDSPNESNFFALASNKETYFKLDWHVLRNGDFNERKDSTFDRD AVEKEFFLSTIWKNLPSHKRGVEALRTRLSHVLYQQIQKELPSLLKEIELELTACKTT MDRLGSPRDTPNSRRNYLSDMANRFNDMVRAGVNGFYQDPFFRSGNTGILGGPETQKT RLRANVRKAEQGFLDKMYQYGHSYVIVPQGSNPVIHPEYKAYQVVVNEQSFLREIRDH LNDSKGRELPGTFSPLLVGELFIRQSTNWKPFAIEFVESVWDIVKQFLDDVLAYVADE SVREALLDEVIDPAMDAILENLKEKVTELHSPYARGYPATLNPRFVKELQNRRSQQAE NPPAVTESFSPKPDADDADTHACRELLHLMQAYYTVALDVFVDNVSSLAVENCLMSAL EGLLCVSQMEDEVIENIVFESQEVRELRSQTLEKQSSLEKSFAICRRQARKLASNRLN DDARNEAQKTESVLASITNGVAGVKVSEPTATTPMKSEVINPAKSQQPRQTPWGQSGV FGTGGQAAANTLGSSLFSTPTPTTPQTTPAPSLFPTKTSSSSFFATPISGPFSSSQGF GSPEGRANQSSNGSPAFGKNPTPFSDSSGSQLGPKPVGSTPPPQPSTLFQAAPAQPNA PKKGKAIQLFHVP TSTA_122850 MSMTRPKDLPNAVVTEKDTTNVRRLRRWAPKARTGCLTCKIRRI KCDEIKPSCKKCTSTGRKCDGYEDCAVDTQPIGSIRSSTSVAKKTTRSRALVPAAAPI YSVSWLPFYGGELQKRNFDFFQSVTSSALSSFYGSEFWASETLQVAHQYPALFHAITA FGSIHHGYLSDTTPLDVPRTGKNDHIEFGLQEFNKAIKSMSALISQKELSAQDQQAIL TTCILFTGLSSLQGRQSQAFMHVKNGLRMIHHWRLNSRLISSKEKNAVDMLLLTFIQL DTQIRPYLAGQESFLQWIDEVIVSPSSRRRIFRTVFEAYFDLEIFLNELFRLDFNAYS FDQLYIEIDLLNKQADIWDRQFGQLLSTITPNISDMDALVAIRLRREYARAILSSLVV NGKDNVHDEMLPTYQVIVNLAQKILQKDSRASLSGVPNNRKLEHPIFVLGSTVVEPLF LAATRCREPILRRQALQLLRQCPRREGICEGMMAVRIVQEVIEVEEEGCLRSVDWRRR HSASPTTASPYRSTSPCRQFLPSRGCPDSLTQNNFRGTTELPDGFMNHINGPISPNIE EMKTIRSKIPTEKSQCSEKGKWVCEQHRVVDTQFLLLTERQLKIVIWTKEDRESNRMG REMVLTWW TSTA_122860 MKNCLGRVLVLIKGLQKVHCSSPSDATTTYGERIELPRNAPIAD LWVKIDYEPCFHEYKEVQVIADEASIGANFIHINEHLVAFTNRGTDVTFGLKSGPISL SGEAQVSTKLDVLEIDDILTIK TSTA_122870 MAPSQENDNAVSAGVQQDDLTIPLINFSTFLNGDSKTKQTTASA ILHAFRTSGFLYLSNAGISPSTVQTVFSKSAKFFNRPEEQKEALTWETPESNRGYVRF GREKVTQSADPEEILRLRTSNPDYKETMEIGREGVEGLPNKWPDSFDEEGKEFTRVMR EFHDTCKKLHMQVMRAIALGMGYEETFFDEYTDAGDNNLRLLHYPPVLKSVFENNPDA VRAGPHSDYGSITLLFQDDIGGLEVKSPQNTWVRAVPIKDTIVVNAGDLLARWSNDTI KSTNHRVVQPPAPSTSDESKDESDLYPTRYSVAYFCNPNFDKIIEALPGTYGDVSEKK YQPIKSGDYLTMRLAATY TSTA_122880 MKWTYLSLALATASAVALPSPNIPAEEPSPQLQPEGGDDDVCYP DWEDDLLNLLHELPGVDDYCRHLLIIPPVVITNTDSAIPNPKTIWHTNTVTDTETWTR TATDIVWQTVTGSPLTATQYVTITNTESSPATVTDTEFATITGYVTADVTESVFDVTQ TGTDFFTNVAYNTQSVYVTEAITERYTNTITSYSTLVVTDYITLTLPAYVSNYITDVT TQTITNYHTATLTLSYTDTTTATESNIESITLTVPWTVTTSQTTLPTVVQTEIDTLSS TVPVTQVIDTTSTATTFITNTFTATTTAPTISTMVPVRRHALGEPKPGSDYPIPEELK DYSRQELRSACFELHVPAATETVWVTQALPRPTVTSTTTTHVPADGVQTVVVTKYVTD YVSLAQDPVTIAETNTVDLTVSYTVTADIIKTADLTLTSTETDVATITATEDQWATVT DWATFDATVDATSSVTVTVDVSETASVTGWVTTTAIPTVTSDVTVTQPVSETVDVTID QTATTTVDITNTQTVATTSTSVVTTTIDVLAISTFTSTKSVTVVVTAPVTVPTTVYTT VPLTQTVQSVSTIDVTITKTVSSTTTTVTPSSASTCGVNLFRNGDFATAGYSSWTVNP TGSPNVTVVTPGYQNGPSLSIGLPNSSSSVNLIQTVNTVPGKVYTFSMLYNGVIRGSG STIVCSFSNAAATSLTIQLNSVAADQWFSISECVGPSSSSVTLTCRFHSTNMAQIEVD SFSLKC TSTA_122890 MINQEIPHYSNWIDGAYSPPSSSTLPVINPATEATIGTVDATSH DEVQEIVTKSWKNFNQGAWSTAEASERFAVLSKAATLLRARIPEFVQLEVQQTGRPIR EMRAQLARIPEWLEYFASLARVQEGAVTPFKGPVVNTLIRLPLGVVAQITPWNHPLLI SIKKIGAALAAGNVVIVKPSELAPISILQLGPLFKEAGLPDGTLQIISGYGRETGKYL CEAPNLSKIDLTGGVATYQAIASSASLNMIPITAELGGKAPVCIFESMNVEHAVKAAL FASFIASGQTCVTGSRLLVHTDIYDSFVEMLGKRTKALRLGDPTDPRTQIGAVINKAA VERCAAFVSRATTQEGENGKLLCGGKPATHAGKGYFFEPTLIETNAESYLACNEVFGP VIAILRCKSEDEIVDIANGTNYALGASIWTQDFTQAHRVAGKIEAGIVWVNGHHLNDP SSPWGGFKDSGLGKENGREAYESYTKVKSIVYNYGVMPTWFDDEDVLGNARICIEHMV LRTTSGSGCNLE TSTA_122900 MAILSLKRALVSAILLISTSHRASAQDTFSFLPSAATSNFPACG LQCQLLLEAQSACVPPQARVSNTDTYVSCFCQSSLISGLPYTASMCPTCTSASDQQLL INWYKSYCAGGFRSTLTNTATATTSTVSRTTSTTSTTSTTTAPARKGTSSSSTGVSSD SKSWFSTHWQWVLMLIILVIGFSALAAGAVWLKKRLDAKQPGLYHGDDRRDGGASGGG GGPAAAFLPGSLRNLSRNNLNSNRASTTNNSSTSNVVAGSSRIPKQPSMSTMSFSNRR SLSNSISNQNTNNGHQMWGPNQARDFDNVAPVEEPVFRNSMASAAAAAAFRNGGAGST TSEGAEGIGIATTTANNSRTDISSVGTGDGRATPRNLNRLSMQSASASNLHQQQQMLQ QQQPLLHQQQSQRELQRPKSASPVSSIHD TSTA_122910 MPEAKNHTESVSNKRRLSDVANEPQTENGDDSSSDDDFGPALPS AAAPKKKRRKLAFEKVYVNALPTSQRYSKSLMHRDQLSFVNFTPSTDFLITSSIDGVV KFWKKMAVGVEFVKEFRAHAGEITSTTVSADGRSFATAGTDKTVKIFDIITFDLLAMF DLVFTPRCVCWVHKRGASLPLLAVTDEATPSITIFDGRGENREPLHTLTSIHRKPVVA MAFNNIYDCVISADEAGMIEYWRPSDDFSKPDNVFELKSSTNLFEFRKKKSVPSSITI SPSGQQFATFSFPDRQVRVFDFQSGKLYRTYDESLTTITDMQEAGTALERLGEVEFGR RIAVEREIENPVTRSKINVIFDESGYFILYGSLHGIKCINTFTNRVARVFGKDEPFRA LNLAVYQGQPQKKGVITVSMAASSNPLLQESEERDPILVSTGFGKVRFYMFTNETEIN KSTRDIQNEKPREGDITSKESQTKKTESGTSAILHTTMGDIHLRLFPQIAPLAVENFV THARHGYYDNTIFHRVIRKFMIQGGDPLGDGTGGESIWGGEFKDEISPTIKHDKPYTL SMANAGPNTNGSQFFITTEKTPWLDGKHTIFGRAVQGLDVVHRIENVRVKKEKPEEDV KIVSISVS TSTA_122920 MAGNNPTQIFADDVVEEKGENARLSAFVGAIAVGDLVKSTLGPK GMDKILQSASTGEILVTNDGATILKSIALDNAAAKVLVNISKVQDDEVGDGTTSVAVL AAELLRQAEILVDKKIHPQTIIDGYRIASKAALAALEKSAVDRSNDPIAFRKDLHAIA RTTLSSKVLAQDREHFATLACDAVLRLKGSTDLSHIQIIKKAGGKLSESYLDEGFILD KKFGVNQPKRLENAKILVANTAMDTDKIKIFGARVKVDSTGKLAELEKAEREKMRQKV EKIKSHGINCFVNRQLIYNWPEQLFSEAGIASIEHADFDGVERLALVTGAEIASTFDN PEQVQLGHADLVEEVIIGEDSLLRFSGVAKGEACTIVLRGSTEQLLDEAERSLHDALA VLSQTVKEPKVTLGGGCAEMTMSLAVEQAAQNTTGKKQLAVDAFALALRQLPTILADN AGLDSSDLVARLRQAISKGMASSGLDLFENKISNMAELGVVEAYKLKRAVVSSASEAA ELLLRVDNIIRAAPRKRERM TSTA_122930 MELPASLCFATPLMIIRLWRFNYQGTGFGLFYYYLVLFYTDFLS NINRSVAPLSPRLRLSLYELTDSRLQDFSLSTIAIPTASASIFTNTIPISNGQVTTVT ITYAPAATSSAGNTTAISSPSDTPTSGNSGDSSSSGSSISSNAQKSSSGLSTGAKIGI GVGAGVGGLAIIGGIIALIVYCCARRRRETTAVGTNIVYAPANNAEDDKADAPVVTPI YHEHKPELDSQQQEQRLQQQVHDQQHNPAGLAAPVSPVSDDPSSTTGRTSELHSESQG YYQSPDGGAQELGAVSSYQHHYQYHLGVVTQAEMPADQGQGHGNTRHELQ TSTA_122940 MDFKTLMLQALDATKDDQIDFLQKFVRVPSPNPPGHTATAAAVV IDFLSSKGIPFQIIEPQPGQPNVVSEFQGNSGPGGPRVVLNGHIDVFPVAEDTRSNWE RDPWSGDIVDNRIHGRGVVDMKSGTASLVIAYAHLYEMRAHLTGSVSLCVVSDEETGG QWGTKYIIQQDRNKWGGTVMLSAEPAGCKTIRFSEKGTLRMTCTVKTKGAHGAYLNLS KGAIRTATSFIAEVIDAVEGMKVEAPQELIDQTAKPEVKRLINETMGQGTSGIILGST VNVGTIKGGVKVNMIPDTCIFELDIRMPVGLLREQVLGLIQQSVIPKYSPAATIEIDV HEAASNPFSYSSPNHPMVTLLADNAESFTTIRPLAIPSMGATDCKHYRYAGVPAFVYG CSPATMASVNESASISEFLHVTKVHAGATWDYLNM TSTA_122950 MFKTSANPSGSYLPFFDLYIKDINQKQPLKLDEGLPKRMWLMMG TLGSKPQCPSQKTKIVEGGMSGHLMNFNPKNAGPLHEFLRPRGGNNGNNEIQRYAQPL AVAKDAYQMVLCPFNLEPGKWEYEMVGEFNFTYKDGEGESEGNMRMEIDITFWFEVEK NGENARKTLKILEMKPPQIAYYAEKEGRLMPVYVSDPVEERSA TSTA_122960 MFVNLLWILCLALSRFVLGQTDNGIQNDPISVFVTSPGSGSGNY VFALNVPSNSGDIYFHLSGPAAYSWVAVGTGSKMKDSLMFIAYLNSTGNGVTLSPRIS TDETEPSYSSSINVETLSGTGVFNGTISVNARCTNCTKWNKGSLNLKSTSQSFIYGLG PSSGSLKKLQSDSLSAGIERHSVYGNFVMNMTKATGGTDGTTLLSTTFSSVTTSSGAS ADGDQTHDSNWPVIIHAVALSVAFIILMPGGAILLRIIPASVRWHWVNQSVATILAGI GGVIGLWLSTMYNRSSSYNSAHQVLGIICVVAVLVQWFLGFWHHWQYKKHQTPTKYGA VHLHMGRIILVLAIVVGAIGLSWSSVKTGVIIAYVVIAGVIVVVVLALSMWKRHFSES LSFLPAFGPSKRSVNSEGSYENLADPFSNRYEYRSYESNTPLASYPNANNSR TSTA_122970 MDLRYEAMGQINNNFLNSKVTLLVDRDLMEIDIDRPSLEAKEAI VATLVVCDWNVRAWTLLEGMRGCWNLHILCKDNHIISLGDTLNDVLAYSNLTLIPPCL ALQHYVPTDDRPVEGEIPPAKIEQATCLLNHRHATKDRDVTLIWSLVCGSKLCKASVD FWKSTVGNFLSTGFLVFSSPRLQGEYGFSWAPSHPNLLPPTAGTPSTEQYPAYDGEDS VAGRVTEQGFKAQWLACLIGRSRALPTWLRLKFFEQDESEVPSYIYIYNKEAYTVIAP VFKKYLWVSLLLPAVRDRGASGAASPPRPFQYQGEAKGPLLVIAGSNDGNEWEWQFVH EWDTSFQLPEFVLKDLLFV TSTA_122980 MIYPTLQDLSRAQVAEILDRNTYDPTQKPGWLLPIEMRFRLFTN SGDPLNIVVLDSTDIKARLDQWCRDAIVFLDPKSENLGSHVEKLDDLLSPLIICSFLV HKQKWSRDEIIDRACALLARIPSYPPEIPYEYTGKRGQSHAEGPWPEEYLATPSSSSP TTTARTLQNEYKWSNLRVLSKPSTNVVRIALFLVMQRSVPVGFLSAYLDALVTLLDTA TEFLLQNSKSTADARAWREALEKMRPNYMCKWAFELLRTDLSSVTQDFRRMFEIYNVH FGDRAPRCNVNPTVAGKDNSSSSGKLRVCDGRAPGNCQRFESEGVQEQSAHDVECLGS ACGRPTWDEQSYKNIKGARAVSLEETDEEYIRYCPVSTETMAVSHV TSTA_122990 MDTISKVLNQCLKDFTALTTSDDLTRYETEVPHRRWLDELGRLR VWSGNIGAHQVGQSSLDYRLRDASHLKSEAIKLLSRMLRVLQDVKEVANEGRDENVDI ELEDEDDNMDDMTEIQQLYQSLVDIINLLFQISMAIRKPADHDRLLNMKTKNESYFEP WAQQHISHKYPEAGNGVVSRLSAAMARQKAILKYRERHRAKLGKGLFEYIGTDSTKLS ETEATEMATGNDQLHFLETASNSGLSQTSYATSLITTQDAISIPNPPRKSRDKKPFEC PYCFHVISIKHKKDWARHVFRDLMPYACLSRDCPTPSKLYESRHQWSHHMREIHPEVA AAQNGFTCPLCQINVQPPASFEKHVGRHLEELALFVLPRTDLSEEDNSEVSSPATSII AVGNDSDEIIGSEGIKSDVGPHSSHGVASGDDSQHIRSLESEQFGPNVDESLLNDKWE EQCPEQLGQLKKVEGEKCLAKDERNAEYPEEILEIERLQKMARPPQDVTKNEELPELL RRGNYDKPIKSALEARELRENAIEMKGKAIEECEEQNKEFNDPSKAEHGSKEYEVVTV TQPKNPNVHVQHGKDSRSQESLERTATIWQDAIDSVDDSSVVNALEQNIRGHNFTLSV GHQNQEPARIADEFEVESSHGIRTGGVDDTTAYRYTNASEIFEQELGARVRARLLYGQ GVAKGQPMLSRRLSESGIFSKKQEILFQELVPENPGQAAQSKRRAGRAPVYDANVSDQ GEVAYYSSDSSLENHGGGPRRPTYPSYNRLTAPQKEPTSSDKQEGIEARPSTDTSTSP RGILEPPQAKFPEEPNPVHEGVTPLKDALEKGVPARARWTKIDRRLVNPAALEAGLER FEERPEYVIVLRVLTKEEIQAYALKTQEIRDARYRRRRRGE TSTA_123000 MSGAEVAGIVLAVLPLVVNQLDTYARGLETIKGLRRYRWELEGY SSTLSAQYAIFLNTLEIFLQDVVDDHDERSGLISNPNGPGWKDAQFRKGLAEKLGRDY NAFTGTVTALCSLLEEVSNKLGRHTLDYSKAASINSLGSIRFRKILSKAIYEDILNKI DKVNQILKTLSDQSYQLDQARKGRGRWERGLKGHRDSRRHARVLYDILVRGQGWKCPC RNDHTVCFRLDLNTIHSSRNTEHPEKKTRFLIMLSSANKEAQRPHTHNQWYEIELQPE LIEQTVPTHLKERSTPISEGKRKVQFVATSSTTICVETRYKGLNNPGPIGDLCSTLSS VDMTDPHVHQEFIGYILNQSSDARYNIRLLRSMEQDINLHSLQEILAGSPSSLTASIQ DSDELSRRDRLYLAAVLACGVLQLHGSWLKQQWGTKDVLFAQNLHRGYTTFDHPYLVW HVIGPSRTRWESSILSEYTASGGHRIQNEILLPLAVALIELSLGKTISALYRPEDKDS RESQLHFNTATRVLRSVYCESGSSYGDVVKECLYWSRSKGERFEDPQFDECVFDTVVS PLLKDLDYFEGISHMK TSTA_123010 MAEIERSSVDRKQRILSRREIEGLIAEGRHIVIYEGRVLKTDAW LQYHPGGKISIQHMVGRDATDEINVLHSVEARQRMLSYQIGRIQGRWNNFLPPIQGGQ FRFYNKDEPSASDDSSDTSSRPSSPVFDEPIDENTGLRRRNSVSTVASSIPPTPSHDE KSESRFSFLDARTRQEIVLDIAKYPALDARSQDFIVQKYRELERKIEAKGLYTCNYWA YFRECCRYSLFAALSYIFLQWGWYMLSAFFLGCLWHQLVFTVHDAGHMGITHYLQVDS TIGMTIASYIGGLSLCWWKHNHNVHHIVTNAPEHDPDIQHLPFFAISHRLLTNLRSTY YGIVMKYDFAAKIFLRVQNYLYYPIMLFARFNLYALSYGHLFGGKGPKKGPSWWHRWY EIGGVLFFWTWFGYGVLYRSLPNWSTRIGYLLISHMVTSPLHVQITLSHFAMSTADLG VNESFPQKMLRTTMDVDCPTWLDFFHGGLQFQAIHHLFPRIPRHNLRATQRLVLEFCR EVEIPYAIFTFVDGNKEVISRLADIAKQAKMMEECRKSIAREGVFSGH TSTA_123030 MESLLFTSEQNSSILFKDGDINFFGPTADAAFDFTPLFEDTFFS LIPSALLLLVLPYRLFTLRGQRPKVARGGFLYEAKLLFMVAFAAMNLVLLAVHALNSS ERTKVTIAAAALTFVSTLGLCVLSHLEHIRSIRPSVIMNGYLLFTLIFDIARLRTLFI TYASRSIAGCFASMVAVKVMVLFIEATEKRGILLEPYRNLSPEETSGIYSRSFFFWLN WLMTTGFRRLLHNNDLYPIDTEMSSAVLREKMQQVWNASSKESSRSLFWAVLRANLRP FLLCIIPRLSQGAFRYAQPFLLARTISFANDLSQPEDIGWGLTGGFFFVLLGLAVSNG WYYHMTYRLMTSVRGSLISIIYSKTVDLSITALDESVAVTLMSSDTQTICNGFQFIHE FWAVPLELAVAVYLLSRQLGVVCAAPVVLALVSTVGILAIANLMGQAQKSWMKSIQTR VDVTATMLGSMKSVKMLGFTDWLGEMVQGLRVTELAVASLFRKLLIFRVALANLMLTL APFTTFAFYSIVLASQGHILDAETAYTVLTLISLLGTPMNDMIRTVPMMNAAMASLNR IQGFLQSDARRDNRLYLDDSASTLTDPPVEEEGIQLQSPANSAHTQHSQLIVARDVSF SWTHDEKPVVRDVNFSVSRGHLCIIIGPVGSGKSTLLKGILGETLSTKGFLYTNFEEC AFVDQTPWIRNATLRDNIIGLSDFDEEWYRTVIKGCALDQDIAILPNGHFTNVGTAGI SLSGGQKQRVALARAVYARKNVILLDDIFSGLDADTEERIFARLFSQQGLFRKLGSTV LLVTHAVHRLSYADLIIAMTADGSIAEQGSFDLLKASAGYVAMLEAQYKAEKAEEEDT KQNQNGAHTTAIEEEKEEQIIQQESTELHIAQEDLTRQSGDLSLYAYYLRSVHWASSA FWASCYILCGVANKLSEFVVNMWTEATEIEGNSANGFYLGIYGLLAFITIFGLVVGAY HYILYFAPKSAKNLHQRLLTAVMNAPLSFFTSVDVGTTTNRFSQDMTLIDNDLPYAMV DFMLSLSMGIMSAILMCISARYFAATMPPILLLMWMLQKFYLRTSRQMRLLDLEAKSP LFSQFIESLSGLVTIRAFGWSSRFEEQNLILLDASQKPYYLLFCIQRWLELTLDLTVT GLGVILMVMIVKLRSEVSAGYVGLAILNVITFSQSLSEIIRMWTNLETSIGSIARIRE FVKSTENENRPDEDLPLVDGDSQPWPPKGAIDFRNISASYNNKSGNKKLIIKNLSLSI RAGEKIGICGRSGSGKSSLLATLFRMLEIEAESCITIDGVDITRAPRQKLRAALNAIP QEPFLIRGTVRVNADPLGTHTTEEITEALRSVELWDLVQEKGGIEADLDSNFFSHGQR QLFSLARALLRGGKIIVLDEVTSNVDVVSDALMQRVIREKFSDCTILAVAHRLDTIMD FDRVALMQDGELVELDSPQALLARESAFRELYESKSSSS TSTA_123040 MAFPEASLWHLTNQWLEWDQDEVTRKEILKFKETKNEAELEKCL RNRIQFGTAGLRGEMRAGFAFMNSLTVIQASQGLAKFLKARDEKRAIQNGVVVGADAR HNSHKFAILVANAMVAMGFKVHFLGSEIATPLVAWATGHTGAAAGVMITASHNPAKDN GYKVYLRGGVQVNTPWDAEISQHIKDNLVPWAGAWKFSKNAHFDKGAYADIMFKWCDA VVKYAKSTVPKVLLPTAFMYTPLHGTGSISLPHIMHQTGIGTRMLSVDKQFEPDPDFP TVPFPNPEEDHALDLAMIAAEANGRDLLIANDPDADRFAVAQIIPERGSWYTFTGNQI GVLFAAHIIENLKFPCEQKGYYMLNTTVSTTMLSKMCAAHGIHYRQTLTGFKWMGSIA RDLEQEGYKVPFAFEEAIGYMFPGTKCYDKDGLTAAVVFLLAEAYWRRQGMTPFDKLQ ELFKEYGHHETFNHYFRSPNPQTTADLFNKIRAGNDKWRAGETFGQKTIFTITRWRDM TLGYDSATSDNKPDLPVDNGSHMITLWLDNDIVFTLRASGTEPKVKFYIESWDVDQDV AISRVCDVFDAILSEWIKPLAPTMTWADELFTSSSHLYGRSLAD TSTA_123050 MFRSMLPARSALRIARPQAVPSSAIPAPSLSLFGRRGYASQAEE HDLVIIGGGVAGYVAAIKAGQEGLKTACIEKRGTLGGTCLNVGCIPSKSLLNNSHLYH QILHDTKKRGIEVGDVKLNLQQMLKAKDTSVEGLTKGVEFLLKKNGVDYVKGTGSFLN ENEVKVNLTEGGERTLRGKNIIIATGSESTPFPGLEVDEERIVTSTGALSLKEVPKKM VVIGGGIIGLEMASVWSRLGAEVTVVEFLGQIGGPGMDAEISKAAQKILAKQGIKFLT NTKVTKGDTSGSTISISTEAAKGGKEQTLDADVVLVAIGRRPYTEGLGLENIGIEADE RGRLIIDQEYRTKLPHIRVIGDVTFGPMLAHKAEEEAVAAIEYITKGHGHVNYAAIPS VMYTHPEVAWVGQSEEELKKAGIKYNKGTFPFSANSRAKTNLETEGLVKFLSDAETDR ILGVHILGPNAGEMIAEATLAVEYGASSEDVARTCHAHPTLAEAFKEAAMATYSKAIH F TSTA_123060 MSDRISSWNVVHRFEKRQLLIAINCAAALSILMFGYDQGLMGGV NNSKDYIDLMKFGYTKDVNGELTPVVTNSLLQGGIVSVYYLGTLFGGLLGGWVGDRIG RIRSIALGTLWGIVGACLQTSAQNHDWMICARAVNGIGTGILNTIIPVWATETSEHTS RGQFIAIEFTLNIFGVVIAYWMEFGLSFIDGGRSAFRWRFPVAFQIIFLLALFAGIWF FPESPRWLVKVGREDEARYILSRLRGDSTSEERARADLEFREILNIAELEKSMTHDHS YLAMLFGYKSGKLHLGRRVQLVVWLQIMQEWTGIAGVTVYAPTIFSIAGYSAQKSQWI SGLNNIFYMFSTLICVFTLDRIGRRWTLYWGAVAQAIAMFLAGGLSRLAINASAVGDA SKASSYGAGAASMIFIYTAAFGATWLTVPWLYPAEIFPLAVRARGNAWGVVGWSIGNG WLMLVCPVMFNSIGEKTLYIFAVCNIITIPMVWALYPESNQRTLEDMDLLFAADTPWN WDAERTFARLKEENTDLILAPNRKASLIDAEAAKTAHEEVSATES TSTA_123070 MHVHPLSALSELETTTARDVILTLHTDKVIFFREIYLQEPAKAE LIPYLELEHSGNLSPTSPRPKRLAKCQYDVVGSDRVPEYHESIVDIEGKKRVEHVVVG KEHQASLTMDEFDIFIKTCQDSALFKEAIAKFKLPEGFDYVIEPWPYGGRDRVTDDRR YFQGLIFAQDKRSGNPDSNFYAYPIPIIPVMDFHKREIVRIEKLATGGKGDALTASEA GFREDVIGHCTTAEYVPELLPKGTRKDLKELNVLQPDGPSFKVSPDESLVEWQKWRFR VGFNPREGATIHDVWYDGRSVLYRLSMSEMTVPYADPRYPFHRKQAFDFGDGGAGNCA NNLSLGCDCLGVIKYFDAVLTDSEGNVKPAPNVVCLHEQDNGIGWKHTNWRTGRAVVT RSRELVVQFIITLANYEYIFAYKFDLAGGISVESRATGIVSVVNIDPGKQSEYGNVVG NGVLAQNHQHVFCIRIDPAIEGHKNTVYVEESHAVEMNDVTNPEGNLYQIRKTPVERS TWFDAAPQHNRIIKMVNTDKLNPISRNPVGYKFTPMATQLLLADKRSIQAKRAQFAQH HVWVTKYRDGELFAGGRYTLQSKDEIAGVSDAVKRGESVANEDVVVWSVFGITHNPRV EDWPVMPVECFQLNIRPADFFTENPAIDVPSRKNMASQLVTSNCCSKPSL TSTA_123080 MARTKQIARKSTGGRAPRKQLGSKDFSRHTFLDHAQKPYTVVGG PSWSMNQDIIRKLSLHLYFLSDVDPDQGAEIGNSLLRSDAICGWGNARNYWPRVDVYA PLGSIEECIEHHRREKIFRRKAVEEMHAAVAAAARDACGPEMDDEDREEAAHEAVLAL RGKEVYPHIVPTWCCSAKFWREYDYKKRYRSFVLVVPADCHSWDDILQKGIIHVEFDQ DVSPAMETYMDDTYGEEEESLIENDRTGWVYIEKSPDEIRGHLYHRWMDHTSALWDCT YRIPVCDACNNEEPHQNCEHELNGHYFDEDGQCIACRRHTEYRRRSKRIAKRQKQDDV ADKRHNLIT TSTA_123090 MLTKSLYESRWAPQNNTPQSTTPSPSSAQELARFLKIVSRLKWK LPFLSEGYRLATARFDMSPSDVAYAEIMFKIDFHEYYALLERAIVHLLAVWGITVPNP RYKVTDPQNSTKGNVSSDRWSATHRYHATVLETLERESCPLYPALGSGSVRDQLRRAK QLRNRWKTADSPEEDEQHRSAAFSGTSGNNNVPLESYDLEGMITSIFSGLEQGHALAQ QHVSRDNPEMEIETSVAGDTRADWDFIVDAMDWEAQEIIRHVLLKKYTRESGELGWQT TAKEALLTLKRQALNRGSEGNTPKSLGNNECKRRKLKCSGGDVCKRCVSNEISCVYAA HPRPPASEENQSADNYSSSWKLKSLESRMSAMQKQLDLMSTEIGILRRRSLPFQRHAQ ATIEPNKPTDLRWATRAPVSPSYVGPTSSEFGLNVPDDDVPDIDETENSEIVQPSSSN SPYVPPILPEEGRKRASISTSNPLLSLGEADALRLVDVYEEAVGLMYPVVDLKSIREY IIDYYSQHSNDSANDICPPQNGNEVWWFSARDTEVLKIVLALALISESPGQSELGNIL ASSVEDTFAQTRTQVPEVDMKELIILALVALYHSLRDDDILAWRTIGLATRGAIQMGL HRCDTWLRTGGIFPGDLERSWAINLFWCIYVFDKEFSFETGLPFSMRDSDMDPTLPVP TEYHTYLECMISYCRVGGKIMDLMIGWGSTARAVGSDNESFLNFQIQQWQESIPSEFR LEDLRSDRTPTMRDSRLATPRILLHLRANQMRILVYKQNLLSAESIRNNLTGANIAVT NARNTIHTLSKLRYVSAIYFQRPQPFDRFLFSALATLFLAMFHSPDYFVNVCRDSFSE ALNALKRSSIRGRHSRRLRKVMKNLKRLGINRPPSGNRQSHPSAAPPPPPRHANQHHA TNVIESETMSNAQAAGMDPSVYSVPPSLVNETTPDDYSDLTNFFEFAGDFFMDPQPSL RGDVDQANVMSNELTHQFQNSIDMFQGGDETLTRLMMGLL TSTA_123100 MNGHANGHVNGFENGTSNTNTIDVAVIGAGISGLNAAYRVQTKL PDATYQIFEGRGDVGGTWDLFKYPGIRSDSDFYTFGFQWNTWHKDNPIVPGSDIKRYL QESIKKTGIDRHILFHHRLEVMEWSSEDQYWTLTIDAQGQTRYVRARHIIVGTGYYNY NEPLSAEIPGLENFKGQVIHPQFWPEDLDYANKKVAIIGSGATAVTLVPNLAPTAAHV TMIQRSPTYVYPLPNSTLGWFSCFLPNSLRHKLSRMYFIFLQRFSYELCRAYPRQARS IILRRMQSLLGGVLPIDPHFSPRYNPWDQRLCLAPDGDFFAALRSGKADVKTGTIKTM TENTIVLSDGEVFEADILVTATGLRIASAGEASIKVDGEKIDISQKYLWNGCMLQDVP NLCYVLGYINASWTLGADATALLFVRILKHMKRRNAVVAVPRVEDPSALTPKPLVNMN STYFQKSQMVMPRTAEEQPWRPKESYYVDIWRAMFGRVDKGIEYIGAKERSSMTLSER LDLPLALLSVPIVAILAILTGPFRARKQRLNPKQTIARSMLLHVGYAVFRRVVSRFSP LQIQEIVPSTTTEYKIYTWKKWIKQQIVDLGEGAKGLWVGDPNAKNVLIWIHGGGFAV PANRGYFTFFDKFLEEMKAANKDMSVFMVAYTLVPKAVYPTQLRQSITALRYILEKTD RKAESVFFGGDSAGGNLVLAVLSHIAHPHPDIEPLELSAGKEFGGATLLSPWSSLETT FPVQDTEPLGDLIHPDCAKPWAGSYLAGRERDNYTDARLAPIEWWHNLPIKRVLVTAG GYELLLPFIEDFASRFKQGLDGGDGAGGKVEFFVGPKEAHVAPMFNLMLGDSVETEQG KRVKAFYKEVVE TSTA_123110 MNRNVVEAPAGAGAVGQTPSTTVTSRPAPYGRACVNCVRAKCKC ILRNDGDNCERCFRLKKDCMPSSSVRKRRSTPRNPSQTARLEQKLDGLVSLLKANSQG SLSVEAIDASTSGVSANGLTSTGVAGGTTPSGASPQSPSRFGDGSFPSCAPSGAYGHD TSTHQRQNLSQYQDNHRTQGQSATIPITPKSTSSSSFAYPLPRDVEPTAKEADVWFHT FQTRYLEHVPFAIPYIKNTSSTQLRQDKPVLWLSVMAIACPFTSKQTNMGRAFKELIA RELIVNGERSIDLLLGILTFTHWAYFFIHLGPLLTTMTHLAASIIVDLELDKPCQNEF SKHPGRQVLTKFLGKFSVPESRTMEHRRLVMATYCFSASCAFFHHKIESVSWSTYHEE TLKLLEESKETHADLFQVTQVKFMHILSNVTRLYARIRVSENEATTQLIVPFIQALKS QLTTLQNQMPDYVAENKFVQLTCFYVEIAIHEIALVRVQYVPLAADRELECMECLLTC TRALRSWKDLFLSLGPTEYIGLPLTIWKQFALVLHTVMRLATLEDPAWNIEHVKQTVN LPAMFDVICSNLDYIATLEPRKSNSEDDVHRRSRKHMIGLMNWTNAVFAGIPAQPPPV ENTYTRMNSARQEQSQAQTEIQTAQPATTTTSSSASSMLMMNGGTQQHSSTEETAAVL AQQMQTPWPPEMFPYFNHEPWTEDVFGFWDIYSGTKMYG TSTA_123120 MAADHTVGDVSATPTLNEDNTEHKSKMTTESASVDTTTTMGNNR EKQHDYSNYEGEEKEEDISSNPQQVQDATAGQAALTRTTSSVQYVEGMKLYILMASMT LIFFLVMVDIAIVSTAVPAITSDFNSLEDVGWYGTAYQLACASLQPLAGKVYSNFRAK KVYLFCFFIFEVGSLICGVAQSSTMLIIGRAVAGIGSSGLMNGGLTILSASMPLHKRP KYFGIMMGFAQMGVVLGPIIGGAFTTYVNWRWCFYINLPVGGVAGAIFLFFIEIPEMI KPRTDTIKTIVLHKLDIMGFFLFAPAAIQVFLALEWGGNRYTWHSATIIGLFCGSGAT AILFGLWERRQGDNAMAPFSILKLRPVWTSCLVMFLFFGCLQIASYYLPIYFQTVRGS SAMISGVHMLPNVLSQLLSTVSSGALVGRLGYYLPWIITSSALSAIALGLISTWSPTT SIGKWIGYQILLGFSRGLGMQMPLIAVQNSIKPKFVPVAMALVSFGQTFGGAVFLAIG STILSNQLRVDIPKYAPGVDAATVIQAGGSGPAVRAAVGPDNEAGLQGTLVAYSKSVG AVYWFAAGAAGLMFFVSWGMGWKDIRKKDSPGAAPVVGPEENKIDIESRAAAGETEVE KTAEKV TSTA_123130 MMRSSVLAALVAALVSTVSAQTTTNCQPLNETCPPDLALGTAHT WNLSSSSQLDDTWNITNGVMNYTEDALGFTINKKLDSPTIISTFYIFFGRLEYHVRAA PGHGVISSVVLQSDDLDEVDWEWVGSEETTVQTNFFGKGQTIEGTAKYFNISGSTHDT YHNYTTWWDKDKLQWWVDGQLLRTVTPAEASNSTYDWYPQTPMTIRIGAWPGGDPSGP PGRIEWAGGVIDYNAGPYTMFVSQVSAQDFTTGKEYNYTDHSGTWESINVVAGNSTVS KELNAVHLSAAQRWNNLSSGAKIAIIVSVSAVVGIGALVFLFCCIRQRRIGKREWNAQ NNQWTQERTDMMNMQAEWRQKGYVEMK TSTA_123130 MMRSSVLAALVAALVSTVSAQTTTNCQPLNETCPPDLALGTAHT WNLSSSSQLDDTWNITNGVMNYTEDALGFTINKKLDSPTIISTFYIFFGRLEYHVRAA PGHGVISSVVLQSDDLDEVDWEWVGSEETTVQTNFFGKGQTIEGTAKYFNISGSTHDT YHNYTTWWDKDKLQWWVDGQLLRTVTPAEASNSTYDWYPQTPMTIRIGAWPGGDPSGP PGRIEWAGGVIDYNAGPYTMFVSQVSAQDFTTGKEYNYTDHSGTWESINVVAGNSTVS KELNAVHLSAAQRWNNLSSGAKIAIIVSVSAVVGIGALVFLFCCIRQRRIGKREWNAQ NNQWTQERTDMMNMQAEWRQKGYVEMK TSTA_123140 MAPITLLARQSTSSSDSCSNNLSGGAIAGIVIGSIAGTLLIIWL IKSCYLPGAPGNRDPDGTNGAPEVVYSSSSRPRRERRSRGYRSGGGDYVEYIEKSPVR VSRSRTRRGSVASVSRPERVYIS TSTA_123150 MAAAFDDEDLSVSLASIPPNPNNKKPRDQSNTDAIMSSSNPAAM AMPPPPRPNNNGGNRSSSGSVQSPATRRDMQRLDQYKTVKVLGEGSFGKVKLAIHQPS GRQVALKIIPRRKLLSRDMVGRVEREIQYLQLLRHPHIIKLYTVIPTKSDIVMVLEYA ERELFDYLVKRGKCNDDEARKFFQQIICAVEYCHRHKIVHRDLKPENLLIDSEKNVKI ADFGLSNIMTDGNFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYVLLVGRLP FDDDYIPALFKKIAAGNFHMPSYISPGAARLIRAMLQVHPVHRISIAEIRQDPWFLDG LPKYLQLPQEEFVTTGADPNKAVDRRKFAPGKSSSVQQKIHDFAITKLEHSMGYKKEE IEDALRKAEPSAVKDAFFIIAENELMQTNSPQEDSLDMSSPPAPSSPMHDSSGYSSPR APKSAAAALRQENALTPLTPQAREATPPPAPPPTAPTTYGAMPIEEPRVSHVRILPTS LPYVHDQIMEQRDGTRRQKAQTSSSAGQGRAEDRTLEQQAATFRALKPHARSVIDLDK LRLEPPEKFHAAPMPSKRSRKWQFGIRSRNQPYEAMFCLYKAIEAVGGVWEIIPAEPE GDAPLPKPDPNQPMPLQRKYPDLPSDYYIPKDPWFIRARLLKEGVTAPGVSLSAHSSR SDLGDLRRKVNLMNASISAEDKAAIAAAVQASAENITNNTGGGSGGGSTSIPSSLEQV QSLRISYGVWVFIDIQLYQLEATNYMVDFKCDGYQNVIRADLDGEWRPVSKRIRNKEK EVTSPYPYLDVASDLVAQLAIAS TSTA_123160 MTNPLGKGEEAKMEAGSGYALIQDNSGDVEEPFLQDGNISRRSN YGTFIRSPAWAVTTLILTLIMVAENVYLLRTLESSKRNSTYETGFDTELEEMKPSIKL HQKRYTGAIRDLPNGTLYMAFNSSEPRYVGPPSPEIDDAWQNLLKGRYIHFTNDETAW LNTDRDTPTGTLEQLPSHGHSISTTGYYGGPDMLHSLHCINAIRQHIGMDYYHDEHVA WLPEEYRRMHIDHCIEQLRQATLCHGDMTPVTLKAIWTNTPRWVALGQTERLHTCRDG MALREATWERGEEVGRISFGL TSTA_123170 MTANYKHNLLKAALAFLLLPILPTAADYCTFNNASCIDGLAQVS VSFQFDAIAPTPILFYYAFDAGVGSPGYESLPAVKVGYWLQYDRGMLTEFNSHLRSVN WTTEVALRIGNLSGYVGGANNGCDGVWGESCSENLKKFLRSSIYNLSTSAVPYDLPLN TVLQPLTTASPGPFIDGCPTSLFQLDQIPTYAVINATTIGNNNATESVSVAAPGNPAS PWRTWYLPGAEPLVQAQQVAVGIIARGPTQGSKPVKGPDDIPVELICAKAPRQHHHHP FGTSDQDVDNKSNQGYARGIIKTYAFDENDFQYQVDVGDEEEIGEEIEEEEEEEEEEE EDDNDDDDDDSVTGTYVDCPESSATAL TSTA_123180 MTTPDAFRDAEHFADVSGIPDVADYADVDQPLTSAQQDLWQKVQ RKTKIALIDRLLRELDVVIYCELAALYYMDCTFFLFAIRVIVQLIFFTPKAPPFEPTR SQPYVGTIFTVNSLCMFLHTVAIHPSAGEETRGYLHGGLFIDFVGQKAPVSRIRLVIF DFLIMLIHLVMLGLILERVRIGENRESTTQDQESPTDTEDGTNPGQDHDAEERGVLRN ETDNDESSSSSPPERPRDSSLERTALLAEPAEDGSSPQLRNSHPLDTFVSGEALILDM GLFETIHDQWRHNTGNRPRTAFTPSQETTTFLREHLGVSVGPDGRVLRFQR TSTA_123190 MASSPVSYRPRQKKKLPTTFSGSSNNDLILNAGSSHAAPAFPLV SFMWFARGATSQWLILPLILMVVGLFRWAVSLWGYSGFNTPPLHGDFEAQRHWMELTI HLPLTKWYFYDLQYWGLDYPPLTAYHSWLLGKIGSLIEPSFFALDTSRGIEDPLLKLY MRATVIVSEYLVYIPAVVLFARRYGRLLGVPMWSTSIALVAILMQPGNILVDHGHFQY NTVMLGLVVASVESILTGRLPLACGFFVAALCFKQMALYYAPIMFSFLLGSCVFPRIR IGRFLLIALSTVAAFALILVPLIAALLNDWTHGTPLPGTSPTLLANIPIELDEKAWYY APLLQLGQLIHRIFPFARGLFEDKVANAWCAIHTFYKLHRFPSSLLQRASLAATLASI SIPCLVIFRYPRPQFLLLALSSSAWGFFLFSFQVHEKSVLLPLLPMTLLLAGDGGLNK ANRAWIGWANILGAWTLYPLLKREELRIPYFVITLLWAYLLGLFPTSIEIFRSRNITT TTKEATVRGPTILVHFIFYLSMIGWHLVEAFVPPPVDKPDLWVVLNVLIGASGFALAY LWTTGNLVVQAYRLNGRPSGNVKKIQ TSTA_123200 MAKIFSLDGKGLKLDTAEDIETHAKPLLEDTTFTEVHLGGNTIG VAASERLAAALATQKNLQVADLADIFTSRLLSEIPPALSALLNALLEIPGLHTVNLSD NAFGLNTQAPLVDYLSRAVPLQHLILNNNGLGPKAGVLVADALTELAKQKEKARSEGK DVPLLESIVCGRNRLENGSMEAWATAYKAHAKGMRSVKMTQNGIRQEGISLLLKAGLS HAAGLEVLDLQDNTFTLLGSNALAKVVQGWASLRELGVGDCLLSARGGIKVAQALAAG KNEKVETLRLQYNDINAEGVKQFLFAAKSSLPALKRIELNGNKFMEDDGNIEELRVLL EKRQEEHGKDEDPEDTWGIDELDELEEDEEEDEDEQDEEEEEEEHLADKTLKETIRAE DEPVSQKKDDDVDALADALGKAGI TSTA_123210 MDAKEIEFKAKALTKAATSSEPPANIINILKELQKGVRPTEDLL RSTRIGIVVNKLKQHKAQDVARLSSEIVSKWRSEVNKQKSNGSPNPHRSSTPRTGTAS PAPASTPGDRKSTVPPEKRSWKADGVDTNVTNNKVRDSCLGLMYDGLSFMSTELPKVV LAKAIAVEAAAFTSFGPETKEQYKTKIRSLFQNLKNKSNPQLRQRVLSNEVTPEKFVK MTHEELKSDERRALDEKIHKENMDKAMVAQAERSISSSLQCGKCGQRKVTYTEAQTRS ADEPMTLFCTCLNCGKSWKQ TSTA_123220 MPIPRPAILSSIHSLISAFTSNASTPTILAHFTTSPTPLVHEHG SPLFQSYLPFLGRDFIGLKAVGEYFDLLAKHLSISDAHFDDEDDWVVDPQNMTVCLRG RARFTVNDTKESWVETFIWRVTLSEDLTGESEPDDAGQGLKVQEYRVWADTGAAYLAA KGELGVLEAVSQGKGAKSVAGAAKSDGVLGGNDYPVKDKLGTGLSFGGSSGLLDENEN IVLKLQFQAEV TSTA_123230 MKFLTKNNLWKTLVSISILIPTALSAPASRIDSRLPCQAGIISA CPANTIIVSNDHSSPSSQHAHFTSIQSAILSLPHDNSSATILIRAGNYTEQLNITRPG PVTLLGETWDPLTATENQVTVYWSAANSNSRYMDNAFTSILTVAPTQNASLTGAGPTG WPVPADTPYGNSDFRAYNIDFRNVFSEYSAGPSLAVSVSYANAGFYYSGFYSYQDTVY IGKLGNAYFFNSIIAGQTDFLYGFGTAWLQACSLQLRSCGGGITAWKGTNTTYPNKFG VYIVDSTINAANASIAASIKGKCALGRPWNSLHRSIFARTYEDESIESSGYTHWVNGG AENYKLGVTLQAEFETYGPGWNETGRLSGGWDTILNRSIWEEYGEPAKVFQFGYESGG FGYVDWIDWTPWF TSTA_123240 MAIESETKGIRIAIDRGGTFCDFWASIPGRENDLIFKLLSRNPG EYDDAPIEGIRQILEKATGQTIPRGTPLDITPVESIRMGTTVATNALLERKGEQVALL ITKGFKDLLVIGNQARPSIFDLSVSKLDRLYEKVIEVDERVTIEGFAEDPEPQPINIK SDPGLVEGLTGEAIRILQKPDLTQVRQDLQSLWDEGYRTLAIAFMHSFAFPEHEAVVA AIAREIGFRASVSSELQPMIRIVPRAQSATADAYLSPVIAQYIEGFRSGFKGRLEDHN AKKLLLCQSDGGLTSFTRFTGLRAILSGPAAGVIGCARTCYDEEDGTPVLGFDMGGTS TDVSRYSGSFEHVFETTVSQVALQSPQLDVHTVAAGGGSMLFWRNGLFVAGPESAGAY PGPACYGKGGPLTITDANFFLGRILPDYFARPLDFDVVKKKFLELTEVVNAEKKGTET LTPEEVAMGFLLVANASMTRPIRALSEGRGYETAAHNLVCFGGAGGQHATAIARDLGI RRVLIHRFSSILSAYGMALADVVVELQEPESGVYTKESAARFEKRAEALRERSTKQLI EEGFTQDQISHEVFLNMRYRGSDTSLMICQSDAADFSEGFIARHKREFGFTQPREILV DDVRVRSVGKAVDVKIKSPFKQLKEIRRSSQQDPKPALIRKVYFEKEGWTDARIFHLQ DVPKGSVILGPAMIIDATQTIVVDPASEATVLDEHVVIDLLDAETKKISADEVDPIQL SVFSHRFMSVAEQTGETLRKTSISTNIKERLDYSCAVFSADGQLVANAPHIPAHLGSM SYAIAYQARRYAKGELKPGDVILSNHPVAGGTHLPDLTVTTPVFDENDPTKILFFVAN RGHHADIGGIAAGSMPPNSTELWQEGAAIESFKMVKEGVFDEEGVAHLLYDVPATYPG CSGTRTLNDNISDLKAGIAANNKGIHLIQGLVREYNWPVVELYMRAVQKNAEETVRSL LKDFSRRFQGQPLEAVDYMDDGTPLALKITIDPADGSANFDFTGTGPEALNNLNSPPA VMYSGIMYCLRSMISSDIPLNQGCLAPINVVCPPNTILSPSMKAATVGSNVETAQRII DVILKAFRVMGASQGTCNNLTFGYGGKDPVTGAVTKGFGYYETIAGGAGAGANWEGQS GVHTGSTNTRMTDPETFEKRYPVLLREFSIRKGSGGAGRNRGGDGCIRDIELRRPLQV SILSERRVVAPYGMAGGEDGKRGVNLWVRKDPIDGSVRTISVGAKASMEMNTGDRFIV QTPGGGGYGVPPDKKRDVEALDEFVVKRAVM TSTA_123280 MPKTVRRSLKDTSPVSLDEVEEPPSKRQRSAVACKRCHDHKVKC TGGNPCNSCAQQPPGTECVYPKRDRKVPVQESYLNWLEQEVKLLRGGKEGSEEDAVRQ ESQTQYTPETVEEDGDYAERIGNVDVNLKNPLMEDKAWFVTDSASWQPIYIGEAACTA FGTRLRQFLNGNDPVAPLSRSKYSKHKAFLRMSAPRFQLPNRAYAHLLLKVALRFLGN DYHLMLRKSTIEKMEELYRTGSLDDTVFLCKLFALFSMGEMYANRRLGSTKGSDIPGT GFFVQAMSLTQDMHEEPSVVYVEALLIIALYSLALNRTRSAYTYAGMALRLCLTLGLH HNVPEGYAISPVERENRIRVWWSVYIIDRITSSKLGHPVTVQDSDIDVDLPSMEKLSP TEQEEFSDPSHIIAHVKLARISGDIMSDIYGRSNQAKAFVQSVQKILRNLRSWAETLP ESVQISPSQPFRYASRNVASLHLCFNQCVILTTRPILFHVFKSCFQGQGAPTASPTTM ALADACIHAARSSNGLLTQLWIDGGMAIFGYFDSHYLFSSTIILMMSSILGTHNSDAD REAADTASDIMESLVKDGNLPAAGFYEHFLEIRKTLLEFTERGHSNGPVQLMEHIPEP VMPENDINNEFLNQTLLGDPSIQHFLTQADFQYGFPMGMEMAGNMLIPRSSLFE TSTA_123290 MPKRSWNHVDGAATQPVRRGSNKTATTDLQIKSGSPSSPDEIKA NFSHPPTPTLTDAGSEPRSAKSGSRRRAASAHSDDNEQIAWPSITRKVKACAACRKQK IKCDMDDDVPPCKRCKERGLSCKLNKSLQTLIDEESRWRKTVSHDLTIMHSALEQTLR ALNLPSLPSLHTPLPETGSIPEAEVSIAPTVDLSTTRDPEFSPQLHPAEETSAHVPIE SLYELTRLRSLRGEAIDERSSDHDDFISRGLVPIDEAEKLFHFYQTQLDPYIYGLASK YKTLESLRRSSSLLTACICTVAASHQAGHGQLYETCNQEFRRLVSGSMFERRVSLDYL RALVIGSYWLSDVSWTLAGLAVRRASDINLHKFYYRIIDSANGLAQTPDSWDPSDPEA SIDPVRLWYLLYICDQHLSILYTRAPMIREDDTIRGWRAYLESPHASQSDMRISSQVA LMTLLSQIREFFGVDASKSIPRGAMPHINTFSHQLDKWLAHWSSKLRPNEHIGNFPSK GVLLHYHFGKLHLYSHVFRGLKSGDHIEHIPSYFKDAASTAVSHATTILEFLLNDAAI RRSIVGAPHYFHTMISLACVVLLKVADRYRDDLGIDVAGTYTLIQRVIDFFRRVNCGQ YHLVHWMADGLAKMLQQSSQYSRGSPSSNNSSSISHSVTAFPWETTHTTSQTARGLNT MSPSLVHHHDSSSSVDNTLFNSVTEIPEQPSFLSLDPNFGNINTEEDDHHGMMMGGSV GGAAGGAFLPMLDGLEAGGDYGLTDMGFNFI TSTA_123300 MAGNNWYTFGVALFAAIGTFLFGFDTGIATTTIAHQSWIEYMGH PSNGLTGAVVSVYIAGEALGALTQTFIGDRLGRIRFMQLECVIVTIGCAIQTGSVNIG MFLAGRALAGFAVGGMVATVPVYLSEISAPQHRGLIGGISGVGIAFGTMASNWVGFAC SYATNGTVQWRLPLGIQLPWGIIMFIGLATFMPNSPRQLIRQGKIEQARREFIKIRRD LHSHEMHEEFTLMKAQIEYEIQRELKSYKEIFKLYRHRVLVSVAVQTMTSLTGVNVIQ YYQTTLYKSLGISSKMILALSGVYGTIAFLSNVLTTKFLTDQWGRRKMILAGLGGIIL IEIYAAVMQREFQNTHNRVGKGFAILGIYLFVVWYYGMLNSTTWIYGAEVLPINMRSK VMGLAAASHFIVNVAITEAGPSAFANIHENYYYVFVACTAFFLTIAYFYFPETKQKTL EEIAADFGDRVVEVEDVDVATEEAITAAKNQAEHIE TSTA_123310 MALFAGLSAARIWHTWRHKSFWIGLVSSLGEHLASSGDGSLALW PADVPTWSNARNKACIVDYGYTYYHIFPHLLLSLTTAGIYGILGLMIPIIDQDKSRLS PKLYLVIFMTVEFFSLLLQAVGGGVAGLRSAKTHLHGQVPIPYRNHLATSIDLCLCHA SEIRDIPGRNRILRNPALRLTSLAPMIPVTCMVARGVDRSMELMNGWHGYLFTHEVFA IVLDAGAHVYCESGDFDSKG TSTA_123320 MSESSDMSRLQTHDIEIGVTDALQRHASRHVAQHKPVSQRVLDF ERRRPRWLRECVGEATGVFLYVFCGLASVAGFILNGASTEPPVTAFSSFFQIGLAFAL GIMFAIVIAGPTSGGHFNPAVTIALVIWQGFPLKKVPHYILSQIFGAFMAGLMVMAMY REQILKYEAALKALHMPLVSATGPAGIFCSFPAEGQSMGYLFMIEFFVDSFIGLVIWS CIDPANPFVTPSTVPIVIGVGYGVMVWGFADITISTNLARDLGTRFVAAIFYGGEAFS YKSYCWISILVNIPATLFATGFYELFLRDSIQMIHKGGVKHAEGEDGLRRYLSEVGVL KDENEITAVHKN TSTA_123330 MAHEALAIGILSILVILYRYLNKTDTPKIKGLPEIPGVPIFGNL IQLGGNHAKVAAEWAKQYGPVFQVRMGNKRIVFANSFESVKHLWVKEQSALISRPTFH TFHNVVSSSQGFTIGTSPWDESCKRRRKAAATALNRPAVQSYMPIIDLEATTSIQDMY RDSRKGQIDINPIPYFQRYALNTSLTLNYGIRIDGNIEDSLLREIVAVERGVSNFRST SNNWQDYVPLLRLFPKKNNEAEEFRARRDKYLTHLLNLLKESIANGTDKPCITGNILK DPEAQLNEAEIKSLCLSMVAAGIDTIPGNLVMGLAYLASEDGMRIQKKAYEEIMKAYP DNDAWDKCLVEEKVPYITALVKEILRFWTVIPICLPRKSVKDVTWNGVVIPAGTTFFM NAWAADYDPTYFKEPEKFIPERYLDTPEANQGTPHYGYGAGSRMCAGSHLANRELYTA FIRIITAFTVHPPQNPADYPILNALDCNSIPTALTTEPKPFKVGLKARDPVLLEQWIA ASQERTKNL TSTA_123340 MSSELVAHSSQTANTGAAAPEKRKRTKYAAKACVECKRRKVKCC GEHPCQRCRRRMMDCVFTPDEVTADSRKIEELLEQISKMQTQIDSLTGTVESFNSMGS SSPKKRKLSRSTVSAPSKGSQNAKSERSQSASATGKISFHGVTTSSFNFEVARQTLRS RGITEVGGGTSGSDGDEYNTSQGGEVATRNTSPILSPSTTRDEHHQPSSTIDPLWGIN REEAIRLCHVYEEEMGIMYPVVDFETIIYQVHVLYNHLNPLSSSEQNTKAEPLSDDDI NILKLVFACALTAEANGNSELAMRIFRGVRDVASDMVWQPPNIKRAILITLVSIYLFQ IDEEATAWRTIGTAQRLCLEMGLHRSETYPQPAIVSYGKEDALKLFWSVYTLDIRFSM GTGMPYQLDSSDIDPSLPRPTNVPYLNTMINYNRIAEKVWRFITSPNRNQQPRMEEMA YLDWQVTQWYNSIPEFLKIPDPSIYYASGNEQHNEQNKIQQDAPRSTCRLKYLLYLRA DLMKILIYRPILYTPSQIAQSPVEAATVVDIAKNTISFITHLNNHSNIYQLQQITFNW FLISALAVLFLAVAHAPGQFTSSCKDEFYMALGLIEGFSMHSYISQRLWKSIKGLRKL GPKIGLAQKSAEVVQPLQQVDNYSTPTGMDTVHHESISTDILPSHQYRQPLPLAASTT TLPTQQPSPDDFLLTDSTQMSRELMDWFEAMGGLHNSIFNHSNNASGEPESGYMDAGV GGSAGWNGMLTDTTSWPATDDFMFGGYGSDLASILKDCF TSTA_123350 MTHASLKLSPDSNSRHRIPATPYHTFRERLLDSVMSLGVPRGLT KRVTITLITILLLAVAYLRFDYGYEHWYLSSGDRLLSSIKFSQSQPSTSQTTSIRADS AIISLVRNEELEGILSSMRQLETSWNSKYNYPWIFFNNVPFSEEFKTRTQAETEAECR YEVIPEEHWDVPPWIDPSQLKQSMRKMKSKGIKYAGLLSYHQMCRWNSGFFYRHPALE GYKYYWRVEPDVKFFCDITYDPFQFMADNNKTYGFTINIFDDPGTITTLWPETQSFLK SHPDYLAADNSLTWLTDRTLRPDHTDAANGYSTCHFWSNFEIGDLDFWRSERYQQFFE HLDQSGGFFYERWGDAPVHSIALGLFEDNERIHWFRDIGYQHPPYINCPDTSSSASSG SGTCQHCQPNIFTHSWGVMKEDCRINWFRQMCQSRRRHGRTMRPDEEEICQRFESVL TSTA_123360 MAERRISYAADVENGQTNTRNSTDINNDTTGLDEYTALNRYIST ARERRRGSTSSAGGFDATEAKKPWWKRIGGGGATNSNEPFVAPDDWVDTDIRAGLKGS DIETRRRKTGWNELVTEKQNLFVQFIGYFRGPILYVMELAVLLAAGLRDWIDLGVIIG ILMLNAVVGWYQEKQAADVVASLKGDIAMRAWVVRDGQEQEILARELVVGDIVILEEG QVVPADVRLICDYEQPGDFEKYKEYLTTMAEDTLKEKTEDDDDDQEHHTGHSIVAVDQ SAITGESLAVDKYMGDTCYYTTGCKRGKAFAVVTATAKHSFVGKTASLVQGAQDQGHF KAIMNSIGTSLLVLVMFFILAAWIGGFFRHLRIATPEKSDNTLLKYTLILFIIGVPVG LPVVTTTTLAVGAAYLARQKAIVQKLTAIESLAGVDVLCSDKTGTLTANQLSIREPYV AEGVDVNWMFAVAAIASSHNVKNLDPIDKVTILTLRRYPKAREILARNWVTEKYTPFD PVSKRITTVCTCDGVRYICAKGAPKAILNLSECSEEEARLYRDKAAEFARRGFRSLGV AVQKEGEPWQLLGMYPMFDPPREDTAHTITEAQNLGLSVKMLTGDAIAIAKETCKMLA LGTKVYNSERLIHGGLTGSRQHDLVERADGFAEVFPEHKYQVVEMLQQRGHLTAMTGD GVNDAPSLKKSDCGIAVEGATEAAQAAADIVFLAPGLSTIVDSIKVARQIFQRMKAYI QYRIALCLHLEIYLVTTMIIIEETIPADLIVFIALFADLATIAVAYDNAHYEQRPVEW QLPKIWVISVILGVLLAGGTWIIRAAMFLSNGGVIENFGHPQGIIFLEVALTENWLIF VTRGGKTLPSWQLVGAIFGVDVLATLFCVFGWLTSPENQITHPGDSAHFSSNGHTSIV TVVIIWAYSIGVTIVVATVYAILTDIPWLDNLGRHTRSKADTAIENMIGHLSKLAIEH EQDRHTGTSRYVLTTRAPEEEEDE TSTA_123380 MKIAIGSGITENQLSLLSKPEAAALYSLRAIQSNTIKDLLLYHV QDLSPLRLKGVVEGSGDGCGSIILDEHFEQFLENLPGFQAFELLPEHTGNAALQYWQD SIEPRYTRTDQEDDQDDVGWELPLLGLPNQPLIGLEGGFLQLSDAASNMPCATPGEKG QDGGHPLKAILLVSGLGGCEYLNHRLCKANPKITILQPPDAWQALVSGAVLRGLEGNL VQSCLARCHYGINIQEDYDAARHGGLKKHWCEYKQC TSTA_123390 MAAQGTTQSITIGLDFGTTYSGSVCPENSYEIPTRPKAKLPCCL GNCGRATANRGSIYMARNKFQGAYSNGFYGNSLSLGMVGSGLATRANSWCEATARRGP GYRYSPSLRAKRLLDFTQKSPVDVSAEYLQKLTEHDSPTSGTQLWTCGQSYD TSTA_123400 MEQHFSAVEEELRSIKAEALMLRQRNARLTDEINYRIKSEMKME SALTGIKTELEKTQQEFDQTETVARDNAPREGGEIRTVNHEIVDFLYGLTHEYLSLKE TLRRERELSAEARQAATESANHYQKVATDLMTKLNSLQTREEALYDEQCSSEWRKLQQ DLDSWTRRTFRDKSVMGYMTLTNLRRTSSTIIIPEDMLKNVQGKRAYIQGFIAGIIFD TVFRRLFVLSPTIRSGRLLTAVGKVIRGSESSQAWETWRSTTSRALGDRHTFSNRRLN NACNQLVQSVEALFSEYYSPGVQKEVVNKGLRQIFEDCVAFKKKLEYQEFDYDFRRSL PGAAYSSEYMNSSNYVEEDGSIVQISIWASLYKVAFNNEELLIEPEAVWTSQPDPVGD AEQT TSTA_123410 MRVFVTGAAGFIGRALTQELIQHGHSVLGLSRSEKNAEILRKLG AESHHGDLEDVESLRSGARAADAVIHLGFIHDFSKFEQSLVVDRQAIQAMGEELVGKP FIIVSGTMAYPPGALSTEDTEPLRDGTLAARNLSSDLLFKLSKEIGVLGAVVRLAPTV HGKEDQGFMVMIGDLSKKAGGSYYVDDGSNQWPAVHRLDAAALLRLAIEKKPAPGGVT YNGVAENVLTKDFMTTIGKKLNVPVEGKPQADVNQALGFFGHLLGHHNPTSSEKTQKT LGWTPTQIGLIADLEQNYFLQLRDPGFYLLLKSRTFYPKLQQSLV TSTA_123420 MAQKAGGNKEPQVENTLDGYKFGIELEYRLTPRGNKQKPISGPE VCDELAKLWNETHGKVKGVNPMESRYLLPKTEEKGSRDYKRWNIVNEASMKPGENDSI PLEIVSPAFEYSKYGDWKDEIERVLDHILNETVPEMDNSTGFHIHVAPDDRPWTPLEL KRIAAAIVHFDRPLRDLFPRHEFTEEWNKSNVSDNGYLGDPPGRKTALKIISDAKTKY ELINAMNFDWEEKKRRNYAWNFTNNSEVKSQPRSARNTMEFRLPKSTTEVEVIEKWIT FTVTFLHASLFHSEVYIDFKPTLGGLNGFLVHNCPPSTEEFCWKKHLAENWTK TSTA_123430 MEERNSSSPETVIPPEVTRAYDELKNEAFIQIYCKRSTYFKRWR KMTKKYFKAVNENNQPAIKRYDKRIESKRQKFIERFKYAELDNKTVPSLLDIDVLKFP QNVNHRRELIKQEDEYKAKNQQLNPDTTISNEEPGKDGLSADEPVTSEWQSDTGS TSTA_123440 MVPFSSLIVASAMVTAALAAPTENLTKRSNSKKGAAYNDASLVG LVTDASWAYNWGSYGGNLPSGVEFVPMLWGSNTNGWDNNVQAALTSGSKHILGFNEPD LNSQSNIDPSTAAALYKQYITPYQDQAELVTPAVTNGGAPMGLTWMEQYLEACNGECG QTAMAIHWYADAAYTDLESYITQAYNLAASYGIYKIWITEFQLTGVSDNDQADFINNI IPWLDSTDYVERYSYFFVADGYLISGSSLSTIGSAYNSADSYHLKKV TSTA_123450 MEDRPLNRDTRRFHRKSRNGCMQCKQRHVKCDENKPSCGNCAQT NRACSFLRMLPSIPTSLGNLSSGGISPAIYPKQSGPPTPASAPSPSALFSSCTSSTAT TPTIPLINIDTKLFNQYNSTQTPEFTLADLSMMHVWATETSLTLSPAPEVQQVWARTI TQIALQHRFLLHGILATSALHVAYTKTSSTMENRELVDYAARHQAIALNLFQKALANP VSGGGGQQQEALFVLSVLISVIAIATLRDEISDAMEIDIITTRASGAAIMDFKWIRLS RGILVLNKDHLDELARSSVSPLMRSDVVLENGQWQDKHLADDLRNLQRLWYGNEDFAA GEDDEKISEADRKVFDDAYFALLSIRSKVSEYLYAVQSESDTTAARNHPLGASTTSKP CLDSRTLAEVFMWLIRIPEGYIELLERQHPVALIILAHYAALLGKDCATWWSDRSAYM IIRRVWVVLDERLRKWIESPMDDVCRANG TSTA_123460 MALTTHLVTDTHHLAQNLTLDTGLTSLSKPKDLVLGLGSIVMIT IIAQWIITTYKFWQYPFFNRASFWNPSQAKDNFLANAQKLLIDGFSKAPKGYIMDTDM ARTLILSPEYANEIRSDPRLSFRKHNTKDFLGHLFTFELFQDRGKFGEKINDMVRTKL TQSLGLITKDVSNEMADVIHHQWSEDADWHSINLKNTMVEVVAQVSSRVFLGPGLCRN KEWLRITIDYTLLIFDAIRTVKRYPKFLHPLVQWVLPEPRKVRFHMAEARRIIQPVID QRKAEMASDKATKHNDAIQWLLEISTGTEYDIALAQLALSMAAIHTTGDMITQVIYDI CEHPELIQPLREEIIAVMGTDEFKRTSLYNLKLMDSVMKESQRLKPAGMISMRRVATE EVILHDGRRIPKGSMVAISGHWSWDETFYENPEQFDGYRFLKLSKSPATEHMSHFVST SPQHLAFGYGKNACPGRFFAANEAKIALVHILLKYDFKLDETTPPPKVVKIGWMMASD SQAKLLVRRRKEFSLD TSTA_123460 MDTDMARTLILSPEYANEIRSDPRLSFRKHNTKDFLGHLFTFEL FQDRGKFGEKINDMVRTKLTQSLGLITKDVSNEMADVIHHQWSEDADWHSINLKNTMV EVVAQVSSRVFLGPGLCRNKEWLRITIDYTLLIFDAIRTVKRYPKFLHPLVQWVLPEP RKVRFHMAEARRIIQPVIDQRKAEMASDKATKHNDAIQWLLEISTGTEYDIALAQLAL SMAAIHTTGDMITQVIYDICEHPELIQPLREEIIAVMGTDEFKRTSLYNLKLMDSVMK ESQRLKPAGMISMRRVATEEVILHDGRRIPKGSMVAISGHWSWDETFYENPEQFDGYR FLKLSKSPATEHMSHFVSTSPQHLAFGYGKNACPGRFFAANEAKIALVHILLKYDFKL DETTPPPKVVKIGWMMASDSQAKLLVRRRKEFSLD TSTA_123470 MLIMSPALVEIDDLEIQIIIDNELDPLSQPAPDTVHLVGGSMGL IALSTSPSLQPGERGGAVKELRMEDICCSAHGLSIMITATKGGTKRSVLFDTGPEEQT WERNVNRLKADISKIDLIVLSHWHRDHSGGMLKAIDMINKAKQPCSDGKKVVVDVHPC RPDFRGFLLGDKIVSLEADPSFEEITDHGAVLTKHDETHAILDDMFLISGEIPRETSY ENGVKFGMRFDQSENEWISDEKIADERFLMCNLKDKGIVLFTGCSHAGVVNASRYARK LLDDDDHRSNMPFHAIVGGYHLATSNDVKNIDATVHDLKALDPAIMLAGHCTGWRAKF CIEREMPGSLVPCAVGMRYKF TSTA_123480 MPLRPLPASGSAHSLYRRHYLQLPPRQSTFPQPRRLQRRFIHPN EFKPLVPPSPSSLGKAAPAKTYTRTRKWLRRLFYISLTTGIICVIDRTFYASSLTRTA RTFGLGLVVALDYKINFRPDPPFASSIAAVHQRNAERLSDLLRHNGGLYLKIGQAIAM QSAILPPEFQKMFSRMFDDAPQNDWKDVERVVREDFGKSVEDVFGVSFTGDPTKGVME RRARASASVAQVHWARLADGREVAIKIQKREIAAQVQWDLWAFKIVSWIYSRWFDIPF YNLVPFVTERLFLETDFENEARNAEQMAEFVANEPRLRNRVYIPKVYHEFSSKRVMTA EWIEGVRLWDKEAITRPWRGGWREGSPGSHGTPLDPPDKQIPSREELAKRSVASLKIK PERTHWKGWKERGGLGLSLKEVMTTMVDLFSAQMFLWGWVHCDPHPGNIFVRRQPNGK PELVLIDHGLYIQMSPSFRHEYARFWKALMTFDNKTLKEVAGNWGISNPDIFATATLM RPYRGGKKSESSRKNIEELTKQERQQLLYERQQAIRKTIREMLSDETKWPHELVFIGR NLRIVQGNNQFLGSPVNRVKITATWASRALAESPDIPLSERIRNYGGHIVFHFVLFTS DLVFYISKIRQLLGWGGGMEDDIEKQMKLMARDMGVEVDDSLFEG TSTA_123490 MPGGVACSIIGYHISRHTENTDSRRTMVLFLNPFRNPRSARTGS NAALLSLCRPRMIARIARVTSLSQSQKCQFSLASSLCQNSQYTRPPKTLPGFSLEGQT CIVTGAARGLGKEFLTAFARSGANGACIDLSLPAATSSIEHITSQVKTSSQSKGINLK PYSCDVTSESQVQSTINQIIKDFGKIDVLVTAAGIVDNVPAEDYSYAKWRKLMDVNLD GSFLCAREVGRHMIQQKNGGSIILVGSMCGDVCVRPQKQAAYNASKAAVIMLAKSLAT EYVSHYSTLKCRYTH TSTA_123500 MSSIFRAQRRQSWTTTTTAGSDAQSSDLETQHEGNETVNSSVSS RYSLQPVPRIPSLRLGDGISFRESFDGDETFSMHTRSFSADFGRRMLGSVVEGEESGG LRGARSSSLRQVVRGGYGDGEEGRRTGKESGLRNGLSIAMDSHNDAVSVPVDSHGTVD QATGPGKESKDGVGEIGSLHFAADVDRKDDSGSTQSRASDPEQNTPPTISPVSPPLPH EPSQSGSQVLGQSDISHALLTALPQLTLEPTAQPRLSPPGTDEKLHSPVSPPLPQNGN LVPPQPANRRDSIVSQISEISQDEMDRIREKFDEDGIYTGEPSPTRSQVSELTDDDED DDIVDAMREKLDEAGFHSDPEKDTLEQFPRPPTATSGNEPMRVVRMSRFSIDKKTAAQ SSENDTRRYSRFSFESEGNALAEEMLVNAHGRRSGQAYQRNAETKFEESHVPVTLGED APDEAPPLFDDSEAPYSDDRKGHQARVETLGNDNRVSDSQNRQSLRPEAAQSPPRYQP IQSSPPPHMNTLWKTGRLPVLHANRDSVTSSSVSSVSNPNVDPNAQRIAISPEPPLIG SHNQHRPSPELRDSNKPNRPRIDDVTTNHTPGWSLWANHGRSQQRTSNGIASNYSPHS SGSPGKRDEESLHSGDSMTVQAAASRLDLRSEPSPLMNSSETASDHSNPGKISSQLLN KVKFMGKRTKGAPAAAIAPPVETIETKVVEKRKEKKTDGKKGALSRFGGIFNRSGPNP RPEETVQLAKYSQVSENTWTGGGRSSYPQATAHQQPYTAGTASSRHASMPAQDKALPS PPGGYYAPPSKPMYEPSVNYANQAYPPYNSTSMNGYQHLPAQYAPNTGQYAHQSPPFQ PQPLQYLQPQPAAPPQHQISISSRSYNNISPTINSSTSASPELEQSRSRARDLRMRSR SPRPAQIRAPPSGSENLNYSDPIYNLGKFHAVTETPRIGDQSLPFPITLPDDLANGQL SPRNSIQPESAIFFDRNRAGNQNAGLGFNQSTQMSTIEFTLEGTTTAAGNTGGKSNSE MYETRPVHAKNTVPVELPVPNENDEEEIVMSSTAYPGQEWQPPGFEQWAPY TSTA_123510 MSGPYRPGYPGNGGTPLSPASQANQSVSFKTDINRKKTKKWVAA KSYSYDGDDWGDDDANEEEEDEVEEVPPMPSTSRFAPAAQQAASNPAVAANSITGSSS TTQAPAFVRPADIYRRMQEGNESGHRSHDSARSNTFSGEVAAQGTSQNVPPQSAGDNA RSPSPLVIPEVKRLSGFGQDFFGGGNQPEQAAQTTHVAEAQVTDKGSSLHHNPSLGFN SIVHQAFDVEETPNSSTASFSRSNSDGTSVISPIISPWKSETDKTPTIAEDPTAEASA QASAQASAQEPPANFKPGHRRDLSLPSPGNGPDRVPTVVNADEGQSAEVVRSSSQDSQ VSSDLDRAESPIRDQTGSGEATPRPLSAVHDSFDRLKLDSTPAENVGQSSQDGQPGAP SPLQIPNEPLQHIPQIAPPVVTDDSPLTEGSPQDMESDRLRKEIMRSFSSDNVSSVPL TTQSQDITSVEPKSRPQHESTYLPSEYDSYWGEQTIPLTNPLQVRSATSPAEPATLII DPQSTVNASLTEPEPSSAMAKPPPKLKKKFSWEESDDSEEDVLEEAPVSAPSSQPLQS PPVVTPVSDTADSIPPHLPSQVEVNPDALSDLGNRASLPEYPTAEKEVIDHLEKQAEN TESDLEYLAPIQEPEPEPSTPGEVNDNVTPQAQPAPSAVNEASLPTFRKIIQIPSPSE RIKLFNDTREQFAQIDTGLSDWIRRSSESLPEHTDLIQANGRLPGGAPTTGLPPRAKF PKLSSLGNLSLPSGSSHGTENSSSTFTPTHARRSSGSHLTGVINRSNVESKGKDFLHS AGALGGKAGGAARGLFAKGKSKLRGADKVD TSTA_123520 MNQDSSFAQDGSPVNGATKNPSASNVPRTLEEVAIELRTKVFDL LELQTNDELLQNLQKQIRISIEVIEESFRRYRPEELSLSYNGGKDCLVLLVLILVCLP SSTSTFSKFPERLQSIFIIPYDSFPEVEEFVATSTREYFLDLTRYVLPMRPALELYLK ERPNIKAIWIGTRRVDPNGGQLTHFDYTDKGWPQFMRIHPVIDWHYAEIWAFIRHLGL DYCPLYDRGFTSLGGVSDTQPNPALAVANDPTQFRPAYELKEDNEERLGRDR TSTA_123520 MNQDSSFAQDGSPVNGATKNPSASNVPRTLEEVAIELRTKVFDL LELQTNDELLQNLQKQIRISIEVIEESFRRYRPEELSLSYNGGKDCLVLLVLILVCLP SSTSTFSKFPERLQSIFIIPYDSFPEVEEFVATSTREYFLDLTRYVLPMRPALELYLK ERPNIKAIWIGTRRVDPNGGQLTHFDYTDKGWPQFMRIHPVIDWHYAEIWAVSDFLLL QGPPAN TSTA_123530 MTMASIQDRTNEFRSILGQAQKRMASSKVGTQRQVLLSDSQRRQ ANGSPESMGKRRSEFARRAAEIGRGITGTTAKLQRLAELAKRKTLFDDRPVEISELTY VIKQDLASLNQQIAQLQALTLAQHPRASRNKTDQEGEHNDNVVVMLQGKLADVGANFK EVLEVRTKNIQASRSRTENFVSSVSSKSQAQFDPQRSDSPLYSAPRSRTPQPGFRNGG GHSSDLLTLEPSSSSVLGQSASNRGASDQQLLMMEEAQPENTYIQARGEAIEAIERTI NELGGIFGQLATMVSEQSEMIQRIDANTEDVVDNVEGAQRELMKYWSRMSGNRWLIAK MFGVLMIFFLLWVLISG TSTA_123540 MLDGDSGPNREPSQTDPLSLKDTLQLLGATLNNATVKDALGSKW SIGRTQAKFAALHKEKPRIHAEIRIVLNLFESNELRDIFPYSGCSKKSCTMCWHFVQI TSRHGEVEIELERERVTATFSRLSMEEDRLKVANDRLPNRKDEPYHDECYSCGALTLR KYSVCNRDFYCSKACEEKRNSYHLFFCAKIPLTPADYLFRDVLRDRML TSTA_123550 MTTIQSFGTARRKRLLSFQFSSASSSLSSSTTTKLPLGVGVRAD IVFLSTVVEVTETVPPLPITAESDVNAPVATNAAVDPDTATNTGMPNSATASEQVLQP TASVGGHAKVEIPTTTIAISLEGPSETGTQVASTTEPGTTLVSSLTGTNIASLLMHKS APTETTHGKSPPTTKSTQTLASTDTPQKSFPTYATAIASGTAVIATTGIGAAIYFMKR RRRGQKTIDRASIRKVDTAVERPKLLSGRDNGDLTSFEKPINIDQQTNWDRSMTPPDP EELRKNMMEDKGNAWRNSRDGPEPVDLGIAYVPAAAASTTSFSSRQNRLSSATPEELN GRGTPQQEQGYTHENTSHTSMPTELLPVMQPLNISQQQPDRRPPTAETINRQDTISPS IYSRDSVATNMADLYARLNTGSNNNYGNSSGYHTNLHTIPDDPVREALYQTDPYGLQD ESSSIYHRHQQHDFGGGSLRSESPRGNEAWGRGAAHRARFGYNPYDQGFDAA TSTA_123560 MARKGPGTDGPLQTALLESTSAATTRASEGQKIFSPIAAFLDKH RSQTTGLAPHLLRALTALSDDLALVAQRHFSAYISGLEQSTYATITQYAPVKSTPTTH SKAHVKKPMPLVKQPLPDNRLFVRLPADHAARKMEAYAIYSSLWSQLNSNSSALKEVQ AIKTGFALCPSSPEALLTLEA TSTA_123570 MAQVEESVLQAGNTAPGLDEIPTCILKVIWPLIKDKKPKKTDWS SPSLYRLIALLSVLGKGLERLVAQNMAWISIHYKVLARQQFGALPLRSINNLTTCLTH DVEQALNQDVKGAFDTVLPGRLIRRLREQGWPTNLVLWIASFATGRSVQIRLDGEIGP STDIACGLL TSTA_123580 MGRTKEQAAADFTAFQRTIPSSDIVIFSDGSRLIDGRAGGSYIR FQAHHQFLRSSLSYGHRKELNLLPTYRSALTTWKLPRASYPPLQDPPKRSLNPSAPLQ LPSHYAKGFHTSKVPGHAKIPENEAADLTTKEGAASTPPAPHKSSYTSLKRHAKTQSL SAAQSQWQKVAPQSYQDLEITTSPKRPGELQLNRLDLGHIIVARTGHGDFADYHERFN HDDTYLLCTAKGTRKLASWLAETRFFEDICPRQPLLST TSTA_123590 MEDVPEAREMGRGWQAASIIDKRTLDNMNDPGLDPAITETANEV EHRAQDGSKQPDERYVHWTSADRELEQFLQPTRWWYASNEIPLVAATFGPMANAFSVC SLSQKWREIVTDGEPPYAGQPLGDPEWEVAINAVSLAAALFANICLLLTMARRMSFNM ALPIVIVGWYIASVLLIISLSIVGRDTQSAKNAGRGMQMTEAYYYGSIAAGLYFIIAS LLIITVYGAMKGHYSRKFHLSQSQRTLMIQNIGFLVYVLAGSAVYSYIEGWRFNDAVW WSDFTILTIGIGYPSPSTHLGRSLLFPYAFGGILIIGVVIGSIRSLVLERGKSKMSSR LLEKTRKTFSNAMTTSGNKDQGVLKIFPVVEDGAEMDEEERAKREFFAMRKVRHLAHT ERRWLSLLISATAVGILWFVGALIFQHAEATQQWTYFEALYYTYTSLLTIGYGDVYTI SNWGRAFFVFWSLLAVPAMTMFISNLGDTFIRQFKNFANFIGELTFLPGDMGYRERFK QFFNAELWPFTFNKPKLKNPSGNRNLNDSTNATIERAESALEEEQLREEHEAHRQGDV IAENIHHHQYLLMRELREMFKWVNASPPKEFDYNEWVYFLKLLEENKCVKDLKHANHG QDQSEETKWSWISHRSPLLGDKSEAEWLLEALADKLERELLKLSDEYRQREDGKSYDD KSGEDDNRQNGKQCI TSTA_123600 MLLKPATPLSVLLFAAFALLLLSTLSTPVIKAIPLATYQNVDFG VFGYCRGSQCIAARVGYDTENIFGTNEDTDGDFNLPSSARNSLSAILIVHPVAAFLTL VCFIMALTSHLHSPSHSPRYLLALLILLLPTVLVSLLAFLVDILLFVPHLQWGGWIVL AATIILVACGVVTCAMRRTLVSRKARKKLVAENAEMSGENFYNRQRAEAAAAQLPKAE SPPPLPMNTDAKTPLISANSHAESAPTFTTYASSRTTEDGSGVLLNGGDDTAFYHQSA RPMRPGYQGPQNEYGNPMPPAVTGAAAVEAGMMMSNSDRTLQNQYSDGSLGSRRGYGP PGQPPRGRGYPPRGGYARGGPPGPYGGRGRGPPPNGRGGSMRGGMRGPPPPGAVPYNR GPPPGYPPRRDGPGGYDQYGAGMNRRPSDGGPYGPARSNSSNYSRPYGPGPVRNLSAS QPNLPEMGDPGAIEMMPQFSEPNLRVRNVADTSEPQRLSNNESLPSPTSVYSTEHYVP PRAAWTRGPERGMNNSPAHARRGSSGHYYEDIEPRFAEDPAPPSLNGGVPSVLMAGRS AGEIPHSSPSPPLPPPLKIPGENVGDLGPGSPAISDISQTSHFTSISERPINPKWQAP PPAPGPGSTANRGPRMQDMLLEGNPDFELPGSSLRGRGRGGGGSRYGRGTGRMTPVIE HAGSRYPL TSTA_123610 MTIAFGLFNWVESKGGVVCHEHNQQHITSPFIFLLSSQQNIKMP VTVPTADSLKDLFSLKGKVIVITGASGPRGMGIESARGCAEMGANVAITYASRPEGGE KNARELAEKYGIKAKAYKCNVGKWEDVQKLVQDVIQEFGHIDGFVANAGRTADKGILD GSVKDWEEVIQTDLTGTFHCAKAVGPHFKQRGKGSFVITASMSGHIANFPQEQTSYNV AKAGCIHFARSLANEWRDFARVNSISPGYIDTGLSDFVPQETQDLWNSMIPLGRNGNA KELKGAYVYLLSDASTYTTGHDLVIDGGYTVR TSTA_123620 MDAITTAQKLSQDILQKLHPSAQRILLSSTTRNVLAVVLALYLL KTFNRALSRSVLNNWTSDKYDWSREIVLVTGGCSGIGQSLAHQLANRGVKVIVADIQE PTTPLPKNVYFYKCDVTSTTSIQQAGAQIRADHGDPTVLINNAGVGKEGSILEKPESV VRLVFEVNTLAHWWTVREFLPAMIKRNHGHVVTIASAGSFLGVGEMVDYSCSKASALA FHEGLTQEIRFWYKAKKIRTSIIHPFWVKTPLTKPITDSGAELKQPMLDVEDVAGIIV EHVLSGNSGQISIPDRVGVGAMIRGFPNWLQEWIRSLVSSDLKRVRDLVDAKDGI TSTA_123630 MEPNFFPKPTTPQSSKTELQIGGIKVYIFGLAQAKEQGHTDLGV LYIAHGRTRTYRDSEGLAHEILHQVRSDTKPKKAGLIVVAVDARNHGERKLNELTNMG WNEGNESHAQDMLSSISGGAHDYELLIDYLPTYLTEFNNFYNFMSGISLGGHTSWRIA TSSVAQRGKLHGLAIIIGCPKLTSLLLARLGVDLEATASKFDVSEDLIHIIPYDKLST ILTEEQQRRWPRALANLVTEMDRVTEQAYPRNIPTYILNGRLDPLVPDKFTAQWVKQR KTEGYQNIEYLAQENTGHACTNQMVDNVSGWLVRMLRK TSTA_123640 MPTDTLNIIVLGAGVAGLTTAHSLLAKFPSHLSVKLNLTIIAKH LPGDINQTEYCSPQAGANWRSFEKELNQYGQYDKVAFERFLQIARESPESGVKRFPLR LVYGDEDDKRREEFWFEELVGGIVDVPKDKLPEGASWGVDLETFIFNPVIYCNWLFAS LIKRGVKIIRRSYDHVDSVVSDFPNTTAIFNCTGLGSRYLGGVKDKKVHPTKGHTILI SEPKKPLERMYVWTQPSIFPPGEFSHVFPRPLGGGVIIGGVRLDDDWNDSFDESRVER IKQRACQLAPELGKPEDLQVVRNNVGLRPSREGGARVDIEDRNGAWLVHNYGAGGAGY QSSWGMAEHAVSLFTQKLGLSLDAKQAKL TSTA_123640 MPTDTLNIIVLGAGVAGLTTAHSLLAKFPSHLSVKLNLTIIAKH LPGDINQTEYCSPQAGANWRSFEKELNQYGQYDKVAFERFLQIARESPESGVKRFPLR LVYGDEDDKRREEFWFEELVGGIVDVPKDKLPEGASWGVDLETFIFNPVIYCNWLFAS LIKRGVKIIRRSYDHVDSVVSDFPNTTAIFNCTGLGSRYLGGVKDKKVHPTKGHTILI SEPKKPLERMYVWTQPSIFPPGEFSHVFPRPLGGGVIIGGVRLDDDWNDSFDESRVER IKQRACQLAPELGKPEDLQVVRNNVGLRPSREGGARVDIEDRNGAWLVHNYGAGGAGY QSSWGMAEHAVSLFTQKLGLSLDAKQAKL TSTA_123640 MPTDTLNIIVLGAGVAGLTTAHSLLAKFPSHLSVKLNLTIIAKH LPGDINQTEYCSPQAGANWRSFEKELNQYGQYDKVAFERFLQIARESPESGVKRFPLR LVYGDEDDKRREEFWFEELVGGIVDVPKDKLPEGASWGVDLETFIFNPVIYCNWLFAS LIKRGVKIIRRSYDHVDSVVSDFPNTTAIFNCTGLGSRYLGGVKDKKVHPTKGHTILI SEPKKPLERMYVWTQPSIFPPGEFSHVFPRPLGGGVIIGGVRLDDDWNDSFDESRVER IKQRACQLAPELGKPEDLQVVRNNVGLRRK TSTA_123650 MAATADFTQNDTEAAFHFYSYYRSSCCQRIIIAAHLKGIDLEYS YLNFSVKEHRTDGYKNDLNPSTSVPTLVITPRNGGEKTIIRQSMATLDAMSDRVYVRD LTNIITIDTQLPTNFRIVQRVRALRESLDDQVALAHPSFIDGFEAFEDLLVKQGSERE SYSFGNSISMADVVLVPTVDQLVVYRLDLDFVPNVKRVCLALKELDAFKAAHWRNQGD TPERFRANDCTSYMTSKLRSQSANLHE TSTA_123660 MEKIRVPDQVPEPTVPNEEPSSSQQHDEPTKQRQYLYGWRLYLL QSSLYLGLILSIMDSSAVSTALVTIGDHFNDFMHIQKWATIAALAFITAFSIGCGCAQ TIQQLIAFRALQGIGGAGGPVVGGIITTHSTWRWVFWFNIPISGTILLLLLLLCPNIK YQGRITWKQFDLFGCLIYLASCTLLITALQEGGSGSMNWNSAAFIACMILAGVIFASF ALWIAYLSKGKHSTIPLFPARIVTHRIMLSTILVSTCVGFVFYSILIQLPERFQIMAG VSLLALSGPSAFGSFIGGTASVKRNNTFYTLLAGCSFIMLGTGIMHTINSGHSIPVKI YGLEVLMCFGFKMIFSTTIVLIKLHADQRDSASAQGLMSQARLLGGNISLAIATIVLN QNLISDLRGVVPADEIDSLRHSLLAMTLLTPEQAETVRRPFANAFVTQLDINMGITGL ALICVLGTWQRHPTTFKEKIEEAENQNGEEDTESRGVNSGENSNQV TSTA_123670 MATPSKDRVEPGSVNIPIGKYPSTVPLDPSGSPPAVEIASKLVE DFNQALANKDPHAISQLFFPTGYWRDHLALSWDFHTLSGRESIAKFLSTNGIRITKVE LDISTAARTPHFGPIDGGLGEANGVEFFIKFTSDIGSGNGVARVAEESAGQWRFFLLS TTLSELTGHERRINRLRPKGVEHGGDPNRQNWKERRAAEINLENRQPQVIIIGAGQAG LTVAASLKLLGVETLIIDREDHIGDNWRNRYRHLVLHDPVWMDHLPYMPFPPTWPIFT PKDKLADFLESYASFLELNVWTKTNLTSSSWDDSTKQWAVTVERQKEDGSKESRTFKP HHVILATGHSGKKNFPTIKGMDSFAGDRLCHSSEFTGAFSQTDSAKPKKAVVVGCCNS GHDIAQDFYEKGYDITMVQRSSTLVVSSTSILAVYVKSLFDEDGPATEDADLWIQSFP AEVFKGIQIKATDIAATQIDAEIISGLEKVGFKVDRGPDDGGIFMKYYQRGGGYYIDV GASQLIIDGKIKIKQGQEITQILPHGIEFADGSVLEADEIVFATGYQNMRTEARHIFG DTVADRLGSVWGYDKEGEFRSIWRPSGHPGFWYMGGNLALCRYYSKLLALQIKAIEEG LNTVQN TSTA_123680 MGNPSELKFRVSLNKRLIVCCDGTWEDGTSDEIDNPLSNITRIA RSIRQHAEIIDANGDKILIPQITYYQKGVGTGVLDQYLGGLTGVGLSANVRAAYAFLA ENYEPGDELFFFGFSRGAYTARAVAGLVADMGLLTPRGMDNFPIIYHDYYKKKRLSYD DDEDMRRKLGFRDKLPRFTIRVIGVFDTVGFHDFRFTSKIFGEKFELPNTILSPDVRY AFHALALDERRKAFEPTLWHTPQRVPDQELLQVWFSGAHADVGGGAEDPRLSDIALAW MIAHASKDKQLDFDLTYLFHDPAAEVSSEQLRESALRPWTTSLGQEDHWKFTQYVEAL VFGKGNRTPLLYVDGNDQVGYTNEWIHESVKDRRLNKFSEKGPALDAKVWPSKVIARR IGGEHGEREWMLKDGQIIREVGATPTELFLKGRIRKVRAIQVDPA TSTA_123690 MENNTEQQYQGEEGHGQFPVASLMNISKNDEEKGNTSITLPIES PYANVVATEDYSVFTKRQKRMMIATASFVSWISPLTGAIYYPAVNQIAADLHVTNSKV ALTVTTYLIFQDLGPMMIVGFSDKAGRKPAYIACFSIYMIANLALALQNNYIALLLLR MLQAGGSSGTIVLSNGLVGDLIPSSERGKYIAFASIGLILGPSLSPFLGGIISEFWDG IGEKSGADNSRIFWFLLILSGTCFVPLLLFLPETCRNIIGNGSVPPPKSSWNLSDQIR FRNRAKNGIPVDEAKLEALRKNYRITLPNPISTLLILRELEIVMLLFGNGVAMACFYA VSTGAAGGFRGNYGFNELRISLMFLPIGAGGIVSAFTAGYLVDWNYRRHANRLGYPVH KNRQTDLAHFPIEVARMQIAVPHFFLGAAAMVGYGWVLASNVSFGVLIVFLFLIGYTT DVVGQTVMVLCVDINPGKPANVSAANNVVKCLVGAAASAAIVPMSQTIGYGLFLMCQC WGVSWAYTILGVLFLTSSLGPIASMSYGIAWRRAKKERDDRKAEAKRPS TSTA_123700 MDIQADMTPPESGSEQPKLSSDSNSDVCSSDEIDEPDADDEDQV NDVLGVSISQVQSRMKARQTPAEEKSRAIESSGGYFDMIPDEPSEQDQRQIFEEPESI DGPTTQSDEKKKTEKNGNKNNRHSFTRSMLMSSLSGRRRASSGDSGYGINLRKLLPDF SLSPSRQSSSSSKLGSTDLTIRSRSSSTPQGGRDTDTRNNPATKSSSTIQSNTDDGLA ALGALQNSRTHSYQQYPIAKKRPPLSVRQSMSDESLYVRSLSRVSTLEHRPHYENVHS QVNSRYKAIKDSLQDSSSRIFSMPSFNLPDFRHEWHPGRFLGDYQRKDSVGESTATPE VNSGETSVNGKPTTRSNTTYPLLDQAAFQITGDVVVMGGYRGSILRSAKPPHRQLWVP MKVGLNIRKVDLEVGLSHEDEERMEKTIIAREVLSHIGPVDICRRLLQRLSKFQKSRN GDIRVWDYGYDWRLSPHLLSKRLIKFLEGLPCNAPGVPVEKRGAYVIAHSLGGLITRH AVNQRPDLFAGVVYAGTPQHCVNILGPLRNGDEVLLSSKVLTAQVNFTIRTSYALLPD DGRCFINRDTKEDYLIDFFDVKSWDEYSLSPCIRAPLPPAGNDNKKSLTTLPRIGLGK KRLSVKLGKSNSVSSHPHGPRASREKDQRENVPGGSENEEIPIDSKTSGSTGGTTGDI LGPNTSKAPQEINTNQATTSGSSIPSNMNTGGNIAAATSTIPREQALEYLDRTLKEVK QFRQELTFDESHQQENRYPPFAVLYSKALPTVYGARVSSREDIKRSDAYDDLAFAAGD GVCLASAAMLPKGYRVIKHGLVRSDRGHVGLLGDLEGVGQCLLALARGREAGVGLGVT K TSTA_123710 MSSKQKLNPLKRKASRELPPNTNRNDNTQMVAATTQADTKIHKV SSLYQQTYLETIAEIKSLLQHYAATIAADEHVNATNNSTVAGNGGTGAAAADARAELK AILDDLAQKPELVELDEVKTILKDCGIEICIHMEGGRKIADVWMV TSTA_123720 MASSNYNQPQPQPQEYIPNLFSYETGPANNTAGPHQSDLANKLD PSVDSDLNNRAQYAPGTTRTTNTHPGATSYTANPGAQNTLGPHESDFKNRIDPRVNSK TGEMTTKTTNNGGTGSKKEPVTSGSNYTSGNPGQTQPSSSTSPGRTGGVVGGSSTGAP AVPSPVSTPREYSREQSSYNPATGTGYTPSHRQQQQEATQPATVPATTTTGTQTGSTE ASGSKGLGGGIKGVVAGIHGAGESLRGTFNAAVDRAFNEPEGVVKNEAIGREGEYEAK SGQFAPSTKQREGFNTQNGT TSTA_123730 MAIANKQIIYTHPPSPAINPDLSSNNGTFSLRQSSISLPIPTDK LLVRNHYLSLDPAMRQWLTAKRSYIAPVELGDVMRSLAIAQIVDVGESLTSQFKKGEW LIAVTGWQEYALLGAKEAAKQRAVVPPGCSPMDAMAVLGTTGLTAYFGLLEVGQMRAG DTVVVSGAAGATGMIVGQIARIKGAKRVVGIAGSPDKCRFLTEELGFDVAINYKDKDW KKQLRAACPEYIDVYFDNVGGEILDVCLGLAAKDARFPISGHISQYSAAKPEGPRNIM MLIGQRVMMKGFIYLDYHKKFPSAIADLQKWLIEGKIKRKEYIIKGGLEACPQGLVDM YAGANTGKTMVEVVPFSKAIQETPAKL TSTA_123740 MSSVYTSARHRLLSRGAVYKALPRAPPVWTRQFHIHNHTFIQQK NILPIKSLQKRSVSSTAKMGAETNNEQFKLENLFNVKGRVALVTGGGSGIGLMAVQAL AVNGAKVYIVGRTKEKLDRVAELYSTGRNGEGQIIPIVADVSSKDDIDRLVSEFKAKE DHLSILINNAGISGEARHDTEREHPEGLKETLFDNNAATIEDWTSVYRTNVAQLYFMS SAFLPFLQKATDTIPGFSSTILNITSISGIVKSAQHHFAYNASKAAAIHLTKMLAYEI SSSGLKIRVNNIAPGVFPSEMTAQESDEKQKSELPKEKYENKVPARRPGNDRDMGSAV LFAATNQYLNGQTVVVDGGYVLATGTV TSTA_123750 MDLVNHLEGRLLFAVPKKGRLQQATLDLLSGSDIQFRRETRLDI ALVKNLPIALIFLPAADIPTFVGEGRVDLGITGRDQVGEHDAALPEGEESGVTEILDL GFGGCKLQVQVPEKGDITDSKQLVGRNVVTSFTALATQFFAGLEGVNEKSKGELKTKI KYVGGSVEAACALGVADGIVDLVESGETMKAAGLKAIDTVVQSTAVLIKSKNTKNELV DLIASRIRGVIDAQKYVLCQYNIPRDRIAIASKVTPGKRAPTVTALEQADWVAISAMV EKKKIATVMDELTTVGATDILVLKIENTRSG TSTA_123760 MSFGWSAGDIFTLVATCYKIVENCREGLTSASIQIKGLRNDLEE FYTVLVHLHEVVKDGKNIAFFDLKDMKATMKSCDAYLDKYKHLQLSKGVGSNNSGPNV ATSSTNSSEGLKRRSSFSKSKELLDKSKETGLKLSQAVIWTTLGGESEIQELQRKLAR HRQTLVLYLQILERNRRIKEDSNVNKRLSNVEAMVKDLHSNRRLSNTGSPDTLPRSPR MHYQRQNDTDYEDYEAIFRALNEQKRLALMETERADNDDTLQEWNDILDHLEIISRRV LNAAERTASSTAQRRTGSASYQIKLNRMLTPHHTIGTPPSLRPIQRTDTHDSGFAEML PQALAPLPEESSGLSEAFAQSAHVVKPVQPQSSFLPDGMVEHYKPMTPNTLLKQRTLS VSSLSRPVLSPSSSAESVGASTAPFSRSPRQSRTFSMSSSEVSFSAGVSHWQTIQFDG WVKCTTTTSGRPISCTVNGGYDSNGKLYALQIRKQDSSSSSFIIKLSTHKKRPLPQVE PFGERDIPEEGYQAYFISPLDTEPKGVEVQFFFQNEKSLNDFESLVYGQELLLTVNIK KISSSGKNLSEGQRLRVWKKNDTKSLLFYTTKCEKSKGRYHSIPAREVLQSKVVGKSS LDLKLQNNSHHLQDLKIEFLNKTGWSAYNPYFSSF TSTA_123770 MKNPFTLHVKNRTVNFDHIRDLKLRRRRVLDELDRTHFQWLVVF VAGVGFLADGYDIYAASLTIPMLNHVYWNNQMGTNIEASLNAAVLAGTVIGQLGFGIF ADVFGRKSMYGLELLIIIGSTVGITMSSPGTAGSMDLLGWLISWRVLLGVGIGGDYPL SAVITSEFAPTRSRGRMLASVFFMQPFGYLLATVVCIIALAAYKPHLTQLSTDGNMTC VNDECRRALDSVWRWVIGLGTIPAALAIFLRFSIPESPRYTIEVLNRPDEALEDVNDM DLPTVSSPTPHEGGVDANVHDMEMNHDQHVPDFDEHVMHEDDDPLDGEHAAEQRSWIS SPGSPTFRDASSTTAVDETVSHHVSSAQYLSATEMHSPTFTSNGEVSFTRAPIANNDR PPSSALSPTMLSRQSSHESGSSSSESDGADVESRNVWAIYRSGLNQYLWEKGHWVVLL GTSMTWFCFDFAFYVMGPNSYQVVSKVFNTSSRDGQDVYTNLMTESWHSLVIVSLGAI LGGICMIYLVGRISPRKLQLAGFLIVFVLFIVVGLVFRFLSAPSRTPLVVFLYVISMI FFQIGPNFTTYIIPSELFPTQLRCTAVGISAAAGKTAAVIVQIFASLSRLGPHGDSNE FGYGIIVFSAFMLIGAALTKWLTPETRADGMKPVPLEKLEYAGPKWPVKSRKSRNKEE VCMEVTNAENHNGASGFVH TSTA_123780 MKVLILTNVSLLLLGSAVADPIVYMIRHGEKPSDGSDGLSAAGE QRAQCLTSVFGPGSSYNIGYILAEQPKDDGSRERPYETVEPLAEELGLTVDTSCSKTD EKCVKKAVDNYDGDGNILICWEHDELTLIAEKLGVDDAPDYPDDEFGQIWTLPYPWDT ITDITDENCPGLGQ TSTA_123790 MELSFRDFVQRYDRPIFQLSGGGAPMPITGLRPRQLGPLESFED VHERRWLSDAPGHAIPHQDRLPAIRQIGSAAKPVMASASPRMVIPEGKPNPAAPVQID NLLF TSTA_123800 MAYISLDNVVEYQYPKPKKPSKLPLIPCGASSFQSTTEPFLNRS TSVDVCTTVVPPASASENPSSLANINSVATQTQIQIEESCPPPAATIVDSDGFDEKDK NKDQNICDQKIEQDACQSLIESLETEMSPLVSDSGCEQHCSTVLETFIEEIPSTVLDD PIASAVQSDTTGIDQMLMSPVNTPTGDAMCLDTLADKGRQRSVCQHSPIAEVAVAQFA PT TSTA_123810 MASMELALAALHSADPGEKPNISLVARTYGVSQSGLYKRFHGVT GSKEEQYDKQRILTTTQSRALIKWINQLTERGLPPTNSMLANFAREISGKEPGKNWAS RWLKAHSDKVISRYSTGLDSDRKKADSAYKYALYFELIGRKIREYNLGPEQIYNMDEK GFMLGVSTKRKRIFTRRKYEQGGYKQHLQDGNREWITTIGCICANGTALAPSLIYMAK SGFIQDSWLQDYDPQTQRCFFAASESGWTNNDIGYRWLVDVFDKETKSQASRGWRLLI LDGHGSHVTMKFIEYCDSNRILLAIFPAHATHTLQPLDVALFSPLSNAYTKQLDDFIR DSQGFTRLTKRDFFRLFWASWNEVFISKNINSAFRTTGLYPFDPEIVINKFNKKITSR PSSSESGASIIPPEDWRRLEKLVKTVVNNIYDEKAVQLRETVSHLSTQLTLLQNEKQG LKRALINAKKPKNKKQPLLLGLPSEQDGGALFMSPTKVQQARDIISQKNDEAAQKQAH KDDKKLQQQLKKQAKEAEKVKRAQIRQEKREQREQEAAEKQRLKDEQELAKLADLQLQ NDVISTPKASKKPTEQISRQAKPRVQPEAHVEDNEVVVTTNRRGRAIRPPIRHSLFSA TPEIHYI TSTA_123820 MPRAEYHATFSGPHNNGLQAGYIAGNVETHHHYAFAPLRRTSRD SSQSVLSIPFLRDQDFIDRATILNQLHDRCAAPGSRTALVGLGGVGKSQLAIEHAYRI HEREPETWIFWVHASNAARFEQSYREIADTVKLFGRRDPKANIFKLVYNWLRECKNGK WILILDNIDDGHFFVNRSDNMQGQTSHETSRVDRSLRDYLPQSPNGSILITSRSREAA LKLVNQRDIIPVEPMDGTHAQALFETKLGKGDEQYHNSQDVAELAAALDFIPLAIVQA AAYISDPDRGCSVRQYLNEFQKNDCKKIHLLDHEEGQFRRDWEAKNSILITWQISFES IRENRRSASDLLSLMSFFDRQGIPKALLYNRSGGDAEESRSEDDNDSEAQSSMTDEFN NDILILRRYSLISINIDRTTFSMHNLVQLATRRWLEVNGELEKWKWQYIRNLNAEFPS GEYENWEQCQILFPHAKAAARQQPESRDALIEWASVLYKSAWYDWRKGNGGEGAELSV RAMKTWKQYLGLEHEKTLSSIDIIGLIDLLQGRWKEAEELFVQVMEIRKRVLGAEHPH TLASMANLASAYMNRGRWKEAEELEMQVVETTKRVLGAEHLSTLTSMANLASTYMNQG RWNEAEELEVQVMETRKRVLGTKHPDTLTIMANLASTYRNQGRWNEAEELVVQVMEIR KRVLGAEHRSTLTSMANLASTYRNQGRWNEAEELEMQVMETTKRVLGVEHPSTLTSMA NLASTYRNQGRWNEAEELEVQVMETRKRVLGAKHPDTLTSMANLAATYWNQGRWKEAE ELFVLVMEITKKELGAKHPDTLTIMANLASTYRNQGRWNEAEELEVQVIETRKRVLGA KHPDTLTSIANLASTYRNQGRWNEAEELEVQVVEMRKRVLGAEHPDTLTSMANLASTY RNQGRWNEAEELNVQVVEMRKRVLGAKHPDTLTSMANLASIYWNQGRWKEAEELFVLV MEITKKVLGAKHPDTLTIMANLASTHWSQGRWNEAEELEVQVMEIRKRVLGAKHPDTL TSIANLASTYRNQGRWNEAEELEMQVMETTKSVLGAKHPSTLTSMANLAATYRNQGRW NEAEELEMQVMETTKSVLGAKHPSTLTSMANLAATYRNQGRWNEAEELEMQVMDMRKR VLGAEHPDTLTSMANLASTYRNQGRWNKAEELDVQVMDMRKRVLGAEHPDTLTSMANL ASTYRNQGRWNKAEELDVQVMDIRKRALGAEHPDTLTSMANLASTYRNQGRWNEAEEL DVQVMDMRKRVLGAEHPDTLTSIANLASTYRNQGRWNEAEELDVQVMEMRKRVLGAKH PDTLTSMTNMAFTWKEQGRGVEALDLLQECVQLRSKVLGVKHIDTVSSSATLICWQAE KLDIKEPAANGAV TSTA_123830 MADKPADLPAINPLQYAPWHPREPRGNTQAQIGAPMGHTKEQAA ANFMAFQRTISSSDIVIFSDGSRLADGRAGDSYIGLQAHHQFLRSSLSYRHRKEVFDT EVEAALAGA TSTA_123840 MATSDEGNAPAIDVDEQFENDSAWGQDDSASESTSVTSSIYRGL AENGRRYQTFREHIYWGPSDEKQFETMEAGHFMYSVLDYHEPNRLHRAPIGNSPEHIL DIGTGKGSWAIDAADMYESATVRGIDLYPPPVGWVPPNCILEVDDVLEEWTWRQKFDL IHMRLLLGAFTPEEWDDVYRKCYNNLKPGGWIEQVELDVRVMSDDGTLPEDSLLAGWG PNFLGCGERSGRPLNTQTTMRAAIEKAGFRDVHEKLYKCPIGTWPKDPQLKDAGAINM EHWSSGLEGWAMWLLTKHGAPIPWSPDEVTVYVAKVRAELRNPKLHIYHYTRRVWGMK PTEVTP TSTA_123840 MEIRHFMYSVLDYHEPNRLHRAPIGNSPEHILDIGTGKGSWAID AADMYESATVRGIDLYPPPVGWVPPNCILEVDDVLEEWTWRQKFDLIHMRLLLGAFTP EEWDDVYRKCYNNLKPGGWIEQVELDVRVMSDDGTLPEDSLLAGWGPNFLGCGERSGR PLNTQTTMRAAIEKAGFRDVHEKLYKCPIGTWPKDPQLKDAGAINMEHWSSGLEGWAM WLLTKHGAPIPWSPDEVTVYVAKVRAELRNPKLHIYHYTRRVWGMKPTEVTP TSTA_123850 MAAEQVPATRVFAGVTIPDTPLITKALEFARIHLEDYAYNHIYR SLLLGFIISDKIPELKDRDREAHAIAALLHDLGWDYTGKLISKDRRFEVDGADAARDF LRREGVTAEWDKHRLQLVWDAIALHTIGSIVFYKEPEVQACAYGIWADFQGPDRVPNG LLTWEEYNKVIAELPRLELMKGLKGVMCNLCITKPDTTYDNTVGEWVERASSPKISWT LAIWTLYETSRHVVLHK TSTA_123860 MADFMEDCAFYPSLDRKWPTVALEVGYAESYENLVQNATLLLRG SQERISLVILVKLQPLKEDETEIQKGFLEVWTYDHERKNYFKLGNQQLYPRPSYHAKQ EITFSWQNLLREQERNLNPKKAPLPLSLKNLRFYIDGAVERYLYDKGRRADSDDSEYE WYDWT TSTA_123870 MEATRIDEALQRATNEFGLCPNRVWAIGHNLEDWEKKLPDLVPT SERQKSVGIIGHEGHERCTFELCEYSILNYTSVTQRHEDGIASCREEKRCDTLKGLFA VNKLDEASLAGKPTAWRLDGESTIDVAEPYMAISHVWSDGTGNGVSADGEVNECLYRY FERIARRWQCTGIWWDAICIPKDKAAKDKAICRMEHNYEGARITLVHDCYIRSWKWVD AETACLAIILSPWFSRGWTALELARSTRVKVIFKGNVIKDLDEDILSKSDSDSACHRF ATEAIRGLRRKRVENLNDLLTALGPRSTSWARDMPRISALLVGTPKASGEDKQHHIYQ QVLHNIGWVAHGHLFHRSATMAGNFSWCATNLLAMPIASQTQERLNIKYDGSITGRWK VIKQASVPRERYVLQNGFPLVDMKVNVTLEAADKSVLLGEPDAKVLTRAIAAKVLDRA KQRYEFMGPVYFNPPLALDEIRWKTIDFVTISGSQEETDSSQTIPFEPELPHVPDHLK YLIPPVPTVMDTAFRRKIRLAQMAGDHEKIRKISNEGVVGAMSRNDASIKEEERLVRA VMEGDEKTALDVLEDKFINVSFKDGAGWTALHYAVRRGYNSIVERLFYNMRRGRGRYR RGELAAQIAVQDDLGQQCIHLAAERGDCELVESEEELLNVHKECKDGQTALHRAVLGG SLEMVMLLPNTFCDAVKDAQDSQGRTALHLCAELGQGRIFNELLSRKANILLKDSSGR TTLHYAVMDWNDTTDVDMVSLLLEYEELNVPDNDGRMPLHYAAEIGYPRYVRLLCRKT NLGVADSSEQTALHVAVNGGHLEAVNELLEWDRERHELLQITLDTALHLAASLNHLKI VQALVNHGASIWYRDPSDNKTPAEVAVDQKRAPLTMYLVELVLSSSRSEEAPPGWIEV ASTCSLEKSNDASPLHHAVKRDYQKVAVALTLSGEDVFVTDEIGKTIISLAVAKMAKD VVYALAYQYGQAIYKAKQAEQVLQQAVYHSSKPLASYTYELGGVWRNLYGAILSDSWT AVMHLEQAFKSTSDLEMQALLTPDWEVLRSEFPNVKLDEAKLLQDEWLNVKFGEASQD QLTPLYLHQQDIGRLDPYVRQYMARYRASNRQYGLEGEDDDAGQQDIWRLVVRQYIAR YRASNRQYGLEGEDDDDGDDTDEDEDEDSSSESGLYNSRRRPRRREEDSEEDSDSE TSTA_123880 MVAVPSDLQVFVKWKEQTVFAGEDVECTITFKNVAEIAEEKSNN PSHQQQHQRRVSRPLTALNGTNNDGYFAAKSSPTFFFNGSRRSVTSSPRRPTLGNGRN PTRGIPKESALSPRLEAEKTGRTISTSPSNASHLRSPRTRTPSFPTDFKFPPSPDPAA ETDGNTNGTPAGTNDGPASRQLSKQPPSLTQQAHLAPATRILSNSSVSGSLWGSKPIL DLGVCSSKILETSQLSSYASP TSTA_123890 MPPIRKKDPLKSTQDEGKIELAISDLKNGRIRSIREAARIYMVA RTTLQDRMKGVPYRQITRANNHKLSQSEEDSLVKWVLDLTKRGLPPRHFLVRDMANYL LLQHGDQRVGDKWVYNLVQRRPEIESKFSRKYNYERAKCEDPKIIQGHFDRVRDIISE YGILPEDIYNFDETGFAMGLCATAKVITGSDRYAQPKLLQPGNREWVTAIEAINSTGW ALPSYVIFKAKKNVRLGWFDELPDDWRINISDNGWTTDQIGLEWLKTHFIPLTSGRTL GTYSMLILDGHGSHLTAEFDRTCTDHKIILVCMPPHSSHLLQPLDVGCFAVLKRYYGQ LVEQRMRLGFNHIDKIDFLTAFPQARTVAYKAQTIRNSFAATGLVPFNPDRVLQHLNI QLKTPTPPPSRSSNTASSCLQTPQNIRQFVRQSTTINKRINKRTGSLNQNEEINQAVI WLSKAYEILANDALLVRKENRDLRAAHEKEKQKRKRSNKQISIEQGITREEAQALVQG QVEASHAVTTTLAEPELPASQAVKVIFRRGGRFAYMAGSLTDYVSYEVYLV TSTA_123900 MKFKRDVLLGVVSLVCLAQPSLQQASACASSYSQCGGIGFTGAT CCPTGWSCQTQDSYYAQCLSGTVFLQEFLTFLQPTSSAGSGGSTGPVTTSSPPTTRTT PYRTSTTFLTGAALASHTQVPYTTLECDNADCGSGTIYPDITTVNDSMPWHYSRSTHF GETPAGACGFGLYGMCTTASVSGIDLGDDCAQFCSMYPDLCADPANYTLRGNFAAPNG NYYTQFWPMLPSLDTRAEQDNYLSCGECFELVRTYPNGTLYTTSDSGYAPPIILEIVD SCPCSANTKWCCGPGVDQCQEVSDFKYGCPLPNGSIHLDLSDVAMTRAQAGVLVEGVI PTQYKRVPCPKKGNVYLWLRGSAGPYFFQITAVNAAGLGSIVGFEIRPDGQSDWLALV QEDDYPEGHPQERYGAWTLPANAGPINLPMGVRLTSATGEQIVNEAVITNWTAPNTAI AGYWYINMGINFSQL TSTA_123910 MRLLQTLHFLAATTLSNAAAEPLTRRQTSSNTAVVDLSVNRGQP KHLASGFIYGIPDNENQIPDHWYTNMGFEYNRGGGAQMGAPNRGWIWGLNEYKGRFQS TLSNYQVTVRHGGTFIILPHDIWGTDNANSSTHWPGDNGDWSSYDAFLNQLLSDIKAN NMVDHTVFDIWNEPDISIFWARSAAQWVQLYVRTHQRIRSDPALNGMLISGPTLAYRP ASSNNWWTSWLQAIKSNNTVPDQYAYHLEGGTTDVDNDLQTSSQTLSTLLSQYGLPSR QININEYANTNEQVPAGAAWWISRFERYDAIGLRGNWRGGCVLHDLFADLLTKSSNPN SCGATDYAPAPEYPVYQYYNLNMTGHRVSTIGTGDRKMDVYATVGSDGVVRVLCGVRI TTGTWYITIDNLSSVGLPTSGSLAIQTWGFPGGSSVFSVAPGLQNKGIVSHTYSGNSV TFAIYQTDSSTAWAFEFKAASG TSTA_123920 MLYLTFGEEKGSAYYKFLLCVLLSQLLMDCFLPVGGRGSDYLPS TQLVQERLLNDCAIFSYSPEDDINDDDDGAIWNRNYFFFNKQRKRVCYVYLRWIPIMS HDDGKIMAMWEDDDAKIKYMSTIRMSAQNFPVLLPEKAGIVVDLKYMRAMLEKLDIPR RYSSQMMKDMCEKMDGTKCACTTFSAGITWHRKLFFPADFQGSSGASSASRGILASVT AARIAVAPPRPYALTKGCSELTHKFFLSPSFLYA TSTA_123930 MLPPMPYLSQLLSFYSDEIHLILPLIDINFFQRDIDGRLKILLS QAMCLLASMSPHCKSLLYLPGENKLLAPRLFGYRIFCAMRCEIELGAVTNRLVLIQAL GALTLFIEGPEGPETASQLCAKMIQLVQTLGLHIQRGSDHEEDYEVTCLCCAWALDRL NAAIHGRSVLMHERDIARDLNKCFENQKPAFRLLLAVISQLDQVIDLYRPHSRSKGAD LKSHYPQFDDIVVSAQCTNLHAKLLSTVEVLYYAVAILSCRSTERPSNNDFRQIYAAS KINSIVEQDIRNQKRLLVFLPFIPYAVSLSLSISYNQMRYTRVAMYRTRAREELQFTC GLLEQLGTHFYVASAMASMGKATIAEIDRVSENMLREKNPDGRSHNNQRPTESSSRND RPAAVNTSDGAIELDPSNSGLSSTVNEFSVSDIDVFDMFDPNFGLDNIDAVFRSNLDL STPMYLPFYTGDIDSF TSTA_123940 MVALKRVPLSFVRNTSTTTATSTKAAGDISSVFPSLSGKAPDPL PPRFQTLKTQLSTGREQVLTDSWSRLLDSLREEVKKIKALGSDAIPSISYRDIQNGTL TQEQLNNIRHRGSVVIRGVIPKETALKYKEKVREYIKSNKERVKAFPNDDPAVYELYW APSQVHARTHPGMINTQKFLAKLWYSSNPLSQISTTHPLMYADRFRIRNPGDAKFALG PHSDGGSLERWEDPEYRRCYSKILEGKWEEYDPFDANHRISAHQDLYNGAGACSMFRF FQGWLSMSSTGPGEGTLKIYPLLRHATAYLVLRPFMTTGSIKYLNAEFPGAVPGACQE YNNKTHPDLDLADTMCSVPHVEPGDYVAWHCDSIHSVDKEHHGQGDSSVLYIPVCPLT SSNAQYLVRQREAALKFSPPPDFPDAGGVGERGFVGQLDWDTVSDEGLHAMGMGSNTW TTEENMSDGEKAVIETANRRCFG TSTA_123950 MIASAPKLYAGEQLEQAAGFPTLYNYFPADPEKQLIVFIPGSGH NARVAYGAHRGYQQKDFLAYWLNSHGHGLLAISYPIESDPELIPATAPHFRIRDWGKQ AAEVTHKIIEQHGLFKEVVLVAWSMGGRIVVPYCAHAHSLGIDVALFASLAATPGVHG SRPPPAEMSSTTAGSFALQVEEQNQKFNNGRAIFSEGVYPREYCGYTPVSLTGWGYRY DNESRKLVVDRWISTEDAAVDQFEHWPMLVALTGTSSLDARHVITDQATWSYMLTRKL MHMIEAHDVKKIAENGNWGKLIEMVHSLPSQISYSIPGNHFFFVGEPGARTTAAFIVE HLEKAKKFKQEFQALLNT TSTA_123960 MAVDTYKHGKNQKNLAFSACIWSLPTKASTTDRGYTLSPTNRLL LVDQEKALLILLLTERHGEPAKIGFILSVYQIIINGSFKALKKWNIRLPSIMARLNDE TGFIVGKGKRRQWLQHIQKGEKHMEEWYNHIKDEDWLTAPASSGFITDEIVFE TSTA_123970 MTEQTPTSPNAKPTVIGLYGIPGCGKTFLLKKLKVILRDDKFIF FDGSDVIKELVPGGLDAFSRFPNSSRKHWRTCAIKKIKKDAEDSGKTAIVAGHFMFWS EVKEKDVVCNEADLNTYTHILYLDVQPKVIAQRRLEDQTRNRANDSMAHLQEWQDYEK SQMRKLCHKNSILFMSLSPRLMDLSMISKLIMDFHYHNEKINSASIDHRLDEIMARMD GPVETMLVLDADRTLTAEDTGKLFWDDDGPGPSRLDGIFRKPLRYSYLAFRQAMLFYE ETYDDDEFDRRCRDVATRTSVYPEFLSLLRLVKAEPHVGAVIVTSGLGRVWEKVLQRE GLSEKIKVIGGGRLSDRIVVTPSAKEAVVDRLQEHYKTYVWAFGDSPLDIPMLKKANQ AIIVVGNKNERSKTMDEALEQAFEDADFKPQQVVLPSDAPPRLDATRLPVMSLTQQQF TADVFTRGRPKQNIDIISATDRTSAKLLMTAMRDARNNGPILCKAHRRVGSYLATEFV SSVIGVEKSTIPHVQGYSTDGYRLFREDQTLIVSLMRGGDPMARGVWDVFPCAMYLHA KNPTDIKCDHLDGQINILLVDSVVNTGKSIAEFVQHIRNLHPTVRVVVVAGVVQAECT SSGHLSQVLAGSYDSLSIVTLRLSQNRYTGSGSTDTGNRLFNTKHLHEPGNKNSHSFT FNQSNLKLLRI TSTA_123980 MASRRFTFLAVWHGVLGLQRQKPHSWHFDRLREEEQELREAQAY LEKISEASDVIFSISRAQHDGFNIQPLPSFFDRKYMLAYPYMFGKFSSRWLFYITASL LCRAPHIVREVVNPTKNEKLLQVATRHQIDPVRFTRALVDRTLVGDKSGKTGNFWHFL PTGFDSISHDLSTPRSSLWRDTHIPLRYG TSTA_123990 MPKKQSERQNATEEEIQTSGAKRFTFYAVTTPWQNYTQYPRDSN AIPGALGLGQATATTLSSAFYAFTLFSSVPFAILSDAWLGRYKTLCICFFLNFCGCLV VFVTSLPAVEEHSVKVVGLALSMFLLGFGTGGVKATISPFIGDQYTTMVPQLVVTKTG ERAVTGRMLTLQYIYNVFYWFTSIASLSLVASTYLEKKGNVLPQAIKVIIYSVREGFQ LDGAKPAYQLEKACFVLYHLCNNQSVNNLITQAGQIRLDGIPNDTIKALNPVVCVLLG PIIQKFLYPSLRRIGIPFRPIARMTWAFITMGGSVAVAAVIQKLIYTRGPCYDHPLAC KDDTNRPNDISVWSQTPIYFLLATAEILGFTTLSEYSYSEAPKNMRTLVQALAQLSSG VGSALEIVVSHSRMIQRTPLLRIPSEVGGNNHSFSPSRRSTLCTRDARTREGVFGNCA YGNPQVLSINTGILAIPVPWGYPLYLHNVEGSDATIYCVVACRGALRYSRNQSFVWMR RFCSEQMVNNTGLSRNTQRALCPLIISPSSSQMYWKIPSDLAEICNGKLSSSST TSTA_124010 MPSQSSPPSSSPALKRKQQTISSFFTKKPLTKEQGGSSSQAAAK VEAEQTKTIPSGKENAVFVGETVSPVSRKDEEGENDIALPARKRVKVDVGKETRTDVD NILNSSQRTDKFKFNSSQLINGGQGNGEDEQARKEKERLHQKFVRRLGGPDCLIGINK AAANADEGLAEGGEDAEEEEEQPAPAPLPPKGRQKKKSGSKLTPMEKQVIDIKRKHMD TLLVVEVGYKFRFFGEDARTAAKELSIVCIPGKMRFDEHPSEEHLDRFASASIPVHRL HVHVKRLVTAGHKVGVVRQLETAALKAAGDNRNAPFVRKLTNVYTKGTYIDDAEGLSA PAAASAGATHTTGYLLCITESNTSGSDERVHVGIVAVQPATGDIVYDEFEDGFMRGEI ETRLLHIAPCEILIVGELSRATDKLVKHLSGSKMNVFGDKVRVERVARSKTAAVEGHS RVASFYAEKLKSTETRDDGNASALLEKVLQLPDQVTICLSSMIDHLSEYGLEHIFDLT KYFQSFSARSHMLLNGNTLTSLEIYQNQTDHSSKGSLFWIMDRTRTRFGQRLLRKWVG RPLLDKRQLEERVGAVEELLNSEQSTLTGRLKDLLSNIRSDLERSLIRVYYGKCTRPE LLTLLRNLQKIANQFVYIKSPEETGFQSTMISEAIAALPTIQDDVIMFLDKINAYAAE HDDKYEFFRESEESEDITEQKMGIASVEHDLEQHQSVVAEILGKKKVEYVTSAGIEYL IEVENNSAQIKRVPASWVKISGTKKLSRFHTPDVVQLMRQRDQHKEALAAACDKEYMR LLAEISTRYQSFRDCIQSLALLDCLLSLAAIATQPGYTKPQYTDETRIVVEQGRHPMV EQLLLDSYVPNDTALATNETRALLVTGPNMGGKSSYVRQVALIAIMGQIGSYVPAKSA TLGMLDAVFTRMGAFDNMLTGESTFMVELSETADILKQATPRSLVVLDELGRGTSTHD GVAIAQAVLDYMVRSIRSLTLFITHYQNLSVMTKSFPNNELRNVHMRFTESGEPGGEE EITFLYEVGEGVAHRSYGLNVARLANLPPSVLDLAKLMSSELEERIKRRRLANVARSV GAILSDTDGDREGVIEKLVGFADQL TSTA_124020 MDATSLSCSICNKKPQFSDISHLLTHIASKAHLASHFRLQVKSH HDPFANEALTQYDQWYEENDIARLLSDRMASSKSSRRRPSNRSLGTETDVPSQSSFTF PENNIDPRLSDAYQPLTEGGHDRIKVSSLINSSDPRGMFAPKVETGSPLPGIENIPWY GHNRGRHSFEHKYNPFLSMELHGTPLKEKENLEFSGSNLDIPEDKKVDEVSRLKGIQW PGMDCFDAASDAMKRQRNQKKDASTFKAMEAASCASEPSELVFSPSGTLRRERQITGF VEEDDLLPGEWTIPKYRRDRRDRRGREIQETSTARGRQGSQRIALAVADANRSVLGGR VAKREPQAKRSALGDEKRREPSIQITSSKSKDHGLSHGHFRRTYDEDVDLQLSAGPTG RRRLRSRLNIFRDNHTPEVEHKRDAPSLDIVSHPTTRNEQHGLTYQQRASDALHNLME TNDSYKMPTLSHDTGPTAEHSDSHNRTSAPNTSRNIDGIYLVDTAIGPTHRVPYDPLV GGNVLHYRWDWHGTELGRGANDADDSMLDGSLFYNRAVSTDSTIYQDEQDTKSCLWLD GSYGR TSTA_124030 MSLVSGPGRGGGGAGMQEELCTDKHVSYIQSLNKRSDELEYAYT DHLRMNGVYWGLTPLHLMNHAEALPRDETIDFVLSCQHESGGFGAAPLHDAHMLYTVS AIQILATLDALDELDRAGRDGKRRVASFIASLQDPKTGVFRGDEWGESDTRFLYGALL SLSLLGKLDLIDVDKAVSYVQQCMNLDGAYGVRPGAESHAGQVLTCVASLAIAGRLDL IDRSRLGTWLSERQLEIGGLNGRPEKLEDVCYSWWVGASLAIIERLDWIDKPKLQSFI LRCQDYDHGGLSDRPGNVVDVFHTHFGLAGLSLLGHPGLKQIDPVYCMPKDVIERLLG E TSTA_124040 MPPIRNKNEKNLAEQEGRILLAISDLKNGKISSVYQAAIIYNIP RTTLYDRLNGIQQRSIIRANGHKLSQFEEESLVKWVLDLDKRGLPPRHSLVREMANYL LSQRGNQQVGEKWVYNLIQRRPEIESKFSRKYNYERAKCEDPKLIQEYFDRVREVISK YGILLEDIYNFDETGFAMGLCATAKVITGSDRYARPKLLQPGNREWVTAIEVINSTGW ALPSYIIFKAKKYTWLGWFEDLPDDWRINISDNGWTTDKIGLEWLKTHFIPLTNGRAM GNYRMLILDGHGSHLTAEFDRTCTENNIIPPLDVGCFAVLKRHYGQLVEQQMRLGFNH IDKLDFLTAFPKARTMAYKAQTVRNSFTVTGLVPFNPD TSTA_124050 MKKRISRHTRSSSEAIGEVFTRASKAYEMSINELTITQKELHDL RAAYEKEKQKRQKSKKQISHDQGITREEAQALLQGQVEASQAVTTAPAEPELPVSHPP KTSMP TSTA_124060 MSVPRNLKSLFLLFVRLRFDSLVTISAAPRLAGSNQVTNLDLKT STFNKAMLNPASKSADGLKPESTLSPITPRSPRSSPSSPRSIRDEPTIRPVTSRSRTR SMNAPLSPNEPEPPTPSFTAMPQYPPSPKDNAKHNRDPSRSFFANLKASRSSHKITNS DSSGLSAEPPSKSRGSSRDRSYPMLRRNGSTPDLLEAASRQDKERSEGFQNEQSSRQG MSDIRNGAEQQARGPPQKKSKPRFGNLLSRTRSVRVDDKSAPRSAGLRRPSNATLLKV SESQEEISGPPRTAPMRSDKDTYETSNSNANNRPPDRPVEGRPQTSRREKNPSLAPSS SFMQVSGASTFLFNNIKHTSSGAADRLGKAGKGFFGKITRSGSTNEREVVLDDNYVCT VINLPLVEQTRKTRISKRLEDCKDKTEYWMPALPYRCIDYLNYKGCEEEGLYRVPGSG KEVKHWQRRFDTEGDINLFDEPDLYDINTIGSMFKAWLRELPDELLPKATQQMIAEKC EGATTAPQLLKDELSKLPPYNYYLLFAITCHLNLLHSYVEQNKMNYQNLCICFQPCMK IDGFCFQFLVCDWKNCWQGCWTEKEWVQDEKEAQERAAKEAQETKPNGKPTNTTNGRN GTTIPDFSQRRTDFPESSSAAEERAISSSGSSQPDHDQPIPNPAATARKTRPANLEDT KNHRQSMSQLPELGPPLSPIKI TSTA_124070 MSELRFDGQTVVVTGAGGGLGKAYCLFFGSRGANVVVNDLGGSH KGEGTSTRAADVVVEEIRKAGGKAVANYDSVENGEAIIDTAIKNFGRIDILINNAGIL RDVSFKNMTDKDWDLINQVHIYGAYKCARAAWPHFRKQKYGRVINTASAAGLFGNFGQ ANYSAAKLSQVGFTETLAKEGAKYNIIANVIAPIAASRMTATIMPPEILEHFKPEWVV PLVAVLVHSSNTTESGGIFEVGGGSVAKYRWERAKGALLKTDSTLTPQAILAKWNDVQ DFSKPSYPNGPADFMALLEDAQKLPANPSAPDLNFNGRVALVTGGGAGLGRAYSLLFG KLGASVVVNDLADPEPVVQEIIKAGGKAVGNKASAEDGDAVIKAAIDAFGRIDIVVNN AGILRDKAFSNMDDNLWNPVINVHLRGTYKVTKAAWPYFLKQKYGRVINTTSTSGIYG NFGQANYAAAKLGILGFSRALAVEGAKYNIKVNTIAPNAGTNMTRTILPEELVQAFKP EYVAPLVVALASDAVPEPGTKGLYEVGSGWFAETRWQRSGGHAFPVDVELTPEAVAAV WKKIVDFDDGRADHPTDVSAGSERAMANMSNKSGGQAKAAPSADQGILANIEKAKKMT AEGTPFEYTDRDVILYNISLGAKRTDLPLVYENNENFQPLPTFGVIPWFNTQTPFNMD DIVANFSPMMLLHGEQYLEIRKFPIPTAAKTVNVPKLVDVIDKGNAAIVISGFTTKDA RTGEDLFYNESTVFIRGSGGFGGSPKPTAPRPKAAVAAYKPPKRAADVVVEEKTSEDQ AALYRLNGDRNPLHIDPEFSKVGGFKTPILHGLCSLGISGKHVYQKFGAFKNLKVRFA GVVLPGQTLKTEMWKEGNVVLFQTTVVETGKPAISGAGAELLQDGKAKL TSTA_124080 MPEIFDDKSEHCIPFLLERLKHHQARYANDADAPPFFLGLNGVQ GVGKTVLVSALQKTLRSPPYSLPTVTLSLDDIYLTHVDQVALANSHPDNPLVQHRGQP STHDLTLGRKVFDSLRANQPTAIPQYDKSAFAGQGDRVPESQWEAVNKDGGEKIKAVI FEGWCVGFRPLDDETLRRKWEDAVKKREDPTSNYDGRLGFVKFEDVKAINDALKEYDV LTNQLDAFIHIDAQNLRYVYDWRQEQERTLIAAKGTGMTEEQVNHFVDGYYPSYELFT DALRSGVFRPTDDAEAHTDWRNRQLRLVVNRNRRVEEVIRI TSTA_124090 MAATTVPTQDQVLVPETLLKKRKSQEAARAARREEAEKKKQANK EKRGVIFKRAESYVKEYRDAEREKIRLARESRKAGTFYVADEPKLVFVIRIKGINKIP PKPRKILQLLRLLQINNGVFVRLNKATSEMLTIINPYIAYGYPNLKTVRELIYKRGYG KVNGQRLPLSDNQIIEENLGKYGIVCVEDLIHEIYTVGPNFKQASNFLWPFKLSNPTG GFHSRKFNHFIEGGDTGNREENINALVRQMN TSTA_124100 MATRRGVGLGAFANRNQATQSYANHGANLRSTHLSSLQTQLSVF QSLLHTFALEHASKIRSNPTFRAEFARMCNTIGVDPLAASNVKGKGSGRKGLMEGGSF WTQILGGDMNDFYFEVAVRVVELCRETRGENGGLLGVEECRKRVGRGKAIGGGLEVSN DDILRAVKSLEPLGSGFSIINVGSKQYIRSIPKELNSDQATVLEVLQLLGFVTVSMLQ ANLGWERARGKTVLDDLLADGLVWLDSQCVEHEYWSPQNLLDEDM TSTA_124110 MSRFFHGGGSDSETSSSDEEELYSDREEEEEQSEEEESSDEYSE EEDSEEESSEDEDRPKKTGANIFLKDEGEDTDESEDEDRVTVVKSAKDKRLEELESTV KLIENAEKIGDWAVISTEFDKLNRQLLKITQSGPTPKIYIKAIADLEDFMNETIAKQK TTGKKMNASNTKGLNAVRQRIKKNNKDYQAEIDKYREDKDGYMEAVEAEEVKIEKPSR LRVTPTLDALTIADDEGFSTVGRHGKTLQYTPESILKHLRIIVESRGKKNTDRLEQIR TMEKLLEVSQTPYQQIRVYLTLIATRFDLTSSSTANHMSPEQWKLAEGEFSKLLGVLE ENRNHIVFEGAEEWEDDDKLPQVAEGEVLRIPGSIVSFVERLDDELTRSLQHIDPHTA EYIERLGDEQALYTALLRTQLYVEELGKTDKSEPRQDSLNRVVIRRLEHVYFKPSQVV SILEDATWKAIPQKLESTITPRSSTSDVPALVQTLCNYLFRYSDGIIRARAMLCQIYF LALHENYYRARDLMLMSHLSENIANFDVSTQILFNRTLVQIGLCAFRAGLIYEAQNTL SDICGSGRQKELLAQGIILQRYSTVSPEQEKLERQRQLPFHMHINLELLECIYLTSSM FLEVPLMAQTSSSPEMKRRVISKTFRRMLDYNERQVFTGPPENTRDGVIMSAKFLAAG DWKKATELLNSIKIWDLMAQPDKIKAMLAEQIQEEGLRTYLFTYAPFYDTLSVSTLVN MFELSEKKVSSIVSRMISHDELAAALDQVNDAIVFTKGVELSRLQSQIVTLADKSMSL LEANEKTLEQRTQGMANAFQREQGPGARGGRGGRGGGQARGGARFPGGQQRRPGGQQF GGGALGGAIKA TSTA_124120 MDSQWQSYGDHSSGHPGHHDTSTSQNPYRMSTKYNGQQQAQAPA GYTYESYQSPIAAAQASSGGSSSKPVSMASSPAATPHTRDYFTDVDTPMEDADPYNRA KYSSRANHNTRPSSQYMPTEESTAARRYSPMNILSPTLPYSSSPTKSSQNPFTAPPSG PNSSRQSPTRASVYASPPQGYQSPPGSRAPRLPVLQSTDMSPEQYFPSSATSQLSAPF GSDLKSPPTNQSTNHKQVPGRGPIPKFSSIKSMQELKPRINTQPAFRRANPEGGFISP LQALTTHLPATYRICNPTFKYESSRNPRRVLTKPSKGVKNDGFDNEDSDYILYVNDIL GSEDGGHNNRYLILDVLGQGTFGQVVKCQNLKTQEVVAVKVVKNKTAYFNQSMMEVSV LDLLNKKYDKNDDHHLLRLKDTFLHRQHLCLVFELLSVNLYELIKQNQFRGLSTTLVR VFAQQLLNGLSLLNKARLIHCDLKPENILLKNLESPIIKIIDFGSACDERQTVYTYIQ SRFYRSPEVLLGLPYSSAIDMWSLGCIVVELFLGLPLFPGSSEYNQVARITEMLGLPP TWMLEMGKQSGEFFEKTQDEFGRKTWRLKSMEQYSREHNTKEQPSKKYFQANTLEEII RSYAMPRKNMKQSEIEREVNNRVAFIDFVRGLLQINPLERWSPQQAKLHPFITQQKFT GPFVPPMNLKFSALNKSIAPGIQQQQQAEAASKQRAAQAAQAAQAQAQSAAQSAYAMQ MGQYHSPSHNQAPPVYNGMYPAHQQGAPPPYPAQPPGYGHQMGMMQGQMQPQYPPSQS LYAQATTRAGRQRATTLDPQQGGIPPTIQRVASHLDPNAPIRLQPSPAYYPPPPDGYA DTAANSRRRGSRAGAGHRNRDFIRTLEDGALGDGFMGQNQWH TSTA_124130 MYRQRNNSIFKSHEEEMANVIDMSLLVRPRSAAGNLSSDFNTIS AKLFDAMQSDFTNGLDPAADTSLVDETAKLRELEANVRNQEELERELGRQADQLLIEQ ADERDQKRIERTTREKLKLEDQILKLYHRLEQRIGPAQRVRTESDIAKAQEQLLSLEN DLKEIQERIDNRHEEKNDIPSTESGRLPNESRREYLIRTGKITPFSKMGTGPKEGPLA SLHDALIDAEDERDEAEALQDASRRATVSHRDLRLPGIDISDVSEITSEDISDSGRSR KKRRVTYDRRQGRAKRTKRADEEGEDDSYVESEDLSSSTDEFDPTPGEIREKQTGKRP DRDGMEDLRGLDDGNEDFYQARLKRWIRRRRAARKRAAESETKTEESEQPDRTTREGP EEEWFLPHPTVPDAEYDGGYRVPGDIFPVLFDYQKTGVQWLWELYQQQVGGIIGDEMG LGKTIQVISFLAGLHHSRLLNKPVIVVAPATVMKQWVTEFHRWWPPFRVSILHTSGSG MINVRSESNRENALTNEMWDPSRPYTMTKAQKTAKKIVQRVVEEGHVLVTTYSGLQTY APVLIPVDWDCAILDEGHKIRNPNTSITIHCKELRTPHRLILSGTPMQNNLSELWSLF DFVFPMRLGTLVDFRNQFEFPIRQGGYANASNLQVQTAARCAETLKDAISPYLLQRFK VDVASDLPKKSEQVLFCKLSPLQRKAYEQFLNSQECNSIFAGRRQVLYGVDMLRKICN HPDLVTHKLFSTTTGYGDASKSGKMQVVKALLELWKDTGHKTLLFAQHRIMLNILEKF VNTLSGFNYRRMDGDTPIHRRQLLVDEFNNSPDIHVFLLTTKVGGLGVNLTGADRVII YDPDWNPSTDMQARERAWRLGQKREVTIYRLMTAGTIEEKIYHRQIFKQFLTNKVLKD PKQRQTFEMSNLHDLFSLGEEGQTETSNMFKTEVTYQEKGETKEKKPGTEQAVSISDE KDIQKVEGIAAVEHFHEDVDEAEDKGEDNGAPRSEARLMETIFAQSGVHSALEHDRII NGKKIIAPDRTIIEAEAKRLAAEAAEKLRKAEQTARSVPVGTPTWTGQFGIAGRPPEG RPRSAFGGAASVARGAGAGPSSASILANLANRTSGTSSRTNSPRDSPVPGGRLDFLSM IRDYMIAQGGSAYTQMLIDHFNRFCTTPHRSAEFKETLKTVANLEKGGRNGRGRWTLR KEYAKQ TSTA_124140 MLFPQLALAFATFAAWSLAAPSEFLLKTTGSNITAHNNLYVEAY HTGAGMADAVLTTVTANAGHFYLNETYLQMDIGQEFSYGFDIGGATNYAAWEFVTINA GQGYPGFELENKSTLTYNSTEFGGWLACDWWHGLPQLFWIVAYEEGTVPFPSTCSEVD LIPAPISS TSTA_124140 MLFPQLALAFATFAAWSLAAPSEFLLKTTGSNITAHNNLYVEAY HTGAGMADAVLTTVTANAGHFYLNETYLQMDIGQEFSYGFDIGGATNYAAWEFVTINA GQGYPGFELENKSTLTYNSTEFGGWLGKLLSHPAS TSTA_124150 MRSFTLLSLLISLMLLASVVGASRNMYDDILARDSTTATDSATN SATNSATDSTTDSATDSASNSQSQTASGTDTASDQTTGTAATTGTATGTGSSTQTTTG GTKTTSTHKTTSISVDPEWPAGGASMTNPPSTSTTYYKIGQNVTLGWNYTSLHITPSA VDVIASCSSNSATYTLANNVSFANATSVVWETDQYGPDSQSPLLTAHYTLIIYEAGTS PTQVASPGQLGPASYTFAMYSTQSYTPLASYVCVTCNSAVSIMDRQALGFILGMAAIT IFSFTSFAGGFGLF TSTA_124160 MSTDNPTMQTGTADGNTAVKLEESSLNQHFQSIDWDSERQLVTS LAKLQELESKIHELRSLLPDRLLAPITPIINPRHTKDGSTITTSIPRNPQQLNALLRK SATEGVEELNRFRQLWLSSDMQAIWKRVDEKLAETKGAFPQVEAGMWQVDYEGLLREM DEEGEQKKDAEKEGQGGGDVMEVDAQGEKQLPAGIISSVYGTPETDLKTVMESFQNRS IPGFRLGRTKNESMILVSLGLAGLTFEVHEVLTTGSETTTTTTTSSETTGPESSTSNK QSPPEWRVSSRNSFGRPSRLENAILHQLNSRPRKWDLCYLLEYVLSYSNIRSQPCKKC NHVTGSLAQLPIVRKPVALTSAGDGSAPAEGSGNGASNELGVVWEAYHQACI TSTA_124170 MENQRFDETWGMWTATTMPLTEDQINIIKATVPVVQEHGTTITT VFYKNMLTENPDLNDYFNTTNQLNGHQQRALAGSLYAYAANIDNLGALGPAVENITNK HASLYIKPEQYKIVGTYLLAAMGQVLGDALTPEIHDAWAAAYWQLADLFIAKEEELYK QAESWRDWREFKIEKKVPESDVITSFYLKPIDGQPLPSFRPGQYISVRTHVPDLKYIQ ARQYSLSDKHSPEYYRISVKKESGLDPKHPEAKYNPGYISNILHDFKNEGDVIQVSAP RGDFFLVDAESSSPIVMIAAGVGLTPLTSMLNTVASGSTADKRKVHFVHSARQAKSRA FKNHVLSLSRQHPSLEVTFFNTSPSAEEKQGEDYHVKGPIDINSLAKDGKLYLDDATT QYYICGPAGFMVSTAKALAEQGVSADRVHMELFGTGGVPSP TSTA_124180 MLRCPSKRPTTRLFNHSKFSLFFRTMTNYTIEITSDTVCPWCYI GTSRLQRAIAEHSKANPGDTFELKWHSFYLRPDGPGFPGVNKLQMYENRFGDPARVQA MMKRIGAIGAEEGINFSFGGNTGSTRDSHRLIHLVGKHYGSEKQTEVVKALFKKYFEE EQNITDKEVLVDAAVKSAAQIPEKEVRGWLDSDVGGAEVDREARQARLNSITGVPFFN IQGKYSVEGAQDAAEFLRVFMAVKGVE TSTA_124190 MSMQVPTLRQGCQRPSSYAWAQRKAIDVCIMAKYGSCVWRAPPT DNMASDHRKSNAKAAVQSEDDSSPPPDVPKLSDRYADESYKLFQKLQVNDPTPEEARK IRNKCLWRILPFLCIGYHLMYVDKQTLGSSAILGIIKDAHLNSNQYNWLSSIFYFGYL VAEWPQNWALQRFPVGKWLGGNLIVWGGITLLHIPCNSFASLFVVRFLLGLSEACIVP AFLLTMSMFFTYQEQAVLMPVMWSIGNASPITSGLLSYGVIWIKTDGFSPWKWFMVIT GGITVIFGGIVLLLFPDSPVHARFLTYEERAQAILRIKENHSGIEQKTFKKYQFIEAI QDAKTWLFFLHAWSQEMANGLTNQYSLIINSFGFTVLQTTLLGTVSGAVSFVCLISAA IVLHKTTNCRSWISLASYIPGALSSILLLGLPWSNRWGLITGIWIRSTTGIAYAVVMI WAANTSAGHTKKTTVIALYHIGYGLGNIISPQLFRPQWKPRYRPTWIILLVVAAILPS ICIVALRIILVKENKRRDKLQEEIDVRDDGIVEEIHDNGTSVTHVVDNNQLDLTDKEN LKL TSTA_124200 MKGKYLSEPLHSAYWISEYLWFESLRTTHIEKVENICIPMAENN PEFRVLIAGAGIAGLATAIALSRISGIPNLDIQLYEQAPELTEIGASIALSPNGMRTL EKLGVHNALTDENGYRGPSGIPQIFRHWKTNQVVTVDTHVNVPNPRHHTTRFHRGHLH SALLQHVPGESIHLNKKVAGAVATDDKVTLYFEDGSEAHGDLLIGADGIKSRTRQSFF PEYKLKFSGKVFARSTFDASIVEGKIPDLPADSMHWWGPKDNFFASRLGKGQYTTVGA YEDGRSAEELEKQVSWDQPGNVEFLREKYKNWNPTVKALTELTPYTRLYANYAGEALP TWVLSSRATLVGDAAHTHGGAFAAGGSLALDDSFALALACKHVFLAVKPVESKFRSSD IRKALELYDKTRRPHTGRLLKIVHGQINKKPELHVSSEEEDAALISRMRNRPDTVWLS EHDVVQAFEKVVERTQLHSSIEGNRQSQHSVPVASGSERAGLQASKL TSTA_124210 MPSHKLGSSVAELYDLVSSVDGQSPDVPVLLRAKDLEHSSPETS FQHKSSRTIPTWLVIRNLPPIDRIRELIDIYFSHLHSVRCMGFLHIPNFMEQFQNSKT VYSEFSGLVYIMCALAAPIYYAKTIGYPEEEPSSDTRFYNAGKGWAEAAMQCVFATFG NPTIECLMTEILLHEYYLRVGDYSKAFLISGSISRRVQLLQLNVEHDNDILCQKNEIS WAAKESRRRLLWACYLLDASIECGIDQLRFISAGDLQVQLPCTEDSFIRNMPCITEML SVGKLLPFVERQALATFTVENIDMRGHYIRAMAIRSKILKHVKHLDGEIPWEANGNSQ FHALNEELRDIENSIPESMRMSTENVYIYKTSGRLNLFFGLHILISQTYNDLYRVGVS KLVFPNSATKWIRENAPEEFINMCHHTCLEKAIYIGSLLQDLWERHKLSIIDTPYAVH TQICSSVLVTSLESWRVLDPSMFSKFSYQDCHEILQSNVRILSFLAKYIKVDLYYESA VQALRRFDSLFSGEARGGFSAISVETPSVVDRNMEPKNPAQFSLEYILNPLGTYPMAR KQVYERHQSESTQDRQSEISTPEIQQLMPHQRPFLSRITDDLSLSNNRFYSSNAVYSL PSPEEGLLPLPDWASGISIMGGMGYPNFLEEFL TSTA_124220 MSFILKVLSAAAVVGAARASNFHVFDNTAYTNTSIGYQSTNINW IPAYVCNPLTKGGFLPSVTEWKNIVLEWNVYPGYPLVLDCEDIYFTNESTADLYLEIL SSLQTWAAEVIPPGQIIGWYGLSHAFFPSAYTFSSSISTWNNSLNSVINTITAINDTL PIWPYTWPQYHNNYSFIPVELWETELEILSSNSHLDGFVIWGGKNYAVCNDSCQATAG QQPWLNATRSYLSNLYGIYNGKLQKTGAQIFSL TSTA_124230 MSTATADVKVAQSNLSPSEKKESFWLRLHHDPWFQVMLISVISF CNPGMYNALTGMGGSGQVNTTVTANSNVATHACTAGAALIFVGVFYKYLGPRWSLLLG GWTYALYAGSLLNYNRTANGPFVIGAGAILGLGAAFFWVAQGTIMVTYTTDSTRGGAI ALFWVIFNLGSAIGSLASFGLNYNSKSGTVTDSTYIAYIVIMLFGWVLSLFVSPAEAL SRKYNGSRLSEESKTLKWANVKVTIVQTVKIVLDWKIMCLYPMFFNANVFYSYQQNDV NGMTFNLRTRSLNSALYWIAQMLGGLLIGYILDINCINRRTRAQIGWSILFITDGSIY LGPMFLYFFYGVYDAFWQGYCYWIMGAQSRDPVVNAVIIGAYSALKPAGGSMAWRVNA NGVSAMVQFAMNWGLTIGSLVVAVPTVVTLSKHSYTSEEVQEDAKKDDVQV TSTA_124240 MAEKITASFMALSRSENILDHLQTEARNPVAHQIDLHDTLGLCE AFNHEEAQVSTAIASCLPDISSLINDLVPRLQAGGRLIYVGAGNSGRVGFMDSSELPV TFSVDPGQFITVVAGGKSAIIHAQEGAEDSEDDGVTKLQALQLTPQDTVIGISASGRT PFVLGALRTAIEKSCLSAALTNTHLSILDALRPTYSICVLTGPEFLAGSTRLKAGSAA KQILNMISTCSMIKLGKTYKSLMIDVRVKNHKLRARGRRIVRQVCAGCPVYTLDRDGT VSSTSINVPESEDGDIILDRLIEQCEGSIKLACAVAISGLALKDAKHRLVSVDGNFRN FLDNLGPSISMLPELPVVYEYFLCVDGGGTKCTVSIATRSGVVGRGTAGACNFNCVKL DDIMRQITLASHKAVSQLPEANQFGPNHLPKLTQVWVGLAGIYHTSHSNLEQLIWRLE KLFNVSYRHKEMRLTSDDMLLSSAISTDGSGECGISVIAGTGSVATAFRKEGDKIIQL GRTGGWGYILGDYGSAFDIGKRALQSVLAEVEQSQFDKSRNLTELEMTILAKLGCREN EVLSTFGLARIVTELGFRGVNPDTHSQSILQSGTKSLVQMIKPLAAKNVCHPRNSVLV LSGALMNLPPYKDMLLAEWVREGMTPFMKVVVIKDASDYTAQVLASQSAHQDIDRR TSTA_124250 MYETAITTQKLNSKDPDGEVMFLLGNANAPFAVWDEKKIGKPTP KKAVKKPPKKKAKLDSAKEYIRIQVSAKHLMLASPVLKKTLSGGWKESEIFAKKGSID LVVEDWDLDAFLLFLRILHCQHHDLPSSVTLEMLAKIAVMADYYACKNTVAFFANLWI EKLEPAFPTEYSRDLMLWLFVSCFFDKRELFAKSASIAMTKCNAPITNLGLPIPINVL SKSFSDVLASSFIDILYQDRINIDREWYIQHVMKRLKEQRDLYLSKDWSCSLDCCSML LGALEKQMHLNGLSLPGPQPPFYGISYETLVTAVHSFTIPRWAPISDLTGRYNTEHYC EETRRGYNLLEFKTVCESLLRNW TSTA_124250 MDQPTKNKNHVIDPDGEVMFLLGNANAPFAVWDEKKIGKPTPKK AVKKPPKKKAKLDSAKVSAKHLMLASPVLKKTLSGGWKESEIFAKKGSIDLVVEDWDL DAFLLFLRILHCQHHDLPSSVTLEMLAKIAVMADYYACKNTVAFFANLWIEKLEPAFP TEYSRDLMLWLFVSCFFDKRELFAKSASIAMTKCNAPITNLGLPIPINVLSKSFSDVL ASSFIDILYQDRINIDREWYIQHVMKRLKEQRDLYLSKDWSCSLDCCSMLLGALEKQM HLNGLSLPGPQPPFYGISYETLVTAVHSFTIPRWAPISDLTGRYNTEHYCEETRRGYN LLEFKTVCESLLRNW TSTA_124260 MDNPTHIIDPDGEVILVLKDANLPFAVWPDEKGDEGEKRYFWID ITSEVPSQDNAQESETEVEVEEEECFRIRVSAKHLTLASPVFKSMLVGGWKESNTFLN KGSTELAVHGWDPEAFLIFLRILHCRNKEVPRKVSLELFAKIAVLADYYGCRDAMEFF TSVWYDETPGDLIWNRDLMLWLCIAWVFKRSEAFRSATQVSILNSTTRISSLGLPLPA KLIDNLNEKREKAIQSVLAALQKQRSIFLGDSWACEYAFECTSMLLGGLEKQMFANGL SPEPVPPFVGFSYKDLVNKIRAFKAPEWSDDHSCIPSGRGYDQLKLSTDMQGLNINYY S TSTA_124270 MAPSLLSNTSFLPRRPDQPKKKMSITQTYYLAHTARSKLSKEAA RSDHDLRLLVGHANLLDSLMLDLANAEREQEQWFNNTVRNATKKAQPSHIRWADTIEE ESMEDDVSDDDSDMSDDDYSDYDEEEEDDEEKFEDLTAIYTAAAVAKPLRRAASPPAL ISMNEVASDEEEEEEEAARLTLTRSPSRTQSPPGLTEDLSSDDEEDSMPPSPEQLTMD AFASTSGKKQPATQTNLLSETESQSLHNDSCLLILKTKVLLLYDY TSTA_124280 MYTAVEHDRSQPIPHQPPPLSMDRIAPPGGPVYPTSVTVAPLRS TEHLKPVSTIHGGRIWSLHVVQQPIRARMCGFGDKDRRPITPPPCIRLIVKDANTDKE IDINEIDTSFYVLTVDLWNAEGTSEVNLVRHSANSPSISTATSSSYPPPQESNISPTY PSFAGQHGYPQQSLGYHQQVPGYYGQNSMYQNPYAAAPPQGGPYYGGGYYQGGMQAPN LSPQSAAPGGMFTRNLIGSLSASAFRLTDPDNKIGVWFILQDLSVRTEGTFRLKMNFV NVGTSQSPGASGAPVLNHGSAPVLASVFSEPFQVFSAKKFPGVIESTPLSKCFALQGI KIPIRKDGVKGRGVGGEGDDEYD TSTA_124290 MSFDPTNPNPTMGDTFKPSPSTAVPLHGIAISRSRDTPVKKKNS PKRDMDDDVIMASSESSESDTLGNASNNNDTGTTRKADEVMYEDLDNGTIFSVFPLDS SEDGDDNDNDDEDSPEKGHNNNEYSSIDKSKVLKNGDNLTDWYNNIKIRTQSLRLVKR HIFYSKALEFERENTYISLGQLDSRDEDFLRIVPVFEINMIPDAANPTNVTKMRQRLR AYGSRWKGHWKVWCGPKLELSQNSMC TSTA_124300 MPSSETTTTTTTMKFPISPRILSLLFTSLSSPSSNNLPTRQWHL HRILRSDNPADINGELNGIATFHPLQPTPSDDKHDGTQGSSAYRQIIYKEEGEMPSSV MGMAGLKWTKKYIWRLNGANASTEREENNPEMGGISVWFVKIKPSEERNADSQDEPDY LFHEFDFNYSQEAKEEKETDTTKPKFDPPTPPPLILPALRDKYPETTVIQATGDHLCV KDMIVPETGEVLSWASRHVVKGPKKNQDILNLYSIQ TSTA_124310 MDVELEKPSEIHAEFVGDPDEGLTEGERAIIDRKLLWKLDLRLI PWLSLLYLAAFLDRTNVGNAKIEGLQQALHMSNNQYNATLTIFFISYSVFEPLTNVLL KRTKPKIFIASIIIIWGICMTTMGLVHNFSGLMAARWFLGLAEAGLFPGISYYLSCWY KRSEFGVRMAIFFSAAALAGSFGGLLAAAIARMDGIGGKAGWSWIFILEGLATIVLGV LSFWLVVDFPDKATFLSDADRQRVTRRLMMDQQASGQHEEWKSSYVWASLKDWKTYVS AIIYMGADGPLYAFSLFIPTIIAELGYSSTRAQLLSVPPYAVAAVLTVAIGYIADRTR ARGLCNIIVSIIGAIGFAMLLGAKTAGTRYAGVFLGAMGIYPCISNTISWTSNNVEGV YKRGVTLGIVIGWGNLNGIVSSNIYRGKDSPNFYPGHSTVLAYLVLFLLGGSILQTIL LRIENRKRQRGDRDYRIEGLSEEEIRDLGDMRPDFIYTT TSTA_124310 MTNPLQFRTQAYCLLQDRKLLWKLDLRLIPWLSLLYLAAFLDRT NVGNAKIEGLQQALHMSNNQYNATLTIFFISYSVFEPLTNVLLKRTKPKIFIASIIII WGICMTTMGLVHNFSGLMAARWFLGLAEAGLFPGISYYLSCWYKRSEFGVRMAIFFSA AALAGSFGGLLAAAIARMDGIGGKAGWSWIFILEGLATIVLGVLSFWLVVDFPDKATF LSDADRQRVTRRLMMDQQASGQHEEWKSSYVWASLKDWKTYVSAIIYMGADGPLYAFS LFIPTIIAELGYSSTRAQLLSVPPYAVAAVLTVAIGYIADRTRARGLCNIIVSIIGAI GFAMLLGAKTAGTRYAGVFLGAMGIYPCISNTISWTSNNVEGVYKRGVTLGIVIGWGN LNGIVSSNIYRGKDSPNFYPGHSTVLAYLVLFLLGGSILQTILLRIENRKRQRGDRDY RIEGLSEEEIRDLGDMRPDFIYTT TSTA_124310 MTTMGLVHNFSGLMAARWFLGLAEAGLFPGISYYLSCWYKRSEF GVRMAIFFSAAALAGSFGGLLAAAIARMDGIGGKAGWSWIFILEGLATIVLGVLSFWL VVDFPDKATFLSDADRQRVTRRLMMDQQASGQHEEWKSSYVWASLKDWKTYVSAIIYM GADGPLYAFSLFIPTIIAELGYSSTRAQLLSVPPYAVAAVLTVAIGYIADRTRARGLC NIIVSIIGAIGFAMLLGAKTAGTRYAGVFLGAMGIYPCISNTISWTSNNVEGVYKRGV TLGIVIGWGNLNGIVSSNIYRGKDSPNFYPGHSTVLAYLVLFLLGGSILQTILLRIEN RKRQRGDRDYRIEGLSEEEIRDLGDMRPDFIYTT TSTA_124310 MDVELEKPSEIHAEFVGDPDEGLTEGERAIIDRKLLWKLDLRLI PWLSLLYLAAFLDRTNVGNAKIEGLQQALHMSNNQYNATLTIFFISYSVFEPLTNVLL KRTKPKIFIASIIIIWGICMTTMGLVHNFSGLMAARWFLGLAEAGLFPGISYYLSCWY KRSEFGVRMAIFFSAAALAGSFGGLLAAAIARMDGIGGKAGWSWIFILEGLATIVLGV LSFWLVVDFPDKATFLSDADRQRVTRRLMMDQQASGQHEEWKSSYVWASLKDWKTYVS AIIYMGADGPLYAFSLFIPTIIAELGYSSTRAQLLSVPPYAVAAVLTVAIGYIADRTR ARGLCNIIVSIIGAIGFAMLLGAKTAGTRYAGVFLGAMGIYPCISNTISWTSNNVEGK SVVL TSTA_124320 MVESISPIKHRLELLERTLYAVQAQHRLLEYRVQYIEDENQTLR QALASSLQQGNGPGNGKASSGHNSYISELQALAKEPEDSVDEHVKTLSSLSLLDAPTL LMQVLVPKTTAKSEVRYSPLAPSSAAASEFEPSGGSRFNPPTVDTLPLVSGSPESSAV DTSSNPKTKERDFAVVPWDGTIAWKFINLFRDKREEHEAILNSIDHCRMQDEWFFQYG LRYIPKPGDKDAYRTVRIENLPNGTTLDELLAHIHCGDVFSADLLNTLSITGYHTGRV VFLHQKSAEKFCRSVKKRGLEIQGTPAHVTLEKTATYPVGMSLRAAIRDHGCTRCVLV DEVPEALIECIDGVVGTPHLKNSVEHYSRSYCDEEYPEQTKLRVCVRFHSMRAAAYAY DELQRNIFLRHCILTYDKDPCNSEPM TSTA_124330 MSTSNPTFGAPLLPYFPFQSDYLNINHGSFGGYPIKVRDALREY QRQTDAKPDDFIRYRLPGLIDKSRAAVAELINADVGNVVLIPNATTGVNTVLRNLVYN PGDKIVYLGTTYGACEKAVMHIVDTCIPAGAVEAIKVEVEYPVTSKEILRRFEDAISQ KGVRIALFDTVSSLPALRLPYENMISLCKKYHVLSLIDGAHAVGAIELDMQRLDPDFF ISNLHKWLYTPRSCAVFHVAARSQHLIKTSLPTSHGYRPEERPGRLRVSNPLPTSSKT GFVELFGYVGTMDYTPYLCIPEAIKFRKEVCGGEQKLLQYITTLAKQGGNLVANILGT ELLGDEDQRRSPMVMVRLPLKFTADELQQGKQHLLLEEIERTISEKYRTFVPLIYHGG HAYGRLSGQVYLTLEDFEKAGQILAKACKEFEQKSKL TSTA_124340 MSEAAHEHGKPKRRRARYALRACDECKRRKGRCDGDSPCAYCRR RSIECHYDEDPRFLSNCACGGSCSTHYPKESIAYGNRGNISEIERLTGLVENMQKQID ILVDVRMSQKNEQKQDHSYTVFESHKHADLSPVKSSNNHQRPDYPTPDKDMPLFCGAT SSEYTFNVAERNITATQHQGKSPKPNSTSKHSPHFVEKQSSHNNRNSSIENRPSNKKS QGSGACFCEDCLRALRTLTKDEALRLVYTYEDVVGNLHPFLNQERFKSQVEAIYTALE SKQEIKTGRLWNIDADDMDNIKVALAIALLALSIGNSEIGVSLYASVQDKIHNAVFSP IKNPRKLVLLLLVGMYHYFHDDLQLAWRTCGIAGKVAMEMGLHRQDALHMIEDVSDRA EVVNILWNIVVLDKQWSCAAGLPHHFTRNGFAKILPEPLENPYLKIMASYAPFHTRFW DFSGTMRTAGACEDEDLFDSCNYQIEQWRKRSLADLDFLHPKIRRISTPASLPQPVST LLYLRANQIRGLTIRSVYLSGSSLAGSRHIVKSGIEIACDTIDVLADLDATTDIYAKQ HPFFQHFLTSAVALLLLVIASESKRNTLYKIISDLSSSVDLGESISRAFSLNASYFTV SGASRRLFKRMISMAEPLSKLGIFYPTGFTNKDLTHLSLQHQVDSGARGNPQEYPQNN ALLLLKGTANLSSPFNNIPQHEVYPDIFTTEGASGMVQSMSAPYSLMDTNYDVFQGFE SMIDDRTWMELGALFSFNPQ TSTA_124350 MSKLMVILGITGTQGGSVADIFLQEPGWKIRGLTRDPKKTSSAK WEVKGIEMVQADVDDFESLKRALQGAHAIFAVTDFWGPMYDQRSYGRLQLGQTISEYC YELEVRRGINIANAAACIETLERFVFSGLPGIKSIAGGKYQYVYHFDAKAEIGRYIQE SLPKLNEKTSQLLLGEYATNWKMWRLRRPNKEDGLYAFLLPGDSKTLTPFVVPRKDTG HFVRALVMLPPGKLLLGYGSLPSHEEYVRLWTQVFGVEDIRIKRVTVDEAAAFEGGPH GLELAVQVASVLQLDLSGEFILHPSQIPKEIGCPTTSLEDYMKSEDFSSLVDLN TSTA_124360 MSKPTLIFCPGAWSPPTAFNPLIEKLPEYTSQTIAFPSVHQATT IKDLKPDIEALRNVVQSECDQGHEVAIISHSWSGLPVSSALDESSRTERQKIGTKGGV VKLIYISAFIPEIGQSLLQTQGGVPPDWYIRDEMNNTVLSSDPYTLFFHDVPNGQEWA QTLRPHAWASMNSPTTSASYLEIPCAYLLCENDRAIPLSVQEAMVERARSKGAVITTE KVKTGHTPWLVVPDEVAAFVNRQIE TSTA_124370 MEDYPPTRNGEDVAPLHAANITPRWNESKTTIWRVTAAFWCMLI MGANNAAYGAIIPSSFAGYKISAVLSNLIHQRLGWQGVAIIGPGCHLFAFAIIYIHPP FSLLVMIYLIIGFGSGFGNMADCNEVIRFLHRFYGLGATCSSFIAMTVITIIRLGAFW NETGEKYKQENPNPPGRGGVLSQTRQALTYSVTWTCATFLFLYGSIEASTEGRLRLSE LKRNTVSPKRTPLREFGIFEVFANRSVVRLLYSASHVILFPTQTSDHVRGPVRLVGAG GPTTNLCLSLSKASLNQHLFTYALHQRVTRDNCAPLRSAIFGGGSRFSCCYTPFTLAG SATIPQRAQQTVLKRSVNSFSTKCNQSAPITCFARATRVSLRKAESTPANSQFIITHI RRNFSDSTGPRAASTQSSQTGKEASLDWNTFFKLRTSRRRYSLISSVLASIASTAVGV QVLSAQDLDTLGAQVMGLDPFIVLGLATAACGALGWLIGPILGNTVWGLVHRQYRSGI AVKEKEFFNRIKRFRVDPSANSIANPVPDYYGEKIGSVQGYRQWLKDQRAYNRKKSRH IL TSTA_124380 MPVCACLLLLHIIEPFLYWFSSKMSISKTSEYLVNRHPLQRLPS PDRRTSALIHLLGLASFLSSFKFLIENPNHASEGFGWHFVFLTIIGLALSTCTFTVGL LADVTLSRRLFLLKNILSVCSAPLEVLISVLYWGIRSIDPHLVVPPDELKIPFHVDLG FHLVPSIVMLFDILFLSPPWTITALPSMALSSCIAFGYWFWIEKCYSVNGWYPYPLFE ILDMKGRVALFTVSAFLMAVNIVTLKWLYGRVNGFGRLEYPQAQSGQVRKGDYQN TSTA_124390 MPRPKRPGAPAPKPRSRHGCWPCKRRKIKCNEEKPSCANCLRQG DTCDYSIRLNWEGRTKRKASDPPTPISNSPFVSYSTNFQQSSFVGGLELTSPVDLTQS VRGDWSAASSPYANSTGNTWISQGTPGSTPSVPAKSPPTGPGDHHAIPNEDSVMPSMA EQLANSPVVAPSMTVHENFQPYPQPFAVPDSLDSVGLGFTSLPSYGFESNAVSQPVSF LRDTSAGDSMAPTMTEQSIYGSKRHKKQEDSLSHRLYHRRQASLDQEIGRMSVNELLA SAGDNHESGSLAVPGRCGPIVSGHEIFYGYDCGTPDYDVNKNNDAEAIAPISPSEEVF EDDSLSPVSEQTPTSSIHVRRRSSFTTGGGYYVTPTRVKIPRRMTPLPSTLLDNPMNL LYFHHFIDHTARILVPHDCDRNGFLRILPAMAINDPNLLNLMLAYSASHRARFLRHPE PSNRIAHWVKDVFPTLRHALNEPEEKVTDSHLATAIMLLSLKIVSPSTFEVPITWQTH LKLARDLFVARGFQHRPPPNNKVAFFLVQWFRYLDILGSLSCRHSGAPLSHTNYPLIS TEDENLDDRYGERGGGDENYRVDCFFGCTPRTGAHLARLAQLTHRCDNERFDEVSNFR TDWTPSDDVIQAGQSLLEEMHHTRQRGHVPGTHHTELEDNEMMAIDLAFHWSAVLHTH RRVLGNTSYSTEIAEAVDNLCDAISRIRSGSSTECSVLFPLFTAGCESRDPQQRLDIM TRVMNFETEGLKQFKNARKLMQRCWEEDLPWMALAHGEFLG TSTA_124400 MDIITCTYRSLHSVQSRQAFGTQAPILTIQRLIADAGLPKSHQS DLYEHHIELLTEVNRRRSRKKTKRQVQVGGVLTIMDANRATENRKLHEMEKNKTKMER EREEAREREAREKVQQQSLRANDEPIGENVVSDLPD TSTA_124410 MSSGRAVSHGRGGAGNIHADGKATTAADLVTPTLKQDFFTTGRG GTGNMAHNDKDRPELARDYQDVEKPPKRSEENVQFTGRGKPAPLTWSR TSTA_124420 MTTQSSSEEETAVQQSILQQAQAAAAAQNNTRQETSLQKIDLIS ADPTEDYIPPPAYGEIYGEIHNEENGLDTSARVTDDGRVNIRINQVNRRLSQIFTPAL REQVQSGQESRPPPSPYIPASLRGEEGVPPPQLNIVIQIVGSRGDVQPFVALGKVLKD TYGHRVRLATHPNFKDFVQENGLEFFSIGGDPSQLMAFMVKNPSLMPGFRSLLGGDVG QRRRDVAEYIQGCWRSCYEAGDGMGLGATEDDLSEPSPEHDSSSKLTSRPFVADCIIA NPPSFAHIHCAEKLGIPLHIMFTMPYSPTQAFPHPLANIQSSNADPQLTNYISYVMIE VLSWQGLGDIINRFRAKCLNLDPVSLIWAPGMLHRLKVPHTYCWSPALIPKPQDWARH ISVSGYYFLNLASNYTPTPDFQAFLDAGPPPVYIGFGSIVLDDPNAMMELIFEAVRKT GQRVLLSQGWGGMGADKLNIPDGVFMLDNVPHDWLFKHVSCVVHHGGAGTTAAGITAG RPTVVVPFFGDQLFWGTMVARAGAGPDPIPQRQLTADKLADAINFCLKPSSLERAKEL ASKIAAERGSDMGAQSFHQHLEVDRLRCTLAPSRPATWRVKRTQVRLSAFAACTLANA KLLDFHDLKLFRPQEYLTDEGPWDPISGGATAVIRAFSGMAMGVAEFPSETLKPLHMA VGSSRQQSQLSVSANARKAEMSDAGERSTVPTSPENIETSSNVQESLAHVQSSPSLSR LSSSTSNTKSSSMSDALHGKSGPKYDYASRSQVRNRNKSSTNKNHDMLRQTGVHTSKG LGRIIKAAVQAPMEISVSFTKGFHNAPKLWGDDTVRPQEKVVDFKSGAMAAGREFGFG WYDGVTGLFTQPWKGAQKEGTSGFLKGIGKGIGGFVAKPSAALFGILGHTMKGVHKEV QRLFGSNVQNYIVASRVAQGYEEWLQSSDAEKQDVIVRWKLIQKYLKIKGTPDEMVQD VLEAQRKKNTEDTGAPQNYRHTAGSAQSTSEGAPESTMLAMCGSQSSEESLEQVEINE IIPVSVQETSCRDAEEDSSMEPAIEENVLQLQHRRHETADHQAEQENLRQAIAASETE AQRQATEELEFERQLKRAMAKSLREQRQSSSGSSAWQSDRSLDDEDEDDDELLARRSE DVAEKGAAGESSGQQPPSYNPTMQSEFVAQQHGQRWGKTTQERAEEEIVMEYVKKQSL LELYHQNKGKGRATSIEDKDDEDLQKTLKLSMQGHEFDETSGM TSTA_124430 MASQPSTLVSPAGAPEANSTQSQKQWVSCNTCSASKIKCSKEKP ECFRCMKRGITCQYAVTKRSGRKQRTRQRSNSISSSPMDVVSGSDTLVLPDNVAQMSP MPSITEYTDCSTSLVDPATSSILLLNALNWGFDDLPSPSSLLSMSADMVNPYLCDDVT TALQQSEASCPVPEPAENHSSTVEEPGAGPTCCFLVRALGLLKQQSSARESCGGLQQE DGTTQPGNNTEYQIETNQNPPNVVDTHSGGFDEDQICMASQQIIGRLHRIQRLINILS ERFKTHKVSVKGRQDDEPKASTTDGSSNMFTFMFPSSVLEHIEADLRLHLRTLSVDAA NILRQA TSTA_124440 MLSRTIFRALIALEAAATLALAQTNITADVCADPSAFTSCTTQA LATSKGCTDMCNGSKMCVLGCGCAMYQSYINCVAESCWNQAYSCEYDKLVAAYFSQCS TAAEPIPFWPAPDSAPGGCSCNLGKVLQSVTKAQQEYNTCITNDTSTSVIQQGNQNTA CGCCEVSAGLSAMYETCPDTIPADMGADIWLQASTIYGTVIHWDKCGSVLDQYNCHNL GFAPPSSNSSTFYKPANLPPNGTLTLYNTGPANAVTAPPSGSVFTWSQSSVMYTVTAS PWKNGQIMVTSTSIATTKTSSTVTGTSSTVPPGATSAATGTAKTGAAMSMKELSLVGP AAWLQVIGVLMLV TSTA_124450 MAPSFDNLSEDEFDVEDINFDDLHEQFEVRLESGYDAFVVLDGL PIVPEDNKDKLVKYVSKKLSAVGRVIDFFMPMNEEKKSEGYAFVEYETPEQASAAVKQ LHGVALDKKHTLLVNKLTDIERYGREGRIDEEYTPPEIPPFQEKEHLRSWLGDPNARD QFALFRGDKVGVFWNMKKDEPENVVDRPHWTQLFVQWSPLGTYLASMHPQGVQLWGGS SFSKQKQLPHPFVQLIEYSPNEKYITTWSARPIQVEEGQPGPLTIDEDGKHIIIWDIE TAKPLRSFVAHDLAGPEGDAAAPKKKIQWPAFKWSADENYVARMLPGQSISIYELPRM NLLDKTSVKIDGIVDFEWAPATVIREGVKRYEQLLSYWTPEIGSNPAKVGLMSVPSKE IVRTRNLFLVSDVKLHWQSQAAFLCVKVDRHSKSKKSMATNLEIFRIKEKGVPVEVVD SLKDTVINFAWEPKGDRFVLITSGEAVAGAATAPKTAVSFFAPEKVKGPGIGNFRLVR TIERKTSNGIYWSPKGRFVVVATVHSQSSFDLDFWDMDFEGEKLEAEKDLNANVMLLK TAEHYGVTDIDWDPTGRYVVSSASVWTHSMENGWNIHTFYGETLAEHPTEKFKQFLWR PRPPTLLSKEEQKQVRKNLREYSKEFDEEDKYAVDIANTAVVEKRKRVLNEWLAWSRR EKELLTEEKEELGLPQDEDVFVDTPKTVARAEGEAADTVVEEIVEEIIEETEEIIG TSTA_124460 MSLSSEQRASFTKVIDSILAASDINTISSKRIRQGLQATVDYDL NDYKAPVKELIMERFDLFMNKKDEPSTPPEDVVPSTETANGHGNGSPAQETSHSPPTA SSSPQRKREAESLASDGTEPKKRRPDHDVDADAEFAAKLQAEENKRARPTRGSATRKV APSKKKSKAKTSKKIRAEDDSDLESTSETGAKKEVNRSGGFHKPLNLSPALSELLDGE VAVSSQVRYYMLDSTDTKKLSRPQTVKRVWEYIKANDLQDPSDRRQIRCDDRMRLVFK QDRVHMFTMTKILNQNLYDPGE TSTA_124460 MSLSSEQRASFTKVIDSILAASDINTISSKRIRQGLQATVDYDL NDYKAPVKELIMERFDLFMNKKDEPSTPPEDVVPSTETANGHGNGSPAQETSHSPPTA SSSPQRKREAESLASDGTEPKKRRPDHDVDADAEFAAKLQAEENKRARPTRGSATRKV APSKKKSKAKTSKKIRAEDDSDLESTSETGAKKEVNRSGGFHKPLNLSPALSELLDGE VALSRPQTVKRVWEYIKANDLQDPSDRRQIRCDDRMRLVFKQDRVHMFTMTKILNQNL YDPGE TSTA_124470 MKLKRGQPRTSCNFCYRRKIKCDRSARAVEGLSACTPCDLRRLS CDQDCSDDIRIRSPRQTVLRGGGNTESSYSRSMTAEVIEGESVIQDTNAVAAIDIGED SFLQISEDAISFLDQIFMTNEPPFIFEGEMSNPLGGHSMEEAQDHGCGTALSLSDIAI PSSLIEDSLRAYFDLVAPCLPILSEDAFWEDYDQKKCSDTLIYAVACRGVIFTSTDNK WQKQQSLANKFRETFLEGRKTAKQDIIRLDDLEALALMVNFQYDHGTGSPLQESVGNL LLTHDSLVLMTMRYRIDYHSSHPSDSSKLLSQRSKRRILLLWHVYGLDAFFNLETKGI SRIRDEKEYLAECSSNDESRRRGYLDSIFKLATIARRIPSCLCNPTARREGVDIQKII YIYNYLDRWRECFRPWSSDDDTSTSSLNVPRQNKSLKFQQTICQLLAANCYIEIGEII HQYGIRDPDSLEGESLLLKVEYETLNATRRIHSVSGWLRQTMIQGSSGKEYALVNFCP EILRDICKGACYWICLRGLSMITSFEISHSTSSMDILPNRSVERAGGSVKNDRQLECY LQAARSLRNTVAAAASHRDTVELVAGLDEKIRLLEDNLVVNTRPINSRLSEANS TSTA_124480 MASVDIPPNAIGRISFTPSYAANALFTVVFAILLSLHVILAILY RKWYGYAIGMLCGLLLELLGCVAKVQLAKDRSNKDGYIMYIIGLTLGPTFLSSSLYLG ISALLRHYRSTCFSPIGPRSFTTLFILGDFACLCFIGVGGSLAAIYAQNPIGVDLMLA GLATQVMVTAIFCVLLLVLHSEIHKKAKKDGRNQFIMSEWPATS TSTA_124490 MSEGNPSAGQNSNPTGGERGGRRRGGGRGASHNSNRTDHRRNEG RADGGEPRNSRGRGGRRGGRGHGHGHEHNNPPRASMTNTTSGQPPGIDLDGTGTSGDH PTGDAIKKEGEVTTGKQLTAAATDEPDDGEVCFICASTVEHTSVAPCNHRTCHICALR LRALYKNKACAHCRTESPFVIFTDDPAKRFQDFKSTDIIRTDPNLGIKYEKDEIYEDT VILLRYNCPDKDCDVACMGWPDLHRHVKSKHGRSMCDLCTRNKKVFTHEHELFTIAQL RKHEKYGDDNPGAIDQSGFKGHPECEFCHERFYGDDELYAHCRDKHERCHICDRQPGN RRHQYYINYDALEDHFQRDHFLCLDKECLEKKFVVFESQMDLKAHQLEAHPNGLSKDA RRDARTVDISTFDYRAPYQPGRQRRGEREGRGAGRGRDPNADSLPVSSAQPLRRDELA YQRQMAIQSAQSVSTRSFGGQLTTREPPAARTLAGPPPGQTPTAGTRTPPVPVNAMEN LSLNTSLSPQDQARRLRHSAVIERASNLLQNDATKTSEFRTKVSNYRTSLISATDLID SFFSLFDTPTSELGKLIKELAEIYEDDSKKTALLSAWNNWRAINEDYPALPGPNGILP GLSSGTTGSGGKRVLRLKSSTAQSSRSAYTPNPAVNYNYIILRATRTTRIHSPAREQQ IKPHSGFTCRPKPNTLMAGLTRGTVRWDDRRGPAPAAWGTENTTSGASMPRNSGDDIS SGGKKGKGKKGKQILFQFG TSTA_124500 MATLLPPPSKKQKREIAERAREQQEIDIVPRDLGSVVLQFIDES TGKPTKGEIKVPVANTTVRELETILNSILDNSEHDRVPYRFAYKSEKEDGQTIDILSD LYDALIKPGVKTTEDVIRLHYTPQAVFKVKAVSRCSAAIAGHGSAILAIAFSPASSST MVTGSGDNTARIFDCNTGTPIETLKGHTDWVLAVSFSPNGQMIATGSKDKTVRLWSSS KGKPLGTLKGHSRWINSLSWEPYHTQEAGRPRLASASKDTTVRIWDVVNKRIEMVLSH KDSVTCVRWGGIGKIYTASLDKTVRVWSAKDGTLITALGAHTHRVNHLALSTDFVLRT AFHDHTHKIPETEAEKVEVAKKRFEKAATVAGKITERLVSASDDFTMYLWDPENSTKP VARMLGHQKAVNHVTFSPDGAYIASAAFDNHVKLWNARDGKFINTFRGHVAAVYQCCF SADSRLLVSSSQDSTLKIFEVRTGKLVMDLPGHEDQVFAVDWSPDGERVGSGGADKKV RIWRH TSTA_124510 MRYLSFYFLSFHTVLASNYSLPQTLTRAPPTPTLEQRAGTIIVV TVTVTPSAAPVSPSYTNPELFEYDILNQTNYYRRQHNATGVIWNDTLATYAKQWAKPC NWKHSGGPYGENLAEGYSNVTAAVDAWAIESKKYDYNRPTGFSEKTGHFTQLVWKATT DVGCGLADCSANLNGDNGGKTGKAVGWFLVCEYWPPGNVVGDHDKYFEENVEPLVSLG TWLKVNVFDVWLMIVFAVLILTFL TSTA_124520 MPRILVTGGSGFLGGTVVDTLLSRGYLVVTTMRADRPNVSASQL TYAIVKDIAQLDAFDEAVQQNPPLDAVIHTASPFHYRIEDVKRDMLDPAVNGTVGVLQ SIRKYAPSVKKVAITSSFAAMYNNVNKPVGSTYSEQDWNPVTWETALDPENAAGQAGY RTSKALAEKAAWEFMEKEKPGFTLTSLNPTLIFGPVAANTASLENLNTSNARFLDFIT GKVKDKCPPTGSLFWVDVRDTALAHVLAIEKDEAAGKRYFLCASPFCNVDLVEIIAES FPKYKDLLPKGDALELGRYPGSGPPYKVDHSRSVNELGLKYRTLKESVEDTVKSIEVL LEKS TSTA_124530 MSKPKRPPASRAPPRRDVLASLKMASMEILVPVLPAELMSQVLD YVDPPDLIRVAQTSKRMREMVYEDARWIARLKRMGCWNEEEARQNAEKPMSPTTPATG YGRRSSVYKNPTDGFDTIPVSSGATKETEIPIHEDETLDILRRVKSLRGNARQEYGKV HAVLAPLYNDIAKSGGSTDSLVFRRYTDPESQAKMLAQIVKFANSDTSDGWAHREACL QEAIQLFETAAIREFRNAYEASDVNGEMRKYTHVLWYLNGGQSAVDLFLHHNHIITRK SELGRVQDCIDTETLEVKVEHTQAFFTRFGVAYNKEIEAINGAFPKDLDVALPFLDKA SVDVVSPFLTGLFDELHQSNIESYLKAVSGTFAQCFNLFSDLEIPLASGVSKENAIAR VMAQIFEPHLDLYLAEELDFFRKGCDATVEDWDRQLSEQAASTESFLMSNVNRQAAKN DFLTSFKKVLMAPVTILPLPSFSSKTQRSAVPETENAASHNKNTARFSTFSPPTPATP LPEAPSDELAAKAALMNSRLEGIKSLFSIEVALGLVHAAKTSLERTVQFVKLGGDWGN TAKLQCEGIFISLLEILGHRHVIKGFTKAVDHLSNYRPREQGERDKNGVEPLVTFLEL VNVGDLILQMMDVFYEQELIGAKLIDRSDFLDPAAKGKKKFEQRLDECVASGLNKGID VLMEEVDYILATKQLTTDYNPEADIDAGKKMPDISVSDAAIGVIDVVSSHTSMLIGST DKSTLDVFNQEVGLRLFAAICKHLKRQRISVDGSLKLISDMNHYFKFIQNLKNNELLL YFKALRELSQIYLIDPSDAKELAFVIADNDRFYGIFRAEEVYEFAERRADWFQVRRDV ERAMYGLECSLM TSTA_124540 MAFYIHAGGAASRPLLEPCSQGYLLFKDVLTRFSKLDITQIGSL VAIAGVLPSAWRFLRHAWDETYSWVRHCFLASVTIPGGDPLNRSVVEWILANRPRNYR SFTGLTEVGNSGMDRAAALKKTRHPIRNAFLVERSSDSHSIAMSNNPGYDGIGGEELT ISCFGWSVEPVKRFIKACRDYTETQTQFFVIIYSRDRYGLAWQPKARKPIRHLDTVHF DNQLKQDLLADIRNYLDPKTQKRYQTRSMPYRRGYLFYGPPGTGKSSLSLAIAGEFGL DLYEVKIPSVATDADLEQMFQDIPPRCVVLLEDIDAVWVDRSNSSKPVQDGQPMPNCT LSGLLNVLDGVGSQEGRIVIMTTNRPEALDSALTRPGRIDMKVYLGNISQKSSEEMFL RMFSPDLGFKFSFDMDEMRDLATSFASQIPDDKITPSALQGFFQQHLDSPHDAVSLIG GWVKEELAKKSDKEFEVIINNENAV TSTA_124550 MLARSLLRAAPARATARQSLRQNSRASSTSSGSENASSPFYLTA TATVATAATIATTAWYYHLYGQQAFAMTPAEEGLHPSQYPWEHAKWNKTFDHQALRRG FQVYREVCASCHSLSRVPWRDFVGVMHTVDEMKAMAEENEYDTEPNDEGEIEKRPGKL SDYMPAPYKNDEAARAANNGALPPDLSLIVKARHGGCNYIFSLLTGYPDEPPAGASVQ EGLNFNPYFPGTGIAMARVLFDGLVEYEDGTPATTSQMAKDVVEFLNWAAEPEMDERK KMGMKALALSAGLFAISVWVKRYKWAAIKARKIVYNPPVRR TSTA_124560 MTDWSTITMTPTPKYPRPPPTSPDRLQLGRDPTSIDADPNLYYS ICSTRQTCFDRTITTDENPEKTVLYLAYGSNLSIEKFRGDRGIAPLSQVNVYVPELRL TFDLAGIPYLEPCFSGTQYRTVDNTHVIQERYKDIVDDDILEKKEIDLESGHYLIQNR GYNKDQWHKPLIGVVYEVTLSDYAHIIATEGGGNGYVDIVTPCFPFPSTTTGEYNPND PTPDVPSGTAFMAHTLLSPPKSAYGPNSHLVRPVPSYAQPSARYLKLMTDGAAELDFP VTTFGQGVGQKLALYVLIPLMLVFIRLGELLADDDGITPSWLGRIFDIIRELTWRIYD VVLVKVLGDGERSIDDI TSTA_124570 MDSAVSSPGYGSYGEAINQTHADLLQAFKGFRPGRQNQSKSLQP PLASSSQQPNSPGIKASQSSQVASTQQAPPSTVSSTQDTTTRKRTRRSANKEHTEEHQ SSDSDIPLVQTPKRPRRNTPKVSDKPENGSTSQEISTASKQTVGIQDQKGFAHVEKTS QALSVLQNIDPRLQAERSPATITPGFVAASIKGQNVQQMNGALHYKTPIIRFAPSTAQ SSKNFATQILSENQKFAETLSRKNSTFLLSVPPTAKSYLEGKLAQITAEMTRLHQEKV DAIKLEYVAELKRDMDERQKVIDMLTRKPDV TSTA_124580 MSAHDCLTDASFGPAVQGCRGNFDFTLAFELYFFLIAPASIFIL IAPTRIYLLFARDRCVNVPWLQHLKLINIIAYCSLQLTLIALWSVRPIPAAPAGIAAS CLNTVVGLLLCLLSPLEHSRNLRTSSILNAYLLSVFLDAVVLRTLWLSSYDTDIRNAF TAAFTVKAVLLLLEAVEKQRYFISDKDRQLSPYAKSSIYNRAFFWWVNRLLALGYRRH LEAKDLDPLESAMAAEVLDRRFWVAWQKTSKDKRRLIYSLMTAMPWDLLSPMVPRLIL IAFTIGQPLCLRRFLDYLQGDSDKINIGYGMIGAYFLIYFGIAISSGFYWSSWLRSLA LMRSMLMTAIFEKTLQAKPDVANDKAALTLMSTDVDRIVNGLREVHELWANAIQIIIA TYLLELELKYACAAPAVVAVGSFVSITYLSGYTKQFQKQWLAKLQVRIGEVSSMLDSI KGIKILGLTPRLHDIIAGLRQQEVNASKPFRLLGAGTSSIALLPQLISPVLAFAIYAA VTLRDGGILDVSRLFTSLSIISLLSQPLFTLFGSVVNSRAAIGCFERIEEYLSRESHV DYRQDRESDPESSSNAKSGKAVNVNDYEMEESIERDTKTRKSVSNVIFEFSNASFSWA DTDKPTLRNISMTIERGTLNLIVGPSGVGKSALLKGLLGESSKLSGSVYSSATEIAWC DQTPWLINDTICQNILAGSSMDETLYSTIIHCCDLQTDLESLPAGDQTKVGSKGISLS GGQKKRIALARALYSRKDVMVLDEPFSGLDATTEKHIVQRCLGPNGLRHHWGTTVVLT TNSTRVLPLADQIVVVDKNGTISERGSYQSLVTAGGYIERVHSERLSQLLQQADQDEN EINESVTSPRPKSSTQGNSTKPNGQSVQKPNASPATTAVSDSSIYRFYLEAIGIWPMA IFISLEAIWAFLCVFPVQWLKWWAEDNDKHPNKNLGLYLGVYATLQVTALGSSALVTW FAFSFIARKSGIQLHTVLLNATLSAPLSLFSKNDSGQILTRFSQDMQMVDMNLPLQLL TMAQNLFVCVAQAGLIGAAVGWVAVSYPALIAVLIAIQRFYLRTAKQMRLLDLAEKAP VYTQYLDALAGLLTIRAFKWQTRFRTTNYRLVDNSQKPWYLLLMVQRWLLLVLDLVTA ALTVLIVGIAVKLRASIGTGGVAVALVQIITLSSYLNQLITTYTLLETTLGAIARIKK FHEDASILPGGTHNDKGEQPPPNWPAKGELILENAQASYGEDENGQLALDGISMKIRP GQKIGICGRTGSGKSSTVLALLRLLELNSGRILLDGLDLANLNQESVRSRLNGLHQES YFLTGSVRLNLDPYKTHESDGDEPLIKVLKTVQLWDVIEEKGGLDAELKKNSLSHGQQ QLFCLARALLRPGKVVILDEITSSMDQVTDTVIQKTILSEFTDKTVLIIAHRLHTIMA CDQVAVMDHGKCVEYDNPKTLLAKKESMFSELVRNYHDKDGS TSTA_124590 MESALFFIDNPNLGNADSLEDHRIRGYNPLTPPNLLQHEIALTE KSKKTVLQGRKDAAAVVKGTDPLNRLLVIIGPCSIHDPEIALEYCDRLLKLKEKYQDT LLIVMRSYLEKPRTTVGWKGLINDPDIDGSFKINKGLRLSRQLFVDLTSKGMPIASEM LDTISPQYTADCLSLGAVGARTTESQVHRELASGLSFPVGFKNGTDGSLGVAIDAIGA VRHPHHFLSVTKPGVVAIVGTDGNDDCFVILRGGTKGTNYDAKSISEAKEDLTKKGLR PRLMVDCSHGNSLKNHKNQPKVADVLAEQIAAGETAIMGVMIESNINEGSQKVPPEGK SGLKYGVSITDACIGWEDTESVLESLAQAVQKRQKLAATNGN TSTA_124590 MESALFFIDNPNLGNADSLEDHRIRGYNPLTPPNLLQHEIALTE KSKKTVLQGRKDAAAVVKGTDPLNRLLVIIGPCSIHDPEIALEYCDRLLKLKEKYQDT LLIVMRSYLEKPRTTVGWKGLINDPDIDGSFKINKGLRLSRQLFVDLTSKGMPIASEM LDTISPQYTADCLSLGAVGARTTESQVHRELASGLSFPVGFKNGTDGSLGVAIDAIGA VRHPHHFLSVTKPGVVAIVGTDGNDDCFVILRGGTKGTNYDAKSISEAKEDLTKKGLR PRLMVDCSHGNSLKNHKNQPKVADVLAEQIAAGETAIMGVMIESNINEGE TSTA_124590 MRSYLEKPRTTVGWKGLINDPDIDGSFKINKGLRLSRQLFVDLT SKGMPIASEMLDTISPQYTADCLSLGAVGARTTESQVHRELASGLSFPVGFKNGTDGS LGVAIDAIGAVRHPHHFLSVTKPGVVAIVGTDGNDDCFVILRGGTKGTNYDAKSISEA KEDLTKKGLRPRLMVDCSHGNSLKNHKNQPKVADVLAEQIAAGETAIMGVMIESNINE GSQKVPPEGKSGLKYGVSITDACIGWEDTESVLESLAQAVQKRQKLAATNGN TSTA_124600 MSSTGGISTPVQFAAIASSTVPGDHPIDWGSQAQGGRDLATQLI LSATLGLSAFFSFCILRPKWTELYAARRKRRNAASFLPELPDSFFGWMPVIYRITDEE VLESAGLDAYVLLSFFKFAIKFLSATFAFATLIIMPLHLRYAGQWGVPGWDHDDDDND DDGQSLFARDILFGHGNKKFKSDPTYLWIYVIFPYVFTGIAIYLLIHETNKIIRVRQN YLGSQTSTTDRTFRLSGIPTELRSEEKIQEIIEDLHIGKVEAVTLCRQWHDLDLLMEE RKKILKPLERAWTKYLGYKQKRRYNDTLPLVRASRDRSPSLESETATEHSQLLGSEDG RVPVRTVHKRRPTTRLWYGPFKLRYKNVDSIDYYEEKLRRIDERILAARQKEYPATDL AFVTMETISACQLVVQTIIDPHPTQLVPSLAPAPADVVWKNTYIPRSSRISRSWLITL VISFLTIFWSVLLVPIASLLDLNTLHKAIPGLADLLARHPIIKSLVQSSLPTITLSLL TVAVPYLYSWLSSLQGMTSRGDLELSIISKNFFFTFFNLFFLFTVLGSASNFYGFLQN VQNAFKDATTIAFALATSLENLSRFYINLIILQGLGLFPFRLLEFGSVAMYPINVLYA KSPREYAELSAPPKFSYGFTIPQTIFIFIICVVYSVFPSSWLVCFCGLIYFFLGHFIY KYQLLYAMDHQQHSTGRAWPMICSRVFLGLVVFQVAMIGVLALRKLIARSLLLVPLLG ATVWFTYFFAKTYEPLMKFIALRSIDHDRPNRSNGNNSDDSLSPPPLLSPLSGLDRDA LPILIGGREIGLRLRKYVNPNLTIPLDGAWIPRSDGSIVIRPSAVEEEHIAGEA TSTA_124610 MQTNLQDAATTITLFTELNQEPLIQQIERGRDTGEQAVIDPEEQ VRKSNAAILQASGREARLENPPPNIQSMTPDIRHSVFPKGTCMFVVLMAAICGTVSPL SGNIYYPALNPLAAELHVSQSLINISLTTYMIFQGISPTFMGNLAGTNGRRPAYIIGL TIYIGACIGLALQTSYPALLVLRCFQSTGSSSTIALGSAVAADVATAAERGTYMGLIN CGALVGPALGPVLGGILSAFLGWRSIFWFLGQNVVGNGSIPTQRWNRDLLTVIGERRK QRLIIKVQADANNLKREGKAKRKLRMPNPLVTLKILKEKDFGLLLLYNCLIYASYFSV TSSLPYLFAKLHGFNDLQIGLSYLPYGVGALLASIFNGRAPLAAPLVLLFFVGYCISG SFNCCSVVLIDYYPMSPATAAAGNNLCRCLLGAGEAAVIIQMIESMGCEWCFTFIALV IFATTRILGVLMQWVPEWREKRAQRLEAEKVSGA TSTA_124620 MSSSTLPSNTSLVDIGTHSLALYSHGPEPVSSKDPVVLFISGVA SSSLNWAAVVRLLSPSLRSYTYDRSGYGNSELSPREPTAENIALELSLLIEKAPISNS LILVGHSWAGVLISEFIALTGNGPHIAGLVLVDANHETMPQVLNVNDPNLWAIAEGVE FYSGLGLHKEHRLTPEEWDAFISDESTEKTKLIAEKEDVEHYAPSFETLRKKELSKKQ PLLGDKPVYVIGGMRSRDWSRLCEAGVEKGNGTEEQRRHVRELISTADEKSEGLMKEF LKLSTKTELVFARESGHFVQLTQPNVVVDGVKWVLENSQISS TSTA_124630 MASSWWSESSNQTTSDTLPQKPLHWSDDWDAATEPFPELTIEPH SAESTADIIALDSSDLQSKGYLSSSPCISEDTTLSDNDASSPDSSSPDLSASETSSSE TEWRGGGNLCKHIPAANRTPPEILFQIYAMLSPRDFDNARRTCSQWMRASLHQKLLES MLKRAGWWDAWQRDCQTYQPIDGVEESLVWRLSKRFSTECVLSGRKVNVEKSGFLPTG VVDFGQLSRESLPKESPGLSRDMFNGSAPRLTAALRDPAFSRSIKFSVSTCGHYVLVA TGCMIYVYYLGNRKRGDSGPPRLSEATPEDLFLGDNDLDILPVSSIGCPYEILSATID TSTPRFVIAALLRGRVGMICDIKGVPVHTSPEQPSPFLRKRSSTREDIPADQARKMDE FRDAIASSAVVEQATTSGRPPMGPRTVSTRRTMNRLTRAPRKYFHDICSVEDPPRSVS ICPGRRCVAFGCGSGIELHWVDEKTQEDSRKMFPLSQPAEILHFLPSRVEIDEASKLR LISSLAGPGASGCQCHRAANGEDVVCQFHLSSRVNAFTHWTPRKNGRLSLVKATHCHH YRAMPVNDGLHILFIEPVTGYLCIGSDAPIGGPTNLTRAMICIPPSDQGLDTEEARIP TIFAVGSDLSRGLRIVAAYQDRIILYTVPVDVYNVLRRERQLQGDNVMGDSDLARDWF LDNERNSKRRGSLAQNQNGDWEFLLRVSYRPTAMLWPLKIYGKEIGRMDKVVDFSVQT SNGGVRVWAFGASGEARIFDIDTYTTKTRLAMHVAIKALNVASDGSIGSASFMDRAES GLLSPLPIKASRKRKHLSQPAAFDRKLLSMWNSSNTTSTHKDNDTVAPEAGPTSSSSR PPTGISARTDRRQSFAACIMDLRIPELGTRDGQWTDPNRASTVPSTPACGPETAEFHD VASYDPKEIRKFYTVWSTSASKPSPGRWR TSTA_124640 MGSTRQVYLLPLTDNGAPDIPGGYIYLPPPTTPAYVLRFVIEGT SSICRKGSLWVNIPEEGARFDRSKFRQFTLQPDFNKDIHIDVPITQAGAFAFYTTYSP LPEFTASSLPSQKQEKSEVHYVDVSPALSLRGAELPLDALSIFSVISKFMGKYPTDWD DHLRGISQRNYNMIHFTPLMQRGESNSPYSIYDQLQFDTGAFPNGEKDVAELVDKMAT EYGLLALTDVVWNHTANNSKWLEEHPEAGYNIETAPWLEAALELDTALLKYGDDLEEL GLPTEFHSVDDLMKVITEMRKHVIDAIKLWEFYVVDVKENTSRIIKKWANSPSSPPID GAESWSLKQKADFIRQNALPNASRILGRFSRKVDEKEASRLLATLHGPKDTADSSAVE AELIRILDEVNLPFYEEYDADVKVILDQLFNRIKYVRIDDHGPKLGPVTKTSALIETY FTRLPTNETTRNHNSRSMALVNNGWIWNADAMKDNAGPDSRAYLRREVIVWGDCVKLR YGSSPKDNPFLWEYMAKYTRLMAKYFSGFRVDNCHSTPLVVAEYLLDEARKVRPNLTV FAELFTGSEETDYVFVKRLGLSALIREAMQAWSTAELSRLVHRHGGRPIGSFELDLPT GSSPASTEQETIAHIRQSPVHALFMDCTHDNEVPAQKRDARDTLPNAALVAMCASATG SVMGYDEIYPKLIDLVSETRLYTSKFSRNETLEVGPGEGGIGGIKKLLNLLHTRMGKE GYDETHIHHNGEYITVHRVHPKTRQGIFLIAHTAFPGYGNGNGTLAPTHLIGTHAKLI GSWKLEVEDGAEPRKQVLEDETSLRGLPSKVVDIDGAKVNINGADSTLSITEHLIPGS IALFKTWIPSAEHADGLDHYITSGADEAFSKLNLIDLNFLLYKCEAEERDSSNGQDGV YAIPNHGPLVYAGLQGWWSLLESIIKYNELAHPLCDHLRQGQWALDYIVGRLERASKQ EVYSRLDAPAAWLRTRFDVVRTLPSFLLPRYFAIIVQSAFTAAWRRGIQLFSKNVQHG QQFIHQLAMVSVQQVGYVNSASLWPIKSVPSLAAGLPHFAVDWARCWGRDVFISLRGL LLCTGRFDEAKEHIVGFASVLKHGMIPNLLSSGKLPRYNSRDSVWFFLQAIQDYTKMV PDGIKILDAKVPRRFLPYDDTWFPYDDPRAYSRSSTIREIIQEVFQRHASGLSFREYN AGPTLDVQMKPEGFQIDVNVDWDTGIIFGGNQFNCGTWMDKMGESEKAGSKGVPGTPR DGAAVEITGLCYSALKWVEELYSNGQYEHAGVKMDSGEETITFTEWASRIKSNFERCY YIPLDTKDDKNYDIEPSLVNRRGIYKDLYRSGKPYEDYQLRANFPIAMTVAPDLFDPE HALQCLSLADSVLLGPTGVRTLDPSDLNYRPNYNNSEDSTDFSTSKGRNYHQGPEWLW PRGFFLRALLRFDLLRRKGEHERTETFQQVTRRLEGCKVAIRESPWKGLTELTNMDGA FCADSSPTQAWSAGCLLDVYYDARFQGGSH TSTA_124650 MLLSTNSKKHKVTVIGSGNWGTAISKILAENCRERNDIFHETVE MWVFEEKLEVPKNSMHYKSSEPVCQGQHNLTDIINALNENVKYLPGVALPKNIHANPS LEDAVRDSTILIFNVPHQFIIRICDQLQGKILPYARGISCIKGVDVEEEGVSLFSETI GKKLGIYCGSLSGANIANEVAKELWCETTIGYDPPHLDSKAPTPAGGSPSQSQVDLVS FEHKDSSGQYSKVKLRPLPTDYPPIDHALWWTLFHRPYFHVRVVSDVAGVALGGALKN IIAVAAGFVDGMGWGDNAKAAVMRVGLLEMVKFGTQFFGNSIETKTFTEESAGVADLI TSCSGGRNFRCAKLSVQRGAPIEEIEKQELNGQKLQGTLTAADINKFLKKQGAEKDYP LFTAVHRILKGEMSVEDIPSHIEPKL TSTA_124650 MWVFEEKLEVPKNSMHYKSSEPVCQGQHNLTDIINALNENVKYL PGVALPKNIHANPSLEDAVRDSTILIFNVPHQFIIRICDQLQGKILPYARGISCIKGV DVEEEGVSLFSETIGKKLGIYCGSLSGANIANEVAKELWCETTIGYDPPHLDSKAPTP AGGSPSQSQVDLVSFEHKDSSGQYSKVKLRPLPTDYPPIDHALWWTLFHRPYFHVRVV SDVAGVALGGALKNIIAVAAGFVDGMGWGDNAKAAVMRVGLLEMVKFGTQFFGNSIET KTFTEESAGVADLITSCSGGRNFRCAKLSVQRGAPIEEIEKQELNGQKLQGTLTAADI NKFLKKQGAEKDYPLFTAVHRILKGEMSVEDIPSHIEPKL TSTA_124650 MLLSTNSKKHKVTVIGSGNWGTAISKILAENCRERNDIFHETVE MWVFEEKLEVPKNSMHYKSSEPVCQGQHNLTDIINALNENVKYLPGVALPKNIHANPS LEDAVRDSTILIFNVPHQFIIRICDQLQGKILPYARGISCIKGVDVEEEGVSLFSETI GKKLGIYCGSLSGANIANEVAKELWCETTIGYDPPHLDSKAPTPAGGSPSQSQVDLVS FEHKDSSGQYSKVKLRPLPTDYPPIDHALWWTLFHRPYFHVRVVSDVAGVALGGALKN IIAVAAGFVDGMGWGDNAKAAVMRVGLLEMVKFGTQFFGNSIETKTFTEESAGVADLI TSCSGGRNFRCAKLSVQRGAPIEEIEKQELNGQKLQGTLTAADINKFLKKQGAEKDYP LFTAVHRTFYPEL TSTA_124660 MQFGSPYLYVSRCAFFIISNLIIDMAPVAPTRKAPSGRGAIPSV NHGLFPDEFRTTKKDKRLIKHSVFVNKVAKSQLKSPKRRRPSKKLVTSLDSLADALPD ADDEVTSDIANQANIIRHKTLKHRPGAMKRKEKMEKLERNRFIQNMAQMSAIEQAASN AQQQPENQFTSTGSNSTSNRWAALRGFISQTMEHQPAFKNGK TSTA_124670 MRGYRQSLVSIPEASEPSAADPGSTTQNTAHDRIESGITGIAPD QLDGGNCRPEEKPPEEGHQNSNNSPNTWSRGRLTMSYVGVFIVYCLTSLQLQISNHLI VHTMSTFGKHHLTTTNSIVATIIGAVIKIPMSKAGNTWGRVELFVVVVLATVFGLLLA AVAQNVTLFMVAQTLCWIGYDAISYILGVGIADLTSIKNRGWLFAVSNFPHLINAYLG PVAAQSLQKHGNWRWAYGGFAVILPLFSLPLSVTLFDDTRKLRQMPRKSQESPSPDPQ RRIWRIIKNLLMEHDLIGSFLVGASSSMLLLPLSLGVFSQNPSKAPQLSIMSAFGVSL LPIIVMWERHQYKTRVVPFGGLANKTIVGACTSTAALFASFYCLDSYFLSYLQVVHNL TVSRAGHVHNLYVIGSCFFAVCTGVSIRVNGKYTTPALAATTFNLLATGLAIVTRKSG KKLSHIIGNQLMLSFPGGTMGICGPIAVMAVVPSSEVPLPLGLYSLLTGLGAATGRAV ATALYINYMPKALERYLPADAKPMAKIIYGSLNKQLSYPMGSTVRLAIIQAYDDFMRH ACIAGLGFLPLALLLVFLWENVNVNEVQEKGG TSTA_124680 MAPIHVAVSDSEKQLLIPPTSDHKPNSRRSGRVILIVKIAITAT AAVLYLFILFPTLRTYILPKSWRATSNTLNQDGDASLGAVSSESSICSAAGIDMLKMG GNAADAMVATVFCVGVIGMYHSGIGGGGFVLVRSPDGTFEHVDFRETAPAAAFQDMFN DNKQASVVGGLASGVPGEVRGLEYIHTKYGTLPWSTILQPAIKVARDGFVITTDLSRA INASVRDHGDFLSTDPSWALDFAPNSTLLGLGDTIYRKRYADTLEKIATEGPDAFYIG PIAEAIIRATREANGTMTLDDLRRYEVLIRDTKEIDYRGYKITTTSAPSSGTVGLSIL KIVEGYSDFFHPETMNLSTHRLDEAMRFAYGQRTSFGDPSFLRDLHQREEDMLNDTVA SAIRGRISDFHTQNVSAYNPDGLESLDTPGTSHVSTADRSGLALSLTTTINLFFGNLI MVPETGIIMNNEMDDFSIPGSSNQFGYAPSPSNYIQPGKRPLSSITPIIVENADGSLY FVTGAAGGSRIITATVQSVINLIDRGMTPLQALLEPRLHDQLIPNVVALEPDFDQDIA RFLKSRGHNVTELMVSASSAQCVRLLPNGTFEAAGEPRQRSSGGFAI TSTA_124690 MSFPPKSPIQSNLYLKYSLPTSHHITPETHIGPEAKCVGLPTTV ATLASGRIMISNWTASQELVDQAPLLCVGQHHAALSQYDRDLDKGKIMAKSPKTKDRE RDAVEKDKEKRRYSRPSHGKRTGTSTRDRDSTMTSSTSSSHTRTRRSSMPEIDSNADS AATSFLDSRASLPYPALNKAHSKVALWGKPDSPRQAEIPTPDPTDVDHHENRATTSRN GHRHGTPPSPPLTADQRSSRVGSSIGSKHKDKERDTKVKSRKDPTQSTSSLGRNPEDG SRVSTKSPRSSTPIKVRLRDSPTPHRTSSHRTSKSTSQSSDLPKRAASHSSRSATHSI VHSTDNISQYTTDSDATSIAPNQAQVPLRPKTHSPQNRARKHSPPIEVFIEEEESVVN GNHDQSSSSLPPPPPPPPQMPVAPPRVDYLLQNGGLRYPVPKHLLGAGLPPNAPDHLP HQQNAIVQAFEPYHRLLDDYNKVLSKNGSLAVATGYRSVARRLLDRLEAVFARDISSE TCQCVMCDGQESDEMTTGVSWGEVLELVSGRRELPSWPPFHMPAPTQDQIAPGEEHIP MQKLDIDVPEEYRDHYVRQSRKTKQAVDKWLLGQTDSNAPEEVDDETLAFAMMTYLDT EQRDIFCTLLGIKSSTPVPRSATPAPRPRPEPLIASSLAIQRLYRLAAPARDSETALY MLKNPLIHNVLATLAAISDDEWEILISGRFDGFLRSGAEDTFNPALSASRAGTPFVIP SSRGPTPFQMDPIHRPMSQPYGSTISASYGAPIGLDEDMEIAVLAEIEKDIFQSMEAL EDAFEALHCKAEAVRRALRERGAGLSVANQARRGSSTNLDARLGTPASMAGSLWENAL DEDDGIDDDMSLAPDDSASNISSNRRRRPKRRNERRTPAPVEEEDEEEDDYDARRMRR R TSTA_124700 MLSDPNPYEITFVTPSRKDNGILKLHLGEVHTSLPIRLTVPRHS SATLPVNKHSRVSVTRFAAKVHYGANFDSEGFREITRSHMNKWVRFKEDLSSTLGHDG RKKQLYNGSMLMRSSTLKTGIVEVKNVVFYVPGVYKIAFELQFESGELAGRTPWQTVE VQRVRKTAS TSTA_124710 MKPRFLTYMVKYNKAVARKDLEVLSRFVSWYASLPDYPNSTEAF EGIARQCMEMIVYAQNEILQVRMRQLSLLKEQHGLFMSSIHNQGLEGLSLAVGQSSTY EYDYSQVGWGYATRYGAEAATQFQPALAMNPVRPGDTVEMTRLDAMWTKVE TSTA_124720 MSYVGAGDWESIRRFMVQREKMPPCKGAAGHTEPDGSRRYTLLD DSVVSNMAIFEIRYKDLIAWNNLKKFFIVSPRMDLLSCQEMRKLEAGRTDWYSSIARP KVVGMRCMLFGYVWAGSWRPLELVISYK TSTA_124730 MSAERIQSNCRIIWGPGDYDIDIESDDWETYYAVVKRDDGTSFG PPLTMTGVCQSEEHTWRELDRMLDVWARQIQSGQPMTKDKVLKIFGGPKGQSRAILKQ YFAEAEKHGRPGSAFDEVLLIRKLCYNLSQLNLPQRSEWKPLRSSNRVFITREIIETG TKERARDHAALATGKMSLFVQAEQSERRIKLRTRATTAHVPTHVPELANTA TSTA_124740 MMNLISRGLRTADPHAVVDVLLRGRSASAPSSPKDIDPISPVLH SGLIAISVLAMISLLATFGLLCFITYRFIFWHKYYKRNLTTNQYIVLIYNLILADFIQ SLSFVLCIRYVSDNAIKAGTAACILQGILVQAGDPGSGLFVLVIAAHTFLLVTSGKLV NHIWFTIGVVGVWVFLAILVIIPMASKGIDVMIPSGAWCWIDSRYENYRLYTHYIWIF VAEFGTVVLYAVMFFHLRRQMANSSILAGSQMESLKRLRRVVSYMVIYPLAYVVLSLP LAAGRMSTAQGKTPSLAYFCVAGAMITSSGFVDVLLYTLTRRNLIIYSDASEHREYNN MGSHSQSKSSKGLSRLMPSKGNGLTTITTTITSHVDNSGEGAFRRGRGNPDHETIYSS DSHDGDNNTSTDNIVQKDVELAELGKVYQKTTIEVTSEPAPFHPSRNSGGAGDAKNW TSTA_124750 MTSKKPEIGFVGLGAMGFGMASNLVKQGYPVKGYDVFPSSVERF KAAGGIPAASLRDSAEGKQYYICMVASPPQVQDVLFNLETGIIGVLPKGATFLLCSTV SSAYAQSVEKEFKARGRDDILFIDSPVSGGAGRAADGTLSIMAGGSPAALSQGHFLLQ EMSDAKKLYLVPGGIGAGSNMKMVHQVLAAIHIAGVSESMGLAARLGLDAYVVKDAII NSDAWTWMHENRIKRMLEEDWNPGASAMTIILKDVGIITSTARLHKFPTPLASSAEQL YLTSLVHGYGPKDDSSLVRMYFPDPIVNVKCTLSPEETSRRVQMVIKCMQYTNIVAAA EAVAFARYLNVDMKQFYDLVINAAGGSRMFNTLGVTMGEGILKEKAPEGTLSIDDIVN ELSAIVQEARDSFTPLHLATEALTQYLVAQRRGWGSEAATSIIRVWEN TSTA_124760 MPHPNLKGNRALEILDKALAGKYGVPGMCCYNIEGILATVRAAE AKKSPAMILLFPWAIQYADGLLVHAAAEAAKKAKVPVTVHMDHAQSPEIIRYAADLGG FDSIMVDMSHYEKEENLAKTRELVAYCHERGIATEAEPGRIEGGEDGVADTADLTGLL TTPEESHEFVATGIDWLAPAFGNVHGSYGPRGVQLEYDRLESINKAVGDKVRIVLHGA DPFTTEIFQKCISHGVSKININKVMNNEYLRVQAEKAGKVPLTTLHEEVTNAMQAAVE RCMDMLGSTGNA TSTA_124770 MYRITNIYVLAAFGTIGGALFGFDVSSMSAWIATPQYLDYFHSP DSNLQGGITASMSAGSFGGAIAAGIISDYLGRRLALMLAACIWVIGAAIQCSAQNVAH LVVGRVVSGLAVGITSSQVCVYLAELAPARIRGRIVGMQQWAIEWGILIMYLIAYGCS VSVSGPAAFRIAWGIQGVPGLILLVSLFFFPESPRWLGSKERWEECLGTLAALHANGN RNDPVVQVEFEEVREAARIAHEAHDVSFLSLFGPRIWKRTMCGMTVQMWQQLLGGNVA MYYVVYIFQMAGMSGNTTLYSSAIQYVIFLVTTGIMLPRVDRIGRRPLLLVGSIVCMA CHFAIAGLMANYGHYVDEVNGNSNLRWSIFGAPAKGVIACSYIFVGFYGLTWAPGAWI YVSEVFPLKYRAKGVGISAATNWIFNFAIAYFVAPAFTNIQWKTYIIFGVFCFVMTFQ IFFTYSETARRSLEEIDLVFDTDVKPWRTAQVKDLFEEEIQQHREQKVEGERKDFADT ASHHENV TSTA_124780 MTVEQDMQNLQQSSILVDFDEGGYLFQLLTKPLLDKPTVFLKAI QRHDFAGFGAGNVKDYIVGSSIVTRDDERGQGDRDPMTRVNEPVDLAKALCHSCWPFQ DFLFVIFGRSIFLI TSTA_124800 MDTGSIVSELRQTFESGKTRPIAYRKEQLRNLWHLVVVRPFKLK HTKAVYSPILRYYPKDNRDRFAEAIFKDVGKPPVQVEAFEVLTVANDIVHMLQNLDDW LKDESVETLPSFENWSPIIRKRPKGVVLILGTWNYPLTLTLLPFSGLIAAGNAGILRP SEFAPHTGDLIAELFPNYLDPSSFRCVLGGREAAESLLKHPYGHILFTGGLTVGKEVM KAAAQNITPVTLELGGRNAAIVSNKANVRMAAKRILWAKAAAAGQTCFAPNVAIVHDA VYDEFLDALKDYYAEFYKGKPKHAAVGNIVNANEFSRARSLFTSTKGKVLLGGTLDEK TRFIEPTIVTDVNEDDAILQSEMFGPILPILRATDIIHAQALVRSIAPESLGLYIFTE DMEEANSVVNTLPNGSACINDLMGQVAPPSMPFGGFGKSGFGSYRGKASIDTFSHKQS IVSVPTVPEFEQMLEWRYPYADQAKTVEYIRANMLAPLPPQ TSTA_124810 MAQSESLKMNSLLASREAEAHFSSKQQRDEAGLARLGKRSVLKR NFGFMAVLGFSTTILITWEGLFTGGPAGTVYGYIFVWAGTAAIFAMLSEMVSMAPTSG GQYHWCSMLASSRTMKFSSYVTGWLTVVGWQATFATACYLSGTTIQGLIALSNPSYVP HPWHSTLLYWSVVAFGLVINTVGGATLLPKFEGLILVLHIIGFFAVLIPLVYMSDHGS AKDVFATWFNEGGWDTQGLSFFVGLIGVVFAFAGGDAAVHMAEETQNAPKIVPISIMF SVVINGVLGFAMLIASLFCLGNLQDDLNSPTGYPFMAIFLQGTGSVAGATAMSAIVGV MAICATTGMLATASRMFWAFARDRGVPGWRLWSQVSPQAGVPVNAVIFTATVSTLLGL IPLGSPVAFNDLTSMSTSGLYLSYMVCCILLLYRRCTGGIITLTETSSVASPADDEQI VNTAGAKLVWGPFHLKGIVGIAVNVFAIVYMLITVFFSFWPPTAEVTVSTMNYSAVGT VGTMTLSLLYYFFRARHVYEGPIVEI TSTA_124820 MTTRFTGDTWLPAINVICWFLLVVAVLGIMTRLGTKLWIYRKFT KDDYVIILSTVFDIAQGIATSTATANGYGEHIGTLTDDQVTTVMKSQYAAGILSIVSL ASSKVSYVMFVRSITAAPLDRRIALVIIILLSIWGVVSVITVAFQCQPLPTWDYLTGK CYDRQSWQNFFGISNIVSEIVIISQTIVIIARIQTKLKRKLTIGFVFGLRIFVVAAAI AQIVVLNKTFHDPDVTYATWSVSVTNQLVLCSSIITACSAQFKPFLDSLRSSGMRLDA LTGSYQYKSQNRYAYGSHIASSKQRSIPLHSLTGSSAQRPENKNSIASETYVSASRPS PDWDAASATSQSQIIRETRTFAVTEEFRSDADEIL TSTA_124820 MLNLQVFDIAQGIATSTATANGYGEHIGTLTDDQVTTVMKSQYA AGILSIVSLASSKVSYVMFVRSITAAPLDRRIALVIIILLSIWGVVSVITVAFQCQPL PTWDYLTGKCYDRQSWQNFFGISNIVSEIVIISQTIVIIARIQTKLKRKLTIGFVFGL RIFVVAAAIAQIVVLNKTFHDPDVTYATWSVSVTNQLVLCSSIITACSAQFKPFLDSL RSSGMRLDALTGSYQYKSQNRYAYGSHIASSKQRSIPLHSLTGSSAQRPENKNSIASE TYVSASRPSPDWDAASATSQSQIIRETRTFAVTEEFRSDADEIL TSTA_124830 MQFTIQIAQDGDLHDLMKVLWTCFETPPQGILRIFFPILNNDRE ASLLAASNGQREEYKASYPELIWLKVIDDETGEMVGGAKWYFYERNPFDGHSLEEEEA VWYPEGVGREFATRAMHAFEKPRVVMGQKPHSYLNIIFTLPEYQRKGIGRAIMKWGLR KADQLGLESWLDASPFGYSLYHSVGFLTYGSNNVSIKMHEDYNQGQQAEWEEYKKIML PVEHAVMWRPVGGKFVVGETVTPWFKDLN TSTA_124840 MAALRTTRLLATSRPLFTSSVFARSYATVDPISKVSEATSSSSK FVPESQTSTVKDPAPSATPRTKTFHVYRWDPDTPSEKPRMQSYTLDLNKTGPMMLDAL IRIKNEVDPTLTFRRSCREGICGSCAMNIDGVNTLACLCRIPTDTTKESRIYPLPHTY VVKDLVPDLTHFYKQYKSIKPYLQRDTKTEDGLENRQSIEERRKLDGLYECILCACCS TSCPSYWWNSEEYLGPAILLQSYRWLADSRDEKTEERKAALNNSMSVYRCHTILNCSR TCPKGLNPGKAIAEIKKMMAF TSTA_124850 MASFNLLSLLLLSIISLAIAAPVVSTDYDVIVIGGGPAGLSATS GLSRVKRKTLMLDSGVYRNDPTRHMHDVIGNDGTVPSVFRELAREQISRYNETTTMKN ATVTSVFSVNNGSYYRAIVSDGEEYTARRIVLGTGMKDILPITPGVAEGWGKGIYWCP WCDGYEHRGQSFGILGSLSDVMGSVIEVWTLNQDIVAFVNGTNTPEQQGALAAKYPHW EAQLKAYNVTLNNETILSIDRLQDGSTNNDTAQDLEFDLFRVNLADGSVIERGAFITN FPDDQYSTLPRALNLTMDGTKIKVGTNMKTSDNGIYAIGDANSDGATNVPHAMFSGKR AAVYIHVELSRLESLSAVGKRGLSKKGLEEEANELIGDHLEAMLKRAQELSTT TSTA_124860 MTLKYLITGATGGLGSQVLKHLSNHLPSSDYAAASSNEASRAQF EKAGITFRLANFDDPATLEAAFADVENLYFVSTNTFDNARRTVQHRNVIEAAKRVGVR HVWYTSLAFGGLGSDSKMSVQQAHLETEKMLKEAGITYTSIREGIYADAFPLFLQWYP TIETIILPSDGVITYTSRDELGEANAKLLLKGGHENEIILLTANEPLTGGEIIKIINE TTGRNVKLQLVSPEEYIKYHTENDIGKKPESFWQQRISWFEGIAKGDADLKNPLMREV LGREPKTGSQLIRELLTENRDYTWHQNYIDKAQYEATLKKEHRNGK TSTA_124870 MTDDQNPESVELSPVQPPQTTNIPRRKPVPATNRVSRVERTTTT TTTTGDEQTHELQSQSIASDPDKDLAVESQTVNSGSRRRWFILFAWCLAVIDRVRLGP LEKYLPSDQRKRRYVIAGIIAGTIIALLAIIIGVTVSVLTNSDQNLPLPTSHGGPYSG DLTYYGPALGACGITSSDSDSICAVSHIIFDAVQTGSNPNANPLCGLKMRLRRNEHSV DVTVVDRCVGCKATDIDTTTSVFGKLADIDQGRVNVEWAWLESSPVNVSSIA TSTA_124880 MPVQRPLKFETRHQNGTITQVPSLFGWDTTLEDLKNPAVRETYL LAVFDKWLLDASDVIQEASGKTYERLQSSALGLSGLHDGSCYFSHLSLHEKGKNRPTV IFESVQIDKSDPAAAPRSQYIYNKAANWFRESSGSLKLAIIACLSQEDPVAPWGAPSA LTSTSKSCDWGLSAQEIVSWPLDSIIAHIHYLDLQQSTSRTDLDIYCLTSSYRSHQGY AERVFHASFLPGGELARFVEFASSDFSLSRVFPELRTTRVNDQVAEKLRVPASALHLL HPQALREDRFAQAQNVARAAKRRVTG TSTA_124890 MEDIDTKCTAIRAQITATESQLAALKEELEAAERLRGASAPTSS KHSERKWPLSAEEYQRYGRQMIVSQIGLPGQLKLRSASVLLVGAGGLGCPAALYLAGA GVGTVGMVDGDTVEASNLHRQVLHRTKNVGKYKVDSAIEYLEELNPHPKYCAHREHLS PQNAPGIFQNYDIILDCTDNPATRYLISDTAVLLGKPLVTASALRTDGQLMVLNNPPK PPGDLSGGPCYRCVFPKPPPADTVVSCADGGILGPVVGTMGVLQALETIKVLVKSVDQ SSDDRPSLHIFSAYSNPPFRTIRLRGRRTNCAVCSSEPTITLSTLQSGSTDYVQFCGS ARFPQVLSKDERVSVREFEPIYDSKKYSLIDVRDPVQFGICNLENSINIPITQILQDR TFDNKDPKETLRSLLPPELTSTDSTDPIYFVCRMGNDSQLAVQKLRQLGFDQDGRRFI GDIRGGLKAWKAEVDPEWPEY TSTA_124900 MVTSAGQGGLFRTTVVSKISRHRSNLEMFDSRSVLLGLATLNGV QAWGTLGHATVAYIAQNYLDDATATWAKGVLGDTSDSYLANIASWADSYRSTSAGKWS APLHFIDAEDSPPTSCNVDYERDCGSSGCSVSAIANYTQRVGDGRLSKANTAEALKFL VHFLGDVTQPLHDEALDRGGNEITVTFDGYDSDNLHSDWDTYIPQKLVGGSTLSDAQT WANELISQIDSGSYKSVAANWIKGDDISDPITSATTWASDANAFVCSVVMPNGVAALQ QGDLYPDYYNSVIPTIELQIAKGGYRLANWLNSIYSAHIAKRKRDGETMIKKKDVDLS GRSFLPPPIPLSEQKKKRAAAGYGCMHRH TSTA_124910 MRLLSKSHVSNSPPEEWANSSLQSTRSLLLPFGNWLRVNRATVN KDEVPDYYNVIETPMDLSTMEERLEHDKYATPRGLVDGLKLIFSKYQRYNDATTAAVQ GPSHLGIQGGSTTYQSANDWKIVGKLSEHNSQSNAENYKDDPRLTEAWGLFECVNTRT TTGLCTKTSVMISVKHPSKRESTVQLLGCYIVGFPDSRKSRAADVWDPEIGWEWRLSN DIIPWYWKDEGLAYHVINVHLPNHYVARDEDLGDPRRVTV TSTA_124920 MVLSLESSPLTTPNSAHLRLNLQANDQKIFSVCGITICKKSGLQ SQQRHKRAGITTELYYHHMSLEIAYIFKYAHPLPLLHAHRAAAKSENVTEGCRLAPGD ILTWFSNRQPCWYADPRVTPLGSPELLHLGQGSPGTSILNEATRLLDEHSISPLLVIE SYTHHIHHWLPVIDLHRMTKRLDPSCMAKSDAELASLLLCIYLATQRSSTDIREQTHM QTLYTQSQKIFSLLQTINRFSIDAIQCGILLAIYQIGAGLLPDAYVTLSTTIGLARTT GGYSNHEARVVWWAIFFLDRVLALASLPNNLPLLMEQPRNYGDLPDMQCFRVSSESAE NGPYDYFYGEIQAAYLAGQTLQYIKCPNYFEDTYFEDNNTQLMANLGSMFEKAPGSWR PFCSAIALLLTSAIELQFAKLTKNPQDAKATESCLQGVSTLLKITTDITRNYLEDKDV KFANGIVPIASVVSNHHSLVAICRQREIDRIATMEKLDELIVFRDALQKNRELWGLAE DLTGRI TSTA_124930 MSSYKNVALVGASGSVGRSVLNGLLSSPTDFKITILVREGSTSK ITQEGHAAIKVLRGDFNDDSFLKGTLEGQDALVISLDVTPDTLILQNRLVDVAAAVGV KRIIPSEYGSDTTNPKILEAVPIFQGKVDAVKHLESIAAKSPATSWTAIVNGPFLDWG MERNVFGFNPSKRTATLYDSGTTKFDSINITSLGAVVSGILTKPDSFKNRYAFVSDFT ISQNDIFEALLKTTRTSREDWKITHRITQDLQKEGFEKIGKGDFSGALDLIFAALFRA GLGSDYSATHKLDNVTVGLAQTADLVATVETVLGRK TSTA_124940 MKFTGIAAALALTGAVSAIAIPRGTVSASLDSTLNELNTLLNNV EPSLSNLVNNIETDVDLVALESELSQIKTLVSSLVGAPAKREVVQGATELVGSAVNTV GSAASPAVNTVGSVAGPAVNDVNTFTQRDIVSGAVASVTGILSNPISTVDSILPLANN LCSGITNNVPLTQTVGELTALTQGVASYANLPLSLVKLPTSN TSTA_124950 MGFLENRLEGSPRGRRLSYFTAVLVTSVLASAFLFNARHWTYHA RIDEQVQSTLDEHSEFAWHHIPATSNLIYYPCYGEFQCARLELPMNWNRTDGAGEKIA LAIVKLPARVPINDKRYGGPVLINPGGPGGSGVSLLLRWGQRIQTIIDFTSGQPEPSD DKGKYFDIVGFDPRGTNNTTPTFSCFPDAQSRYEAKLQMEAEGVMGSSDSAVHQIWAR SEALGAGCVRSDKDTEWLGNFMNTSPAVLDMVEFIERHGEWREHETERLLSEKSVQLA IDETEAAVKQRNAWKRGKEKLLYWGFSYGTILGMTFAAMQPHRIERAAIDSVVNATDY YTGSWLSNLQDTDLIMNKFYEYCHEAGPESCPFMSGETAAELKQKFENLLTSLKEDPI VVPGSVGQPWDIVTYSDLVLLLSDTLYAPYVYFDFFAKVLAEIAQKNGTSLLESKRQR HREASPASTGCQEDASGRDCYSDAHEAGIAIQCTDLVDVKDISKSSFYDYRDTLRNQS KVLGDAWSAIAMVCLGFKERPAWRFDGEIGGETSHPLLFIGNTRDPVTPLRNAHLMST FFANSTVLEQRSEGHGSISAPSLCTAKTVRKYFQTGELPSTGTVCEVDERPFHIGKSN YQSQSLSSMDDDILRTAIQTLSREPSVSEPWF TSTA_124960 MSTSGVVDEPPESYTMEPVHEQTATLHTYCKESALLHRSLAELP HMVTNAQGSFLFLGNGQKILDACGGAAVAILGHGNPEVIAATVEQMQKVSYVHTMSYT TKPAEELAQFLVNMGGDAHKLTKAYLVGSGSEANEAALKAARQYFVERGEVQRCYYVA RRQSYHGNTMGAMTVSSNLARKAPYTDVLPSNVSFVSPAYAYRYQEADETEEQYAARL ISELRQEFLRITPEKIISFIAEPVVGATSGCVPAPRGYFRGVRDLCEEYGILLHLDEV MCGVGRTGTYFAFEQEGIQPDIVTIGKGLGGGYVPISAMLLGDKVVDSLRRGTSAFNH GQTFQAHPVACAAALAVQKIVKRDHLLQRCAECGQKLGRMLWETFADCRYVGDIRGRG LFWAMEFVQDPSTRAPFPKHIAFGARIHKAAFDFGVAIYPGTGTVDGVNGDHVLIAPP FTVSDEELCLLVSTLKRAYDVAEDSLDG TSTA_124970 MVRTTIACARCRRYKVKCMHSGNPPCQGCIRAGSEVCSTCILSR PAVTRKKRHRPIGAHNAPPDASPPQHRTVNSSQVDVSPARSEHDSVLPPHRARDDCAI DPELVLRAGNVFNEKFPEIPFLHMSSFRKSLRLLDRDADAGTGLSGAHNQSRFGPASA LYAALIAVTLPIIEPSVKADEYAALAKQLVSADDAGDISQVQALIVLAIYEWGSGKPY QAWIYSGMAIRLVQLIGSITDREKTTELQQVIYNRTFWSCFVLDRLVFCGKPQPPALP LSLIDTHWPSGDVDFAFGTLGRKIFPENNCVQSSMTAVEDIDGYFALLVQGIDIWSDI FKWVVNGGRRQSYAVTSKELPWSAGSYWGVTYKRLQLWRQRHGGRIRFPDGSVDGHVS LRGGAGEAFAYINLIYHVSMLFLGREYIPFLPTPTSRPSGPVDPPLLNKAAPSQWWED RANELFSASSNITALLNELRTEGAPLLTPFAGFCAFSAATMNIYVVCFPEMNLGRSRG KEANFDMDLIYLDEFRARWPMGAGWWVTLEKIKDLYRRASADGSRYAGKTQADFVHLL TSIHDCTGASPEDGDENPRSKTRGLTKLGHPSSEDHQAAMSLQQVSQSRTLDDAALQA AQMIPDWNELWSLWGDPQMAAFGVEENSYEYSFEIL TSTA_124980 MAEQAQELYGARKFAPANNTGTLPYDLSIVNGINLIARQSSCTN SSWDPCTNKPGECCPSGETCCVTSNWCALPGGTCCASGTCPSGWNCCGVSHCYPNGGQ CCSDEWYCDPGYYCSADDTAAFNPSTADAASVSATSSKASTTSSSSTSTTTSSTTASS TTSSSLLADNTSSSTATSTPQPSHSSGLSGGAIGGIVVGVVAVIAIACVLGFVFGRRR SRNTQTEPAQTAPPTPAMTQAPPQYTQKPPETQYSPPPAQYQSPPTQYSPTAGQHELE ANSPLYEVQGSFPDPGYHNPQR TSTA_124990 MCIVRLHRRTPSKAVENADLSQPLSQGQTELQDQASDATPILDQ GLSPLCMPETAISALVLEDFTLTDMITTLSSTSGSPTVSPGQTQQNLSTSISQQLESW GSSPPIESIEARDILEDFMLSTSYLSENFSNSLQNGIARVPSPPSQPVVIPALPRFLD PLQGSPDWSASHDQSHCASQVVEDPDVSVSNNGNENDAEHVGNIDRDESKGILASSEK VEEESYTGHGLIDFLRKSSTAIPRVSVHQTARVATTRGESGKRVNPRPRPSQITIYRI RVMSSFIHLPLEILRLILSYLSNRDIKNLRRTCSTLAQRAHLRLNRVFLSANPRNIEV CRAIADHESFRHHIVEIIWDDARLIDNVPKPEFEFSDDEEDWEEAMGSNDGIPWWFKE AYEKNVRVREIRKISHKTDPSIHLSWEFYEQLLQQQKAVLESDDDVRALRYGLQRFPR LTRVTLTPVAHGVLDVPLYETPMIRSFPPKFNYPIPHTWPPYDLKNRTSYSLEPWNQE EKKKWRGFCVITKELSKLPSHTVSEFVVDTHTLHQTGLSCRLFDQPCEEYDNLVSLLQ RPGFKRIDLTLLADGQCSPEQNWSSFRSGLLKKALDALPSDLRHFSLQINVNYERARH LSRPADDSLGPEHCIPLKTILPAVNEKWKKLQHFGLSGLLVRGDELLSVLADLPTSVR SVELSHLEFLHQESNGYHELLVGIRDRLGWTQRVVGERPVLTLHHRWDDIGNMDYCCY DKATNEFIYHGGLDPFRTQRVLLSPVPFRQISPFHLYSDDFELLEILP TSTA_125000 MRHELCTCFPTQFQYILIFSCSSTKMKIIRSFLVLLTLWMAQAS GMEGLHPRSNETVNGGMTNSSSSECVSYAILGSDTCFTIASANSITWAQLLAWNPTLS TTCSNLHSFTNICVSNPAGNYSMPTNTAGVTQIITTTAAIPTPTVDGSNPDCGEWYYH VGDDDNCDYITETFSISLKDFLFLNPEVYSNCTNLWAGYYYCAEPVGYISTYPGYLST STSSMFNQTPTTQLPPGTNIEPDWLTTAPIIPLANGTRKDCNSYIWVDTVANTSIADC WHLAWAYGATSEVGRQNRLLVLWNPSLAEPNTTNSFIFPDATATVSPIVSDTYTYPCT ISPSLSYCVQLEPATTTSSSAIATPTPRAIGETVNCTEWYATESYDTCQDIIDIFDLD ATEFYDWNPSIGTNCTGLVEGTYYCISTGPAGQPLTTTWTGPYPSVTATTTTSSGVST PSPIQTGMVSNCDSFYLVQANDECDTIAADYGISLSSFYSWNPAVGSNCAYLDLGDYV CVGIASSPTVTTSSSTSTSTGIVTPSPIQTGMVSDCDSFYLVQANDECDTIASEYDIT LTELYSWNPAIGSSCEYLDLGDYVCVGVSAPASSVTVTSGSTLTSSISTPSPIQTGMT TNCDEFYEVQENDTCSGIASDFDISLSDFYAWNPAVGSTCAYLELNVYVCVQVSS TSTA_125010 MAYNYINDLAHIFALNYKRFKYIEPATISEPTNETTKEPPNRST NESANESTSPKQYSARLTRDDRIRVQTLREAGFTYEQIAKQLNITQNQVQYACQSGQV TPKKARGAAPKLSETQMDEIIEWISSSKRNRRMPYHKVVKALNLNVTPTTLAYSLKKR GYTRCKALRKPYLSPENRRQRLIWALEHVQWTYEQWKQLLWTDETWVTSGSHTRIYVT RKAGEELDDTCVRSKISRRRGWMFWGSFHGNMKGPCLFWEKEWSSINAERYCERTVPL IDGYLRLMRQEGLHLQLMQDGAPGHSSSFTKDELHSRGIYPIFWPAFSPDLNPIETIW DWMKDWIQDRYPDDEELSYDQLREIVRAAWDAVFESFLIQLIGSMQARCQAVIAANGG NIPY TSTA_125020 MAMPIRSRRWLVPPLFILILFVISNLELSSFSKSLLTWDQTGQT DKKTTVTDHGDFLQSPQAEELGFGMRVYERDSSNSTMGQGSYTCGPGSPCSNGACCGS SGWCGYGSTYCGDGCQSNCNATAECGKDASPAGKTCPLNVCCSQFGFCGTTSDFCVTG CQSNCPQPVPDAPASNTQTRIVGYWEAWNSENPCGTMPPGQIPATLLTHLNVAFAYIS DDFDLTTMPGVPEGIYQSVGNVKFKNPELKLIITVGGWDFSQQLFSQMVSSATSRATF IQNVLSWLGEYGYDGIDFDWEYPGASDRDGQPEDGANFATFLQELRAAINTAGKDYIL TFTAPTSYWYLQNFDLANMIPYVDWVNLMSYDLHGVWDSTDRYIGSEVFAHTNLTEID LALDLFWRVNVDPSDIVLGLGFYGRTYELADTSCWKPGCSFLSAGAAGPCTHTPGILS YREIKQIIADTGATPYVDTSAAVNYMVYSGNNWVSYDDEQTFAAKIEYANKMGLGGLM VWAIDLDDSNLEALKAITDKSVLNASSVDFSLVPLEYLFPSQYLPLDNSTIQWGITTF GAAAAQGSMDPAQTGFGLMLIAGDSYAVTTLNKRSETDPEPFTFLNCPENVMDASQNE AQTVRVVCLSDDVEGCFRITERGVKGTIVEMPENCARQRFARAISLEVSEDQHISLDQ LGKRSASSQVYDFSFDFDLSLARRDTDNTIMRIDVSNMPGYWDGMVNYPGIQDSDLSK IKARFFAPNTWEWDTRQSQWLTNDTSAKSDISINQDVSAPIFWQATGIGECPVNGSDF TEGIVAYVDGTVNASLSYGFSMIAELTVSENENTIQPVQMSGFVSATGQTDLTHGVAG VGNLDISQANIANPATVTGSLNNLDGSVTVDLSQGRTLIIQPYIQFDYQLATYNSSGS SPDGGDSTVHYDGELKVRSITDLGEFKANFPQNSSSSSNGSGDFPYNDKRKPNQISIS KNNILYSAPSSNAAIGITTFVTFGVKADLQWFGPSQTAQLTEELADMELKWSTQTIYT FGAPDSVSACVDYNVSTTAWQTSDQNSLISSDAPTYLVSDVQSPDAEELCYATYVKNG TLSGSYPGWGYQSDSEISPSTFIGSDSDIFTSQKSKIKCNSCVSCMTETEEDEEPTFC CGCVCMDCIYGNSDLTPCPDCDPVDVDGSWPTGTFFQKRQPGKIVMTEHVDGHNDTKH DTNIGMSEIGELSAHQTKENATDLAILVPRVVYDVTLTEKEVNSCFKKISRASQQFMY PSFPNNVHTQWETLDNGAWNAVARYYGNTSSSCVDWSVGPMQPADQVYIAPGRQVRAK YQTEHVFEGQLIGDFFDQWLEKGRVKNQPARVMLSSASIVDCNWSKEYAMSITRLSWT LPQVRPYSIANVMWSELGNVAHLDRLTIFLSRPNQIKGSLFSMNQAISPTGGYARKAP EAQLMSVKEFGMVFEYMNNPNVWAMFCDTFEAIYGDLVVFDRTYQQQYPNNPINTALA TEWSNYIRATLDTMVVNSRTLFDALYAARNGAGSPLSIYEFLWFQNLPNRALIQLPGT CTHLAGTSV TSTA_125020 MAMPIRSRRWLVPPLFILILFVISNLELSSFSKSLLTWDQTGQT DKKTTVTDHGDFLQSPQAEELGFGMRVYERDSSNSTMGQGSYTCGPGSPCSNGACCGS SGWCGYGSTYCGDGCQSNCNATAECGKDASPAGKTCPLNVCCSQFGFCGTTSDFCVTG CQSNCPQPVPDAPASNTQTRIVGYWEAWNSENPCGTMPPGQIPATLLTHLNVAFAYIS DDFDLTTMPGVPEGIYQSVGNVKFKNPELKLIITVGGWDFSQQLFSQMVSSATSRATF IQNVLSWLGEYGYDGIDFDWEYPGASDRDGQPEDGANFATFLQELRAAINTAGKDYIL TFTAPTSYWYLQNFDLANMIPYVDWVNLMSYDLHGVWDSTDRYIGSEVFAHTNLTEID LALDLFWRVNVDPSDIVLGLGFYGRTYELADTSCWKPGCSFLSAGAAGPCTHTPGILS YREIKQIIADTGATPYVDTSAAVNYMVYSGNNWVSYDDEQTFAAKIEYANKMGLGGLM VWAIDLDDSNLEALKAITDKSVLNASSVDFSLVPLEYLFPSQYLPLDNSTIQWGITTF GAAAAQGSMDPAQTGFGLMLIAGDSYAVTTLNKRSETDPEPFTFLNCPENVMDASQNE AQTVRVVCLSDDVEGCFRITERGVKGTIVEMPENCARQRFARAISLEVSEDQHISLDQ LGKRSASSQVYDFSFDFDLSLARRDTDNTIMRIDVSNMPGYWDGMVNYPGIQDSDLSK IKARFFAPNTWEWDTRQSQWLTNDTSAKSDISINQDVSAPIFWQATGIGECPVNGSDF TEGIVAYVDGTVNASLSYGFSMIAELTVSENENTIQPVQMSGFVSATGQTDLTHGVAG VGNLDISQANIANPATVTGSLNNLDGSVTVDLSQGRTLIIQPYIQFDYQLATYNSSGS SPDGGDSTVHYDGELKVRSITDLGEFKANFPQNSSSSSNGSGDFPYNDKRKPNQISIS KNNILYSAPSSNAAIGITTFVTFGVKADLQWFGPSQTAQLTEELADMELKWSTQTIYT FGAPDSVSACVDYNVSTTAWQTSDQNSLISSDAPTYLVSDVQSPDAEELCYATYVKNG TLSGSYPGWGYQSDSEISPSTFIGSDSDIFTSQKSKIKCNSCVSCMTETEEDEEPTFC CGCVCMDCIYGNSDLTPCPDCDPVDVDGSWPTGTFFQKRQPEIGELSAHQTKENATDL AILVPRVVYDVTLTEKEVNSCFKKISRASQQFMYPSFPNNVHTQWETLDNGAWNAVAR YYGNTSSSCVDWSVGPMQPADQVYIAPGRQVRAKYQTEHVFEGQLIGDFFDQWLEKGR VKNQPARVMLSSASIVDCNWSKEYAMSITRLSWTLPQVRPYSIANVMWSELGNVAHLD RLTIFLSRPNQIKGSLFSMNQAISPTGGYARKAPEAQLMSVKEFGMVFEYMNNPNVWA MFCDTFEAIYGDLVVFDRTYQQQYPNNPINTALATEWSNYIRATLDTMVVNSRTLFDA LYAARNGAGSPLSIYEFLWFQNLPNRALIQLPGTCTHLAGTSV TSTA_125030 MSTPQNTASSFINVSRWHMPPAEKSCGSWVGMPSSRMMLATKLS ALDCQRGEPEVHEPGVAEVCSTRSSKCGASPAAPGTSPSGRWEHAPETGSVHSLRRTD QGAAPRYLPGPTDFGAQARKARVIEEMRSLAGTKKKAQKAFPHLYQRHESLCKELSQL RKTLAKDTKETARKEHFYNAPILEVDRQIKRLLSQADPEDCNVNSPDKKDWELPIPTY IFPERERLVDNFYGPDAESYEDDKLLARRIQATKDMVALSTLCEPNRRGDRVNWKFDD DESAELEKPCFLEEETLDCPTDRQDSLRRHLIDCHLAKAYDRIRCTWKMCHDVPKFTK IADFLAHAIYVHAYDVNIQLKHLPPRQPVSGREDSSSDDSDSSSDSNRHSGIDTPASS ITSEITNTDPRLIESGSVHSTQCLVRRSKRIKVSV TSTA_125040 MDELVDGITKPRFARKLIIILAGYDADINRLMSINPGLTSHFPE SLQFEPLSPEKCTRLLKELLSKKKRDLLEHSQTKFDISCVQCPDPNLSKEMTQGFDTL SKSASWANARDVETLATAIFGKTVTTIQDTSTGGLILSRDSVIEEIQNMITEHNSRDN FQPQHPLTKDNGGPSFSVPLRTQNTNQSMTNIQSENAKSTTVSNAKFNKVKVADQRDD GVADDVWAQLEKDKAMEDAKEKEYLRVTHDEQEQKRELQKLQDEENTSAREADEARNR YEQERLRHELERRMKEVIARDLVKKRKALVEARRKEQANQAKLRQMEVCIMGYRWIKQ SGGYRCAGGSHWVSDAQLH TSTA_125050 MHYCIPKNRIGPSRCQHTPVYKAVPRRDRGIKKSRKTWWKCGQR SKCWGKATTPVSHELITTEKSKKYTKPPSDEPRKKIKVPDAMPTQAISEAKAEWNYQK TYHNSQSPEIDQLMDMIGLEFVKKKFLEIKQKVDLAIRQNIDLSSERYGTVLLGNPGT GKTTVARLYSKFLATVGIIPGCKFIETTGSRLSNEGVLQCQKTIESLLKDGGGVIFID EAYQLVQANSSGGGQVLDFLLAEVENLTGKIVFILAGYQRQMEQFFAHNPGLPSRFPH ELKFEDFNDTELMLILEHWIEKTYQKRMKIDGDPGGLYCRIVARRIGRGRGSDGFANA RAVENTVTKITERQAKRVARERRNGSGIVDDFFLDRARH TSTA_125060 MSLERLKESSDPPLLDLGPDVKLLCAQGKHRLEACHLSSTLWTH LCEESSNEKPFSDVLWKGFMADNFRTVLDMGYYEQVSYYLNRIYELWSAFLPKVQGML LDIEMVEFLEGMMLNHDFETHFPAGGRGPNQGEVVGYSRRFQKDHSYIYYGGGPHVFG AMCCSSITFISQGD TSTA_125070 MHFVILESLVRGYRYSPAFHLGSLPSLLPKKDKARKNRAKSGVK PEEVATDWPKCRIDLRTVE TSTA_125080 MKDEGQTPIHDQYKVSWGDNDPANPNNFAPIYKVWITSQMAMLA FVGSFGASVISPAEAVLATEFNISREVTVLLLSLFVLGYAFGPMVWGPFGEVYGRKLS MLPAVFVLGLFSIGTATSKSAAAIFVTRFFGGFFASAPISNVSAAIGDFYGPKERGVP MALMAACVVGGPCLAPVVGAAIVVNPHMGWRWTEYIQGIITFFVTAVTAVCLPETYHP VLLKRKAQQLRQETGNSQYWHPHESEKINPRNVLTKYISRPLRMLFTEPIVTCIALYA SYVYGLLFFQMESFPFVFYQERGYSLVISTLPFLGLLVGVLCALTINFANQTFYAKAV AKNRGCAVPEARLPPMLIGGIFFSAGMFWFGWTAAPRYSWVLPTVAAGFIGAGFNITF QQCLNFLVDTYGPFAASAMAGNTFLRSLLACGLPMAARPLFTKLGVGLGSSLLGGLSC LALPVPLIFMKYGIKLRKMSKFAQVANE TSTA_125090 MEDGLSAAALLGATTTTLQQVQSLYTTIDNTRAAPDALQSIKSD LQAITLVLHNTACTWPCDSPQSVAIAAEVTATLGNCSRICTDFQVLLTRWEFYSVENN TFWMNQWRQSFSGQERTAAFKGQLNLCKDTLAVALSTVSIELTPRTPFSIAKLRRQEE LMSEIKEMSLKQNETVLQKEIDRADSERTETENALREYSIEGSNRSSEESKKTEWNLL KDIQQRQELNDAFRRVCEQSLAITMSARKGPKTEDLTKLHPPQILTGVNTSTEATPLV YPHFGKKNNTTMGRATCAA TSTA_125100 MTVKSSLLQINLCRHLSQNKSSIMETVVSLCTRALDYPDVPLLI SSTKFIINIILNLLIISKFHVGSFTPAVNMQALIRLACDMASAFSGLMDLIFITFSLR RPPRETTVWGLVFVFLPYKPTVTFAALKNIARTSVYTFTESANRNAVYIWLVNRIILL GENYATAWGFFNTIGWCLIMVPIQTLEASMLTFVWGKWRALVSVEIRYPKASRAEILV VICIALSTHGIQSFAYFLSGSKIVAQITQLMWKTIDRAYIFYALDYRVAAILLAACPR SYFHQALDSNILWMLPWAIVATKVLFPEATAWTYYAIVFGDALVFDFIDVSITLLIWA FQLSKGKSKLISYDELCYSINHRWHWLILNLQVHLL TSTA_125110 MRSFTALIKAQNRSMTRHAFTQVREAQRLRQLTYAQLMDKGDFE ILNWIDLTIRDAEEATWDMLAWIEPARVEQETRGEGRMRFGKRMLWALRNNSQRGDEK RSHLLLCLGSLSPVISHLHQITPLESQEITRDNDRVRSYLPTRVDEHGQAVLGRHFID SMNLSSTISLVLPSEIGSLRSAEEIPKNGPEETVSINHEISEMLAWRRSKQSDIVDRP QTKFVAELESVTLSD TSTA_125120 MATASTISSKAVVPSSSHVVPATTNLPDPNIGSTTPGRGKGLSN GTLAGAIVGSIAGTALLVFLAAWLFFRKSQNTRSGFRRYEGGEYTTAAMEKAGRSDHP DQNRLPSAELKATGANDQIFSGNTGEKWLLTSSLSRYIPEPASDETVVKHALTFFDQA SLHVDNYYSQTLSVSSLLSSGKTSQISDFGTPYLPGSLTSLLENSTTRRLIITHTLVH SLLQAIQPHSAARESLLPLPFTIGPLKQVQQAINSDKAFYTWRMLTAYLSQLATPEAA KRNLAVQDTAVHKFVETFTSSFAPYADSSHSRGNRVRHLGTVTTTAADLGRWLFGQPC TFEFVYTSPAGSGEIVVLPAIVKVLDEEGQRLANKVILCEAVTAKL TSTA_125120 MATASTISSKAVVPSSSHVVPATTNLPDPNIGSTTPGRGKGLSN GTLAGAIVGSIAGTALLVFLAAWLFFRKSQNTRSGFRRYEGGEYTTAAMEKAGRSDHP DQNRLPSAELKATGANDQIFSGNTGEKWLLTSSLSRYIPEPASDETVVKHALTFFDQA SLHVDNYYSQTLSVSSLLSSGKTSQISDFGTPYLPGSLTSLLENSTTRRLIITHTLVH SLLQAIQPHSAARESLLPLPFTIGPLKQVQQAINSDKELSQAFYTWRMLTAYLSQLAT PEAAKRNLAVQDTAVHKFVETFTSSFAPYADSSHSRGNRVRHLGTVTTTAADLGRWLF GQPCTFEFVYTSPAGSGEIVVLPAIVKVLDEEGQRLANKVILCEAVTAKL TSTA_125130 MSDKEVIAFRSRLVDLDEWHPDIVVGVDFGMTYTGVAYSCAPGW LPPRTIQRWPGKLPGELANKVPTCIEYEADSTTVKNWGFLCDQEDQSCVIYEFFKLHL APHYRDEHSVDNSGPSRMEAQRWFRDYIQCIYQHVMNYLASTIPGFPLRKVEILFSVP TTWKDVRMIEETRTLIEQAIMTRSSNHQAAIGLTEAEAAAVYACKQHYQRGDTIMVCD AGGGTTDVNVLKLLSSTGEPTVLKQLGNVEGRPIGSVFIDRTIHQLLCERLSRICHHL PKTPTDTAWKMISGRFQRLKCSFGTDATSTPWLKLDVPSLGPGSDFPEAEIQNGQMRI SWDILKRCFDVKIDEMCDLLDAQIEQTRTKYPNERISYIVLSGGFGSSPYVRKRLLDR YNSLPVKSPISEIPDQTGMHVLMADEPQLVVVHGLVLDRTQQLQQGVVIFESRCSPVS YGIICDEIYDPVRHVAEPIRLDPRDNNIYATRQIDWLVIQGKPIPHTGIAKAFQLKME LDEDNEIYKVHIVMSTLSPDNLPRSMNQGGAQRVCSLDIPTGYVDKKLKNRHWYNFKP AYLRATFDVKVVVGPADLKFQLWNKETHIRSREHEPIRVKWEPARDLNEKRNNL TSTA_125140 MKSAMRVGGQANWVYRFFKRLHPTYKQVEQKLIDSKRLNAENLG VIQTWFDRLEIQVRINKITPSNTWNFDEAGFRVGQGKRELVVTQYPHTSTKIASASSR KSLTIIESISAAVNVIHPFVVIAGKNHLEEWYQHLTEEDYIAAFSEKDFSNADLIYEK PHHFDISTQQYAKNGYRLLFMDNCTAHLSYNFIQYCKEQKIIVYCFLPHATHILRPLD DIPFQAYKHYHGVAVNNQARAGSYDFDKYDFLFICQPFASNPLQQELYAPLSVI TSTA_125150 MLSHDTENHRIIYQYDFEKVFIEPWGPNALRIRATHQPELPSNQ DWALSSSPPDSPTSNKTTINIESDTTGGTITNGKITANISPRGKIIIRNAQTGQLLLE EYMRNRNDQLDPKCSALLVEAREFKPRAGRDDYHLTMRLESVERDEKIYGMGQYQQPY LDLKGLDLELAQRNSQASVPFAVSSLGYGLLWNNPGVGRAVLGKNIMSFEAYSTRVLD YWIVAGDSPKEIVEAYADVTGKVPMMPEYGLGFWQCKLRYQTQEELLSVAREYRRRKL PIDLIVIDYFHWPLQGEWKFDPTYWPDPDAMIKELNELKIELMVSIWPTVDIKSENYE EMLAKGYLIRTDRGIRTAMNFQGETVHFDATNPGSRKYVWEKARQNYYSKGIKVFWLD EAEPEYSAYDFDNYRYHLGSNVTIGNIYPREYARAFYEGQTEAGQKNIVNLLRCAWAG SQKYGALVWSGDIASSWESLRCQLAAGLNMGMAGIPWWTTDIGGFHGGDPTKESFREL LVRWFQWGAFCPVMRLHGDREPRQPQHGTTGGATCLSGAENEVWSYGEEVYAICKKYL KIREQLRDYTRELMKEAHEKGTPVMRPLFLEFPQDKTAWEVEDQYMYGSKYLCAPILY PGQKKRSVYLPEGEWHYYADGSMGTGEIGRKSSQGYRFQLEKGQWREFDCPIEIMPVF IRV TSTA_125160 MAVNEVIPGQGSDKIDEFNEEKKLSQTQRKDEDVERSSVTTEKM DQVEEKVLEPRDPNLVDWDGPDDPENPINWTSKRKAIVTVSIALITFLTPLGSSMFAP GVPNVMRDFKSSNESLAAFVVPVYLLSYCFGPLVIAPLSEMYGRQPLYTICNVLYTVF NVACALAPEMGSLIVFRFISGLAGSCPLTLGAGSLADMIPQEKRGAAMAVWALGPLLG PVIGPVAGGYLTQAKGWWWTFWVLAIAGGAVTISSLISLRESYAYVILDRKAKRLRKE TGNPNLRSVLDTGRTPKQLFMFSIVRPTKMLFMSPIVFLLSLYVAVIYGYLYLLFTTI PNVFEVQYGFSQGSVGLPYLGTGVGLLIGLVILGATSDRLLKRLTRSNGGVSKTEYRL PPMFFGSWLVPMALSGMVGLRKRRMLGYCLSCAHLSLVLG TSTA_125170 MKREDVVYFGAGPAGLPTDVLTTAASALLNYQETGLGVAEHSHR STLAADIVNTMKADLAEFLEIPPSHDVLIMQGGGSGQFDATVYNSVLVWVETQRQKIV RANPSINEEDLIKQLQQKVQSDLRLDYLVTGSWSLKANQEAVRLLGPTHVNVATDSRK LNDGKFGKIADESTWQLSDKPAMIYLCENETVDGVEWPSFPKTLESRASDDQTLVVGD FSSTILSRRIPFDQFSIVYFGAQKNLGLPGITVVVIKKSLLSILSQGKPDLARKIGLP VPPTILDYAVAAKNNSLYNTLSIFDVFVAGQVLKKLLATFPDKVRGQEALSGKKAALL YGVLDSYPEVYKVVPDESVRSRMNLCFRVTKGGNVDEAERAFIRGATERGLLGLKGHR SVGGIRVSNYNVVDEAGAQKLATYLKDFATSP TSTA_125180 MSLITSTGIVHLLYAHLFIAILLGIAIHDYILSLRMPPGPRPLP FVGNRISIPKKSPWIQFEKWSQIYGPIYTLWMGRRPTIIISDPNVAVDLLEKRSNKYS SRPRFVVMGELYWDNASILVQPYSREWQLRRKLLHQALNPQALKVYKPIQEAEATRLC HQLFLNPAAYEGLIDRFTSSVVFSISYGHRIDSMNAKVIRQRLEFMQYAASLNVPGKY LVESIPALKYLPNWLAPWKREIQERGRLEAATNMSLVHQMQEEMFIKADIPESLTKIL LHAKEEDPESFSLLSERDFSFIPASLFGAGSDTTASTLCSGILMLITNPETLQAAHAE LDAVVGTDRMPTFEDEKSLSYINAMCSEILRIRPVAVLGGTPHANSESDVYQGYCIPK GTTILSNSWAINLNPRYYPNPHHFNPLRFLPNNIPSNSLPYLPKEYVETTPSEKGEPH PSRDGHSSFGWGRRICPGAGLARNSLFIAIAKMLWTFDILPVTEKDGSARKYDTFAYT EGFNVRPKPFECIIKVRSEKHATVLEREFVEAERTMGKFSAFSE TSTA_125190 MSQYRRNPPTGINVLIVGAGFAGLTAAIECHRKGHDVLVLESFP QLKILGDIISFGPNSGRIFQKWEGVEEQLDPLCHNTDRINFVTWDGDYLIAQHWDAEE SYGKKFNGHRGEIHEIVFKHALARGIDIRLGQKVIDYFEDENEAGVLARNVATGVEER FTADVVFPADGVRSEGRKIVLGYEDKPISSGYAIYRSWFDSTNLKSPLTDHLWKNGDT HWGWIGPDVHFLAASLKNGKDFSWVCTHKDDTDIEESWSAPGKIEDCLKVVEGWDPVV QEIIKATPTDYLVDWKLIYRDPLPTWISPKRRIALIGDAAHPFLPTSIQGASQSMEDG ATLAVCLEKCGGPANVREALRAYERIRYDRVLKAQKTGETTRDKWHKADMEYVKRNPA VVKLQRESWLLNFDSEKHAYEVYDDVATKLRQEDSKTGEGLGARL TSTA_125200 MPFGILECKKMELVPGTAILGDKESTDPPPEYAHIPRDQLKHGT GKFSHIILVPQPSDSPNDPLNWPQWQKELILLIIGLSASVVGAFGPMLSPGFVQVSAE LNITVETLSQATAWLILTLGLCVFFMNPLAKVYGKRPIYLLASVVMLVTSCWGAASKD YPSFLASRVVSAIGMAPYEILVLATIKDIYFVHERGTRIAVWNLFLMCGIAGGAMISG YIIQNLGFRWTFWICAILFGVFLFGIIFFVPETTYRRPPGLVAQLTADAEKTRNLEEH DDNEKNTPVRIETTYSHEPVSESRMSYLRSLRVFTGKYSDAPMLKIFARPFILFFYPP VLWGFLLYGTTLTWIVVFSVVNGVIFVKPPYNFSVSQTGLISLSPFLLTLIGEVISGP MNDWICVYLTNKNRGIYEPEFRLPLVFFTVILGSVGFFGFGATIHYETHWTGPVLCFG FANMAMAFASTAVFGYVVDCYPRLSEEIFVSVNARNLLTFGFTYFVNTWLERNGVLIV FSALGAMFLFVCFLTIPMWIFGKKIRSWIGRNQWLQEFMTDEE TSTA_125210 MGKKRKRPQKATTPQDVPVKKQRAITAVTVEHPVLSKYYRRVLT LRRYLLEELPSSSKARRRRITSVGKRDEINKPDANVSTLAPSSQQVTDAEFARFLDST LVGILHYPCPAELNARQREFALFSQSDERSLLCTDTGPCNLQSEVVEYVISHLFRRSN KPQHVLANGFSFATRRQIMDQGIGAMSFNIPGLVSQFHNPHVTALKMSPWTDVLSLLG GNGEDIMSKLLIDCGVFSCVDEEKASYRQISGTYLSELETLELEDAACENQKTGTVNT AAPPLPNKPTYVLHKPNSIIFVRRRMLYARPAINSKGDVRFGLRHIHVLNRFSNSSNL ADTVHVMKYIFPRQFGLHNVFTSVVDSRETVQPFKDYTLREEEIARLKVAQGSRYALV RPKIPKRLRGGPLQLVQKLQKRNQTCAYTELLRHYCPISETGPSKFGPVPPSTDEIES QELVTQTSLPSQSLPSQSEVDEQLPDATVSARKSERFPREFCLLGYATPTSSVSAFCR ATLRKLIPREFFGEGQDGISNERKLMHQVDRFIRISRFESLSLHEACNGFKITSLRWL EPPSCPSSNGEHIALSDLRKRTEILHEFMYYIFDSLLIPLVRTNFYVTESQVHKNRLY YFRHDVWRLLIEKPLVDMKSSMFEEIKRDKVSRVLKSKKLSWSSVRLLPKASGARPII NLRRRVAKHIGNHSYLGPSTNSTITPVFNMLSYEKDRNPELLGSSMFSVNDMYPRLKA FKDRLMRSGYDSSNGQLYFVKLDIQSCFDTIPQHSLVKLIENLVTQAVYHISKHVEVR PGDSIQNSRDLLQKSGPQQKIVARPLRKYLSKAKGLSEIRKQTSGFKDDKPLRRNTIQ VDTATFKNHQVDALLDLLSEHVRKNLVKIGKKYFRQKNGIPQGSVLSSLLCNFFYGQL EREVLGFLKDDETLLLRLIDDFLLITTRPKLAKKFLQIMLDGQPEYGITVNASKSLVN FEATINGTKMPRLEGSSSFPYCGNLIDTHTLDIRKDRDYSRGGEVDVADTLTVESSRI PGQAFHRKVLSSFRLQTHSMFLDTQHNNLRTVLMGIYSNFVETAIKMYRYIKTLLPRQ RPSSGLVLQTIRDTIQFAKKLIQSKRRMTLTITKTFDDMDKGINEPQTDLKFQCAVSP AQIEYLGAAAFRHILSRKQTNYASTLQGLQHIMLRNKPRTDKDTIRARWIIREANKMF DNWRY TSTA_125220 MMFWTASLLLVIPVVTAQSPVYGQCGGNGWSGATTCASGSCCTY SNDWYSQCLPCSGGGGGGTSTTLTTTTKTSSTTSSTSTGASNPTTCPLPAQYKWTSTG PLANPASGLLSLKDFSHVPYNGQHLVYSSDVNSGGNYGSLNFALFSNWSQMASASQSQ MSQGAVAPDLFYFAPKKIWVMGYEWCASAFCYLTSSDPTNANGWSSAKPLYEGSLSGG NGPIDPAIIGDDENMYLFFAGDNGNIYRTSMPIGNFPASFGSSAQTILTDSTYNLFEA VQVYSVQGQNLYLMIVECIGASGSRYFRSFTATSLGGSWTPQASTESNPFAGAANSGA TWSQDISSGDLIRSSADQTKPVDPCNLQLLYQGRDPSSNGGSYNNLPYRPGLLTLAK TSTA_125230 MPDGDDDYDSFPSVQSHVHSPPRFQRPSSRQLSDYLPIDRISTL TSSSQFSGPLLSALTYQPEPLSEPYVSSNTRADDSRERTPSPDPSEFYRPYTTHDAQN SSGVGSLLPAADVRPGMTTITSAPRSPEISKQFDSYRRNRSTPRSFQLRSTSGGSGTS PSDGASRSQQPGRFIGARSRQASFKDLVERFNKNIDEVPPVPVRPTSAFSSRAASPAP SFNNRSRSGTQTRESAHRHPPDISPPTSFPPLPNHDRPELSQSSLYQPQIPPYQTYGG GPSRRPLFGELLTIDTAVNDRPGHGIHQAYHRRRGSESSISSPHPFNNSQGGGAFGPV ISPSSPTAWYLGYTHSLESVNKTIETGRNHRRSRSDASWTTLKPSVAGPLGTQMAVSS SQDTIPTMNSESSHTKSRIPVASRRGSRASESSTPSSPSTRTGSAIGFHPHSTSQIPL APKGSSRLPKPLNLSTSAYSPERKVPVHNTVKSPGRRNVVHNHGSPRQVPDKGSLKVY VSAPPPKLSPPLRSSRPRQPVSAASTAASRAKVVDRVSRFQTSQNDDSTRNPRTRTRK PPELGNVDFAARRQRIQQAFNKSVQETVKKEEEAAERRRLARYKRDEQVRSMLIREGE LRKMASMESASTPVQLYPNDGFSDPQPATPGEMTTSEPTNTDPQNPVPSLSVITPNNF DAHQPEAVPERLADSPTLGASTTPNIHPAPVDPSDIPPSSAVTTATNDTGSTNFDPEP QVGLDHHESHRDLLSRIMQLRESSSSSECDEDEDDDDDNDLCDEEADEKGSIRIMLDP TRATFFLDGIDRGQKNDLETSKNAGSDTTGHDDVNRWSMASWASSTREQQSSLDSQCD AEDDAEDDADEPRDSIDHESRPLPQWNESTNLRRQATLDSFHSKPKSIETHKRQRSHP DNLIRQGGWDSKRVTQLYLQELARGGLTESLGQSWETFDENRNEQPDSNGLEEPVVLS HLGDVTPDRIAHRASLNLRDDWESASPSIADWIQVATEDEPPVPPPKDDIPPANKNLS EIFTSSSPQVNNSWNGLGLAIHVQSPVDESRGTLIPPMPTYSPPPAPKDDSALDPAPQ SVSPSIYTSQPPSSNFSSDPFPTIEEHVPVRHSEDSYLTQTGFTPSPPTVASSATSQY QIAPPDAGEAPVGSPTPEQKQLKQRRNVIKELVDTEYTYGRDMKVVDDIYKGTSSSCL DLSADDVRTLFANSDQIVQFSMNFLDALKQSAKSVYVMPKSARWNSKKGQRNGRTDSP AEAPNSASGLSNLEQDQLTFVGHVFMENMTQMEKVYTEYLKNHDAANKKLQVLQRNPK VEIWLKECRDWAADLTEAWNLDALLVKPVQRLVKYPLLLNQLINATPEHHPDHAALVQ ALEAVTKISVRINDLKKRADVVGQVVSNRKRKESDVRSGFTKAFGRRTEKLRQQVGLS EMYEDTEYNSMANRFNEHFFQLQVVMRDVEMYTREIKSGMEMFNNYVVAIQGCLDVAQ SNYTEIESKWHRLRMFVREIINVALPEHVATVRKGVIDPMLTLLKLYEGPQKVMTKRN KRLLDYARYKSVIDRGDKPDKRTIEQGEQFSVLNDALKDELPRLFSLTAKLMEACLKK FVSIQEQWYQILQQKLGPILDRFPGDISGIVSDWSADFSFVDAQIISLALCNGTLLSE AGNLVNFNAPLPDGPTSPRRPSTVTSNRTASGTFESSPKVSYDLGQSYGMDGQTDHSN GSHSYIGAPSRTRASSSLSNVTRAGVSAESVNGTGSMAQALSSGRPSTGSTGRQTESF HTAPRLSLDMPFMRDSLLQETRTADSTASPARFSGFFSSAMPMSDSPMQESAAAAAPS TNPNPNPKVLFLAASLYEFNIDKSRREAGYPYLTYTEGEVFDVIAEKGELWLAKNQDD PSGQVGWIWTQHFAKLPG TSTA_125240 MRQSGFGKLQKRVMGRWSDSLHPQPDDAYLSYHDVRLMREDIQT LKNDWLTDNVISFWEEYLEHEYLSKLTHANIILLRPSMSFMLLQTPDPRTLRDALPDF SKATHVFLPINDCRNVTEAEGGTHWSLLVVSIVDRVAFHYDSLPPGNRMEAGAITAKL GALVNQLIRFVHLEDAPQQENSSDCGVFVCLNMRYLLLDRILRANSHQKVSMSLAGMR VDATAGRKEILRIIDGFRKEGVRRRSQSSSPSDRHSNSPPRID TSTA_125240 MFPIPIPFASTEKRRYLEHEYLSKLTHANIILLRPSMSFMLLQT PDPRTLRDALPDFSKATHVFLPINDCRNVTEAEGGTHWSLLVVSIVDRVAFHYDSLPP GNRMEAGAITAKLGALVNQLIRFVHLEDAPQQENSSDCGVFVCLNMRYLLLDRILRAN SHQKVSMSLAGMRVDATAGRKEILRIIDGFRKEGVRRRSQSSSPSDRHSNSPPRID TSTA_125250 MSTTIKPIKLYGGILGPNPLKTALILTALEVPFESILIPFDQLK QPEYEAINPNGRLPSIHDPNTGLTVWESGAIIEYLIEQYDSKEPRKLSFAPRGAEAQL ARSFLYLQVTGQGPYYGQAYWFKNFHHEKLPSAVERYVNEIKRVTGVLDKWLSQQKEA HKDNIGDGPWLVGNKLSYADLAFISWQRIAQANFADDGYDVNAFPHAKDWLERMTLKE SLGAVLEEHDTAMAKARQAHK TSTA_125260 MLWQYLLVHTSVFSGALAASLSPVLHEKRDIPLPEIKQRIDGDA IIPVRIGLRQSNLHTGYNRLMEVSHPSSENYGKHLTKEEVHALFAPAEETLDTVKNWL FGTGLVDHSEIIHYENKGWLAIDMPAKHAETLLGTHFYEIESHNGDVRIGCEEYYLPA HVSSHVDYIKPGVKLSAPMKKKQLPQKRSVAGADSFHPSHPRMPHTRPPHYPGWSLPP AAWGLPPELQNCGVNITPTCIKALYDIPNPIFSQPENAMGLFETYDAFSQEDISLFFQ HFATNVPAGTKPNVISVDGGTAPVAPNDTRNGGESDIDLDLAISLIYPQTVTVYQVDD LPNSSGETGVNGFLNTFLDSVDGSYCDYSAFGITGDSPGVDASYPDPATDGYKGQKQC GTYNLTRVVSISYGEAEVYLPKAYVERQCNEILKLGLQGHTILVASGDYGVASFPGSN GDAEGCLSSGTMNGTIYNPDYPAGCPYITAVGATRLYPNDTVHDAESAMQVNLTAFNI ATGAGPTSPPYDFFATGGGFSNYFKPAPFQALAIEEYLKKDLPYQSLPYYEINADATN IGEKGGVYNRIGRGYPDVSANGAFLLTYVNQTAGTFFGTSLASPIFGAVVTLLNEARS AVGKGPIGFINPALYANPFVLNDITNGSNPNCGSQGFQASQGWDPVTGLGTPNFPRML AYFLSLP TSTA_125270 MPGLTYPFTAYYEYVGTDVSKIASRLIPRDAWRSALAHILVSIE WSVTSSCEVIHASSLYVIHWMDLLRDIAPDSGAYMSEADILEPHLQDAFYSINYARFY ELKQHYDPTGLFFALTAVGAEDWEVQVTDPLPYSWNNSGRLYPRQH TSTA_125280 MGLIDSIVSATLVSAYLGAGSAVNVNDLAPSLTAAGTTVTSKSS YVPPLFHGEIIQLTDQALADAAIQIHNATISDLFSFGTHANNTSESPGHLHSCKVLPG DPSWPSDDVWETSSSLLGNRLIRVVPLAASCYPDWPNYNLESCATVVGQWLNSSLHTG DPTSIMYPLYEGRSCMASGFNYTGTCLQGAYPSFVVNASTVAQIQLAVNFARNSNIRL VVKNTDHDFIGKGSGKGALSIWTHWLKDKAYYPSFTAANGYQGPAIKFGAGIQVFEAY EFAKGFGVTVIGGEAITVGLAGGYTAGGGRSPLSSLYGMAADQVLALEVVLADGSFVT ATAKENPDIYWILRGGGGSTIGVVVSLTTRVYAQLQTTTATFNFTVANTPSVDAFWSA VQSYIDNIERFVDAGTFGYYDVVASAIEFGTDYTGDRDYYFRMQSFVAPNMTIPRLRS CWIPGSELSMS TSTA_125290 MTSRKTQQEIEKTFKKVAEGMATFEGIYEKIKVANNTTQRDRLE ENLKREIKKLQRFRDQIKTWAAGNEVKDKTPLLEQRRAIETCMEQFKAVEKDLKTKAY SKEGLSAASRLDPKEKEKADTCDFLSNMVDELQQRIEAMEAEEEVLQASLKKGKKDVT KANRLADIARVTERHKWHVNKLELLLRSLQNGGVETSQVIDLKDSIKYYVEDGHNIDY SGEDETLYDDLNLGDDETPFGIGHDNDRVSSQDTQSIPDEEPEARAKPKTESVSGRRP SAQLKSPLPVLATLHPTTTPASTSSPMKPAPPPTRLPGETLKYASAAAAAAASDRSGV GIAPLPPPPGSSPASSSAFPVSKAGSTYSPGVTSAQLASRIISTPEPTEKPSRIHSPA PTQATSAKPVSATPDLPTQSVEKQETAPTKSTSQLPNGNAANREETTSAATTTSSQDD SIYHLPPGLQDLIQSFEVTKSRGEANMSSASVQRLLAASLNSCPEPGDAEKPRHYKPQ NPYNTPLYYPQEPLPIFDDHRLYDTGRIDTDTLFYIFYYQQGTYQQYLAAKSLKNQSW RFHKLYQTWFQRHEEPKMITEEFEQGTYRFFDYESTWMNRRKADFKFIYKFLEDDL TSTA_125300 MKAVLQRVKSASVTVDNQLISSIGQGILVFAGVGREDTEKDVDI MASRVLKAKLWPDETNPKAAWKRNVQDIEGEVLCVSQFTLYGHIKKNKPDFHNAADVE TARRLYDRFVQKVSDLYKTERVKNGVFQAMMEVELKNDGPVGVEYRSEDAAVTIEITT DVPKSESSKDQGETVKTQTGPDGRKYVEFELPASLLE TSTA_125300 MKAVLQRVKSASVTVDNQLISSIGQGILVFAGVGREDTEKDVDI MASRVLKAKLWPDETNPKAAWKRNVQDIEGEVLCVSQFTLYGHIKKNKPDFHNAADVE TARRLYDRFVQKVSDLYKTERVKNGVFQAMMEVELKNDGPVTIEITTDVPKSESSKDQ GETVKTQTGPDGRKYVEFELPASLLE TSTA_125300 MKAVLQRVKSASVTVDNQLISSIGQGILVFAGVGREDTEKDVDI MASRVLKAKLWPDETNPKAAWKRNVQDIEGEVLCVSQFTLYGHIKKNKPDFHNAADVE TARRLYDRFVQKVSDLYKTERVKNGVFQAMMEVELKNDGPVGVEYRSEDAAVGQSLFS FQCDSSRFLKRSIY TSTA_125310 MAEPSEKKHRNAILNSQKAALRAQYQLDPKMGYKALAQWFEEKY HQKINIYLFLDEMQGQTVSGKRIRPENWPELESALSEWIRRAKNQDLALSHEIIRQKA KQFWPTIYPGKPIPQFSNGWLERFQSRQEIKIKKQHGDTGDSAEDATLQMVRIRQLLR TFTPRDIFSCDETGLFWKMIPERNLSTRVVPGRQNEQARISVLFCCNSDGTERLPPLF IGTHERPAAFAKANINIENLCCLWRSNGQAWMTSDIFKEWLLWFDSKMEGRKVVLLLD KFSAHQIAAQKVSTKLQNTLILWLPACPEMPCPLVENIIDVWKIHWKREWLRYMNLEF GRDSDPTVTMTILMAVRWAITAWNVDLDPQTVVHYFRKALEVGVVEEINHSHLINNIA AGLENLRLAHRLNDIMDVNEYLNCPDEKVNDGIIDIDSIVLSQFLRSGDIDEEDDGPE VSIPLISTSDALQSLYTLRLYEEQQDVGDRELIMHLMRFERILQARLERQYQGNLY TSTA_125320 MADKGRSRVYLDIEIDGRKEGRIALELFNDVVPKTAENFRALCT GEKGVGKQGKPLSFKGSIFHRVIKNFMIQGGDFTAFNGTGGESIYGEKFEDENFELKH DRPFLLSMANSGPATNGSQFFITTVETPHLDSKHVVFGQVINGKSLVRKIENMPTQAD KPLRDVIIADCGELKGEDYDNADKKVVDATGDPYEDYPADHEGELNAQTAFEIASKLK ELGNIAFKSGKTWVGLSKYQKALRYLNEVPEVDEKDPKELDAQMKVLRFTLHSNSALL ANKLQHFPDGKTWAGYALDTADAANAKDADRAKAYYRRAVAEVGLKEEDDAIKDLEEA LKLAPGDAAISNEITRVKKIIAEADKKQKEAARKFFS TSTA_125330 MSQFEPNAILRGAQLTIVGTLRILRNPELFKHDHFRQAALAVVV GVVIHLILQIPIILIKLAIQIASVVIDLDYVTWDDKLIEGLNFISNSVLQVPFLLMTL MRYITPTLDDIFLLSLEWVDATYVEKHKKDDPRDIRAMYYPNLVRYPTKKSRDSSKLQ KPINSGLMAFVDRYARRVAMWLAIYIFSLSSVVGPLVMPAASFYSVRKSLGTAPAAVI FASGLILPKRYIVFFLHSYFASRSLMRELLEPYFSRISFDKDQKRRWFRDREGVLFGF AFAFTIILKTPFIGVLMYGIAQASTAYLITKITDPPPPPERSEGFAEKQVTWENKHDF LQLSIDALDKINVNAVDKSRGKSSGVDVSPSPGKVYS TSTA_125350 MSQLPRAGIAPIRRSATLPSKFISERRQFNETPANRDEFNPVLF FHSSAKIVKFAPDAPPTASQSAPSIDCDYPVDTIETLPWRSPTERTVAVGRLRLEIPT GLSPFLKCGNVVQAILKNSQCWCVDGKSTFVLRIRSLTYYRIELPNQTPEDADLIENF KSALAKVLRYEVTPCPFQRGFSVPLPAEAHIPKKKRAWRPKYRRESAPAGSELGKSWP NAVNDVDTVRQTDGLDAYDGETTDDSSSTTITGVPQFDSSLSDDFSNNSAPDATEDQL ICSKRSVTEPARSVHDILARFQPIPESDSEDDSISFHSLMTQEPQAPPSPSYSSHPAS PLDEQSPDLSLPHQRLHNHNRGTSTATITPESISPAQLTPNINLNQSPSPPREPNERQ LSTNTITSSSSSKPKLPDVTATLATGVEPSCDSPRTLVRRENILMELRRTRSFRNRDL SPLPPASTLEYLSPRPSKTQFVTDDGAQRVASDATPETKERDEDEDSFRLPDTTPGAF RHSLHLGDPTNHLSDLE TSTA_125340 MAPHLSNDEFFASLTNLFTNTTQKTKGSIYLTQKRLPSSFSDQS TPEGSILVRATDGRTQNPNPRDSKDSKVSKKKVAPKVKISTVVSPADLELFFVRYADV CKAGMVALKKRDRSAKKKGKAKAKTTKG TSTA_125360 MPRPRHLTTALPRQLREELGLKDRYGEKKRNQNRVVSRKERRKE ERHEKKHHHRAGVMNRRQEIDSGSEEESEEESASEEDEQVAPAKGSKSQETKTVDRKQ PECKQPTISKTVRDKLAQDDKEIEALEKRLGIKGRNKLPKSFEEDGLADLLGDIGEDS DMEDNKKRKREEREWLQRKRRRAQGLDSEGDEEASDAGSWDGLEESAGSLDEGDGESD EELESEEEESEDFEGYDEDFESEVEVQEEPKKERVRENPYIAPPTKTPSATKYVPPSL RVAVGSKSEEDARLRRQTQGLLNKLSEANVISILNDVEKLYRENPRQSVTSTLVTLLL TLITDRAALQDTFIILHAGFIAGLYKLIGMDFGAMIIQTLIEAFDKSADERGPFTGKE QLNLVSLLSQLYNFHVVGSNLVFDYIRLFLEEITERNTEFLLKIIRNSGPQLRQDDPS SLKDIVLLIQPAVSRIGESSLSVRTKFMIDTITDLKNNRMKTGAVASSITSEHITKMR KILGSLNNRNIRATEPIRVSRSDIQNSERKGKWWLVGASWKESDPLEAARQELSTSSA AIGTNKVVTDQDSDGELDLVTIAKAHRMNTDVRRSIFVAIMSATDYRDAHARLLKLRL KKNQEYEIPRVLVHCSMEEEAYNPYYHLIARRLCGELGRRIKMSFMFTLWDVFKRLGE TNDLDEGGSGDEFHGFDEDNNTKLDIKSIVNLSKMYGNLISDNSLNLAILKNLNFAYL QPKTRTFVEVLIITIFQQTRHETSSSARKTKPTDQKKRKQPEHEDETKDKQALLLTRI FAQTHQTAPHIVKGLIYFMRKVVAKSDIVSSSKEKKLVKWGCGIAVNALTGLDNKNT TSTA_125370 MLIKHLPLLVHGGARGSGSQFATPPRFQFPDETNGGDAFKGSSG LRQVFSQARHRRYDIISPLRSGRHKPLQISDDEIEDDFDDTKDTSHGVEASTGLDDLF DIPKRSNKRARTSLNLSQSPARISREGTDDVFDEINDSSPFIPDSPPSPPAQNKPRNV MTSPLSQLGFDQQPFNNEFAAPETFDPLSEPQTPDHALEYDDGRSSSRPRFILSANYT PVSTATPSTSTRQNTRKKPTFVLPRSPSPDREDASLSAIPGPFSPVATRSLRRPGRPK SSVTAAYMPGGMAEQLRDWIMETDMKRKATSEQSRQKREDRYSMIGKVHMCENAYLKS SGPVIRAQVKPELAGGKDSLDSEHGSQPMTILLLGVAASRSRTNSTGQSTRDISAQSE KLKPGDIIGVGSGLSWEVEVDSFNVNHKIHNNQTRVGHRVVASSCSKPEDEFEDEDEV NTDIHLTTSTCSTRKTWRVVAEWDLLSQT TSTA_125380 MPPIRNKNRKNLDEQEGRILLAISDLQNGRIQRVAQAARIYEIP RTTLQDRLNGIQQRSLVRANSHKLTQYEEESLVKWVLDLDRRGLPPRHSLIDSKFARK YNYERAKCEDPKIIQEHFDRVQAAISEYGILPEDIFNFDETGFAMGLCATAKVITGSD RYAQPKLLQPEIENG TSTA_125390 MPPIRKKDPLKSAQNEGKIELAISDLKNGRIRSIREAARIYTIP RTTLQDRLHGVPFQHAIRASNHKLTQFEEESLVKWVLDLTRRGLPPRHFLVRDMANYF LSQRGDQRVGDKWVYNLVQRRPEIESKFSQKYNYERAKCEDSKIIQGHFDRVRDIISE YGILPEDIYNFDETGFAMGLCATAKISIEHGITREEAQVLVQGQVEASQAVTTTPAEP ELPTSQAVVRRQFRCSGCNVEGHRINRCPNRTTN TSTA_125400 MPPIRNKNRKNLDEQEGRILLAISDLQNGRIQRVAQAARIYEIP RTTLQDRLNGIQQRSLVRANSHKLTQYEEESLVKWVLDLDRRGLPPGILLKYNYERAK CEDPKIIQEHFDRVQAAISEYGILPEDIFNFDETGFAMGLCATAKVITGSDRYAQPKL LQPGNREWVTAIEATNSTGWAVPSYVIFKAKKNVREGWFDDLPDDWRINISDNGWTTD QIGLEWLKTHFIPYINGRTVGKYRMLILDGHGSHLTPEFDHICTENNIIPVCMPPHSS HLLQPLDVGCFAVLKRHYGQLVEQRMRLGFNHIDKMDFLTAFPQARTVAYKAQTIRNS FAATGLVPFNPDRTPTPPPSRSSNTASSCLQTPQNIRQFIRQSTTINKRINERTESNQ NQEINQAVVRLSKAYEMIANDVLLVRKENYDLRAAHEKEKQKRQKSKKQISIEQAVTK EEVQALVQGQVEASHAVTTTPAEPELPASQAVVRRQYRCSGCNVMGHRINQCPSRISS TSTA_125410 MPKLFVSISIWGILGLASVQATSGAWPYQTFRTESFEPPVLDIN RTGAELAEGFFVFTPQGPRQNSPVIMTDCGDLVWSGPNVSSANLVVQSLNGTPVLSFW QAPAVVDEVTPFTYGNVSILDDTYTEIYNICPDLNLIAPDGSPSGCSLNAHESLITPR GTILVTVVNITTADLTSVGGPAVGWVNDDMFLEIDIATMEILFKWRALDHVPINTTKA ILTGTDGLSRSNPFDWFHMNSVDVLGEGYLTNSRTTWSSYAINSTGGIDWILEGSTGG DFSLPSAAEFAWQHDARVYNVTPSSLVLSLFNNFNSQTPTYPSRGLLLYLDLDNHVVK LIGAYEDIEDQTYSISQGDFTQLENNNWFVDYGDVPQMKEFGSAGDVRMIVQFGDLPV QNSLKNFSNSTVYEMSYRAYKQGWEATPGVYGPAVTIANSKGYVSWNGDTRTTQWIIF AGKSISSLQEVATVNRTGFETSFSIPEDEAIIQVGAVADEIILRNSSVIKIWE TSTA_125420 MVVWGMNLSEPSNITTKPCSQTMAQGLSTKEPRRVYLFTHPRVT SNLLVKILNVKEQNVPPSDKGGYFFQTPVYKAIELKIRHKATTAWTDDEVSAIRELYE KSISDLQKWIDEAEKNGQFVFIKEHAIFMIDPVSVTNYHPHKRADKERADGTEALSEL RKQWQFGRSCGEVELPNPSPLNQTVVSDEFLLSFTPTFLIRHPALTFPSFLRAYIGEG MPKTNFEASALVDELDHSMTFHWMHHLYDFYVSKGIEPLVVESDDVISSHEVVIDYAH HIGLDTEKLHWNWSPASEKQLEMGGNSRLQRFLGTIMSSSGVMNDKMAKNLDLAVERK KWKQEFGGLIGEKMVEWVEAAMEDYEYLKERRLRPSST TSTA_125430 MYGAVTNSHNDWQRSSETWGSGNPSKPTTTATAMSSTASGTNST SKLRQTCDACQAIKTRCSRERPSCARCLTQNIPCHYSISRRIGRPRRLAQPTSPTSSS QIRRSKERIPKASQPSSESTHVAQPQNNFYSLDWTEVDIDADNDTIISDQTPPPSVVD QERSQASLTTTPSIARTQTMNVETSVFPPIHGVMSDLNDRDFFNSCFDNMELTKMRSS LSSSMTNNVRQQADPTSQQNLDDSDADKQSISLSRNFAQHIKPGQSTFPHVGYDEDMP GVSAWDFLNEFPPQAQHNTCRCLESALSITLSIRKGNMYPGGMDLTLDLEVQLREIVP VAIQCNVCKSRQGETLKLLSNAMADVVDLLQQLCNVEFADSTNISALSKQQLPTPSKP DRLEWGQPPYLNARFMPNSHQPISHERSGSDISGSSGAQDKLPSPKSIASEQNPVVMI PTSPSPEKGHWRILVGRHLLVGDDRKFVLIHLLRRRLFALSNVLESLIRAMQDLRIAI RREQSFVAFKDDALNTTSEMDTRRSMKTASKLYDIIDQLEGIRI TSTA_125440 MSGDAERRDLTIVANKVGAGADVLGFDFDTMPSHQVGALRSAWL KYGILRFRGYDLTDEHQLNFLKREEDGAPTTYKDDEKVTVMTNLINGVPSGAGSNVEL EWHTDSWFWEYPPVGEILRAMELPQTGGDTYWADMYAVYDALPEDLRSTIEGRLIQFD TVYNGHGNLRKGKEAPKTDDFRLWEHIRHPIIRTHPESGRKAVFVGQSKHEKNWIVGL PLEESKEILAKILSYVEKPEFQLHQKWQPGDTVIWDNRCTMHRRETWPDDQTRIMHRT TCNTKGQPRPFYVY TSTA_125450 MALDTRNTGAGCPLNADQIFGPVVTAASVLAGLQLALVTLWSIK PALRTVATLPAAVLSLIATFCLILLSYMEQQRSVRPSTVACLYLFASVILDIPQVRTL YLRQGSLAIAVVFTLTMAVRAAMLALESQEKTKILKEPYKKYPPEARRGILDRSLLWW LNPLFFKGFRKLLTLDDLYPTDDALSSQMLREKMQTMWDKHSKPEHRYSLPLACARCL LWPILRIAPPRLALVGFTYAQPFLISSTISYVQEPKWRENSNNGLGLIAAAFLVYVGI AICTLGYNQQLFRSVTMLRGALVGIIYNKTLTSPDGLHDDSAAVTLMSTDIDRIAFAM QSINETWARLIEVAIGMYLLEAQLGAVCIIPIIIVAICGVANSRMANIMSTRQKIWNG AIQRRIGMTSTMLGSMKNVKMMGLSGFMTRNIQEQRIHELDMATGYRWMVLSTNVASY CPAYFAPVLTFIAFVIRARVSGSGSLDTNTAFMSLSIITLVTQPAAMLIGAIPNTIAC VGCFERIQRYLLASDRQDQRQVLDPPDPSDHSSASSISKDGIALHDMGSNAALRSVVN PSVAVSLRQLSVRPAPGAKIAIRDINVDIEYRTLNVVTGPVGSGKTTMMRAILGELQY DSGSVIVSSTAMSYCPQSPWITNASIKQSICGLGSDTKRDEKWYQTVMHACALDEDIA HFPQGDDSIVGNRGLTLSGGQRQRLALARAVYARRQIVVLDDILSALDSKTERLVVDR LLGPNGILKKLGATVILVTHSTRHFQLADRIVVLSKDGTITEQGTFDALRAKDGFIST IGPLTTERNSHQVSSEPSTKKRPKIKGVTQDDVTDLTRKTGDIAVYSYYFKSIGWLSA LLFLCSAALLAFATYFPQIWLEWWSDQNGGEIGKYMSVYVILAIAAVAFRIMTLWSAI IFISPRSSAKLHNTLLQTTINAPQSYFSTTDVGITLNRFSQDIGLIDHNLPLAAATCV IQVFSSITQAGLIAQGSTFMAITIPFVLVIIYVLQLIYLRTSRQLRFLDLEARSPVYT HFLETLEGLATIRTFGWQKPSLSTNTELMDISQRPYYLLYCVQRWLTLVLNLVVSVIA LVVVSFAVKLNSSTSGAAIGVALNNVLGFTQSLTVLVTNWTQLETSLGSVARLKNFQA TVASENKPEEIIVPPSDWPSHGAVEFKNVTASYGSPSSTPILHSISMSIQPGQKIGIC GRTGSGKSTLLSTLLRLLEMNSGTILIDGLDLQTVKREEIRTRVVTIPQDPFIINDTV RVNADPSKSVTDSVIIDALSKVELLETINSRGGLDAHMKTQPLSHGQQQLFCLARALM RKSKILVLDEATSNVDGATDQLMQKIIRTEFKEHTIITVAHRLDTIMDSDMVAVLDGG RLVEFGPPQELLETDSLFRSMHGR TSTA_125460 MSALKILCLHGAGTNAQILDSQLAPLVRALQKDQIATFHSVEGE VEDSPGPGIEGFFEGPFFSYYKWPQTVHDDGHSVTTAYNMLYEIIEEDGPFDCILGFS HGGAVAAGLMVHHITQNPYDSPLFRCAIFFNSFPPFRMNDENEPILNEGLEGKLTVPT LHVAGRQDFVYNYSLNLYKICNAETSTLLTHDGGHEIPTDAKMITKMAAAIRDLSRRS MFS TSTA_125470 MVALNSFLLSLPLALFQILASATPFSQENHHQQPVGWVHPDIEA AERDGFFFENPETDCRFVSQPFIYKQFKTLETDGSVLFSMINKDVHFTIVGSHPGAGV YHDLMHFYVNALRRVAIVAGTEHPEAFRVYPKAIHGGCNEEWSVQEMNFQGISNAGTP FDIINVWVTRWDEKKKQMVEIRTYIDAMKVTQLIHESEGWWNGSRHLHHYEWMPGPYG MPNLTELYALMPEEDRPKGRGPGEGLTGQVVGYMLPEWEESGEGHY TSTA_125480 MSKTALLVMDYQAGIISRLSLPENHLQLLANTIDTARPYAKIIY VTVAFRPGHPEVSASNATFSAAAKSNSFVSGSPETQIDPVIAPKEGDILIEKKRVSAF TGSGLDLVLKGLGVETLVLAGISTGGVVLSTVCEAADKDFKLVVLKDFKIFSKRGEVL GAEEWLQKLKA TSTA_125490 MSPLIEPNPGQSTVSGKNGAVIQTNGILSGPKATGAVVADESTP LLPQKTEEYSSFSTAQKTLIIFTAAFASTFSPFSANIYYPAINSIAQDLNVTAAMMNL TITAYMIFQGVAPTFMGNLSDTVGRRPVYLLCFGIYMCANVGLALQRNYWALLGFRAL QSTGISATIALSNAVAADTVTSAERGTYLGIASLGGILGPALGPTLGGLISKFWAWYG IFWILALLSGFVFVSMLLFFPETCRRIVENGSVPPPSWNRSLINVIADWRKRKAGISL EDDYVRHQQLSQKTRIRFPNPLSTFRLLFEMPTSLVLLVNGTLFGAYYAITSSLPAEF SAIYRLNELQMGLTYIPIGLGTILSSFTNGWAIDWNFRRIAARTGGMPSVKNGKQDLT MFPIERSRLQIAIPSAISGAVCIAAYGWLLHFEMPLKVAILFLFLIGYFMTASYNVMN LLIVDLNYEAPATATAANNFVRCFIGAASTAGIIPLLEYMGRGPSYTILAAICASMTP LSIVVYIFGLHWRNERDARKADSTEG TSTA_125500 MFSIYLITKLTGFCFFPCMTLISTTVHAQTPPNYRPSTSNTLNV TFNEGLPISPGQFLYPSEAMFMPTLSYSDLDPFEPYIAFMIDVEVVHSGLAYPLLHWY QADLWADTTTNEFTLRNLTNAGAAYVGPQPNAGPSHSYVLLLFRQPLNYRFPECFQYM LPLSMEARAGFDLQSFIELSGLENLVAANYFLSQNPESRPTTTSLMKPPCATKKFRGS AETAVLRSDL TSTA_125510 MVFTQPKWVPNVDLETVPDSIPVSYFMLDEKYGRAKLRDSRSPF ICGLSGSQYTSSEAKQRVDFLARGLAKELNWAPNKGSEWDKVITIFAHNTLDSVPLSW AVHNLSGISSPANSAYNVSELKHQIKSSGSKTIFTCLSLLETAIKAATTSGIPRKHIY LLSMSYEKQLGYMLPSDIKTVDQLILEGSRLPELEPLQWEKGQAIRQCAFLMYSSGTT GLPKGVQVSHYNVMVNVLQVAAYESPARRPGQTKVVLGLLPQSHIYGIVSICHISIYR GDAVLVLPKFDLPVLAASIEKFKINVLFIVPPIIIQILNNKPFLDRFDLSSVSEVFSG AAPLGVETYRALLKNYPSWYICQGYGMTETTSAVSMTSALDIFPGSSGSLAPGVQARI VSLSDGSDISEYGKAGELLIRAPNVTSLGYLNNEKATVETFGSGKDAWLRTGDEAMFL KNPNGDGNEHLFIIDRIKELIKVNGHQVAPAELEACLISHPLIADAAVIPVPDDAAGE VPKAFVVLALHNRGQFFQNHSEFIEEIHDYVKQEKAHYKWLKGGIEFVKSIPKSPSGK ILRRTLRDYERQKIRQSAARL TSTA_125520 MSDYDNAQTALNLPKKDSEILGLAFGKRQVVPGEHIPKTEAQLA PNLSLTQATGTYIAVCIDLDAPFPCFSFLGPILHWIQSGLKPTTTVNGTTRLRATDTP FISDYVGPAPPPPSRPHRYVFLLYEQPEGFNYTKFAPPDGKKMGMWPRIRYDLKTFEK EAHLGPIVASNFFLSN TSTA_125530 MSDGTLFVEDSRSGKKYEIPIRHNTVLATDLKRIKASSTAANRA DKVADGLRLYDPGLENTTVVETSMTYADADRGLLMFRGYALEQLWESEFEDMLHLMVW GKYPTPSQSASLRKDLASLMGDIPKTVFEVIEKFPRDCPPMPMLVAGLAAYLSDDLDS IPTFNGGNIFHGNVEKTDEAILKTVAAFASVVGIAGSHRRGIAFTPPSLDKGYLDNLF KMMGIVDPTTNKPSPEKLDCFRRFTIINTDHGMALSAFAHLVATSALADPISGLIGSL VAAYGPLHFGAPEAAYKTIKSIGGPQNVPSFLDEVKSGKRRLFGYGHRTYRTVDPRLA PIKSALQTLNVETDIPLETAYEIDRLASNDDYFLKRGLHANADFYTPYCFIKIGFHPE EFPIAMFAQRIIGIMAHWREAMLRKVKLFRPTHIYTGETEPVEHIKIPSKL TSTA_125540 MASSQFDQVIIDIKDYVFNYRIDSPKAWKNARTALLDAIGCAIE TVFKSDDCKRMFGPIVHGSITPNGFRLPGTAYTLDPLKGSFDMGTAIRYLDHNDAIAG ADWGHPSDNLGAILAVSDWLCRSSKEEVLVHNGPPLTMKTILEALVKAYEIQGCMLLR NAFNAYGLDHVILVKLASTAVVSWLLGLTEIQTMAAISQVWMDGQALRVYRQRGNTIP RKGWAAGDACMKATQLALLTRAGQPGSPTPLTMPRWGFYASSFGNNRFDLPKKYSSWV IENIIFKVMPVEGHGVPSVEAAMIHSRTLKARQLSAMNDIKKIIIRTNAATDTIINKT GQLANAADRDHCLQYLIALTFLKGDIPEAEDFLDNSIWSSSSDLDNLRAKIEIFVDDR LTKDYMDLDVKSVATGMTIMLSDGTHLSEVLIEFPVGHGKNPRTQDVVQQKFQKNMKL MFSPEEIDEIVSIIEDKENEDKPISEFLDLLVRESSLNSRL TSTA_125550 MAVQELTEDASMPIAIVGIGFRGPGDATNVESLWKMISEGRESW SKIPKDRWNHDAFYHPDANRHGTYNVTGGHFLQQDVSQWDAPFFQMSAAEAAALDPQQ RLLLECTYEAMENSGTTMEQFCGSDTSVFAGAFTTDYTDILWRDPESTPMYQCTNSGQ CRSNIANRLSYFFDLHGQSVDVDTACSTSLVGLHLGCQSLRTGEAKLSVVAGVNVILS HEAWVTMSMMRFLSPDGRCYSFDERANGYARGEGVGVLLLKPLSDALRDGDTVRAVIR GTGASQDGRTPGITMPNGVAQERLIRGVYERSGLNPLGTSYVECHGTGTQAGDPLETR AVSRVFCEGREANNPVRIGSVKTNVGHLEATSGVAGVIKAVLMLENKVYLPNRNFQKA NPRIPLEEWKLKVPLAVEPWDGPLPRRASVNSFGYGGAIAHVILESAKDYLTLRGYHG HFRTTKSLVTLQLKSEYTNGHSNGTTNGHGNGIINGYSNGITNGHPNSAVNDHSNGNG WKENSHNLPEEDSARLFVLSSFDESTSKRQVENFRQYLQERVDDVGPDFLQNLAYTLN ERRTHHMWKTAVVGRSTTDLVYSLENGATFSSSGNKKRKLGFVFTGQGAQWCGMGKEL ISTYPLFRASLERAAACLKDVGAPFDVITELTRDPEGSSINRALYSQPLCSAVQIALV DLLTSFGIKPSSVTGHSSGEIAAAYTIGALSLEDAMHVAYFRGVASTSLAQKGIFKGS MMALGLSKEDAIPYLARLTKGKVVVACSNSPSSVTISGDETAIDELLVIMEEDKIFAR KLIVEVAYHSHHMAEVEKEYRDALSNIKVQTNSEGEFFSSVTAQKAVASELGPDYWVS NMLNEVKFSESLQQLCLDTSSGKKTQKRNQQSQIDDIIEIGPHSALAGPIKQIIRANE KLSSSSIAYHSVLTRNKSAVDTVLKLVSSLFTLGYPVDLSTVNRMSSTEKLSVLVDLP PYSWNHSNTYWHESWKSKAYKNRPFPRTDLLGALERTCSPMEPRWANHIRLSEVPWVR DHVIQANIVYPAAGYLVMAIEAATQRAIQRSVPTIAGYNIREVVIGAALVIPENPGEV EVSVTLKSYSDSMISPSDLWDEFIVSSVSGDDRWTEHCRGLIAIQTPPKSANVIDGES QKAGDRKNLVSMIDRFNEECQKEINVAQFYQTIAKLGLEYGETFACMSEARASPGCCV GSIKIPDTAAVMPQKYQFPFVVHPATLDSLFHTIFVSLDADEMKDPAVPVSVEHIYIS NSITSTPGEELVAYTSTEKKDPRTVSASLTVLSAHQGAESDPVITIRGINCTTLGVAE SQDASSESQSRAYNFDWKPDIEMLSGESLATVIRSGPSVQSLNIRHRFEVAAFYLLKS VIEGMKGSLSSITTSYQQDLWDLVDRVVKKTVEENQSSLAEIWISAGKDEQNVFLDKI KAYGHEGRTLLHIGKRLPSLLRGELEPSSLLSEIELSGFFSSSDTFINSSTVAKYLEL LAFKQPNVSILACGKLSGFLSIPVLAALDSKAGQLPYFSKFEYADDDFDLSDLVKAKC SRWNGLISRKELDIAVDPSKQDIALGSYDALIVFQSLGSENLVRSTLENAHKLLKPGG KLLLVGRPMKSLIVSTMFGFLPSLLPAKGKSDNGDAIVSREVWTDILSETRYSEDVII PNGTDTTKHAAAFLTALPTKPSAPKYPNTLVIWDGAEKSISTRTLQEQLNAHHIDVDI SSFQTAKPRKDQVCIVLSDPSSQTLINTSDIQWDVLKTIFLESGGVIWVTRGATILSS DPSSNLISGIARTVRSENGESPILTLDLDSATLLDDAKTAQLIVTLFERHFQFGSAPK GIEPEYAERNGTLMIPRLIEDSQLVKFITNTTAEPKPELQPFRQPGRPLRMHVGTPGL LDSIYFVDDNRFDDGLPADWVQMEVKATGFNFKDVMTALGQIQLKSLGWEASGVVTAI GKDITHVQVGDRVLSYGAGLFSTDYRGPADRFHKIPEHLSFERAASLPVTYATAYYSC HYIARLQKGETVLVHAASGGLGQAIIELCQLVGAEVYATVGTADKKQFLMHYFKIPED HIFFSRNGSFAKGVKRMTKGRGVDVIMNSLAGENLRLTWDCIAPWGRFVELGQRDIMI NTRLEMKPLSRNTTFAGFLLDDLFEQRPEVASKVIAEVIDLFRTEAIRGPAQVHSYPI SEVEQALRTMQTGGHMGKLVAINSPQDMVKVVPQDNTKSLLHANASYMLVGGLGGIGR ATALWMADHGATNLILVSRSGPNNVDAKDTVRALESKGVKAAVYSCDITDFAQVKSTI ALAKQDMPPIKGVIQGAMLLRDTLFQNMTLEDYKAVLYPKVQGTWNLHNHLPKDMDFF IMESSVSGIIGNATQAAYAAGNTFLDSFASYRNSQGLPATTIDLGAISDVGYLATNSD LREAMQRQGFELTDKKTLMSLIHFAIENPRRPALLSQCVTGLGVWNEGNSLDSLNLPL FAHFRSLTSQGSGDVEAAGSAGQLRKNLRKATSLEDASELICTALISKIASRAGVGVE HVNVGNPISDYGIDSLVAVEIRNWISKEMNSTIPILELLANTPLSQLATKIAQRSRLV QLNEAEKV TSTA_125560 MALLDAVAHHTLVLACFITPCKTYPHKDPILGLDLFIENFRLLN KGGFLDKLSERYYRQNAWTYTQLLFREKVINTADPENIKAILATQFTDFQHSPRRKAA FYPTFGHGIFTTDGAEWEFSRALLRPSFVRSQVGDLDIFEAHISHLINKIPRDGSIVD IQQLFFALTMDTATQFLFGQSANVLVEGEPSARGEKFAEAYDYVTEIVGIQAKLGQIV AKIPNKRYTDSIKYIHEYVEMYVQKAVDFAKFGHSRSVHDAEANGRESHEKYVFLEEL AKTGVDKKKIRDELLNVLFAGRDTTAGLLSFTFYILARRPDVFEKLRAEVMTLGSERP DFAQIKNMKYLQYTLKEVNRLYPIVPFNARAAVRDTTLPVGGGSDGKSPILVKAGQAI NYQIYTMHRRKDLYGEDALEFKPERWEHIRPTWQYLPFNAGPRICIGQQFALTEASYT IIRLLQAFKSIRPREGEGPLTELLALTSSVRGGVNIGLTPA TSTA_125570 MSIATRNARRILLIPLPGVASVGQAVVIVLYAIVSIVLVFVDMP VSRGVDVYANRTGWLSFLNLSLITFLALRNSPLSFLTGYSYDKLILLHKVAGYSTIFW TILHIILFSIDGARKDLFSAEAKELKIVVGWVGGFAMLIILGTAVTRRWIPYEAFYTS HAALSIVILVTMCFHPDTWGCILVCIITAGFWSADLLIRLIQLFWYSIGNRATLSPLP NGGVRVVMYKSPKTTPGSHCSLWIPSIRLIETHPVAVLSTDPLEFVIASYDGFTGELY KTSLNKKTVWASVHGSYGARIDFTNLEKVVFIAGGSGASFTFALAMALMKSGGNSAKP GIEFIWVVRHQDPLNLYSGSLSWFSNELEELALSNRVNLTIHVSSLSDQEGDVEKYAW SGMVTPHFSMEKIQAGRPDIDKIIDNVVGGMNLSDRLMVAACGPDGLMKTARRSVARN TRPGGPKFLAQPDLKATICKQDEHHNKALELYTQIPKQKITQLARQFDVPCKRLWRRV QGSASQLNRRPAHKQLSDDQEHTIFIWLSDLDDRGLPPTIGTIKNYAEKVLQNMNPDA DHPLQLGDRWVYSFIKRLPNDYKKQKQKTVDPNRHIAEDSGVIQAWFE TSTA_125580 MATAKITTQHTYDIPAQLTYLEWHDHYETEKPFMVIRYPDDPPE MTGGNVTFKEGEEETIHDIRGHEDDFTLDGNGFLFTHAPTSLAPSDFLDDEKIKTKYL PECEAYLKGLLDADQVIIFHYRVRNTITSDDPYSDTGPARSAHVDLSSQTIRERIRNR YPDRADFLLSGRVRLINLWRPINGPIQNWPLAVCDGNTLPEKNLVLTERIRTRDKAIA RFVVHSPAMKWYYQSGMEDGTLLVLKNYDSHAEEGGVARYSAHCSFPLPTAGPDTPPR ESVEVRAVVLNYPRDDPSLVEPKPAISNVDSASITV TSTA_125590 MSLRRNIEIEFVAFKDIGTKMRFLSILSAAVVVSVSRAQNLSAL EKTLAITEIKNVQSLYGTIIDAKTMKDLSRVFTEDAVANYTVLGIGILTGLPLIIEGM TISQAHDVTQHAMTTSYVDVLDENNANSTAYLTAETYGTGNNIGANNTGQLFTLWLKY EDQFVRTNGSWKIKNRNAVIMGTPLTGNFTPRVIPPPPSSLPIPTATAI TSTA_125600 MNVIPIQASCGADIIGFDFEHLYPDQVDAVRAAWRDYGVLRFRG YDITTQQHAKFSNLFGHYVPVKGTSIAHHDQKEITVISNAKVDGKPVGTLGNVDLEWH TDSWYFDKPPCGQILRALELPRTGGDTYWVNMYAVYDALPEFTRKIIEGRLIQFNIVY DAVGRVRPGQEKPETDDFRLWKHVRHPIVRTNPESGRKAVYIGYFDSTKNWIVGLPLE QSKAILEEIYSLIDSGKFVFQQKWQPNDIIMWDNRCTMHRRDGWNETDMRIMHRTGTG TETPIYVY TSTA_125610 MKVFVLAVASYAISVLAQDIDALSSLIKEVTVTSDDSGFPSLFP SETVQLTDDVIAAISRELQDNSTASLFMFGSDALSTANSTAKSHCKPLPGDSSWPSPS EWNTFNSLLGGSLIKASPAAAACYGLEYSAEKCASIISDWDTEGFQTSDPTSVMDPLY VGRSCMPPGLNYTNTCDMGAYPTYVVNVSSVAQIQLAVNFARNKGLRFVVKNTGHDLI GKASGKGALSVWTHWLKDKAYYPNFVSKDYTGPAIKFGAGVQVREAYEYAKSVQATVL GGESQSVGLAGWSMGGGHSPLSSLYGLGADQIVSIEVVLANGQFITANAEQNSDVFWM LRGGGGSTIGVMTSLTMKAYPQLPATTVTFNFTAVDGPALDAFWTGIESYVNNMQAFV DAGTYGYYALAILEDSAGNERHEFQMRPFFAPNMTIAETKTLLSPWFDVLDMLNISYN PVYTYKDNFYDAWYIGFPEETVGSDTTMISSRLMPRYVFENDTSRNQLFKDGFKNAVD NGILVIGLQLSGKGIAVDPPTDTAVLPAWRNTTTHLQFVGRFSATADWAIIQNQTLFV TNYTSVLRTLAPDSGCYLSEADFLEPNLQDAFYGVNYPQLYELKKTYDPTSLFFALTA VGSEDWEVQVEDPLPYSWNNNGRLCRKTT TSTA_125620 MASPSINVLVTGANGYIGNAVARAFVRAGYRTYGLVRQPKALPA LASAEIIPILGSPEDVSFLHSVSAEGIVFSIIVSTTTTEGVSDYIPHYNAIISLFRAL ATTSNAAGIRPLVFFTSGCKDYGRTALANSPGLVPHTELTPLNPQPSLVNRASYAVKT FENKDLFDTVVLRPTHEEKKKGEWVVEEDPETILHSVHVDDCGDAYVAIAQSKREVVA NQCYNISAREYETLDQVLKALVKEYGIQGGLKYAKNEGKPGPRAKLFGWSQWVESEKL RRDTGWTDKRMIFSEGIKQYRVAYESAVETGDEGLKKVLMKVAGRAASQK TSTA_125630 MATTTTLATTSKNGGDVPAKLTYIEWHDHYETEEPHFVLNTPDD PPDAYAGNVTFKEGEEEIIHDIRGHEDKFTLDKQGFVFTKAPTSLSPSEFLDEEKIKE KYLPECEKYYREYFKGIDEVVFIHYRARNSITADDHNSPTGPARVAHVDLSGPEINAR IRKAFPDRADFILRGRVRLVNLWRPINGPLQNWPLCVADCNSIQEKHLVATKRIRKTH QAVTRLVVHEPTLKWYYQSGMEDDTLLVLKNYDSEDGVAKYVPHCSFSLPTATASTPP LAQQMEVPCQRMKVTETNGLILPGARGVQKLKSPAEMASSSIHSIALGDKRLQMDLTP GVFWQFVPTSIDLDARGHFREYSWCDKIFEDPSLQPVLTVNQHSWSDVPSTFMWQALG LPGAITAAQSFCKGSMSPPNHSFVEGRTELWTLYCFGRAVESFLHVAHGGFLASLLDQ QTGSIVITYPVSTNPRTLSSTIRYHKALITPGAVLCRAWISKVEGRKVWAKAVLEDGK GETIADMEALWIFLKPSL TSTA_125640 MKSILFQLLAATIATASSLPAFYNASGAPPFFPGEDIQLTEGRL TNASQIFPNSSIHRLFGFGRESKITNNITSFGCKLLPGDAAWPSPSTWDIFNFLLGGS LIKTNPLAAVCYPLWPEYSAQRCKEVSEKWLTSELQLASPGSVMQPLYEGRSCMPPGF NYTTSCEMGAYPTYVVNVSTVAQIQLAVNFARNANLRLVIKNTGHDFLAKSSGKGALS IWTHYLTDKAFYPEFESDDGYTGPAIKVGAGVQTREAYEYAKAHGVTVVGGEDYSVGI AGGFTLGGGHSPMSSMYGMAADQVLAMQVVLASGHFITATAKQNPDIFWMLRGGGGST IGVVTSMTIKVHPKVQMTTVTLNFTADNDGPDVFWKGVRAYFDNVESLVDKGTYAYYF IGASADERGTTAAGNTSYYFQMQPFAAPNKTIEETKALLNPWFTAMDEFNITYTPVYS HADNFHDSWVVAFPEEKVGQDVVKLASRLMPRSVIHDTTLRNQLVDAIQDAIAKGFFT SGVQLSGTGIAVEPPSTSAAAVLPAWRTTLTQTTVGGEWTFQSDWGTVKEVSLSLTSW MDVLRDLAPDSGAYLNEGDIIEPNQSDAFYGKNYPRLYALKQKYDPTGVFFALTAVGS EDWEVQVTDPLPYSWNNNGRLCRRWK TSTA_125650 MASKDAGNRPPEQRNTNSNEVKLRQTCDACQAIKTKCSRGKPSC QRCLTQSIPCHYSVTRRIGRPRRLAPTTSPQSQPQPLSQARQSKDQGPILPQEPSNNT TTYVRQMSSHFYGSDWGGFDVDADNDQMIADDDDAPSSSVNQDRSQASHSMTPRMTPT PNDNMEASFIPAQGLVSEIGDQDMDLFDSCFESTEFSTMPSSSLSSSVTNDIRMQVNP NTQQNLDDTDVEKQRNSLEMTFGHKIEQTWQSFMLSKQDEDIPFGWEFPGETILPAQG NSCRCLESALGITLRIRKGHAFTYAGALDLALDTEAQLREIVPVAVHCATCKAQRGEI LKLFSNSVTDVVDLLQQLCNIEFADCDDSPTSSSRQMKSSIVDGLDWVQPPNLNGALG LNSHERNLSRSSVHMNGSMIGSFNSSGAQRRLSTSINNIPSEQHHLARNLNMNLTNVG SADVADWRILVGRHMIVGDDRKFMLMHLLRRRLRALSNVLEDLIRAMQDLRMALRRKR SLMALDNDSNLAAEMDTRAPLKAASKLYDIIDHLEKIQI TSTA_125660 MERIVRPLSRQLTRQRLRYPFSVPSSPVILRLYSMGHTIPPLKD KSLFIEKAYVNGEWVGAESGKTFNVHDPSDGKLIGTCPEFTVSDTEKAIQAATAAFPS FRKTLARERARMLRRWYQLMVDNADDLATLVTWENGKPLADAKGEVMYAASFFEWFSE EAPRIYGDTIPSSVPGNRIITLREPVGVCGLITPWNFPAAMITRKIGPALAAGCTVVA KSPGETPFTANALAELAHRAGIPKGVVNIVTADKNVAEVGEILTTHPDIRKVSFTGST NVGKLLMKQASSTVKKVSWELGGNAPFIVFDDTKDIDAAVAGAIASKFRSSGQTCVCA NRIYVQKNIYDEFVAKFTEKVKGFKLGPGFEDGITHGPVIHSRAIDKVEQHVRDAESK GARVTVGGKRATELGDNFYHPTVLAYMTKDMQLASEETFGPVAGLFPFETEEEVIKLA NKAEVGLAGYFFSSDVKRCFRVAEALEVGMVGINTGLISDVASPFGGVKQSGFGREGS KYGIEEFIVTKSVTFGGITEPGL TSTA_125660 MERIVRPLSRQLTRQRLRYPFSVPSSPVILRLYSMGHTIPPLKD KSLFIEKAYVNGEWVGAESGKTFNVHDPSDGKLIGTCPEFTVSDTEKAIQAATAAFPS FRKTLARERARMLRRWYQLMVDNADDLATLVTWENGKPLADAKGEVMYAASFFEWFSE EAPRIYGDTIPSSVPGNRIITLREPVGVCGLITPWNFPAAMITRKIGPALAAGCTVVA KSPGETPFTANALAELAHRAGIPKGVVNIVTADKNVAEVGEILTTHPDIRKVSFTGST NVGKLLMKQASSTVKKVSWELGGNAPFIVFDDTKDIDAAVAGAIASKFRSSGQTCVCA NRIYVQKNIYDEFVAKFTEKVKGFKLGPGFEDGITHGPVIHSRAIDKVEQHVRDAESK GARVTVGGKRATELGDNFYHPTVLAYMTKDMQLASEETFGPVAGLFPFETEEEVIKLA NKAEVGLAGYFFSSDVKRCFRVAEALEVGMVGINTGLISDVASP TSTA_125660 MLRRWYQLMVDNADDLATLVTWENGKPLADAKGEVMYAASFFEW FSEEAPRIYGDTIPSSVPGNRIITLREPVGVCGLITPWNFPAAMITRKIGPALAAGCT VVAKSPGETPFTANALAELAHRAGIPKGVVNIVTADKNVAEVGEILTTHPDIRKVSFT GSTNVGKLLMKQASSTVKKVSWELGGNAPFIVFDDTKDIDAAVAGAIASKFRSSGQTC VCANRIYVQKNIYDEFVAKFTEKVKGFKLGPGFEDGITHGPVIHSRAIDKVEQHVRDA ESKGARVTVGGKRATELGDNFYHPTVLAYMTKDMQLASEETFGPVAGLFPFETEEEVI KLANKAEVGLAGYFFSSDVKRCFRVAEALEVGMVGINTGLISDVASPFGGVKQSGFGR EGSKYGIEEFIVTKSVTFGGITEPGL TSTA_125670 MDTKSSPLRGNQVQKPSSQHVLSHSRSGLRLSKHHRDRAVSDAK EKELPLVERTNAMSVQDFVSRYIDPSQLQLNIATKLEDDSSTTTTTTAPIQIYSASTI PSLDFEGCFSLIETTSAENYKASSMGWSPSKKRKEMRLPDMRFMVLRGPPQASPIPQR NSSNNNNNKRTTRNSSGTSTPGESEVSSTSESGPETAPTAAATTTTTSPHSEVLGFLS FMTTYEDGKKVLYCYEIHIHPSLQGQGIGRHLMSLFEETGRRIGLEKGMLTVFRANSP AVGFYSRLGYTVDEYSPGPRKLRNGTVKEPDYLILSKAF TSTA_125680 MPVDRRKTAVFAAAVAVRLFLFVAFPSLPDLLTSRVEVSTPVSS FKRLQEGLFLYTRNVSPYDGGVFHQAPLLLPIFALLPNPRDSPFATSLFFTGIDLLNA NALINISDSAESVTGRLHSSLRKHVRWDGIAIAAWYLFNPFIISTCLARSTTIFTNLS ILYAISNAVSGNSVNAIVALASAAYLSLYPSLLFLPLVYLCYDRSIRNKSDSERPSEF AFFAKHFSAFITAVAGLLGASFLVTGFSWDFIPAIYGFHLLVPDLSPNVGLWWYFFIE IFDSFREFFLGVFWLHLVGYVGGLTIRLRRQPLFVITSLIGIFAIFKPYPSIADVSLY FALLPLYRHLSPLTRYTFFAAAALLYATLLGPAFYHLWIYAGSGNANFFYAITLVWSL GLTILVADLIFAALRDEWEQDNPDLKGKEVRQI TSTA_125690 MAKIKKKGTSGQAKNYITRTQAVRKLQISLPDFRRLCIFKGIYP REPRNKKKAAKNSTPNTTFYYTKDIQYLLHEPLLRKFRDQKALAKKIARSLGRGEVGD AARLEKNLAPKLTLDHIIKERYPTFIDALRDLDDCLSLLFLFASLPSTTSVPPKTIAR CQRLCHEFQHYMITTHSLRKSFLSIKGIYYQATIQGQDILWLVPYRFVQQVNGDVDYR IMATFVEFYTTLLGFVNYRLYTSIGLVYPPRFDSASDERGAELAAFKLESKNVGHLKA LEQPTANGTTPSEVSKEIQQKVDDVVKSAGLDEIQDTTVAEDQTTNEYAIDKFEPAAP EADTLPQPDGSENETGNLFSSFTFFISREAPKAPLEFLLRSFGCKRIAWDAVAGEGAF TNDETDPRITHQIVDRPPLPESSLPAVPEAHADSVRIRTGGRIPGRTYVQPQWVWDCI NEGKLLRPDLYAPGATLPPHLSPWVKTTRGAYDPRASLADQEEEGEAERAEEEEEEDE SEDEQVGDDSKMANGAEEDEVSEADDDHGMDVDDSEQDESDEGEEDEEDEFGGFDDAA EAASESEDEDEALRSQHQKELEAEAAGLPFSGSTKSSSTKKSSSKTKKYEAERRKEEE ELERQKMMMSRKKRKLLDKMLYSNKKKEEEAQKLRSKRRKLENAAKTKA TSTA_125700 MAEVRNIMGRGWLLNGTSSLLHLLYSSLEFGRTDTAMFNLTLDS SGIIEPDALHTTSSTMQVLLSPDNLTLHLYHSLQGQQNDLLVSASQLRDRIEQLFDVA KVTEKRSSKLRDMTRKILEGWDSKDLATFTDPVQPRFCKLGTMSKSWVGFTRVICAVA LFGKGFGELRSQLAKEEHCELAQVILHTKMVRRCLRDVEPTKGEHGEVPSSSEDSDSD DFHDSGLGLSINSSSTNEEPTDTLAYKDYEIGTLCAVRALFDDEHRSMNPVEKYPIVY FLSPMSGYYVVVAGLPVNDYSDNSGTHVASHMIRTFPGIKFCLLHIQNGRFEMTSVIT EIKSEPPMSISNEVRKDVEMIASRYEGYAHPGIETDSLFESHTVHKRGEVICVKCAGS PKERKDRPSLDPHVFYGLVASGNKVMKDARLRDCLAQQDYILCFEMEPAEVIKSTDCL IIRGICDYAHSHKNEEWQEYAFATAAAYANYFLSRCPGDTCRQRNFY TSTA_125710 MVELKDVYEENVTLVQSRPLVAAFFGGTSGIGHQTLRALAGAEA EHNGKGLRAYIVGRNAIAADEILAECRSLYPQGQYTFFKIDDLSLIRNVDRVCAEIFE AERKHGQNARIDYLMLSQGGSIFLPRTETKEGIDMTMSLMYYSRMRAIIQLLPLLLKS TLPSKVVSVYAAGMEAKFYPEDLSLRDLKLYSYGQARSHMVYMHTLFMEMLAEKYPDK LSLIHIFPGLVIGPTFYSSEFPLWFRIMFRVINPIFGRFLTVPRQESGARMVNLASSR YLPRSSNSPKSYQEGSVEVDTKGKPGSGVYSLGWKGENNFKPELYEEFDKDEMRKKVW DHTVKAFEVIEAGNMFTG TSTA_125720 MSRRRFHTKSRYGCLQCKHSRKKCDENRPQCGRCEKAGQHCSLS DISSSLMFISTDPTAERFKKILNVVERQPNSEMAISSTVFHGRSTNTSPADTSSCPSF TSTSENVSETHISDTEKERLRLMHHYTLHTAKTLAELSIPTDRDQTIWSDFAVDLALE HDLVLHGLLSLSALHLALRGIFKQRHTILAIHHHDLGLAIFRTHLTNITSHNYDSIFA FTCIVMLYAFGIQRCSESTANTIAKVHQILTLISNSRPITKSHMDALRHSRWSVMMMS EPYPTLDQKLPDDMEAMLAKLAQRVAVTAKTASQADVYNAVVQSLRYILILTSTPRPA QVTLVIFPMLSPREYWDMMRDHDPLALAVLANYAVTLHWLRYSIWLEGWGKETVDAVH ATLPPEWHDCINWAVEQTRL TSTA_125730 MPAHSHSTYPFTPSRRIISTHDPATGRAVFNNIPENPPIGEIPN SSSAEPSRNVKVYSTYTFPVSGLSPQSQVTSEEDSNLDLKAYEDDLKHPRPPDGSQGC QTTCRLLEMAPGDEAPMHRTITFDYGVVIDGVVEWELDSGETRILKKGDVCIQRGTAH AWKNVTPVEENNGWARMFFVMLASEKIRVKEGRELGVSPL TSTA_125740 MPRCLLITFDAFATLFHPRRPIPELYASVARSHGLNDASITPAT LQSAFKTAYKAESKAYPNYGRDLVLRGEYGGPKQWWTAVIKSTFANALNQPDLQLPGG MVERLIETFASREGYSLYEDVEPCFDELIKYKVHQSEFDCVVTGAVSNSDDRVSAVLR SLGLSVGRTRADEDRSSTLLPGFEVTVSEDSTDRGLQPNDIDMVITSYEAGQEKPNQL IFDVAARQADRLLSAEKSTKYDLNDIEWTRIHVGDDFAKDYQGATNAGWRAILLDRER TFTDT TSTA_125750 MANERTPAPVSVLFVCLGNICRSTMAEGVFHSLCSSSQQYGALI DEIDSAGTGAYHTGDSPDYRTMATLKQHNITNYNHAARKVNKDDFRRFDYILAMDEYN LKDLLRLRDNIISQSARTKAHTNTISTECTTPKIAEVRLFGDFNPDGTVNKKVGGGQE IEDPYYGGINGFEIAYEQAMKFSKGFLVYLERKQQQS TSTA_125760 MPTHLSKTRKHRGHVSAGHGRIGKHRKHPGGRGMAGGQHHHRTN LDKYHPGYFGKVGMRHFHLQRNHEWKPVVNLDKLWSLLPQETQDKYLAEGAPKDTAPV IDLLALGYAKVLGKGRLPDVPVVVKARYVSKEAERKITEAGGRIILVA TSTA_125770 MTASRASETAWRVNNWPVLAKIDTRLNFDKEDHCEFMYYKRHDM SNTRFENGTDLRKYVRDEIITTAVWKMDSKAQRIGNDGALTLVEAMEERMARMEKELA DQKKKTAEQAEELADQKKRTVDQAEELADQKKRTADQVKELADQKKKVAGRNRLWWKF RVSELERIIKKTHNAARFERNEIVHGADVLNDYQALEYADRPDNEAQFKTASEGFKKA YGLQLGSFSYDKLSKAPEGVIDIISLRGNVTFLDWFSNHKEEAGVIAKQCDLAVRKWL KSIKTNGVPYPEDAVKADYKRVKELYDSVRSQEV TSTA_125780 MASLSQEINSEAATHTKQRLNVEISRTEGEVVLRDDPEAKAAFL STFTADEEKRIMRKVDRKFLVLIGLMYMIKQIDVINAASVKVLQVGQPSNILKELHMT PNQYNWVQSIYYISYIIFETPSNLLLKRMGPHVWQSRIFFSWGVIVACHAAIQNKEAY YAVRFLLGMMEAGMFPGVVAQLASWYRTEEMGKPIKWFFAIQTASTIVGSLLCYGISY MDGIRGLSAWRWVYILEGVATILFSGVVYFVLPDYPKSPSSDKWLTKREQEFIETRLP EHAPLTSDPFFSKKEVMASLKTPTIWSFMLSQMLVNMGGYALTWYLPTITTNLGFASL PRNQLLNIPPAAAAVIALVFADYVISLALIPRPAVIMIIMTGMVVCFVLFFTISSRVG IYIACILGTMFYQSYFIPFWAWRSSTLKGSTGAAFTLGFQNCVGQVGGVVGPQLFQSK WAYNGYKNSFAIAAAAIIAAFFANILTWWLTRNVEMDVVRIARLKRQARKEGRVFADD DVQVFEQRKYKSVIRLGRKSSNEETSSLETV TSTA_125790 MSSYNRLDPYADDGSRSPIMNPHYTDNRTPSPGRPLQPYQHEAE FRPLQMPSTDHLLDQPTYSVEGINNSYGHNERFEQHHIQNYPHEYTLRPEDHHDAYYN QPYEPTGIPHDDYDLSNYPHQQPSPYQDDRVPILQADNPYGPNPYEPPEYTHGDDYVA DEASGQPEHVPTPPAASPSPAPIRRWKTVKEVQLFRGNLVLDCPVPPKLLNQVPHAQP PERDEFTHMRYSAATCDPAEFYNDRFTLRQSLFAKPRHTELFIVITMYNEDEFLFART LIGVFKNIEYMCSRSNSKTWGKDAWKKIVVCVISDGRAKINPRTRAVLAALGVYQDGI AKQQVNGKDVTAHIYEYTTQLALGLKGTQVYVKGRSATPVQMIFCLKEKNQKKINSHR WFFQAFGRVLDPNICVLLDAGTKPGKDSIYHLWRAFDLEPMCGGACGEIKVMLDRGKN LINPLVAAQNFEYKMSNILDKPLESAFGFISVLPGAFSAYRYVALQNDKTGQGPLEKY FAGEKMHGANAGIFTANMYLAEDRILCFEIVTKRNCRWVLSYVKSSTGETDVPDRMAE FILQRRRWLNGSFFAAVYAIAHFYQIGRSSHSFMRQFMLGFEFIFQTLNMLFAWFAIG NFFLVFHILTQYLGSHDLLGTAGTILGIVFEWIYLSTLVACFVLSLGNRPQGSNKFYM TMVYFWVIVMIYLTFAAVFVTVKSIQAQVHENGFTFSDLFTNQHFFTIIISLASTYVM WFVASIVFFDPWHMFTCFIQYLLLTPTYTNVLNVYAFCNTHDVTWGTKGDDKAEKLPS ATVKPDGKVDVSIPQDGGDLNAQYDLELSTFATKPAKEVRVVSEAEKQEDYYKGFRSG VVLVWIFCNFALGAVVLSTAGLENLDSKSAETDTKRADIYMSVILWSVAGLSLIKFIG AMWFLVVRMFRGV TSTA_125800 MDPDTPPTESAPSAFNYILSFVLVGIAWGFTTPFIRRAAVDFNK RQEDTNQSSADDDSQLQQQRRGMLDIKKKAIALFWTVANLLRTPAYAIPLVVNLTGSV WFFLLVGKHELSLTVPISNSMAFLFTVLGEWYVERKVIARETWLGMFLVLGGIALCVQ SKNHV TSTA_125810 MSSLYPPDTLHFPPSSQPFLEPYLPPLPTAENTSKVYPQENEPT RPFTTLTFATSLDSSLSLAPGVGTAIADNPGLNCRIEGAGGYEGQTGEKSADPVIQTL AQDAKSNPELKDLMERACARMASKGELQTFQNPIDQIKDKQDVDDEEMIIRKRQEKLH QPRPIIIDPKARWVPQETSKIIELVRQGRGKAPFVIIAKSTSPPQHGRDLLEKYGGKF IALDTVASDINDENGETHRHFDWHDILHVLATKENIRSIMIEGGGSIINSLLSEQKFS GLIDSVIVTIAPTWLGQGGVVVSPKRRVDEHGYAIPASRLTDVKWYPFGEDVVLCGRI QGLDRST TSTA_125820 MSTQSTPRSASVDASPAHILTPGQKIKAMLAQFDSDSESENNTP ARHRIAPSKFTTIVSKTNIASALDGDNDDEDEDIAILAPRGRMAARMLGLENTPASGL SKPNHQAETAYYRVAKTLQLPSANETAGDGQNVDEDQSSEDDIPNTAPTRRRIFAKDY ELNTTTENNESPRRSTQRTESPLFMEEDNEPEPRTQNNLPEGPNARFMALVQQKRKER EQRERIEAAKKAARAEKMKKFNSDIVAGDESDEDPENSKQLTQSSRPARKASKKALEE MARETQRLSRNRQLAYQPRVKRKITIASFVALMNSDKNEQTSETIDSASSSSAPSFDA DGDKQKETPPTSPAEMSFDEQKPLQNQPSESLQKVTGKVPKMSNASRVVVRLSREEVA KRQNEEPDDDLEIITSPSRARKTAIFENFRSKNSTESKAMLKLRLLAHLMSPTRRNKS LSPAELSSLLRLKARQQALQERQERIEELRAKGIVIETAEEREAMEADVEDLMEKARQ EADAIARREKAARGKSRDGDDEDEEEDEYVLSGSEDEEGCGMEEGVESDAEGEDGNEQ LEGETVRPVQKLVDNEAGEEAESDEEPEESSPEDEQTITHAVRGRRGRRVVIDDEEDE ANEVQPTTAIQEPETPARSVPASVGSAQRPLFPDMPGASTFTMSLTQAFAGTIADNQS DDEEDYFKVLQSLPDPGLPNFQQMAVDSQVIVKDSQDERRGSVDLFAGFTQSNSRVSE SPGPNWSQFSQMPDPTQDIGFIYSPIDLSKRFVDPPVSTIDTVILPESESPVVRRKGR LLHRGRPAHLSDEEGGDFEIKASAFDVMKKASKQKTKSTFDKSNSKAKEHIDEVAEES EDEYAGLGGASDDDNGEEDELDREMINDNSGEVVDEKELAALNANHQRAMDEKQVSKL LKDITTGALRRRRGADDDLDLDDSDDERMARRRAKQREFAKMRKALLADEKVSEIASN PKKQAFFKALEDRDDDDEMDFEFNNGANPEEQDPQNEERPAAVASENSETTSHKRKRP LEPAVEDVTNRPPPHLRRTTAGVSRKPSSLAEIRETLSFLTATPEYDSFYEDAAIDDE IVYSTDKRNSEDENESKAGPSRKPSVERFAIPPNPRRTRGPVIDRLALRRATSSNSAQ ASAKPAFLASKSSWDTANVGFRPPPLLRRATSSWSTSDSSSSSFSTRGVKKSSSGSNA ALANPNKGSVNYYTAARERERERELRMKERGGKKLTAAKIAQLQKKSLENGLLAWSKK ESGFGI TSTA_125830 MTSLDDELLALAGDSSDEENNASPQTRPNAHSQFPPAEREADHE GDDDEAEKDKYKESPPRKSREMARKGVAKSVKASKPARRNKQKREESDEEGELSAAES LASEHSASMSESEPDDADLQIEGDKPIFPYDKLYYSSDDKKRIMALPEIEREQILSER SQQVDRHNQDLVLRRLLASREREQARAESRSKRKASTTDIEDGTRKSSRQKTTLGGRR VGETSDAIEAYKRQREQKGKRDEQRRRDADARQARERSSSHEEGAYSDVDAEGESEVE YEEKARRTPPKQPDVPKDDLPATFKDIQRVRVGRSNFASVCFHPTFVPSLTNCFARVN IGPNRDTGQNEYRVCIIKKFTKGRPYALEGANGRTYVTDQYAVLAHGKAEREFPFIQC SDSPFTEAEFNRWRQTMVVEDCKIPTKSMLAAKVVDINNLINYKFTPEELEEKLRKQG ADNANEKLLRRFELERKLNEAIAQGNDDEVASIQAQLAKETTSKLAFNGAFNKTRPEK QQTPEQRLAELNRRNQKLNSENVRRAQLEERRMNRKIAAAVARGEATADPFARVKTRA KTYHDVNASAHSVPAKETPTDNGNGTPAEKSESPSASQVSTTPSKVQSKPKGIAVIRH RNMDDDNIAALELDLDGDLDLGF TSTA_125840 MKIRSREVAVVIQGVIVVSTVPELLESKARCYAGSKAAKSLGRR HHQLLRATTTIGLFFIQEVETMCPAANSLQYRVLRIPRGVFTPQTPLYLDFLAPSTVR HSSRRCFFFSAKTSTRDVAEPAEPREPFEQNRSSSPSAKPRYVLSRAQRVYLDRALRV NQAGELAATLIYAAQKPPILRSHPHLRPLMKHMYDQEAVHFAKFNHLVAKHQVRPTAM YPIWEVAATFLGWSTAIMGREAAMACTEAVETEIGTHYNEQVSTIIGWMKEAEQRGEE VDDEIKDLLALLRKTRDEELEHLDHAVENDSKEAKPYDPLVNIIRTGCRAAINISERV TSTA_125850 MGNTTSAVAQQCLLNAVGNDASLVAFPTAPLYESVDVNPYNLDY PVTPAAVTFPESAEQVSAIVKCAVDADVKVQAKSGGHSYGNYGLGGKDGAIVVDLRHF QQFSYDPATQYATIGAGTLLGDIDTRLHNAGGRAMTHGTSPQVGIGGHATIGGLGPTA RQYGMALDHVESVQVVLANSSIVTASTTEYSDIFYAIRGAGASFGIVTEFTVRTEAEP GIAVQYQFTFNLGDTSSRANTFKAWQQFISDPSLPREFSCQLVLAEGILLIEGEFFGS LADFEALQLESRFPVNQGYNVTVFNDWLALVAAWGVQLGEDLTGGIPAHFYSKSLPFS NTTLIPDDVVDNFFEYIDTANKDTLLWFIIFDLEKGAISDVPVHATSYGHRDALFWLQ SYAVNLLGPVSVTTSTFLNQVNNIFLTGMPNVTFGAYPGYVDRELTNGPEQYWGTNLE RLIEIKSIVDPQDIFHNPQSVPLQ TSTA_125860 MRLPWKRLIRFEAVDGRILRGEPILPSEKEIDLGFVTAADKLQV RVLSGDDIYDTTGKTRFTEEVAQVERVLGPLTPSETPILRCVGLNYSKHIKEAGRKPP PFPFIFFKPNTTLNDHGAPVVIPKIAQNEQADYEGELCIVIGKDAKDVTVEDALDYVA AYTVGNDISSRKLQRDPAYAGGVPQWGFSKGFDTFAPLGPVLVAASEIPDPSRLHLKT VIDGEVRQDEHVSDLLFDCKYLISYLSQGTTLQKGSVIMTGTPGGVGAGLNPPKYLVP GTQMDVFISEIGTLRNNVVFA TSTA_125870 MTDQASTHGHSVKRRRVALACDACRTRKSRCDGRRPKCGMCEDL GLNCVYTAPVTTKNVIVQKDYLSNLEDRVKALEDSLNIVKSDVSAVKSKMSKGSSDEP VKENGHGHQNEQSPEFVETEDAIDAMGAVAFADEKECGFFGASSNIAFLHYLSCAVAR SENTQRNIISPRIDRVAFDGGFVNATRSSSPASAATPESRSNMFILPPAEEALTLIHR YFGDTGLLFPYIHPDTIFGTYSELRKGSKKIRRTWLGLLNMILAMAKLTTVSGHSPAE NCIRESSVYYSRAFNLCRGEILRGTTLEVVQYLLLMGQYLQGTQKSVQAWTIHGLTVK AALQLGLHSKDASKAFPPLEQEMRKRTWFGCVVLDRVLGMTFGRPTAIPNCYVKLELP TFQGGSDILLTAADKEAYHSIQFFNSTITLYKQMGCIIDQLYAQNLGCGPPLSVGETV SRVLGIETQLHTWVLTLPDSLRLVTVAAIRDEIRQAGSQPQFFPFKFRVILTLRYLHV QILLHRPVLVKFLDTTGVSEIDTSEDRLLNDIGCSSMNRIIESARGIIDIVHELVSSP EWTRNLLGAWWYSLYYTFNAALVIIGATWVHRTRPSAINWDKAYTNIHIYPSRAVTSL YKLNRNRMVDRCRSYLEQLMSALCLQQRKASSSTGDRT TSTA_125880 MACRGLGSIDGGKPVAVPSYPSNCLYFAKELLKDHSLAPNQRSS CVTLNIIVVGAGLGGLATAIALAQAGHKVKVYEQTPVLGEVGAGIQIPSNSTRILFKL GLQPYLAPYVTEPESIHFRRWQNGKLIGKTKLVPNFGENFNAPYYVIHRADFHSALCR KARDEGIEIQLGAKVVDYDPVHGSIKLADSTEHSADLVVAADGIKSAARKIVLDGQEM PFRKPGFAVYRAVLDVNKMRDDPELSWILAKPALNIWIGDNRHAMTYTIGAGKAFNMV LSHPDLMGPSTWNEKTALEDMKAEFQGWDPVLEKIIGMVEKTIKWPLMSGSPLRRWAV GKLVVIGDAAHAMLPYMSQGAAMAVEDGIALARSLSHMESPEQWQHAVDIFQTVRIKR SSQMQEASLLNGQLWHFADGPLQKARDAAMIPEVEGLPFSHSPNQWSDPATQMWCYGY DSENAIDEAWVKAGTAHENSSML TSTA_125890 MPQSAVVHIFMSRSGQLIFSMEFNGHLERRESKQPSFTMAQAGV NTTINSADSAELFLKELENRNTLPLWTQMTRLNPPEPNPTTVPFVWRYDSIRPNLLRA GNLVTDKQAERRVLMLVNPAREAPYTTDTLYAGLQLVMPNETAPAHRHTAFAMRYIIE GNGGFTAVHGRRIKMQKGDVILTPTWNYHDHGKDGNGPMIWLDGLDLPSFRHFPVHFV QHFSQQRYPAEDIDTATSPIVFPWTQMKAQLDEAPGDWVTKRYLRADGKEVSRVLGGC AERLNAGTSSPPRQETVSAVYHVITGSGRSQVGNEGLVWKSGDTFCVPTWHNYQHFAD DGETVYLYRFDDKPMITALGFYRSADTDVEALVST TSTA_125900 MFTILKKSPAFEPRERVGVLVIGANGYLASHILDLLLSPRASDP SHSADAEKVINGVLKTTQSVLEAAAEKKSVKRFNPTSSSNAVPLPKCDVEGIIIDENT PAPYKAFYAYSAFKTEGERFAFKWVKEHTPHFFLTSVVPSFIVTTES TSTA_125920 MANHTPSKDNASLLPAWVNPDLDRLMVVKHADGDFRSWSESLVD LPAGALFARITGVTTISPPNYLSVQAGPDLHLELNSDLQYINHSCEPTLEWDMSCMEI RPFDCWCGAGEGKCLGRIEGAAKLGTEKSKNWWLNEYIREMLEEQEKANPRED TSTA_125910 MKRSHSPETPRPFRNRNISQDRTRTRTLSSLTSSVSPPRLKKSA SRVDRYHSNRPKNDDTTSLSLAAVEAGQVKIDDPVELFSARLAAASRPVSTFTEGSRL PVKDWIDLYSRNQHENGCHFVIHQHDHPIAGPHYDLRLQFSESSSLSWAIMYGLPGNP NSSRLNRNATETRVHCLWNHLIETGSSNTGSMIIWDTGEYEVLPYNATENPLETEDSA SDASTISAEFPIREQRPSESEKLRQAFQNRKIRLRLHGTRLPKNYTMSLRLSMEDDNA ARARPTNQKRRRRRINPAFMKPVRTPSTSRSPSPEIAHRQSSNSVRTINDNHGEEDIH GSHSASESESEQAADMKTRLTNAYPGAVNSIGSVHQRRWFITLDRMNSGFIRDSKRKI WKRKEATCDQDVSGFEPFYVRGPEFERSVVTGRTGNEVLKDENVEGFIPRRGWRPVLN TSTA_125930 MASHTYILFDIPTKAPQVCWSMNTWRTRLLLNYKGLDYKTEWLE YPNIGVRLGHHVPPNEQGPHFTLPAIQLPDGSYVMDSYKIADIIEERHLEPRVHRLNI PAQLTFRNILTNLMRQLTPLYIPGIAQRLLSNESIDFFIAARQKDVGMPLDEYGKQHG PGAFDRAEPFLHEMTALLDETAGPYFLGDTVSYTDFIWAAILLFFKCFGDDIYGELLM RSGNSQVHTEFLDALSQWTKRNDYLR TSTA_125940 MSKSSDNVSVARPSVSGGRVLQAKFIRPAQMSLFARVTCYPPLG EVTCLKRSYESGLVTKDKIKFTVMLSSSTSFPERDWEVEIWHNILQNEQWTSLPLARS KSSFKPTVIGNTDILENYHHYIYSSELELPPSAGRGNFTVRYRTGPDTEWQWANQRRY VKDGEVIFGEKDRVIRSSIDTTSQSDAGDSPRDEIGEYIQGLSMQLEIQTKKSEAPNA LLWSVTGTAPPATGEKSGRIQVSLGIPKSYLRYFSLVRVWSPWLAPRHGEKKFRLTED AILCSFLRADGLNLVLLAVSGVNDILTVFGSGDDGDTVAKVRNENTEEARFQILVSVA ESFEVGICALIYEARKVVRDSSTAIELPLVSDLPPEPDSPTSDDMVIVGDDARTQWLA DWYEGLSYCTWNALGQNLTEKKILEALDALKVHGIKVVNLIIDDNWQSLDNEGKEQWY RGWKNFEANEGGFPSGLRHTTSVIRQRHPNISHIAVWHALMGYWGGISPTGALAQKYK TKEVMRKDSVASGKMLAIDPDDINQFYDDFYSFLTSSGIDAVKTDAQFFLDLLDSAED RKRFISSYQDAWTISSLRYFGTRAISCMSMTPQQIFHSQIPTNKPSILLRNSDDFFPD IADSHPWHIFCNAHNALLTAHLNVIPDWDMFQTSHPYASFHAAARAVSGGPIYITDKP GDHDIGLINQITAPTTRDTTIILRPSVVGRTLDVYHNYNEGNILRIGTYSGWARTGSG ILGLFNISPGDVSTIVPLAIFPGIDATTANTPSSFPIHDHSDGYASYIIRSHSTGIIS DIMTPTGAHSLVSVTLASKGWDILTAYPLRTFTLEGSRGCSSMSSSTTSLLTHVAVLG LLGKMTGVAAIVTSDITVVESGRLKFDVNLKALGVLGIYHSTLESRDIDKHVMVMISG LAVPRETVWKDHDNVLAVDVLRAWKALKLDAGWSNEVRVQVFIS TSTA_125950 MKLNSIVTSALSTPGTILTSTVFTTITSTVTTGSASTAYKFVPG NPPSSTPSPTSAAVLRQGERSASTPADVTIASTVTEVTTIKALATTSTLYPCASPFPS LTPTLPYGDASLPSNFSTQNSLFALTSTREGASAQACCSACFFELANCIQAYWYSYEG CVVSLAINASLAGSASGKHVSRNCPAGIFNGLSYERDMNPAFRSTGNIAGPCVLYGQL RVEVSKWADLDIINMSLNTVTKGVGNTTGSLNKTVDSTKKGDVSSTVKQTKKQADTAT KDLSGVSVPGEFPDNDRANDKKAQEMPQVSIPSFSSMLQWLMDKFESTVRNFISKYLP QQRQEAIYKSAMSRPMATTFIICQLICCGVPLLVFMAGVFIFAAVAILLWAILSLLVL GPVLLVTGCSGFLMWGWGWLFYSFIKWVDQRFLGGVLTRFFLPLSSGADDDTRRTEEE DKESGSSEKKKP TSTA_125960 MNLFQAFIVAFGLVVGCVSYEHSPSNATHPWSERIDFPLIPVAV SMIPQTGDLVIWSAYKNESFGVDASGVTQTAIYNLTNGTVAHYSVDHTEHDMFCPGIS LDFKGRIIVSGGDTEEKTSIFDGESWKPAADMKIPRGYQASTTITDGRIFTIGGSFSG GIGGKDGEIYDLEADEWTLLPGCSAEPMLTKDAGGLYRSDNHAWLFAWSNGSVLQAGP SPRMHWFDTRGNGSMTFAGTRANDSDAMTGMAVIIATSNAHLITVRKPGEPVNVEALD NMHSARAFANAVILPDGKVFIVGGQSHPIVFTDENASMIPEMWDPKTKKFTELPELPT PRNYHSSALLLPNATVFVGGGGLCPWKCDANHLDAHIYTPPYLFESDGVTPATRPIIS HVANPILKVGQTINVTLSKPVESNQKLTFSMVRMASSTHTVNTDQRRVNVSPQAATST LFTLGLPRDPGVLLPGYWHLFAMLNGVPSEAETILVEPPLA TSTA_125970 MSVTEASVAAPVVASSENNIRGHMRSRGRGRGGFGRGSNRGRGR GHGRGQGRDGTNEAFQPTAPEDAEIQAPVQSITQQGQRNQIQQPRQNRHREQTQSSAE GTSDGPRRRNKKPANTQSRKRLPTQIGGRSFGGRLTRGNGDSTMNLSPDSISRSNTGG QHGDHLHADVPEFVPGQLGHDARSGPQPVKQAHNHPPKVTTKSAAPDIATRTHEDIAN GLGQRTKVRRSSSVKTRTARMYNECGAALDVISLTMFCQQHIRVGVRKKLIPDLYQEF LLTLVDKPVLEVENDALTLAIQPAMRVLVHRVLLWDRHKTVSAERTQRQSDVLKQITL TVGVVARFVVTCFLVVNTLVPDLVTRVFADLAKRKSTLVAIVEKSRIRSYAAPPKRK TSTA_125980 MSCGRHICAEHCCSGERKAIERQTTKRKARPLGSTQNRAAENDI EAEHICTRICGRMLKCGRHTCPELCHKGPCGTCREAIFEEISCHCGRSACGKSKKICG HSCSEPCHAPFACAEKQLCPTMVMITCPCGRLRKEKRCNAGRAVTSKGQTTQNETPPS IMTLKCDEECARLERNRSLASALKVDIDPSTTLSQTTSQLPGNSTNMPYSEETLDLYV QISSSATLSTLQDYEATLHSLAASTTQRSVRFQPAKAPLRAFVHSLAADWGFASESFD PEPHRHVFVLKPTQWSSPGLGLGSGIGIRGISVGECVRIRDRELFKEREARRVAAAEA KALRDALKITSTEGTDGNGGWAQVASSRKRQTDSNSPANITRSGTPLTGLGGRGIGST GSMYAALGLDVASSFGNTSSKKKDGLLVLRSGVGSSKKKSEQHQQNYEDLADSWEEQV EHEEQREKEEEEERRRSSEETERIDSE TSTA_125990 MKINKRLTASILLAVSVRAEFDPLRFVDPLIGTNNGGNVFAGAT LPYGMAKPVADVNGQNTGGFATDGSGVTGFSAMHDSGTGGNPSLGNFPIMPQICPDDV IDNCNFLVGSRAVNYDNASVKATPGYFGLKLVNGIAAEMTATRHAALYKFNFESALAN SSGTKPLILLDLTDLWQSRQNATVSVDESSGRIRANGTFLPSFGAGSYRSFVCVDFAG GSVNDTGIWVNNRAGTVAQDLYVTRGFNNFYLEAGGFVMFDSLSSHTLYARVGVSLVS TEKACQNAEKEIPEPTSDFANIQSAAEKVWREKLSPVSVNATGVSEDFQKIFWSGIYR TMLSPQNYTGENPLWNSDEPYFDSYYCIWDAFRVQYPLLTIVDPNAQSQMVRSLVDIY KHEGWLPDCRMSLCKGWTQGGSNADVVLADAYVKNLTGIDWNLAYDALVNDAENEPLE WSIQGRGGLQSWKNLQYIPYLDFDYLGFGTNSRSISRTVEYAYNDYCVSVVGKGLGKT NLTKYLTRSMNWKNIFKADQTSFINGTDTGFVGFFQPRYLNGTWGFQDPIACSPLTDF CSLTSNPSETFESSVWEYQFYVPHDMSSLITTLGGPDEFISRLDYYYESGIADISNEP VFLSDYSYHYAGRPGLSTKRAHSYIPSSFNTSESGLPGNDDSGAMASFSIFSQLGLFP VAGQNVYLISSPFFESVNITHPETKKTATIRVKNFDPTYTDMYIMNATLDGQTYTKNW IGHEFFLKGQTLELTVGSDETASFWGKGVDDLPPSLSLSAQLLF TSTA_126000 MTDITLDQKEPDQEENAVGAVRNPNERPECFSSTSQECLFVLTS TMAIGMTSFFQGAIIVVLAEISRDLNMDSAETTWTTAGVALSSGTFLLPFGKVADMFG RRTLFIASMIGFTLTLLVIGFATSALYFDIFSGVIGLFCASSVPPAVGLLGIAYEKPS PRKNKAFACFSAGNPLGYVGGMLVSGIASQVSTWRASFWALAVIYAIFSILSIVTVPK DSSQAKAPLTWQSLLKLDPLGMLLAVTGIALFSSSLSLAGDAPQGWRTPYVIVLLVLG VLLIGAFLYWQSIYSTPLMPLHIWRDRNFSLLMGALSLGFMAFVSGQFWMALYMQQVQ NYSGLEITVRLLPMVVGGVLVNVACALILHRVSNKLLMFVATVAYTLSFLIASFTKED SIYWGCYFVPFVLMVVGADIEFNVVNMYVMSSLPPSEQSLAGGIFNTVSKLVSNVGLG ISTAIYNAVRDERSSSVIRPYLATYWFATAIAGVAIFLVSFLKLGTQGGNDSKEQSDE DPSSEGVNIKTERIMECLYETTLEGLWMPRYMPPTSPQPGFPRVEIVMGRLIVKNEDR GVQSFIVWLNDGNRMCKGVYARVLPRRAGSKPLDHSITSFRHVRLPSTALLGDTNNNQ NFHSTIHRVHVGTLALSTVLIPQLQHAAYVTGKYSLRRHVTGSNGIAKPVISFRTQQA PILHCLARSKVYKAFAKECIHVFMNKNIEYRVRHGIAATFKAVVTHASQKTLYALAER CGAQGLFEYNGVIESQLTARGVSIAEGDMLVLTIRLATELLLRRYSLPPPRNAFCLLA RYEHGVFEWSRKELNGISNGHHSEEYNTRILPHCHSLVRAIGNRLAYEAALEEGVEKD LLDLYEAGVLLQSPALSLQGTGIDLWREIQKERQAMDAILPRLEHLLDGTGVEPYITA PILTEESWVAFVDRLPGYGDKLYTRDIDGADCRL TSTA_126000 MTDITLDQKEPDQEENAVGAVRNPNERPECFSSTSQECLFVLTS TMAIGMTSFFQGAIIVVLAEISRDLNMDSAETTWTTAGVALSSGTFLLPFGKVADMFG RRTLFIASMIGFTLTLLVIGFATSALYFDIFSGVIGLFCASSVPPAVGLLGIAYEKPS PRKNKAFACFSAGNPLGYVGGMLVSGIASQVSTWRASFWALAVIYAIFSILSIVTVPK DSSQAKAPLTWQSLLKLDPLGMLLAVTGIALFSSSLSLAGDAPQGWRTPYVIVLLVLG VLLIGAFLYWQSIYSTPLMPLHIWRDRNFSLLMGALSLGFMAFVSGQFWMALYMQQVQ NYSGLEITVRLLPMVVGGVLVNVACALILHRVSNKLLMFVATVAYTLSFLIASFTKED SIYWGCYFVPFVLMVVGADIEFNVVNMYVMSSLPPSEQSLAGGIFNTVSKLVSNVGLG ISTAIYNAVRDERSSSVIRPYLATYWFATAIAGVAIFLVSFLKLGTQGGNDSKEQSDE DPSSEGVNIKTERIMECLYETTLEGLWMPRYMPPTSPQPGFPRVEIVMGRLIVKNEDR GVQSFIVWLNDGNRMCKGVYARVLPRRAGSKPLDHSITSFRHVRLPSTALLGDTNNNQ NFHSTIHRVHVGTLALSTVLIPQLQHAAYVTGKYSLRRHVTGSNGIAKPVISFRTQQA PILHCLARSKVYKAFAKECIHVFMNKNIEYRVRHGIAATFKAVVTHASQKTLYALAER CGAQGLFEYNGVIESQLTARGVSIAEGDMLVLTIRLATELLLRRYSLPPPRNAFCLLA RYEHGVFEWSRKELNGISNGHHSEEYNTRILPHCHSLVRAIGNRLAYEAALEEGVEKD LLDLYEAGVLLQSPALSLQGTGIDLWREIQKERQAMDAILPRLEHLLDGTGVEPYITA PILTEESWVAFVDRLPGYGDKLYTRDIDGADCRL TSTA_126010 MSTQLDAAAIARVSLHDPTHFSIQHSQTVHRLVLLQHWNIPADS KVLELGCGQGDCTTALASAVGEQGRVVAVDPAELDYGAPYTLGQAQSYISQGPLGNRI IWVRQPPLDYLSSLPSPHSSESKAFDATVLAHCLWYFASPELILSTFRALKQHSKRLL LAEWSLVATHPSAQPHVLAALTQAALECRKPNSVSNVRTVLGPKRLTELALAAGWQVE SETRVPGREGLLDGQWEVSACLSSSFEQEVEEQVSDERERAVVLASRDACEASLDGIQ GGRKGVKAMDVWVASFI TSTA_126020 MAKSLQLHKLLGFTISLVRLSEIDYMALNKDLSRGLPPRHSLVR EMANHLLLQRGNQQVGENWVYNLVKRRPEIESNFSRKYNYERAKCEDPKIIQKHFDRV REVISEYGILPEDIYNFDETGFAMGLCATAKIITGSDRYARPKLLQPGNREWVTAIEA TNSTGWALPSYVIFKAKQYTRLGWFEDLPSDWRINISENGWTTDKIGLEWLKIHFIPL TNGRTMGNYRMLILDGHGSHLTAEFDRTCTENKIIPVCMPPHSSHLLQPLDVGCFAVL KRHYGQLVEQRMRLGFNHIDKIDFLTAFPKARTMAYKAQTIRNSFAATGLVPFNPDRV LQQLNIQLKTPTPPPSQSSNTQSSCLQTPQNPRQFKR TSTA_126030 AYELTVNDLLLVRKENHDLRAAHEKEKEKRQISKKQISTEQGIT REEAQALVQSQVEASQAVTTTPGEPELPASQPVVRRQFRCSGCGVEGHKITRCPNRTS N TSTA_126040 MSCATESDDASFSGIMLASLSGGYRVDYKAQRSKAATEHHYEKR RDVAILESKLLKSLKWGILSDVCGRGRPLSSLNYLWVTVGITMLFDKVEDKPRKANHP YYTQVHLTGEIPYTERLALTTLVLNEEDEDVSQIILTGMIWKYRTRGSRFVKEKTRMS WTYEGRLSLAIDALNNEKITKLRDAARTFDVSLTTLRRRLKGSVPAHNASITRRKMTP TEEAVLRGWVFSLERRGVPPRQHMLHEMANILLAQRDPTKIPEKVGKNWVTTFLKRQP DLKAKFARRLSYSRALCEDPVVIGGFFEEIKQLKEEYGIADEDIYNFDETGFAMGISS TAKVICSSDCSGKSSLIQPGNREWVTVVECVGSTGTVVPPLIIFKSGTNRAEWYTSPK LPPDWLITHSPNGWTSDEIGLQWLERIFEPKTRPLTVGTYRLLILDGHSSHLTPGFDQ ACKNNNIIACCMPPHSSHLLQPLDVGVFSVLKRLYGAAVESRIRIGIYHVDKLDFLDM LYSVRIQTYTTQNIKSGFSHTGIVPYNPQKVLSQLQIAVREATPASIRPSTSSSSTWS PKTPYNARTLEKQAKSVKRSLNMGDLDSNSPSCPAFNQLIKGSLVVMHQAAILARENH NLREANDILQKRRTRRTKALQADGILTVAEGRELAQELPEEAQPPPPPNGSAPLQPAQ RALPRCSNCWEIGHKRNRCPNIST TSTA_126050 MQPANTEIRHKILPHHVFQLQCMLDTFTVSRSWSMSALRGHVLM PPAQGFATSTGCRPISRPRHDEICRAELRRKTFARTAFRSAIDIHNLLGVKGNRFSRE PTILNPYQHAQWDPYTFHLGDDSD TSTA_126060 MTVVSTSDKSGGDQYGGNYKPRALSSLPDAWVPYIQLARLFPPA GLFLIYFPHIFGVLHAAIRTEAPYTAVLYASTIMFAGSFFFSNAIHIWNDLLDADLDA KVERTSKRPIPRGSISPLAAFVFTLTQGLATAWFLLYIPGGLKNGFLYALPSILANLY YPLAKRHTYFPQVILGFCLAWGTIMGELMLGVRAITISIPDTFKSLAWANEDVNSSSF CISLDLSVLTLVLAGVIWVVIYDTIYAHQDLQSDLRVGIKSLAVLLRDRTKFVLWPLL GIITSLLVDCGRRSHLGEIYYVVGVGGTFGTLGSMISLVDLRNSQSCWWWFSKGFWLV DLLYDPIWIRAGVLLK TSTA_126070 MVSFQCEACGDVLTKKKLDPHRGRCHGASFTCIDCMVHFQGNEY RSHTSCMTEAQKYQGALYKEKPQKGNNTNKQNKKQTDNSKTPSDTNANFANTKKPRHP YVEDVTDADDLPAEKVPPPAPSPPSTSKATAAASKAKVAAPAEEDINVFDFLVTDQTP SASKLSLAGGKGPMTMVANARSVFEPSKALARYDTDVDDDDREYDIAYEENGFSYGAD PIKPSLYENQVSNVSMDFMTPAPKKKEKKHKKKDIERSSPDLEPRKTSDKKRKRGHVE ELDVEAANSRYEEDTPMTDAPSSIANNVGTPYLQHSGLTGGLDRMLRDERSLSPDYED YTSDEHDLRRYQDPQSPLKRTRPNEKFAGNNHSNGSTDGGLGISFKGRAGRIISMFGG SQVSTSSRGSAEPPSKALVRTRSSPPNGDHSGALVQVRRSKKTPNVRHVRTTEATGES NGNDYHDSARPSRRLKAIEQRGGSDSGGDDNRKIVLYQHQDDEALTEEERERDKALYF LSLVTKGPESGRGCSINKALKRFHRDYPARLESSEDHHDDKHSTERRGRGRSSREDRD RRLEEEKDLWRTLRLKRNDRGEIVVFI TSTA_126080 MAARPTTRLVLLSALALIFVTYFIFLQPRGPESPATRAPGHLTD TKNTIPSELALDEDVLKGGVVMPKLANETAKAELGRATWKFFHTMMARYPKEPTMEEQ EALRSFVFLFSRLYPCGECASHFQGHLKKYPPQVSSRDAAAGWACFIHNEVNRMLKKP QYDCNKLDEYDCGCGEADDNGEKDLARTVKNDAKESDQDHAVSPAVEITKEPLTRGG TSTA_126090 MSDPLYSGPEPTKLTIHQTDSPKDLLPPDQLKFGRSFTDHILCA TWDANKGWSAPSIEPYKALSLDPAAAVFHYAFCCFEGMKAYKDSKGNMRLFRPEKNIR RLNTSAVRIALPAFDEDKVLKLLAEFTKTESRWIPEGRGFALYLRPTMIGTEPDLSVS RPQSALLYIIGSPVGNYFGSSGMKAISLEACSSPVRAWPGGVGDKKVGGNYAPTIVAQ ESAGTRGFQQVLWLLGDPQVPEQQYMTEVGTMNLFVAWINPETQTKELVTAPLDGTIL PGITRDSILDLAQERLVPQGWHVAERRVYMTELKKASQEGRLLEVFGAGTALIVNPIR SISWNGEVIDCGLKEGQEVGEITITMKTWIEDIQYGEVEHSWSVVI TSTA_126090 MSDPLYSGPEPTKLTIHQTDSPKDLLPPDQLKFGRSFTDHILCA TWDANKGWSAPSIEPYKALSLDPAAAVFHYAFCCFEGMKAYKDSKGNMRLFRPEKNIR RLNTSAVRIALPAFDEDKVLKLLAEFTKTESRWIPEGRGFALYLRPTMIGTEPDLSVS RPQSALLYIIGSPVGNYFGSSGMKAISLEACSSPVRAWPGGVGDKKVGGNYAPTIVAQ ESAGTRGFQQVLWLLGDPQVPEQQYMTEVGTMNLFVAWINPETQTKELVTAPLDGTIL PGITRDSILDLAQERLVPQGWHVAERRVYMTELKKASQEGRLLEVFGAGTALIVNPIR SISWNGEVIDCGLKEGQEVGEITITMKTWIEDIQYGEVEHSWR TSTA_126100 MSNPFRKAAPAPKSALGYHRVLAPTAGVRVSPLCLGTMNFGTEW EAFMGECSKESAFAILDAFYENGGNFIDTSNNYQFEQAEQWIGEWMKARGNRDQIVLA TKFTANFRAAHFDKEPIQSNFSGNSMKSMHISLKKSLEKLQTDYIDLFYVHWWDLTTG VEEVMHGLNSLITAGKVLYLGISDTPAWVVVKANDYARTNGLRQFSVYQGKWNASFRD MERELIPMCRDQGMGIAPWAALGQGRFKSAAARQTAHTGAGRAAQLSENEIRVSDALE SVANRKNTSLHAVALAYILQKTPYVFPIIGQRKVDHLLANIEALSIEMTFEDIEHIDS AIPFDPGFPYNFLVDGKYDLNVTSSNLWATKLAGRLDSVEHQRAIRPHKE TSTA_126110 MQESTSSSQIPRTPLYTNEPVRRKPRSTTSKIRDSCHSCAISKV RCPKEKPTCARCMKRGVVCEYFVTKRPGRKRDNHVTEATETCHQISPPNSLLQDESGT GLFSSEPSSMAILNSSSTARELSNVSGTVEFSSYINVLADFMPPMEQSSPPRIPRVSN NFDGNLTPPIDFLKFGLLDSNMTNFAPESYDIEGLLTSSNFETGPSFENPLIGCTLPS KSFFALPVDQQGLLSNHDENSNTGVLDIMSKLFIPETPSSASMSTSPSDAATISNNTT SHSAVHSSAQAIVTQNKYFIESVDGILQCSLCAKTGYLMAILSTIISKILERYTIAAR QDTRHSISEEYGSSERNGRKNTTTKEYAEHFGAYPGMHYNDARRSRAQLVLSELHRVQ GLMNRLCKNALKEEAAQNNTDFERNSPRLSFSDGQRNGSEITFSAATLREMKSDLRKN LSSLSFGIIKMLRES TSTA_126120 MENLNQLQIYSTELATAIVSLSSHSQNGDLQQGPSSQPVSNSEG AHRAREKISSIVTKIRTLLWSPTDFLQHLACQNQILSCLKWLGENQILACIPLAEIVP IKDIADLTGVSATQLNRIIRLTATAGFLAEPKAAHVAHTPLSASFFSNPSLLDTAMLL SEFVAPATLQIGQQAPQQGSELQQQQQPSIYDSILSSAHSFHVARECRPKLNRQWSAY LHQVGGLYTATDVAAILTQLNWAKISNVPDACIFEVNVESLSSSVAQSLAEIYPNLHF NVQVLDRDSNIAPERESENFNPRLTVTNRRRGERQTANEAVVYILHLPLRSPNNVRNE LMGHIDALRIHGSILLILTARLLPEPGTLSDPHVEAVARSRDLVLLQLANESEMELGE LLEVIGTVKDSMGKLVVTKKLSARNGLVVSLVVKYEASQPMYEL TSTA_126130 MSAPVSEGGEKLAFGKEGQPSKSQDQTDIIYPRGLKLALLIMSI FVGMFLVSLDRLIVSTAIPQITNEFKSAGDIGWYGTAYLLTNCAFQLLFGKVYTFFNV KATFLTSIILFEVGSALCGAAPNSVAFILGRAIAGLGAGGILSGVIVVIVYAIPLHKR PKYQGFFGAVFAVASVAGPLIGGAFTTDVTWRWCFYINLPLGGVVMVFIFFLLQVPDR PTANIPLKEKLHQLNVLGMIALVPGVVCLCLALQWGGTTCAWSEGRIVALLVVAFALL IAFALIQVWKPEQATLPPRVFLQRSIASGFWVSLCLGAHMNLLVYYLPIYFQAIDGAS AVNSGIRLLPMVIPIVVASILTGQFVSYIGYYTPFMIFGVCLTAVGAGLLTTLEINTT TGKWIGYQIIYGFGLGCSNQTPNMAAQTVLPRDQVAIGASLMFFGQTLFGAIFTSVGQ NVLENQLIKRFLDIPGITAKLIQSTGATDILKFIPADRHTEALVKYNDSIRVCFQVGL IVACLSIIGAVFMEWRTVKKDLKKPDSEAGKGQAESTDNKTMEANQEKKENLTEADVE IKQENEKDKETASATSTEATRTASHEGHTKVTLERKDHIEN TSTA_126140 MSLPVQSLGLGDTLKSYSCFTCRQRKVRCDRRSPCSNCVKTEKQ CSFIPPVRGKRKRTKPLREGLHAKLKRYEELLRSHGVKVEPSSDDGEDSDSEAASQHD TEMKDVVKPRSKFLEMDDSNSKLITKEGSSRYFDSAPWSKLGEEFQHPEMGDIDEPIE ESNTLELGIFFEPESNHKPESLISLHPSSQALPKLREIYADRVDPWIKILHLPTFWAA LTDGVRHPQIMPKSLEAALFAFYLATVSALSENECQEVFGAQKEVIYSRYRAATRQAL VNARFLSTSSQMTLRAFTLFIMCVRNTYRVDTLFVLSGIAIRLARKMGLHRDGTSLGL SPFDTEMRRRLWWHLVRVDFRTADVLGTRPSMDLSSGDTRKPLNVEDEDLNPDMVDQP PERNGITSMTLCLIQCETMETLQRFSTSSPGNVRWEILFSGDISVAKKDSIINQIEDH MERKYLRYCDPSNSLHIMASIMIRSSLCKMKLFAHTRRQFANNATQTSQRERNIVFEN AIKLLEYVALLKGGQHGLEKYMWQIGTSYLWNAILYVLIETRHRKTGSEVNRSWQLIG EVLSHYPQVFEQCSGSVYTALGKWILEVWDNYVTASNAEGIPEPLTPAYISAIRQCRR PETGPNHPSSDSGPPTGASLGDRCESNLTDVASFVSYDFPDLLSFETDPNEWVQWEQL IAKQELVQVDGM TSTA_126150 MGTWRLTPREYMASTRLLYLGQLKWQERGIVTGTKLNARGPYSH KKKALSLDFVDLWYLHAPDHNTPYEETLDAVNELYKAGYFKRFGISNYAAWEVAQICE LCEKRDWKKPDVYQGVYHALHSHSPNDRGSNYFRRQYWNDAYFDALDVIRPVARKLGM TTAEAASRWASHHSMMKKEYGDAIIIGASNITQLEENLRSLEKGPLPEDMVRAFDEAW AIVKSACGPYFQ TSTA_126160 MHCGQDWHMISPDNKSFLRKSNNCTYGNKLARMDFNAQNFQSPV TISLAGLLATLISLLAYMSYSPPIDKRSPAFTSDTVPFIGSWRFFTQKLPFWRNSMAL SKTGNFSFWLGKNHVVGVSGEASRKMYLESRSLHLIKGITLIGHGPDFIDGRSTVIHN IWKSAYTNDRTYATRRLLDLQKSEHLVKRLPRVTRDARLAFEEMPNNATGVMNPTKVC YRLVVMQGSRLICSNEIADDPQQLNRLVRYVSTLQSTSSLHLLAFPWLSYFSVSYWKR RWGRNGITQIVKPIVNRRMRKGAPRFDDSLQFLIESGDSKDYITNFLISMLFIVAANA GVLSGAMLNIVAHHPKWQEKIYDEIKAAAAIHSKNSNAPLVDQLDSIPLGAWETSFPS IDLCYKEAIRMWVAFPMGRFNDTHSPIPIPGTNEVIPPGSFACYNTLDVHYNEKLYPD PMKWDPERFLERREEYKKEAYGYMGWGAGRHPCTGMRWAKLQQNIILAYALALYKWSG CDEHGDPNPHFAQPTTALNELAPRLPQGLWCKYVPRENV TSTA_126160 METVTNLTSSTLVARMDFNAQNFQSPVTISLAGLLATLISLLAY MSYSPPIDKRSPAFTSDTVPFIGSWRFFTQKLPFWRNSMALSKTGNFSFWLGKNHVVG VSGEASRKMYLESRSLHLIKGITLIGHGPDFIDGRSTVIHNIWKSAYTNDRTYATRRL LDLQKSEHLVKRLPRVTRDARLAFEEMPNNATGVMNPTKVCYRLVVMQGSRLICSNEI ADDPQQLNRLVRYVSTLQSTSSLHLLAFPWLSYFSVSYWKRRWGRNGITQIVKPIVNR RMRKGAPRFDDSLQFLIESGDSKDYITNFLISMLFIVAANAGVLSGAMLNIVAHHPKW QEKIYDEIKAAAAIHSKNSNAPLVDQLDSIPLGAWETSFPSIDLCYKEAIRMWVAFPM GRFNDTHSPIPIPGTNEVIPPGSFACYNTLDVHYNEKLYPDPMKWDPERFLERREEYK KEAYGYMGWGAGRHPCTGMRWAKLQQNIILAYALALYKWSGCDEHGDPNPHFAQPTTA LNELAPRLPQGLWCKYVPRENV TSTA_126170 MSSYLIVYGAETITRVLFSHLSPFSIKYGTLIVPLTHPISTIVF THCMVTVNMATIDKPEYTSPCSSRYICLAIRGNRKPSINEEGYRNHMVNNSVPMTKDL MVQYGVK TSTA_126180 MEGDKGGYRQINKSLNICAFDEYLKGQTANLPKLEDVEQLTPRV LRVLGQNPGKFTFQGTNTYIIGTGRDRIMVDTGGGEPAWAALIASTLESMNVNLSHIL LTHWHGDHTGGVPDLLRLYPHLENSIYKNEPEKGQQNITDGQMFQVEGATVRALHVPG HSEDHMCFVLEEEQAMFTGDNILGHGTSAVEDLGTFMASLQKMLAQRCAIGYSAHGVT ITNLPAKIEGELGAKLRRERQVLQALCRVRERGDKSATVKDVATEIYGASIDETIRTL ALEPFIDEILRKLAGDNKVAFEVKMGKKKWYSVEAVQHGRQFQELKSPSLVRVDVQGI YA TSTA_126190 MPIYTPTASSDSDDDSPKMELVYFSNEFPSEDLQNLFRQLHSHS KDKNHPVLACFLNEATWAVKDEVRRLPTELKQLISPFETILSWAEDTELREGLICGAV DGVLLTVVQLATYIGYAESYPEELCDLSNTYLAGLGIGLLASTAVSLSSTLATLPLAG ADAVRLAFRLGIHVQGVSENLEARDLSEKPDTWAYVVHNVDSEAAQKELNVMHSRFET PETAKLFVSAVSRTSVTVSGPPTRLKALFNKSEFFRGSRFIALPVYGGLCHAPHIFGH QDIWSIVHGSSLNSISRKAWPVVPVYSTSTGLPYPAKTAGELFESVVAELLTHSIYWD RVILGLVDRVKCIGASEAVLHCFGNSIPLNDLNTALKDGLPKTKVLINNLIPWMSEIS PTGTTTRSPAQSKLAIIGMSCRIPGGATNTEKFWELLEKGLDVSRRIPPDRFDIDTHF DPTGKELNKSMTQYGCFIDEPGLFDAPFFNMSPREAQVVDPQMRLALVTAYEALERAG YVGNRTASTQLQRIGTFYGQAADDYREVNQGQEVSTYYIPGGCRAFGPGRINYFFKFS GPSYSIDTACSSGLAAIEVACQALWNGQVDTAVTGGVNVLTNPDGFTGLCNGHFLTKG HNACKTWDATADGYCRADGIGSVVIKRLEDAEADNDNILGVILGAGTNHSAEAVSITH PHAGHQAYLSRQVLRQSGVDPLDVSYIELHGTGTQAGDYEEMKGVMEVYAPLTKRRGK DQPLHIGSVKANVGHGESVAGVTSLIKVLLMLQKNAIPPHIGIKTEINPKFPRDFDQR NLKIPFEMTSWPQVPGKKRILAVNNFGAAGGNTTMILEEAPTRDIEEKDLRRTHIIAV SAKTKGSLVGNIERLIAHLNAHPDINIADLGYTTTARRHHHSHRIAVSTTDVNHLKKH LAASLEKTDSVKPVGKSGPPPVAFTFTGQGASYKSMNLDLYRDVPTFRETIQHLDSLA QGQGFPSFIPAIDGSHPKDYVHSAVVTQLALVCTEIALAKYWATLGVKPDVVMGHSLG EYAAMHVAGVVTANDTIFMVGRRAQMLEEQCKIGSHSMMAVRASLAQIAEVSAGKPHT IACVNGPSDTVLSGAKDQMDEIAAILEAAGYRCIKLDVAFAFHSEQTDPILDDFEAIS KSGVIFQEPKLPVISPLLGRVVFDGKTLNANYVRRATREAVNFLAALENAQNVSTVSN ETVWIEIGPHPVCTGFVRSTIPSTQLTIPSLRRGENNWKTMSESMAALHLAGMDVSWN ELHRPFEGRLRLLDLPTYAWNDKTYWLQYNGDWCLTKGNTFYDSEKEVTKAKLISSAQ VTSEVQTSTVQQIIEQDFNGSAGTVVMQSDVMQPDFLAAANGHRMNNCGVATSSIHAD IAYTLGGHLHHKLRPKTKEVHMNIINLHVTKGLVAQSNTKKPQLIRVTATTANIDLGI VDLTWQNVDNAGNARESFATAQIIFGNASEWLSSWVPMAHFVQGRIETLEHMAATGQA SRLSHSMAYKLFASNLVDYADKYRGMQSVVMYGLEAFADVELTTKDGGVWTVPPYFID SVAHLAGFTMNCSDTMDTKNNYCVTPGWNSMRFAKPLLPGAKYRSYVKMIPTVEDPTV YFGDVYIMQDNVIVGMVGGIQFRRYPRILLARFFSPPDKMPVMEAKAPVAKSSVSTPQ PVTEAKHPSAADKSESLPSSVETIPAESKPVAKANVESAVSSNSITTKALALIAKEAA LEISKLEDDASFSHLGIDSLMSLVISEKFRTELDVKVSGSMFLDYPTIGDLRKWLEEY YS TSTA_126200 MIFNAIISLTIIGITSAHMEMSWPYPLRSKYDPQATSADYSMTD PLKTDGSNFPCKGYHTNTPWRSITTYTAGQKYNISLAGSATHGGGSCQLSLSYDNGTS FHVIESIEGGCPLESTYNFSMPSDVADGDALFAWTWFNLEGNREMYMNCADVTITGGK GSPDSFESAYPDMFVANTGNGCKTVEKQEIIFAQPGVQVIYGEGVSSSSPPFPSCS TSTA_126210 MAFSPSQPLPIFSDIAANLSQLRDQIFHMDAPVQMTFTEFNALW RYVDNMVKVTERAGLVLIEKSATEGHSHDHSTLEFNAPEVNETLQNAQNGNLKALEIA GVDKLTVKAVYNGSKHGVWPML TSTA_126220 MASSRVPRLHTLVRTQTQLFQLQQRRWAQVHDVRFLATHRDPQQ VLDRYKHKLDQKAKQEGHDSVESLKEAYKDKIAEYRRKASTVATPEPSPASSPTSTKP SPSSTPTHPTASSPIAAAARAATDSNTPGIKPLSSYLNLEKILTLPPKEIETLWRLRH ANNARSICAVIPLETYQRMATAARSNPQFILPLPRQSGSTQSEESAAVEGGADIHFLQ WGFHPPAASSSSSLQTANTHTSTVVFTHLAAYKLHGSYAQPHTTITHHLDLADEKGLV LMHGQVMPDSGVSVSEASWLVSCVQRFYDFDGEGSGRKGELLRMFTRGDVEGFKIEDL VSETETLS TSTA_126230 MSESPPPPPQWNVATMAGNAMQFLRLPMLASSGLAVIASSLLYF KQNEIIYPRTVPVDARTNVPKASSFGISDYEDLHIPTPDGESLNALFIRPSNKNAARD VTILMFHGNAGNIGHRIPIAKVLTKALNCNVFMLEYRGYGLSTGTPDERGLNIDSQTA LDYLRNRAETRNTKIVVYGQSLGGAVAINLVARNLEKGVIAGLILENTFLCIRKLIPS VFPPARYLARLCHQYWSSEDVLPKIEKIPILFLSGLKDEMIPPSHMLRLYELCKAETK LWRELPNGGHNDSVAEPNYFDYIREFIVDYAID TSTA_126240 MISNASGSLGEDGIHVDMNHLKKGEVNLGTSIMAINFKDGVILG ADSRTTTGAYIANRVTDKLTQVHDTIWCCRSGSAADTQAVADIVSYHLNMYGIVNNEP PSTQTAAALFQELCYDNKDQLSAGIIIAGYDRRHGGQVYSIPLGGSLHKQAYAIGGSG STYIYGYCDANWKEGMTEEEGVNFVKSALSEAIKWDGSSGGVIRLVVLTAKGAVRHLY LPDNGYTGPGPAR TSTA_126250 MLGRNSTINRVVNPSELSYRSSPVHPAIAQQHNYYHPSSATLDS RETASPTYSTITPSAPSSVASHTPPYSSSSPPSHDVPAYVQSLPHQPYNSNHRPYQQF SDAPSSTMTAASSREAGNPESTMVGANSRYYPPDYQAHPSGASSAGHSGPVHMSAISN IPSPSSPQLHPTHPSTRSLGSSEPASPSRIKVRSLSHIQSFASEEFLEQSEQSRRGTG GRPSELTRQYEISSMPVADIIEMVAGLLTKITATNDMQHEHIHRHIPPPDGTANLSAQ ASSVLAFHGKNVPSITILNYLARIHKYCPTTYEVFLSLLVYFDRMTEMVNSRPVHRRR IRLEPTSTRPHFTSAATHGPSSGYPSVVTPPSSSGITAQDLKSSETSVPSSLQLDDEE EEELTNFFVVDSFNIHRLVIAGVTCASKFFSDVFYTNSRYAKVGGLPLVELNHLELQF LLLNDFRLAVPVEELEAYGTMLVEFYAREVIAQQQQAVQGNIPNAINYPGREHQRHHH RRDGSEYGQAPTPPRT TSTA_126250 MLGRNSTINRVVNPSELSYRSSPVHPAIAQQHNYYHPSSATLDS RETASPTYSTITPSAPSSVASHTPPYSSSSPPSHDVPAYVQSLPHQPYNSNHRPYQQF SDAPSSTMTAASSREAGNPESTMVGANSRYYPPDYQAHPSGASSAGHSGPVHMSAISN IPSPSSPQLHPTHPSTRSLGSSEPASPSRIKVRSLSHIQSFASEEFLEQSEQSRRGTG GRPSELTRQYEISSMPVADIIEMVAGLLTKITATNDMQHEHIHRHIPPPDGTANLSAQ ASSVLAFHGKNVPSITILNYLARIHKYCPTTYEVFLSLLVYFDRMTEMVNSRPVHRRR IRLEPTSTRPHFTSAATHGPSSGYPSVVTPPSSSGITAQDLKSSETSVPSSLQLDDEE EEELTNFFVVDSFNIHRLVIAGVTCASKFFSDVFYTNSRYAKVGGLPLVELNHLELQF LLLNDFRLAVPVEELEAYGTMLVEFYAREVIAQQQQAVQGNIPNAINYPGREHQRHHH RRDGSEYGQAPTPPRT TSTA_126260 MPKLTQKESLPHNVLIATLLFLWGFSYGLIGILNIKFGMLLELS PWATRGLHAAYHGGYLLGGIFLGRLFLNKLGFADALIAGLYIYACGALLFWPSAVLGS LPTFIVSNMVVAFGLVVLETTANLFVAICGPLEYSEIRLCLAQSFQGMGNVSATELAR RFLFKNAKDTTDVVNAQWTYLIIAFISVLLSVLFYYLPLPEAPNDDLRQLAAQRPENR AKVWNMRTCYFTMAMGIGSQFFYQAGQEAHFVNFTDYVKFTRPSANNVAIIEASMDAI VLYIGLIVVGALCMHTTGLAADAMTMIVFFFENCIFPTIFAMSMRGTAQHAKTAASLM AAAICGGTIGPFAQLAAAMSHGEPWFYSVATAFWSVGALFVIYLNFVPQAKRQVDPIR DDYIKEK TSTA_126270 MSSLRIPGIPYMRGPRGLGRLTAASAFLQLPRSPVKFRRNVRTC DIPSTPVCKLPRRITPDAPRRRGFQATPATSAIPFPSHAPSKPPSPTRYCVWPTPSMS KNGVSRALQNRSYNPSSPLPSRIPVAVDIRSALPVIPCPSALRKRVNFVNPMTAIHAN DGSPVKAKSSRRTYHPKPELVARTRLYLKLAQIRKSMVSRSANPLRSILVRPGSEKVA KKKGVRFGPTLIREVNYWIDRKRNVFQDGGLWQMGRLQGWRITPLSTPNEDGETEKYM TMWGHDHNVIECPHEGCVWGSIALFVKYCRLKRMDLGCEGENLMPLWSAYRQKARDAG YDYL TSTA_126280 MSLRQWCLSLVITCFFPVFSAASPWIVTANYEEIVSVIPGHTDQ VYSITEPAETFTDFVAVSSPSGTPVSTATITQTDYYSDTYTIVEVLYPYNSAATPTST AEDYYATATSDDYAYTNYVVNLAYTAPSYCSTTWAFTTAVPVEPPYNIEHALVPTTAS TSFRVDNSRPFRPTTITEVYAFVDPTQVPSSSLAALSSSYEPYPPCYNPSLDNTDSGD SSSSPSSSSSSSSSSSSSSSSSSSGTTYSCGYYWCSSDDDSVSWINDSSYYGISPLAI ILITVLGWSFVIFVAGLFENYFYFQRLMKGWQARRGLPITWWFWIFPVTALVCLGFSR RGFQARNEEDARELQQKWEEMGFWDKKRLWLKYGFTWGYPSMLGPAPPRVGRPSKAKL TQPLLQVSPPASEQGEISRQLTPRSSNGDDIESSSAAAAASPSRQSQPAMSGGLSSQN LQIPSPVSTRSRQATDGPVEPIPEQDEITEVPATAEQREGEGITEKTVSEKEQTSKP TSTA_126290 MDDPIASYTQPQQPLVNNKIPKKNVESADVRSVKHGENDLLQAQ IVDQVLAAKMTLINDAIDEIGLTPHQWKLFCLNGFGYAVDSLILLLQSIISGQAILEF QPSYHTGLTIAVYVGMLVGALFWGLSADVIGRRFAFNVSLFISSIFTIVAGASPNWVV LGLFICLSAFGAGGNLVLDTAVFLEYLPSQDQWLITLMAAWWGIGQLIAGLFAWAFLP NYSCSTAATCTYDNNKGWRYVWYTSGAFVFVLSVLRITVIRLQETPKFLVTSGRNEQA VRVLQDIAHKYNRHCSLTVEMLEAAGLLQQPEQGAKKPRFSLTALETHFRGLFVTRTM ALSTTLVWFSWLLIGLAYPLYNVFLPTYLTSRGAQFGVNSPYITWRNYALANFSCIWG PVVAGYMCRSRWFWGRRGTMIIGALVTMVFFFAYTQVRTESQNIGFTCTVNFCLNIYY GTLYAYTPEIFPSAHRGTGNGVAIGLNRIMGIVSAVVGEAANTNTPVPIYICAALYAV MALVSAVLPFEPYGRRSA TSTA_126300 MKKANFYFQRNLRIGGVVITHGPRNLDAGYEAGLSKRSSFCYLS SYVDTSPNRLLAFKCSTRPRSQSAFNQQTERWNHSVAATYPFLPVPIQDLNIYDVTVL LTHAGALYYQALNADRSVDFDALIYFSYRSVHDIAIVGKELTRQFYGTKPKYSYWNGC STEIPGLTWTYLTHRSWSPGNKVGEVCRCRALAQVVMQQEKNFLCTCELKFSSDAGIN TCDEMYGVEDRIIADPENCPYDPFHSVGQVIHAMGTTSQSLILLRTTYNLKITQSSPL ENLMDLAIPHVPKSPTTYPQTAFSQIPDPKLVPNASGSASSNPRVWMTIV TSTA_126310 MGALTNDSTAGKRIRHFVGVESSKQLAFIDDLHRLGLSRTVDLP ELIVVGDQNTGKSSVLQAITEISFPVESALCTRFPIKISFRQTPGTSTSVQAEILPGP KTQDDDVFMERIKNFRFTSDELSASVMNQIIKEATDRIFGDDNGAGQTLSDAILRIER SGPHEMHWTIIDLPGLVQNRGESSLASKKTVTNAKQGNHTDNAKIAKDLVRSFLENER NIVLWVVDDTDIERHKTLELFEEIPGLQSRTIGVLTKCDRKQETSDNWMVKLLRNEPS TKNHLEQGWFGLRNRKPIEANISDEERDQNEADLFEKPEWAGIRKSQTGIRALMDHID KERRSRIQESMPKIIGEIRDNLRNCEAELEKLGEVRDSTAAQRYYAFQFCTELQKLAD SALRARYQDIPSDNPSIMLRFRVNQRLERFQRDITDPEKIELGLQFIPIDRALNVLSD QSLDPENWVESISKSESANIYQKIYNESKVCQGSNLPGTISPEVEEKIFRKQSAHWRD IAFDLVNDIKALVEECHDVFLRTAIPDSRTRGEVMAMISKAQEAWNAEVDAALGELID DQQKRPLMTFQPYLLREYQRFDRHLWRQVEQSRRNAKARRTPGQSEADGIEDRVGSDD GRAQMSLELSQIFHVRKRLEVYYEIAMNRFVDNVAMQVVERHVLGPNCPLLTVSTKLL ANLSDEDLHRIAGEDESVTRLRARLNKDRSSYKQALAQWDQVRYF TSTA_126320 MGPIRKLHNNIVHIRKSANHTAWFKDRAGKIIPLDNCTKWNSWF TMLSVALEDKVKAGLQLYVEHYQDNISKDDILTTKYNTNYIARIFNPQCRIAFLKDGN GRITTKGEKKLYIVRKLWERFRDKLLFSAVLYESESVGKHTLQPEENLSAFHKVCRMQ ILKQTRPASQDEFDNYINENPVMLDNDTTAIQ TSTA_126330 MEAVRTVRRSKSVRTIQNNDLSEDELSQPSIHEAPEKPIEPSQE ANTLITTNLEDSTWANNQLTAGNRGTRSRYISLRSRAASISYCSQHPRRVNNNKESVI SAMQTAMTPRAQHIVLGDFNQHHPLWAGTRYRHVNKEATELINLMDEHGLEQLLPPGT IMYERVNTKSTINLVWASYDLANWVVNCNTKPEELNDNKAINEARSAWQDYAEVRKEM KGRTNELARDLHRQRIEQATESIDGFWRIARWVRNRGKPRATFTPTLHYNNTNYTAPK EKTQITTQVGTELVIRLKWGQTEYRGTLESIDSYMNVLLRDTEEYIDGKNTGSLGLVL IRCNNIFSMASAEGVEMTDLGLK TSTA_126340 MTSTNVSNWVSEPDGRGTWGILSSCVLTIILCCWSSVYPNVPSR SDGEYKQFLYKFYLLSVGILGPEILLFIALGQWTSARISKKGYTDWTMTHSFFADMGG FLLAAPGSELFPIDAEQLLHLVRDGYLVYPGLDAEDIKDKSKSDRFTSFLAIIQAMWF FINCIGRVAQHIFLTTLELTTLTFILIFLFTSYYWYHKPKDVTRAIVLTTHTPITAIR ARYHPYPESKWYQTPLDFLSRNEWYCSRLWRYYVQILHYLRIPLFLRPTSRPYDRFPS DNFLYVDKLAEWMATPVIVFYSCMFMFAWNFSFPTLTEQLLWRISAAYLVLFGTAGMC VCWHAHVFIMPKLAKEKKFYVEGQSLDLPTKKGLLHRLAWRLQNIHPDRDPELDVPLK VLLPNVLMCVVLCVSRYYSGGGFHWIEELA TSTA_126350 MAKPGLGSTASEEPSHGCEGNGARETTEGRDRGSRRLTFDTEGA ANVTVEENVQLPDDLTIDNWLENIRTAPKLMYQRVNDLLKAQRTELDRIYQDEIFRKD EELSQKNEAFQRLIDERDELQFAMNKLTLRFLNQEPGTGTVAASVTKKSPKIPDGKKL SDGKDPRYESWKIDVRAKLKANKDQYDTPEARRAYVKSMCEGEAAEHLLARLRDDAPD PFIDADDMIEHLDTIYLDANRVSNAKMDFRNLTQGKTRFQTFLSKFALLALDSGLSRS EWKEELYYKMNTEMKRAVMRESNDSTMSYEEFVKFCTMQANRLEQIAREEKTQRTADN RNNFNPTASGIPSTDKKSTAAKASNSNDDKKKKSLPWQDPELKPLMEQGLCFNCKQPG HRRNSCPFKKGTEIKEIETSTEQPKAQGDENTMTVSTRALADTGANGLAFMDRRFAML IANHLAVSIQPLGEELRVRGFDGKEAAPINEVLTVHLLIDGRRQLDLPFLLANMGKHD VILGRMWFAENKVLPDCHGRRLIWPDEPSLKDTLVTKHYLNAPKRILKRINADPKHQR DVERRDKLMEVEDRVLRVAVPSRGSKDSNWSPMSPLARGEITANQRMLSSVETVQRDP PVVYGRHHDVSLAASYDRMNRALREALKEPEELPPPKRVRQHEKIPPTMDIALINAVG FVRHVRDKDTETCMTSLHEIEKAIDGQLQLQNEEEKDTETEGIKKTLPEKYWEFIDVF LKSKSDELPPHRLYDHKIELTEEKQLGYSPIYRMSLEELEAAREYILENLHKGFIVPS NAPFASPILMAKKPGGGLRFCVDFRKLNSITRKDRYPLPLIDEVFERLSRAKVFTKLD IRQGFHRIRMHPDSEDLTTFRCRYGTYKYKVMPFGVTNGPATFQRLINDIFMDCLDKF LVAFVDDLLIYSDNELEHELHVRQVLQRLRNAGLQAAIHKCEFHVTKTRYLGFIVTEH GIEVDPSKIEAILRWGVPTTVFGIQSFLGFCNFYRRFIKDYSRIAKPLYRLTHNNVPF EWTKNCQEAFDKLKLCLSTAPVLSHYQPNLPTRVETDASDGVIAGILSQLHEEGLWHP VAYFSRTMTPSERNYDIHDKEMLAIVRALEEWRPELVGLQREDRFEILSDHRALEYFM TTKKLNARQARWCEFLTDYYFVLRFRPGKANVAADTLTRRDGAPKDEGYRERTILTED FLDSAVKADLGLVGEIDSSIDIMSRVVTANLTAEEAESYRQRAREGDDDWNLLGGRLF FRDRLFVPAVGDLQARLLDEIHQQPSTAHAGKGKMTRLVKERYYWPSWSQDVNRYVDN CMTCKRMNTRRDLPPGLLKPLPIPERPWQHISMDFMSYPKDKHGYDAVFVVVDRLGKR PVSIPCHKTVTAKEMARLFIRFVLPWAGLPDSIVSDRGPQFVSEFWQEVCRILGVTIK LSTADHAPTDGQTEIANQYLTQRLRPYVNHHQDDWSEWLPIMDYAAATLPQESTNLSP FMIERGYQPRTSFDWSGPAQPGRLTINQRDAQRWMTRFAEIWKYAKQQLQLAQERQQA QANRRRREVDFDVGDEVMVTTRNWNLNVPGRKLAMQWSGPYRVKEKVGHSYRLDLPPG INVHPVFSPDKLRLASRTKPLEGQLRDPSPPVEVNGEHEWEVDKVLDSKIRYRKLNYR VAWIGYDPDPQWYPARNFKNAPAKLREFHAAYPDKPGPPRRLQEWLDAADRDEFLPDE DDDDLV TSTA_126360 MPNLEPSGNITKINSLEWRGLHEISYDVYVYITEGDSEVTSLPE TQYRVSGRVRKRLRVIKAKQVDLQILIYAPRDLMMFALFYYPEGRVMALRNLRGPGRG PGRQGDPRVVARSMWKSVFKQRPEQ TSTA_126370 MANWLYDAVPGDDEKKLSTYTIWVMYEAANNKNQVEGLPFMAWR TATTYIKESRIVNNTHMVPSIEEEDEGIHKEIPSKGPNQPSQDVCDTYLFSATLSKIL GSVQQGATRQIWTSTC TSTA_126380 MKFTKAWDKTKNYLGDLYDILDDKVRIFLQLCRLTEIQLSQCWA VFPEMLSGRAETYYMHHVNPDASFAQMYWAIKSYFDTESNHALYYQDWTSITLVDVRC ENTGKTLPEAVEILVEKLHLCQCALGPYYISPEHLVSAIIRACQGSPEMSEVLSESTI KFETLVSRLRAKAAIMQKKEAARSTLEKPVEGIARHLRDHGDAKTVKIMALNNKVTAN VGYRHAKGKANVSDKTYRAFLMDFEASYNITSDSEDEKETNNNDEDEATVYFMVG TSTA_126390 MGAARVSTVGRRQLTALQKIYPGIMVDKSRAGEHSIRFGQGDSV DSEGAVTIKTPIGDVDFYVINILTPFLLCIADIDRHEAYLDNTTNCLVKGDLRVLIVW KWGHPWFFLDDSHTPVTHDNVNHKSLAEIEKYCHHYQMNQQAPRRFKFTLTDDCEFNY EIVVDIMYLDGKPVLHVVDWATSFQAARFLKSLSAKDTWEALRAAWIDTYLGPPDVIS HDTGTNFASNEFKMEARMMGITCHQVLVEAHSSIGKVERYHAPLCRAFNIISTELGTS VDKDVVLQMAVKAINDTMGPDRIVPTMLVFGAYPRMMLDSPPSALTIRRAQATRKAMA ELRKAVAKRRVNDALNTRNGPIITETLNLPPGANIKNKQKADVNVYLSKKEKDDLELA LKLRREGKIVTEDAPFELSSVAEIDGLIANGTFKIVHRDDVNLRDLRIFNSRLVNEIK GKNEILYEKSRLAKTKLQRIIIANLPKEMRSKYPPDLLLLVEGALYGIPEAGVHWFST YHEHHKVKMDMETSMYNPCLLVTKPGAESFSLVGMQTDDTLIIATEKFARGYFFGIQW RKDYFRIGFVIAMVNEDFSKEGHFIATGNIIHWASSKCKRVTRSVLASEIYGLTTRFD HGITLASTIKMITDRLNLPTIPVVVCTDSYSLYKCLVKLGTTKEKRLIIDLMALRQSY EKWEIDEIRWIHGDDNPADAFTKANPNGALRDFIDKNKLTIRVEGFVERTKQD TSTA_126400 MRCLNLTLYERLSFLSTTTRASEGQKISSPIAAFLNKYRSQTTG LAPHLLRALTTLSDDLASVAQQHFNAYISGISMTSIPPALSPSPSHLPPSLPPSPPPS GLEQSTYASTYATITQYAPVKSTPTTHPKAPVKKPMPLVKQPLPDNQLFLEKWKPMPS TLAYGLN TSTA_126410 MSNTALLFGRSATYISVVLKLNLRYLAVRYNHILDWHPTLTYER MATYASSLEKVSKGAIRIWGFIDGTFREICRPIRDQKIFYNGYEGAHGIKYQGTVAPD GLILSLHGPFPGSTHDITMYQSCGLPEKLREIMKQREQLFLYGDIAYTSSFRIITPYK VTRPLTRKEKRLIKVLSSDRIGIENAFGKVVTQFATTQFKPDQPVNKPSCFSKDT TSTA_126420 MVLTHDSYTVAWIYALPLEAAAARVMLDKTHSPLPKPSTDLNAY ELGEINGHYIVIAYLPAGVYSTVSTAAVVSHMRSTFPRLQYGLMVGIGGDVVALLTHL SRLEAKQMTKTKNTLYNIVWKTLEQNPKMQERFLPPEQYMDLLFYSSYHHATGKDTYE NCDKEQLIKRQPRGIKTPYIHYGLIASGDEVMKDSETRDRLAQQHRILYFKMEAAGIM DELPTLMTRGICDYCDSHKQKQWQGYAALTAATYAKLLLSVIPISFTGSNLVKSNKMR HWVVSLARNPNFVGREDEIIKLEELVTVQDGPRRIAITGLGGVGKTQVALELAYHIQD RDKECSVYWISCTSHAMVEQMFLHIAQKLGLPDVNPAEVKKQVKIYLSSERAGKWLLI FDNADDAGMWLAASHTENFPIPDINKKTALKILEKTLVHKNLLEDTNITATLLKQLAF LPLAIAQASAYILENGIMLSTYLALLQEQEQDAVELLSEDFKDPGRYKDIQNPVITTW LISFQQIQRQDQLAIDYLSFMACIDPRNIPQSLLPQPSSRKQKVDALGLLNAYSFTNG QERDIGIHRLVHIATRNWLRKNALFSHWIQQVAEHMQNVFPDDHHTNRGLWREYLPHA LALVRENEFVTQEEDYLNLTEKIADCLTSDGRYREAELLYKKLGRWNEAERLFVQVME TRKTVLGAEHPDTLTSMANLASTYRNQGRWNEAEKLEVQVMDTFKTVLGAKHPDTLIS MANLASTYWNQGRWNEAEKLEVQVMEIRKTVLGAEHPSTLISMNNLAYTLKCQGKLQD ALTLMEECSHLCSKILGPTHPNSISSSRALSGWMVETSALPDQTPLIGKECPQPLREV SSGSSAAVITTQSGREEHINLPYAQRRLGAKLYLRNHPLIIAARTPSLVPADQELQDV D TSTA_126430 MAPGEGGYRQINKALNICAFEDYLESQQKVLPKIFDVEQITPRV LRVLGQNPGKFTLQGTNTFIVGTGPERLIIDTGQGIPDWADLIEQTLTNNGISLSHVL LTHWHGDHTGGVPDLIRMYPNLASSIYKHTPSKTQQPITDGQIFKVEGATVRAVHTPG HSSDHMCFILEEEQAMFTGDNILGHGTSAVEHLSTWMDTLRKMQSENCVKGYPAHGIV IADLRAKIAGELAQKLRREQQVLKALVQAKHDASLDQGRGKGSATVKELVATIYGNGV DSAVRELALEPFMDEVLRKLAEDGVVAFEMRRGVKKWFAIEAI TSTA_126440 MTTFTEPEVPEPSGSPKDKYLCLTICGYRKPGMSEEDYRNHMVN ISAPMTKGLMVKYGIKRWTQIHNQSSTRALMSHLFDHQMVHVADFDCFSQVVFKDIEH YKRMKQDPWYKKHLIGDHEKFADTQRSMMTIGWVEEFVRDGEVVDDLKDS TSTA_126450 MPAVQASKVKLSPQHLGFARIDDSPAGVIETANELLQKNHDSYH MYFRDVGGHNHISHSILSVLAMGGGPEELKRAYDDGYGYQRPLPPLDPAVVQELSDPE KFRARMFNLDQYTNFLIFFEHEIEIKGWKAVVQEYCFSRTSLAETMFFQLYEGLLHPI IHLGFGVEFEQPSLIAEGLAHAASHDPGNIDTFFHRSEQLAQSGTVPSRPLIELYEEV RRNEKTRTAGRMQDGPWRLRDGPLARCMDEIVGIAAKFQISPEDLERRTAEMINCAAY SAVDFFIMHDVTCSIFLSVLVKQPWIKVEDKTRLVEWKTRLDLAWYAANGAAELRLED ISGYAPTASKGMDWRELYKAVNQVHDDGHIAKFVRALKNGQEASRPFEEGEWASLFPI KGDLWLKIAQMGYDTTKDGIDNGDKWVWGAGFDLAWMKVPNLE TSTA_126460 MPASIDVQKETISKFLAAWESGKAQDTIDLWADDFEQRLLPLSL QQPVRTRAHAQFIYPKLVENLKNWKLNIKNIVHDAANGTAAVYATSSADTPVPEEKWT NEYAIFVWLTEDGMKVKRLEEMVDSAFYQHFFPKFQNYLVEQGLLQ TSTA_126470 MKFLALFLKHIAPFVILNIIIISWISGDYWRASQLERYGSMYGV QATGATATVYHYKVRNGTSYAVKLFNKKRAGVSEEEYVNEVRSEVATAGKLRHPRILK VIDLFEERGRWYMVMPYLPTTLFDHSLGNDRLLSPEETECAFQQIVEGVSYLHERGLA HLDLKLSNILLDASGGVKIIDFGQARWFNHSHREKAVQGRFGTPPNVPLEAYQTSAYD PFAADVWAVGIIYCQLVLPSVPWNLGFHSRDEFSIFSPSVQTYGSPRGLDHFQSTCFS KHFSYNLYQDANKFMMTWRRVRKHIETSRATAQGLASKHTRAVNGYIRGTYISQDGDG LMREFGLWRCWVCWSGGYNWTCTKNKRIIFVNQDR TSTA_126480 MGGEISASFGHYLRETQGNFVYSEDKHPDQKAQKSRRTASSTML SDEDPVVQCIAGRATKFVGFMDHDGVESFQLVKYQENERHDPHHDWFFEPRKKPSGQT CNRVASFFLYLGENPIGGETCFHHLYPAPVDADPSKFSNINSDDGLGFAVKPITGNAM FWMNLHANNTGDVRTIHSALPIRAGVKYGINILLKRCYGI TSTA_126490 MLDQEEWRQIEYLLWITQPFFKFTTALSQTKDVIFHVIFSIYNK LFDHLEVSICRLQRKKVAWKKLMLSALHAAKEKLSVYYREIDKVYGDLFAIGTILAPQ NKLQFFNNKDWGPELRLYKERLSATQGSPQIPSLGVQTSKIDALFAPDFNQLVSSDEA TQYLESEMAPIAPRTFWKEHEHKFLALANMVHDILLIPATGAGIERLFNSTRDQALDE EKDAQQLQDTLEPISDNEDDGLEISIDQLATQQLSKRAQGTRRMSMVSNGEQEY TSTA_126500 MSLTQAKPSSMLFVLGAIVAALLLLLPKSQQLPFNAENYLRTEC SNHQYTVEIISQDPLVIYINSFMSEEEITWLLKKGEFRYERMLTYQGDSLSDAAFADE GRTSSSAYIEKTDPVMTCIGNRALEFHGGSQRILGDYGNPQLVKYEPNQKVNLHYDWW LEPQNRWEGFAIPTKARQRGVLGEFAGEWDGRREDFTFFVTCRRREKGGNELLATSVF SKLVKLEDKMEFVWQIRHLKHDKRSAEDLTHDESTG TSTA_126510 MRGLVIAVCTFTALLITILLFQQYKQNLPVLGSEGVDSVNPLLY IPPIGLGTWQIPKSKTAEVVKVAIEEGYRHIDAALIYGNEKEIGQGIAQSRIPRSSLW VTGKLWNDAHDPSAVRPAVEKTLKDLGLSYLDLYLMHWPVAFYPDTGREIVVDSDSSL LQTWFAMEDLVREGIVRQIGVCNFNKAQLQQIRSYAHIQPTVHEFETHPYLQQSDFVK WNLQHGIRVIAYSPLGNMNPIYHSSETPMLQDPLLVSLADGKGISVAQLVLAWGMHRG VIMIPKSEHVARVSENFQAQHVRLTAKEIQIIDARDEKHRFNNPSQEWGVELYSGLDG A TSTA_126520 MATNRLRSGVTHLVESVSPQQATFENAIRPLAEIDNEVKSSVQY MALFQAVSPSPELRKASSAAISIIDKAYLALFQHEGLFALVGAAHGNYSRSRDDIIDE EDSRLLDRFHRMFVDNGIELAGSARERYIWISRRLIDLRVAFMENLSNDPGYVWKAEN ELEGVPLDTLELDKDYLSAVFRISLAKPSVNSILVNCHNADTRAEIFLKSQTLYQEKN VPIFREIINLRDEAARLLGFPSYATQKLRQQLVKSPDRVRSLLDQLDRALQPMAQQEL QVLHKVLMKPPRLSDFDFQHNQILKEKYHVDQELVAEYFPAQVTMRRMLNIFETLFAL AIIELDNLTEICKWHPDVTAYEVWEANKSVFVGYLYIDIYPRPGKYNHAANFNIYPSY VGRDGKRTSVASALVCNVSRNSDDQPPLLRHREVITIFHELGHGIHDLLGKSKYAMFH GHRNVSDFSEAPSQLLEYWCWVPECLKRLSCHYSYLSPKYLQHWKTAKASKDALQPPM EIPDEIVHNLVAAKQLNQGILTLRQVAFSKFDMEIHNPASHNEIEAIDFSKLYNLLLQ DMTGLQGPQDGLNWGSGHATSSHYIWGQEANYYSYLFTRILAADMWYTHFQSAPLNQD AGLKYRKMILNYGGSQDEWKLLTSFLGRDPTIQAYLKDIGAITLENKGEENTFERFGN NVP TSTA_126530 MAHGPMGKKDMFPRFFINFIGTLNHWKLKLRAGENLSEGEIEHM GHCIEYLRQAVMCFGDTALEKPVDHSNYVHADFEETEHLCRDWHTLSQYFWASSIDFI WGMDAPMTVFENSDAWKGNPAGSIL TSTA_126540 MPPIRKKDPLKSARIEGNIQLAISDLKNGRISNIREATRIYDIP RTTLRDRLKGIEYKGEKRANHHKLTQSEDDSLVKWVLDLDRRGLPPRHSLVREMVNYL LQQHGKSQVGKNWVTNLIKRRPEIDSKFARKYNYERAKCEDPKIIQEHFDRVRAAISE YGILPEDIYNFDETGFAMGLCASAKVITGSDRYAQPKLLQPGNREWVTAIEATNSTGW FEDLPDDWRINISDNGWTTDQIGLEWLKTHFIPNINDRRMGKYRMLILDGHGSHLTPE FDRTCTENNIIPICMPPHSSHLLQPLDVGCFAVLKRYYGQVVEQRMRLGFNHIDKMDF LTAFPQARTVAYKAQSIQNSFAATGLVPFNPDRVLQYLNIQLKTPTPPRSRSSNTASS CLQTPQNIRQFVRQSTTINKHINERTGNQNQEINQAVIRLSKAYEILANDTLLVRKEN HDLRAANEKEKQKRQKSKKQISIEHGITSEEAQALVQGQVEASQAVTAAPVEPELPAS QAVVRRQFRCSGCGVDGHKINRCPNRTTN TSTA_126550 MYVCSIWYIQGGRGFTGAQRAAEQAIRSIQDQALHRISGAFKRT SRQAQEGSWRESTRHWKSYSEDSHAATTHTKPRSTQIMKPRYAPRASQGGPLGACQAW TASLLDNQRANEDDVAAAQRIMMGLEFGVDLTINK TSTA_126560 MAISNTYAVLGSTGNCGTALIRNLLNKPDTRIHAYCRNRSKLLR LLPDFKEGGRVKIFEGSIHNIELLTSCLRNCRAVFLVVSTNDNIPGCRLAQDTAAAVI ESLQKIKQGSTDSNEIRKMPKLVLLSSATIDDHFSRHVPYLLRLILLRSASHVYNDLI ETEKLLRAQEDWLTTIFIKPGALSVDIQRGHALSLTDEESPLSYLDLAAAMIEAADDE SGSYDMKDAKFPTGTPMCIFMGLLRHFFPLLHPYLPLNTGPG TSTA_126570 MARVRRPGFRIELAMSAVGNLDGKVALVTGSGRGIGAAIAIQLG RLGAKVVVNYANSVEHAEKIVAEIKSLNSDAIALKADIRDVSQTAKLFDDAVAHFGHL DIAVSNSGVVSFGHLKDVTEEEFDRVFSLNTRGQFFVAREAYRVLQEGGRIVLTSSNT SKDFSVPKHSLYSASKGAIDTFVRILSKDCGDKKITVNGVAPGGTVTDMFHDVSHHYI PNGEKFTAEERQQMAAHASPLRRNGFPEDIARVVGFIVSKEGEWVNGKVITLDGGAA TSTA_126580 MANAAYKPPAYEDVVGCQVVLFEWAESYDSKNWDRLGKCIAPTL HIDYRSVMGQEWKSMPAEDFLAMASSPKFLGNARIKTQHLIGASQWVQTGEDTITGYH QMRVAHQKYSDDALTVVLYKGHAHGGATTKYRRVNGVWKFAGLEPNIRWREHDVDKIF HED TSTA_126590 MIPIPDNLEYAVDPDFWGLSLNLEDEEQHVPKTINTYIAWLQEC YDLHDCEGEDLWNTFWEDFEGFTLDLFKVATRPAVRGLRDYLPSAGALSYAHVLYECL HEETQADWTEEALENKAKVIKKWEDLRAKI TSTA_126600 MPISNTSPIIKLLDISSKNTQPASQQTNYTQTRTPMAIQYSYLA TAYLPPAFPSPPAPPRPPYERIPIPADGATESNPKLLIDLMKIYSNDDKKYGGEKYDI LSTKLQVFYDCCAKIGLGRDQFANAFSTMLKGRASQYYYNSLSNKGFTFQQLINHTRT HFETEENHQEYLTEWREITLERTIEANPTKSKLECFQTMVDQLEKVQRGLSNEYQFEH SLRDQVLNGCRGVVECDLALYKLSATFEGVCAEIRSSIGTKMRLSRALIPSSFNNRFN DEYDHNWTDRTYGGYGRGWGSYNGQRNRVGESNRGPYEPSSRGSFRNNQHGVRQNRGF QQKKCFVCRKLYCWSTKHSKEERQRAYNEFRQ TSTA_126610 MEGITETAEPNHEALFQMTLNDPPFKTNFTEFRKINSIKMISML NDYSTYHAFTRDDKYQPLISYADKATDQDNEAFTLDQYSSNEFHGIIPDSGAASISSA GELQVLALQKTDPSIRIDTSAGRENHIKFGKDIAIVKGIVRVPTPIGTITFHVVPTNT PFLLCLKDMNDLGGSNIVPIVRKWGHPWMLLNRLESVAYHLTELELCQLHRRFGHPLV QRLATVLERANHDFDADILKKLTKFCHQCQMHEKSPGRFKFTLKDDHEFNYSVIIDIM YIEGKPVLHVVDSGTAFNAARFLKDMTASIAWNTLRLCWIDCYLGPPDQIVHDAGTNF ASDEFRQYAKSMAIHIREVLVEAHNAVGKVERYHAPLRRAYEIIQEELKGENVLKEAI LQMAVKTLNDTAGPDGLVPTLLVFGAYPRLTEWDAPSPSVAKRAKAIECATKEVRKLK AARQVQDALSMCNGPNTKAMLDLPLQSDVWVWREAKGWTGPFKLLAITGETCTVAMPR GPANFRTTVVKPYLSKPVPEASETPEGDHECSQTPREEIEAPI TSTA_126620 MTCNPQYNDLEHLIVDMEEKDGEWMTHMTWKEQSDFELAIQLRK DGVITTPGDSFHESQQQEIDGLIARGVFKFVLFDPKQHANIRIFNSWFVNEVKGKTTI PFEKSRLVIQAYNDEGKQEILTQSPTIQRASQRVIFALAPSLQLQGILLYLRDITQAY IQATTLLNRLILAYLPKELRHLYPEGTIMVVRKPLYGIPEAGTHWWATYYKHHKEKLS MVTSTYDPCLLITTNKDAFGVVGMQTDDTLFLASEHFAILEDEELKKAKLMAKPRDKL SLASNLIFNGCSLTLESDGTIALLQKDQGKKLRLVTKGENSHQEYLKQRARGAYIASI SQHQSPTADNIRNLNKRIMWQIENQSRGIKYIPLDLSNVKIFVFVDGSFANNKDFSSQ IGYVIILANESSTGDEFEITGNLTHYSSTKSKRVTRSVLASEIYGMVGGVDMAISIGT TINMITRQLDLPNVPIVVCTDSYSLYECLVKLGTTKEKRLMIDIMALRQSYERRELTE VRWINGKDNLADSMTKSTPNKALEQFLNENRLKVRVEGWVERK TSTA_126630 MTASLLTLDVKGAFDAVLPGRLIRRLREQGWPTNLVLWIASFTT GRSVQIQLDGEIGPSTDIVCGLPQGSPQAVSACVLHKAYYGAETRWPGYTRPGPSQTS NRVREHLEKLTKVILTGARAVLPVFRTTPISVLYRESGFSPPEIELDRIALPATVRLR RLDPYHPLRRRAEQVASSGRQTSRFARRILALPNSEQINPLQYAPWHPRESRENAQAR IGAPMGRTKKQAAANFTFLRSSLSYGHGKEVFDAETEATLAGAQAAIAYPTAQFATNL WICLDNLEVATRLLSPSTGSSQEVFESFRTLAAAWPLRERLPHTKNGSIQIRWVPGHT KIPENEAADFAAKEGAASASLSPSKSSYASLKRYAKTQALSATQIRWQTVAPQTYQDL EITTSPKRPGELLLNRLNLGRVIAARTGYGDFADYHEHFNHDDAHLLCRCGARKAPLH FFFCHIVKRRAPRPSGPPSEVISFLLGTAKGA TSTA_126640 MSASNTFTSGEKLPILDYSNWVDWSEYWQDHLILYDLWQYVDPT STVMVPPPTTNVNRDIAKTLTENLTKIRQYVSPECRKLLVGHTNPRDLWSSLKASCDC GTTLPLIAQYESFHNNKWEPKDTISTYTSRFRNIFLSLENTSYKIYRDIAVHILVDRL PDCYKTEGQTAKQLNLPFIETVTYLLANIKDSSSEGDNTSGGQALVTRGRRPNRRTSS RNLRNGGNNSNSTRRERSNRNSRNKRLICNWCKREGHYERDCHIRQQQLDSGAAKLDR GRAYLVQQPSSLQPPPQPQPLLAPPPPQANFASSQSQSSESNAYSYPSHLLLTRASYI NSEIRQQDYLSWILDSGATQHFCNSKLDLKDYKHFLEPREIYLGDNTTIYAEGSGTQH LQVGPYILVLNVWFVPKLAENLLSLQLLDRAGYSTLIENGIVYIRQQGDSNSAWFQLA NSKHGDLYQIECPTSSPYKRIQYTQAMA TSTA_126650 MKADSHPPVLERCSKSFNRVHADLIPLDGISLGGSKYMLLLVDD YTHYAWCYFASSKNVPAITPLLQGFINLVLTQFNAVIKSWRTNGGTGEFINSMVKEIN HQYGILHQISTSGVKQQNGVLERRVQTIKNMERSMRAGAGVLDDYRLQAESLATSEHC SSSSDPRYRPAMIVGYIQDSKSIYKCLDLHTLQTSNHSEIKFDEDLFPGPWLKRPAGF KLSIAHKKNPPGSAVDTVLGQSVLGALPNISSVPFSSMNPFWLQQSQPPADPVNPEDP AKPVDPMELADVAQRALDSPQSLALRMDSQPIYNPRGSVVFGTCIKIHEHDTTRELME AALIVQGMESLSCPPWQTAERIQTDHNGDPLSYSDALLQDPIRWPPAVQEELKSHEEN RTWIVQEISQMPKGCKPIPSKWVFKRKLSPDEGIRYKARLVIKEFLQHFGVDFMETYT PTASLAAF TSTA_126660 MADSEVYIGIPEGMDLDPKKYVLKLRRSLYGLKQAPRIWWDRMT SFLLKAGFYQCNAEPAIFIRSLDNKFLILLLFIDDILLTGDQDAIEEFVKECCNEFKT QDIGTPRRFLGIHIEHRNGKVILHQKAYIQRILERFNAPTNPIATPLDPKHPLVEATN AESLNETDALEYRAAVGALIYLMICTRPDLAFALSRLSKFVQKPGIKHAAALKRVLRY LAGTQNLGIAYYKSYSNDSVLYGYSDSNFAADLNN TSTA_126670 MPSNTIHYDNQGAIATMNQPSHSPSTRSKHIDIRFHVIREAIAN GLIRLEYIRTTEMTADILTKALPKELHERHWEEPSRSDAFGEIPDEWECQRATPVDLP PARINYAPRVM TSTA_126680 MATLERLQQPVMDKSGKIFAQDDKFWENYSRGRPKVPDTFWDRI ISYHQSNGGHFGTVHDVGAGNGPYAQRLRSWFANVIVSDIVAENINLARDRLKGREGF QFRIAALEDADDIPAGSVDMVFATNMMHFAEPQKDAMATIARQLRSGGTFNLWERVSY QGGRELLKVSDDPDQIIKVMARTQDQYNVAPLDRALFADNLRIHVNMGNGGIQGMLPP EFAHRNTEPNYSEGDNEMDEDMDGWTFETDLAGVKEHFGSFPFISRFPDAFTDLYKEL DELLADGRTVEGYFPVTIILATRK TSTA_126690 MAGSPNAPQLGLQPSTIRDHAIQLEADIRALKEYRVNPVGKNCT PNWNMIEPLLESFISYLQKTQDLPTTSELTAAVHATARTQQILSKDVTEIKNFLAAPA RKSTIPSYAQVLKDPYVVKPTMQTRPSMGYQEILVKLNPTDVERQAQRATAEEIKQKI NNALANHEDPNLKKTQILAVKRHPSGDLTLFTSDRGSIELIIAHREDWQKILGEKAEV KVPSYGIIIYGLKRNLGEKRASTMVVEFDREEDADHAIKNGIVFGSIQCEDRKEELAR IEERRINMPRTHKEAALRRENFTGKPEQSRETHRGAKENSRAIGVAATLNAPGNQKRG RSPRKITRIDGNQGTGDTTRRILTVLQYNVNKSRERVMAPLLANENVWKYDIIAIQEP WRNHQLRGAWTHTHHTPDLDTLHLQFKNGKEARTVHIHNIYNPVESQNENQPSTLPDL RKALESDREGEHLLIGDFNLHHPMWGGVQSRKTSEGATDLLELITDYHLELLLPVGTK TRQDNGEPSTIDLVFGTWLLLESIISCGLAGNDLNHDSNHLPITTLLSLTTTRHPERK RRLWNQLREKDFREEVRKNLQTDKRLDGSKDIDEQVNHIVQTIDKAIEHHCPESQVCP RSVPGWTPEIKAAQMHARRLRRQFQLLRTKEAWEEYRAARNLKGRLIKKLLRKNHWER IQEATETQSGLWRLAKWARNHLGPMKTQTEDKIALLKENFFPRMKEADLSDLQDYIYP DPVDWPPFTPREVQVALSSVVTRKAPGPDGIPNLVLKILKDILWPILTPLFNACITFG YCPEHFKMAEIVALCKPGQEDYTQVKSYRPVALLNTLGKILEKIIARRLSALAEWYSL LPLTYMGGRKGISTDHALHWLMEKINIELNGKVYRGVVSTLPLDAPGAFDNVSHPRLL HNLRKRRIDPRVVSWIASFLTERRTTIKLREATSGILNVETGIPQGSPLSPILYLFFN SDLIEKCHNPSNNTGAVGWIDDVNIITWGSSTEENCRKLEIIYEQCKKWEEKHASKFN PKKFKLIHLPSKYSKTTDKERPVWLDGREVKLVPKCCILGLIIDNKLNWNGHIEHIET KMTKSLGALSSLAGSTWGTGYKGLRQVYQATILPQITYAASIWYAPLNSEDNHREKAV GKLEAIQKKAARIIIGAFKTVSGAALDIEAFLLPIRIHLNKITAETYLRIRSTPSYKT LEQSWREGIWDGYLKGWHNLPSRWGPLERHKHRAINGKVGVSAVQYGLSVTRQTFVGR TPEANVFLAELVGIHMALEMVQQRIVRKMIIFYDSQAAIQAIDGAQKTGQQILGSIAE KWDELRSQGVQVTIHWIPAHQGIEGNERADIAAKEATGWRLVRNNRGRQVPLDMDSTA PRLVGLQQPLSALKRDLKTLAYKQWEQNWQQNQRGRTLFRVVDKPSKKNIELHTRLSR PLSSILTQMRTGNISLRHFLYKRKIPGIDNGECQCQRGAQTVTHILLSCPRFKEERNA WKNEGTWSSNTRKILSEGKYAVRAAKFMLETKLLGQFGSIDLDI TSTA_126700 MYGLTAHLLDATNAFVGSKLDKQIYMEVPKGLPKSLQLGKDEVC ELLQSLYGLRQSANLWCHKVKEFITSIRFKPSTADPGIFINERGMIIALYIDDILVFS KDEKAIRLTKKKLKEFHPMKDSGRVNKILGIRIIWLDGSIRLDQEFYTLRILEEFNML EAKPQKLPLSPSINLDKDSRRLSREAHSQFRHAIGRLTYLAGGTQPDIQRTVNRLSQH LVEPKEIHLKAIKHLPHYLRGTYRYAISYLKGSKDKKLPEVLFVGQVANSQLLRHLHP KLNILLQLIQQSKQYGYVIFYIQFGNQELMTMAQYLCILTTPQH TSTA_126710 QYIILDRQGREHKVTNPIFMEDEYGFLSRKPGERGEIERSRQKP STIGQSIEPILGFQHDKGAEIPIQVDIKNKTSHDRVRRPTQAALESAATETIYRRKSR QERRQEAREASKDTASRISLAREACLKEVANPAVVIELLLGDDDEFAYKAAKIGGEIP IPQ TSTA_126720 MSECPEPQSKSDSDETGKQSTRKKSDHRGRKGHGRGRGAKEGSG KDKRQVKSKRKPYRGSARKADYNSESDAGSTTEDSDDERAARVKIIFPDEQAPVAKSN GKRDVRIEESLEHALVAKQVLVEKGDGQRAALVAKSNGKRDVRIEESLEHALVARISE EHEYLIDESVKRVDDDHWVIDCGATCHCTGDIKCFESLDRRYKGRLGTVSKSTKIDGK GLAIIPLENGYCARVCDVMYVPGMKGSLLSTQMLYIDGIYNSHEENGYRFYRTDRKTL ATGYNIGRTSYLGTVKYQDALLTRSQGPNREFTALLAHEKQPDWELLHRRFGHAGERR MRRLAKRLRITFEEPGDCENRNAVPKVKKPLERVSVDFWGPYRKGEGKETYYLSITDD ATRFSWIHITDNRRLETVQKILSRWMRRQERELGMLLVNIRLDNAKEFVALKPWAEDL GIDLEFTESYTPAQNGVAERLNRLLLEIARSLMIGMNVPKAYWPYALKMANFIRNRTV FLKGNKKSPYEALFGEEWKLSKFRVPFCKVWFHIETDDKLEPRAEEGALVGFTKS TSTA_126730 MTGDTQDVIPDYPGPDNVRITTFEIEKADRSNIKNWKLRMQIFL ETQDCWDMVELMEKYQKDPEKIQALSAKKGWKSANGRAKLYILGNIKQDDISTGYIRK KYERKTHVDIMMAIQKIVDWKKSAEASMEDSLQQLEQLEAELRDVSDSQIKLGELMIL NFFLRGLPKDYETISYAIMGSETITRENVLSRLQVQEGILS TSTA_126740 MTTKKISSVPPIGVQATAVVSGSFLTGAMVCLTGVVIPVFLDTD AESAHLLRHWVRLYHYGHIYMPVLCIATVGLYGYSSLRLKGWNSQHWTTYAAAAATTI AMVPFTWVFMAPTNNILFGWEEMATAGAPVAELDAVRKVVVKWAWLHLARSVFPLIGV IVGFTGILQERLHTSTPCAFFTHKRDPLMPVIINPSVLCP TSTA_126750 MGETVVPKQKFYTIGDKEEFDPERWISSTPNKLPKRDPETHVNV LIVGAGFAGLMTALECWRKGHNIVGILERNEGPNYSGDLIIIQPSAISVFRHWPDMRC ELEEDKVTAPTFYYRHNSELIYGPSEPSYNDPEHLAEREGLPYVGPVQIRKKFYRMLL RQVAKIGITIDYGQRVDKYFEDENAGLGGVITEDGSVRVADIVVAADAFKSRSELLIA GKHMPTRSSGMSVYRVAFPAHLAMQNDLVRKRWEGVTANEFWLGAGMHMGLFVSPDLV AFGMTPRDEFLVEGSAKAKESWDPDVDPEEVGKLLHRLPGWDPAVEALVRATPRGALI HWPLLWRNLRKEWTSKGGRVVQVGDCAHSTIPASVSGGTLALEDAITLASCLQLSSYG GASGAPLGAKIYNLMRYQRVSCTQKMAFVNSQLLNATSDWEDIMKDPKKVRLRFPKWV FRHDPEAYVYEKYGQAFAHLVTGAEYENTNYPPGHKFVPWTIEEIYKDIEDGKRIEQL LDGDWS TSTA_126760 MKAALKIDMAEQTCLAIYINTSPQRQESFYNLQTKEPKLVPIQD VATQWNSTFLMLVRAKKLQQTFDAFCSQYDQEHFALS TSTA_126770 MDGHLYAIGTILSPQDKLQFFSTADWDPEDGGIDYQVIYRQSLE SSLKKYLENLAQEQQISQMPNLEPGGNITKMNSLEWQGLHEMFFLFQLVMQE TSTA_126780 MDVLVGSYQTETPESLTDGQTEILNRIIEGYLRAFTSLEQMD TSTA_126790 MWEEIKHDEKDPRKKDFKKLETKTDYTTKQPNLSKHASKIESKN ENKTLNKIGTLKGPKEFATGKNEKGERICFTCGSTEHLANYYKKDDKGDTEKKKPSVR IVKTASRKKGHERMAEQTWDLSDSSENERATNAYRGLPMRYGHYEYLVMPFGLTNAPA AFQGYINQALRGLVDDFCIIYLDDILIFSKTKEEHTEHLRLGVKMDPERVQTISEWKE HPLGSYQDVQVFLGFYNFYRRFIQGYLCIARPLTSLMKGSKDDLPTQLETDTSKQFKG PELNYRTPDQEMLRHYLEGRLLITLGAKIARVQQIYTSYRWRVMQSHDDGNPQGSEEE KTYKVGKESTQASEKPQDVVTCVYNSELGQQVQGRACEYDMRPGLLGPSVTPQGDRVE ADHLIRCMLTQKVTRQRAQQAVLNEAPRQEPLEGLRQLVAAAQKEDPFCMRVDKDLSK GDSTRLQYGHTSDGVLLYKGRILVPNQRSLVHKILRLYHDEPSARHWGIQKILELLQW KFKWEGIRQDVEEYIQTCLVYQGNATPRHKPYGQLDPLPQLSRPWKEISMDFIT TSTA_126800 MRKLDTESEIRVILATEALGVGVNLPDIRRSVLYGLPKNLLLAT FLQRGGQTCRDGGKGEIILLVDAWTVGERIISSTIQQVSIVDKIPPKKKSSDKERRSK LPQLWYDTANQIQCIQRGFLRFFEEPEGYWIVKKDQCCNHCNPTLRIDDIDSTKYYVY HERGPQFGKIQKAVHKDLQAWATEQVHTLSKDFAFTPTAGCLLRNDMCILLARNAYLI FTSSALKTILRP TSTA_126810 MGPKRGKKGTPWPEPRFADDPDIRAYVAAAIKDLEALKKHQEAL EIGMPRELSTTVVDTFLHLARRVKNTPTNEQLAQPLAKVELHVEKTQKEVSQASREIT TTKSNTNRLVEAICHPTFPGVYGYEAGYAPTDLLNKMVGDPIAEKIDRFNKNQVADEE LEDLASVLISSLEDYITEDADEYDDKL TSTA_126820 MSDSIHVPTVSPNSMDLVAEPDTLAPSDDVVQLSPRPPTTEYTD ISAAESTTLVDPVTPSTLLLNALNWGFEDFPSPQISLPLSAEMVNAPCSFNDKNLLDN FIGFEKATPLLSSIVLPPSLETDVLQESEASCSVPEPKESHSSVVDTPNPGQTCCFLV RALSLLKQQSSSAPNSCGGCMRPSLENFSRSDNSSLSKIESIIADNEQTIQVISDILT CQCSQDIYLLVIMSIVILKILNYYTAVVRQETSFNGDDRSWVANQPGNSTQYQSEMFQ TPPNVFGANGSEYVEDQGRMASQQILSKLHRIQRLINILSERFKTHKENVKRKQEESR ASTTVTGPNMFMFLFPSSTLEHIEADLRLHLRALSADAANILCQAWYVLPIVPHVHHL QTYPARSTMSVMHVVPLEKRAQIQGIVGAMFGFATSIGPLICSALTSNMKKIMQLDIF STLPLIPGIVYLMLALQKGGQTYAVSYYIVTLPEISDCFRAGNNQGSG TSTA_126830 MTDLLQLEVHARELLTSLNHFSTHRQDDRSTLSPPEELELSDSA RESALATIAKIQVILSGPSDFIHQMTTQTQILACMRWMGEFHVLAYIPQDGSALMKDI SDLINVSESQLCRIIRMVTPMGFLKEPQPGYVAHSALSASFASKQSYVDAMMFLANTL APAALGMATAQHDSEYHEHARNSLCNHESAISTTFSSIDKTQLPLLQRRWHAYLRHGM GYFCDTATDILTCLEPFRMEKALIVEVGARSTERATILAKLYPMLHITVQLRPTCSTS GKNRVTASNVDRMRPPRITILDRVPGSPQPIQDAAVYIINLPLPDPGMPPSPLAMQIR AEMMAHLNTLRTNRSATMVLVVPSLSDCGAESGKSVSLAGIRDFSLLQLANEREMRLT EIIDLLHKMSDSEGRLVLVNKVISAGRYGIVGLEVKYQAYADR TSTA_126840 MPIYTPTTSSDPDVDSHKMKLVYFSNEFPREDLQDVFRRLHNHS RDKHHPILAQFIYEVTWAIKEEIRQLPTELKQLIPPFESVFSWAENTELREGLICGAV DGVLLVVVQLATYIGYAENSPDELTDFANTSLAGLGIGLLASTAVSLSSTLADLPLAG ADAVRLAFRLGIHVLGMSENLEARDLSETPDTWAYVVHNVDSDATQKELDTIHSREGV PNTGKIFISAVSRTSVTVSGPPARLKSLFNKSDFFRESKFIPLPVYGGLCHAPHIYGI QDTQSIVRRSSLNTVNRKAWPTTPIYSTSTGLEYPAKTAAKLLEGVVSELLTQAIYWD RVISGVVEKVETAVATEAVLYSFGNSIPLNDLNSALKSSITHPEITHNNLMTWVAQIP PRGSTPRGTAQSKLAIVGMSCRLPGGATNTEKFWEILEKGLDVSRRIPADRFDIDAHY DPTGKELNKTMTQYGCFIDEPGLFDAPFFNMSPRESQIVDPQMRLALVTAYEALERAG YVGNRTAATQLQRIGTFYGQAADDYREVNQGQEVSTYYIPGGCRAFGPGRINYFFKFA GPSYSIDTACSSGLAAIEVACQALWNGEVDTAVTGGVNVLTNPDGFAGLCNGHFLTKG HNACKTWDSTADGYCRADGVGSIVIKRLEDAEADNDSILGVILGVGTNHSAEAISITH PHAGHQAYLSRQVLRQAGVDPLDVSYVELHGTGTQAGDHEEMQGILDVYAPLTRRRSK GQPLHIGAVKANVGHGESVAGTTALIKVLLMLQKNAIPRHIGIKTEINPNFPRDFNKR NLHIPMEMTSWPQVPGKKRLAAVNNFGAAGGNTTMVLEEPPIREITETDPRKVHIIAT SAKVKTSLAGNIKRLIAHLDNHPDINLAELSYTTTARRYHHTHRVAIATTDVDHLKKQ LKSRLEKIDSIKPVGKSGMPPVAFSFTGQGASYKSMNLELYRDVPTFREHIQYLDSLA QGQGFSSFIPALDGSYPQDHAHSAVITQLALVCTEIALAKYWSSLGVKPDVVIGHSLG EYAAMHIAGVVSASDTIFMVGRRAQMLEQKCKIGSHSMMAVRASLTQIAESSEDKPFT VACINGPSDTVLSGTKEQVDDISVSLEAAGYRCIKLDVAFAFHSEQMDPILDDFEAIT TTGVLFQELKMPVISPLLGKVIFDSKTLNANYVRRATRQTVDYLSAIENARKVSTISD ETIWIEIGPHPVCTGFIKSTIPSTQLAIPSIRRGEDNWKTMAESMAALHLAGVEVGWN EFHRPFERRLRLLDLPTYAWNDKNYWLQYNGNWCLTKGNTFYDNEKGADAAKTLPKAL PASEIQTTTVQQIIEENFNGSAGTVIMQSDLMQADLLAAAHGHSMNKCGVVTSSIHAD IAYTLGNYLHRKLNPKAKNVNMSISNLVVTKGLVAQTNKKKPQLFRVTAATANIASGI VDLTWQNVDNDGNVYQPFATANIYYGDATEWLSSWAPVAHLVLGRIDALEQLATQGKA SRFSRNMAYTLFASNLVTYAEKYRGMQSVVIHDLEGFANVQLTTKESGVWTVPPYFID SLAHLAGFIMNCSDAMDTQNNYCVTPGWNSMRFAKHLVPGAKYLSYVKMIPTAEDPTI YFGDVYILQDDAIIGVVNGIQFRRYPRILLDRFFSPPDKITVSESNPKPTPSRTAVPV SASPKSAIPAPKPTVAQPDLDTGNDDGKSKPSPSNTPTPMPAPTENLTPTVTDTAGPS IETTAASGSVTAKAIMLIANEAGLDLSDMEDDASFAALGIDSLMSLVIAEKFKTELDI KVSGSLFLDYETIGDLREWLDEYYN TSTA_126850 MYLIAPDLSIDLVELPETVPGNNSFCDHELFMFRPATRKITNFT IEIVSDPVCSWCYIGKKKLDNAIKIHQSSQPDNPFIKIWKPFYVKPHSSEIGKQLFSL IHLKTEVPILPNFRKQHGEIMANMMIERVRIIGADISIEFKFSGRTGRTHETH TSTA_126860 MQTESMAEYHGDNVESDAKRRKIRKGTRSCWECKKRKMKCVFAD SGSPVDVEAICIGCQRRGTKCVSQEFEFVEGTKDSRKGRRRMGSQARVAKVEALVEQL IKKVGNGEARAIGETSAPPNGMPTPTSIDLDSSRFLSLYKASHDHGAAETEIKYEKLS RSLHEALPSSKVIEMIYKARGRKSILFHEMLSTPYAALEQNGLKLPESLLGIPGPTSH PVLIARYMLYIATFLQHLHPNILGVMNGLSETPHALMKRLAETANTYVTTNEEFFGSI EALECIMMESMYQANGGNLRRSWMANRRAMVTAQLMNLHRADRRAQYKVLDHKTRADP QFMWFRIVFHDRHLCLMLGLTQGSLDRSMATGTAFKNDSPMGRLERMHCTLASQILER NESDPSFDNFALTQNLDMELQKVGRSLPSKWWLMPNLDSVADNPQDLFWDMGRLFNQL YHYNLLNQLHLPYMLRSSLERKYEYSRMTCVNASREVLLRFIMFRSYTRTDFCCRTVD FFALMAAITLLLAHLDSHRFSQTSNLLAHQYLSDRAMIEQAQVNMEQISQVNGDTLSV QSAHLLQKLLAVESETANGISMESVSVESPESEILQPSESDDGVLRVQIPYFGILRIA RQGTVSKEIPSSQDSVNGQSYTLMTGMLDTGGTEAGARTFNHDHSNEDCYPGSIAEGD LDSVGGVDGPHEQQFAPRYADNVSDTLLQQYQDPGLTAGIDDWAFQGVDMAFFDSLMK GVDDGNGSETQEPGY TSTA_126870 MGIIHIVMIEFKAEVTTEQIEDVYSRHLALKDLCVRSDSKKPYI TNQMAGKDISVENLSGGFTHIFIEEFDNIEDRNYYLKEDSAHAEFGKVVENLVKSAQV NDFIPGGI TSTA_126880 MSTEPIDIEKDRQNAEMSAQSSTTNAASANDFETENHMADHANN NQGIHDLPEKDAAEEADPNDEEEYPHGLPLFCIVVALGMSIFLVALDMTIVATAIPKI TDQFHSLDDVSWYGSAFLMTTGGFQSTWGKVYKYFPLKISFLISVFLFELGSLICGVA PNSVALIVGRAIAGVGAAGIGGGVFIIIAFIASPKRRPVFTGIIGMSYGIASVIGPLI GGAFADKVTWRWCFYINLPVGGVAATSILFFFHTPARSSTAKATLKEKFLQMDPVGTA LLVCAIISYILPLQFGGQTKSWNSSTVIGLLVGFPLMILVFMVWEYFQGERAAFQPRL ISQRLIFVNSIYAFLFAGSYFIVIYYLPIYFQSIQSVSPTLSGVRNLPLIISMSIALI VSGGSITKTGHTAPLMVIGGAIATIGSGLLYSLDIGTSTGKWIGYQIVGGVGWGLAYQ VPINAAQGSVDPSDIASVTGIIIFFQTVGGAVFVAAAQSALFNQLLHKLASTAPNIDA ALVLGTGASELRNVFTSEEMTAILPAYMAGLKVAFAISVTAVGLAFCLSPFNNWKKID AHRDAPDDTPIGAAV TSTA_126890 MLHALEAGRTKLDEYYSQTDYIPGHIYAVSTMLAPVNKFKFFLT KDWDQKWRDIYRKSFQQALIPYQEQLSTSNRSSDGSHSAARLSSKLDKMLDESEAQPN AATDEMTQYLDSDTIHIAPLAFWKEHQTRFPAIAALARDILSFPATGAGVERLFNTAR DVCHYCRGRIKSQTIEELMMFLCTSRFDIEEQEAKLLEKFFSYEEMESAKEEKDEKLD KIEIDLISDTEEQYTIINDEIGLDEIGEADEVGEAQIPLPRNNTQVRVSGRKRKSRDD DIFEYH TSTA_126900 MDSQLSNPSELIRAHTSFSPKTTIMSLIQPQLDASREKLFTTYP DEFKIPIGAVLSDLSKFDRSTAIKVGDKLPKFNLPDAVEKEQSSAELLSRGPLVIAFY RGEWCPACNIAISGLQRHLAEFTAKGVTLVGITPQKPSGLLTMTEKHNLGFPVLSDLH NEYARKLGIVWKHPDAIGPLVEQINGMKFAEVNGDDSNEVPFPATLLVDQSGTVRNIF LEPDWTRRVEPTTVLEWINAL TSTA_126910 MTQPKYYSYPGLGEWAKENFHYSQAIRIGDRILCSGQGGWNPKP DKIDFENLVPEDVAAQVDQAFANCDMNVRHAGGGRGLAQAYKVVTYATDLAAAHEHIV RNLRRWMPENPPIWTELGVAALGAPGMKFEIDVEAYDPEGAKKELA TSTA_126920 MVKEPGQDSAGKALSADAQKDAKQKRPEDSRQKRPEQRLTLDFT DSLKKEFGAAAVHRDGSLDFSVFQKLYENYPEKLFNYRDAMVELIAECNNALARMREL AREGTTSTTSIS TSTA_126930 MLYRDFVKEYHQTANRLEIIIKNERRAPKNCENKGGNGSGASKD NKKNKSGSDKLKDDKGKCFNCKMKRHMANECELNKKDTPDLKALEAVKKADVEESSES ENDDA TSTA_126940 MKKELEKASVEPTPTNTMSVAVREPNVKSKEDEVFITSLSEIEK AIEDKERPDVFSKINSDKLPERKEYDHKIELEKEVELGYCLLYCMSAEELYAAKDYIV ENLDKGFIVLSNAPFASPILMAKKPGGRLYFCVDYQWLNTITRKDYYPLPFIDKVFEQ ISQAKIFTKLNIQ TSTA_126950 MKKAQERQATQANKKRWPINFSVGDMVYVTNEGWDTRWPGRKLG HQQEGPFLIVRQVGHAFELKLPKGMRVHPIFSPKKLRLAATKEPIEDQIADEGPELRI NGQSEWEIERVGRDPDPKWYPAGYLKNAPLALKAFYDSNLKAAGPPIQLSE TSTA_126960 MRAKLKPIVWSQDSHLSRKSVILCHYDVYIKRIKMCNQSSIRKS CTASHDTKPLSTEAMKENVWEYINPDPNWMVLESTPAKPTEPVAPKIDFSKTSEAQLL LQKYQIKSNTYKRQLSRYEKHQKCMKYICSYILDTVYIGHKPMI TSTA_126970 MKFAKFTKIPDNRLTRDFIKTTENVLPKFYETWTICMIEFDLNS GATNLIEIPTVNKIISQFEQWEEDIAMATFGNKSDQTEKEKQDTTLK TSTA_126980 MTLLDSGATVHITNKWDKLINMQLNVQIIMARKIEIQILWSEQT NKDLCHVKCTGKLYLLEWNSNKNSKTSLSKELALSSFDKRILKDPTQATKEANITALF QKRNKEGFKEKCEVYAIIKIRKKISRVLMTPPTRLFQKLFVDIIVMNLAINKNSYALH AVNPYTKFHILMTTRTKSVNFNLENMIEEIKHTFKTRIEEIQLDGESSLNGISFRDYS QKRKIRLIVTVLDTLEQNGPSERAGGIISMKS TSTA_126990 MAHGTKPYVGNLFLFGSKAYVRVDTKKSEKMALRAQIGFLVGYK AHNIWKVWTTRSNGSKVIRARDVIFDETKKYDLEHLFVKEIVREDVQRYIDNIDIPNL EDIEQNNIIDSVDEDMNLQSMVSPVVSNIENTEGTLPHDSMDISRPGQALDIQQDVPQ NMEIDEPTQPDQDTIDIDHENPENEAQEATQIDNHKKSVVKKLKIDSAGGVEHEENIK EEVDKDKNIPSDKQLPQSSSPVTMERLSANHDAEKANNVNNNLPTPPQGASQHSSEKN ESTGTQEPLSTSRAQEINADLSESNIVTGPRIRVPSKRALSPESSSLSRKKHKKLSQP KNWTGILRHKFKNQFIQAAKTKFKALNKKGMFEFVPRPQNKHILPLTWVFKYKFDKYG KISKFKARICPNELEKRAATLAAQNFRLMMALATIFDLEIVQYDAINAFINSLLDEEV YTLCPDGFKQSSKVIKLRRALYRLQRSPWLWQKELTTTLLSLGFVPIPDEECLFIKNG VLILFFVDDILVFYNKDKKQAIFEETEKGLTSKYEL TSTA_127000 MDTPISGNIEASKGEATNQEIHAYQELVESALYASIMTRIDIAK AVNELAKYTKNPSIAHFQQIRRVIQYLYNTRFLAIEFSPPQNPKKDAFICASDASFGD NPDHTSSKGYLVQIYGGSVDWRATKQRLVTTSTTKAELRAATKAAKRLQVWKRVFRSI GFKPDRELSIQCDNKQTVALLTSEEPQFRTNLKHVDIYHHWLRQEISKKRLRIEWVDT KRMAADGLTKILRGHLTQE TSTA_127010 MSLTVRFGWPSRYLRNRTDSQGAAVVQTLGLPSVKAYTSAIVDL WRFQQSLGRKLQAQEAYLRTTVDFLFGHNMLLRGEDWRHLELADLFTLRMDEGPTPCW PMILMKLNGKTNQFGQLKYMGVVQHKDPLLCTICHTAFYLFHGWEIMHEPVPQPLSGH SSTQRAVRISPFLPDVSYNTDLRELWEEWHVGIHGNPSIQSLEDSYRCRWRSDNKERV FFSCRKVIIDWIQAQVSKGILLADAIDEIELMRRTSQRTLYQLQALLKKVSYSPSPLL LQIASNGRQTSRFARRTLALPNSEQINPLQAHHQFLRSSLSYGHGKEVFDAEAEAALA GAQAAIAYPTAQFATNLWICLDNLEDLPKKSLNPSAPLQPPGHFAKGFLILKADLSKS DGSLDTPGSLRTKRLISLLKRELPQSLLLLTNPHTPR TSTA_127020 MGPKRGKKGTPWPEPRFADDPDIRAYVAAAIKDLEALKKHQEAL EIGMPRELSTSVVDAFLYLARRVKNTPTNEQLAQRLAKVELHVEKTQKEVSQASREIT TTKSNTNRLVEAICHPTSPGTRTAKNSPSFSHVTTSSESYVQAWGRKVPSNPPTVPSV GLSSGGSLPSTPYPSQEDLEVYLEHTDPNILNPIRRFPDKVVEKANLAIRSTQDTTIA HRRIAAARILPSGDIILLLGTVDDVDQLTRKKDWIRAFGNEARIQKRTWGVVVHGVNT NINPKQPQFITTLTSENAPVFAQLPASMNVTHTGWLLSEYKIKEQKLTNAHLVVIFDD ERIANFAIQRGLIIKGRQHNVSIYDKAANLQQCFKCQMYKHITRHCQRQICCAYCAGS HDTGDCPTPKEKEYAKCANCTAENVHIKDPAKRLNTKHFAYARECPIRATCLAEAHQR RTYGPQYHTPVIRPGNSQPGAISPNDPTPAEAANTERSPRAPARTATTRRSANSRSKS AAAAQKRVAERSEPEPISPTSGDPTNRSSKKPMRAQWDKDLVIDADPNPEPKTGPETQ IKYTYNTRARQNTKPPPGTPVLQSDIAPLEISHVQAVRTVRRSKSVRTIPDDDSSEDE LTQPSIHEAPQDPIEPAQEADTLMTTNLEDSTWANNHFHILLQPTPKEEYKKRPRVCF YVNRGLDPATWEVQYHNRDLSTLTLHTAAHGTIHIHNVYNPGVNSNEESVISALQTAM APRAQHIVLGDFNRHHPLWAGPRYRHVDEEATELINLMDEHGLEQLLPPGTITYERVN AKSTIDLVWASHNLANRVVSCDTKPEWWYGADHVPISTQFDLTAIRVPPLVRKQWNAT DWDLFLKLMDIYNWHPRELNDNEAINEAIRYLVEAINQAAEQATPTKQISIYSRAGYT PEMAKLKHHVSRCRRHARRINTDQAWEDYAEARKEMKRRTNELARDLHRQRIEQATES IDGFWRIARWVRNRGKPRATFTPTLHYNNTSYTAPKEKAALFREVLHPEPPEADLSDI GPQYRYPKPYTMPPITLDEVRMAVTNVKPDKAPGQMESPIWSYKAAPYYRGLSR TSTA_127030 MHLFGESEVQNYDILAIQEPYINKHTDPLTTYSLALKGSFHILL QPTPKEEYKKRPRVCFYVNRGLDPATWEVQYHNRDLSTLTLHTAAHGTIHIHNVYNPG VNSNEESVISALQTAMAPRAQHIVLGDFNRHHPLWAGPRYRHVDEEATELINLMDEHG LEQLLPPGTITYERVNAKSTIDLVWASHNLANRVVSCDTKPEWWYGADHVPISTQFDL TAIRVPPLVRKQELNDNEAINEAIRYLVEAINQAAEQATPTKQISIYSRAGYTPEMAK LKHHAWEDYAEARKEMKRRTNELARDLHRQRIEQATESIDGFWRIARWVRNRGKPRAT FTPTLHYNNTSYTAPKEKAALFREVLHPEPPEADLSDIGPQYRYPKPYTMPPITLDEV RMAVTNVKPDKAPGPDGIPNLVLQRLLPTIEAYLVNLFNACLRQQYCPDHFRKSTTVI LRKPGKPDYSDPKAYRPIALLSTIGKALESVLARRLSYLVEQYNLLPKQHIGGRRGRS CELAIHLLLEETHSAWREGSRVASGLALDAAGAFDNVNHIRLIHDLRKRQVPDDLIGW IESFLSNRRTSITLLEGNMGEFLVNTGIPQGSPLSPILFLFFNADLIEQILAECPDVI VLGYIDDIFIMTYGTSAAANCHTLTKVHQVAERWERTHASKFAPAKYQLTHFWRKHQM VPKPSGRLDVPLIIKGVEIKPTDSIKYLGVYLDTHLTGEVHVQEMRKKAAKLVAGLSS IAGSTWGTPLVHLRKIYTAVLQPQIMYACSTWYIRGGRGFTGAQRAAEQAIRSIQDQA LHQISGAFKRTSRQALEVCLHVPPAELTLAKLAEEACLRIMTSPLRSTLYQIRGQAHC NDPYTSPLHRLETAIDRKLGSDTSQRIETIYPFVVPPWWEPPEARIDDTREEAIKAIE AISGTDTTIQFFTDGSGFDNGIGAAVYSSIGQAYKPVGSSDTHTVYAGELEGIDAALE ILLRSQPCDDNPHEATIYTDNQAAIRATCQPGRSSGQYILRRIVRHLGLLRDNRSRWR VRLQWVPGHEGVPGNEKADQLAKLAAVEATRRTQENAHIARISAPNQTTPHAARMSYI PNQSTILMAVCRQRLHAGFAKRWKEQWEHANHGRHLYRIIKAPTKMVLQLHEGLRRAW SSVLIQLQTGKSALRSFLASVRIEDSPQCECGLGDQDTAHVLIRCPTHINLRMETLWK EARETDYRKLLSEPQWVRQSIEFIMRTGLLTQFHHVTPLTTTRSQ TSTA_127040 MLNLLLPTTAWRQLGLQVKRRQAEILSYLPPGAKILVALDCWTS PFQQAFMAITGYFIDKNWQYCEILLGFEPLYDWHTSINLSAVLLETLQQHDLVDRVLA LTTDNASNNKTLLWVFNDAIESLDILEELCLSDEEAERLRQIQREKGISYTLAKIRGL AVFINTSPQRCTAFLSF TSTA_127050 MTQTLAKATTNELQEYLDSGTVKKKPCDFWKEIEDEYPTLARVA RDIFLIPTTGAGVERLFNSARDIYHY TSTA_127060 MFWCISKFDIKVEDNREDIDIPLEDRQQKDEAQEAEFQDIVPNP ISNYEESDSEENDSEENNGEEGNTLPQPSKHALRKRRIILVEKHTDDKALPGTGDQAS RRVWAWLGRKDDGFDYY TSTA_127070 MACTTTVGARPRRGPRQQESRPAGKAGCSRGDTAYTGERPHSQD QRTQSDYTTRRTNVVYSKSVNYPYGSVSPEATCRLYKEVEGTVGACQLWMASLLDNQS TNKDGIAAARGIMTGLEFNLCKSHGTGPMGLSMGVHGRPMGLKPVSMGGRYPAVHGQD FHGTVHGTANSANIDESAVYIIYAKLVRIFK TSTA_127080 MKSTYPLSKETVSRCKAMYFMKMEKELAALLINNYSLKHSTLLD SGSLIYVFNKKERFVNFKRATPSNFL TSTA_127090 MLIASNFIRSAAVRALHQVCSGSIPHRAISTQSPRVAVLFQAID PPVIGNVRKPCKPGGYRDSGADIAYTLRIKGIESITPDISPKPSNDHGWCFADTEEGI QSALEKGATHLWANTILFKSHPLQSSQKLSSRVPDVYVVGQPPTLVEKFDDKAYLNGK LKELGGYTLPQSWLASNPATLEKCISSITKLPVVGKPVRGRGSHGVKLCHNPEELREH VLSLLQESPLVMIEEYLAGEEATITVMPPSSEMPDYWSLPPVTRFNHADGVAPYNGAV AVSANSRVVTESELRDLAYGKVINECVQVARLIRATAPIRIDARRFSEGSEFALFDIN MKPNMTGPGRPGREDQASLTAIAASALGWDYGSLLMKILATAQPLSSLRLYRSPF TSTA_127100 MDDQLLPNPLFLPTESQKSTWDDVITAAKNLSRQQELEQDNDEA LYQLQQEMDKQTLAFCLEIIQQSVPLRAFNSILVSFAALLFWIPDQKQWMTVSNYTSF LSQLIYNCQIWILALSILEQQNHPTLDLGDIIIRHRDRWLLNDTKGPVAELLENRLYA FRIAMSEVPPAQVRWDREGQVITFQDVSLSLLELSRLIREGISTAQAIFEQELCLSGP ARPATEIPQFDLSNLMDNWDATQAGASFLTDSRNHAYVVPYQDWLFRRVSQDAVLFPI FWELGADQTWRISPKMVEQYEATIQRFLEALLVPFFIGSGQQARRTEFLGIRWRNTLL HTRDLFLHDGQMLFILDYHKSRHRTNASRWPARFLLPEVGHLVTQFLILIMPFRQWLQ HQVQTMHSCASTPLCDYLWASTTKPWSDNHLTQTVIRTGEQILGKKIHIRAWRQITVG IAIKKFRTLASQFVEDSLDDEDNLIEDHNGSMAAVFHYQAAHTPHTGNQIYGGTVNFR AGLTDAGLQEFRQASEIWHQLIKQPSQYSTPSLLKRRLPAVFTQSSQPANVNIEWESD ENRSKRVRSEATESTLVQRFHRCHEPRQSQQRWTMEQAQIILKRMYGLEAQYRTSNQQ QALQYIIQGLSQVVAVLRTNEGKSLLYLLPCQLPGARTTVVVLPLLVLKQDMLLRCQN AGIEVTVWNQQDESRHLGSSPLILVSAEQAVHINFRTFLLRLQLANQLDRVVFDECHL TLTASSYRKGLALLPTLRDIQCQMVFLTGTLPPIMMAEFEQTMLLSQARLIRSLTTRR DLSYQVVSCPIDQDFFKFAIPWIQEERTQLDSEERVILYCQTQAITEKVATILACPFY HADSGTRDEKAQTLERWRDGHPNWIVATSAFGMGIDHPRVRLVIHLGAPSSLIEFTQE VGRLGRDQQGGRSIILLPPSWSITKSNRPGHVISSDVQAMHAVLDQPNCRVAAMSSFL DGAVVACSAPDPLCDQCRFRQENPESSSTDPTTTSSPHPEENVDRDLTVGSQMRIQLI QRESQQLQQYGDSLQALRGTCVICRILPSSSANTKKHSFINCWNPRRQDFLEAKKRAQ QEGKQFQGWMQRYAGCFRCYNPQAVCSQQGQGTCLYPDLVMQACWAIYQIKAWTEGLL PGLGGEHVQSNEAAYMLWLGQKRTTFGVEGSNAAWVAYHVFQQLLEPAKGSV